source: Hardware/WARP_v3/Rev1.1/Config_CPLD/w3_config_cpld.jed

Last change on this file was 1823, checked in by murphpo, 12 years ago
File size: 71.7 KB
Line 
1Programmer Jedec Bit Map
2Date Extracted: Fri Jul 27 14:59:42 2012
3
4QF55341*
5QP100*
6QV0*
7F0*
8X0*
9J0 0*
10N VERSION O.87xd*
11N DEVICE XC2C128-7-VQ100*
12
13Note Block 0 *
14Note Block 0 ZIA *
15L000000 1111001110111111111111111111*
16L000028 1111001110111111111111111111*
17L000056 1111011010111111111111111111*
18L000084 1111011010111111111111111111*
19L000112 1110011110111111111111111111*
20L000140 1111111110111111111111100111*
21L000168 1111111110100111111111111111*
22L000196 1111111110100111111111111111*
23L000224 1111111110111111111111100111*
24L000252 1111111110010111111111111111*
25L000280 1111011010111111111111111111*
26L000308 1111111110010111111111111111*
27L000336 1111011010111111111111111111*
28L000364 1111111110110011111111111111*
29L000392 1111010110111111111111111111*
30L000420 1111111110010111111111111111*
31L000448 1111111110110101111111111111*
32L000476 1111111110010111111111111111*
33L000504 1111111110010111111111111111*
34L000532 1111111110111111111111110011*
35L000560 1111011010111111111111111111*
36L000588 1111111110110011111111111111*
37L000616 1111111110110101111111111111*
38L000644 1111111110110110111111111111*
39L000672 1111111111111111111111111111*
40L000700 1111111110100111111111111111*
41L000728 1111111110110101111111111111*
42L000756 1111111110100111111111111111*
43L000784 1111111110111111110011111111*
44L000812 1111111110100111111111111111*
45L000840 1111111110110110111111111111*
46L000868 1111111110110110111111111111*
47L000896 1111111110111111111111010111*
48L000924 1111111110110011111111111111*
49L000952 1111111111111111111111111111*
50L000980 1111111110110101111111111111*
51L001008 1111111110111111010111111111*
52L001036 0111011110111111111111111111*
53L001064 1111111110110110111111111111*
54L001092 1111111110010111111111111111*
55
56Note Block 0 PLA AND array *
57L001120 11111111111111111111111111111111111111101111111111111111101111111111111111111111*
58L001200 11111111111111111110111111111111111111101111111111111111111111111011111111111111*
59L001280 11111111111111111111111111111111111111111111111111111111111101011111110111111101*
60L001360 11111111111111111011111111111111111111111111111111111111111110101111111011111101*
61L001440 11111111111111111111111111111111110111111111111111111111111111011111111111111101*
62L001520 11111111111111111110111111111111111111111111111111111111111111111111111111111111*
63L001600 11111111111111111111111111111111110111111111111011111111111110011111110111111111*
64L001680 11111111111111111111111111111111110111111111111111111111111110101111111111111110*
65L001760 11111111111111110111111111111111110111111111111111111111111110101111110111111111*
66L001840 11111111111111111111111111111111110111111111101111111111111111101111110111111110*
67L001920 11111111111111111111011111101111110111111111111111111111111101011111110111111111*
68L002000 11110111110111011111111111101111010111111101111111110111110101011111110111110111*
69L002080 11111111111111111111111111111111111111111111111111111111111101111111110111111101*
70L002160 11111111111111111111111111111110111111111011111111111101111111111110111111111111*
71L002240 11111111111111111111111111111111111111111111111111111111111101011111111111111101*
72L002320 11111111111111110111111111111111110111111111111111111111111111111111110111111101*
73L002400 11111111111111111011111111111111111111011111111111111111111111111111111111111111*
74L002480 11111111111111111111111111111111110111111111111111111111111111011111110111111101*
75L002560 11111111111111111111111111111111110111111111111111111111111110011111111011111110*
76L002640 11111111111111111111111111111111011111111111111111111111111111111111111111111111*
77L002720 11111111111111111111111111111111110111111111011111111111111101101111111111111110*
78L002800 11111111111111111111111111111111110111111111111011111111111111101111110111111110*
79L002880 11111111111111111111111111111111110111111111111111111111111110101111110111111110*
80L002960 10101110101101111111111110111010111110111011111011101101111110011101111111101110*
81L003040 10101110101101111111111110111010111110111011111111101101111110011101110111101101*
82L003120 11111111111111111111111111111111111111111111111111111111111111111011111111111111*
83L003200 10101110101101111111111110111010111110111011111111101101111110011101111011101110*
84L003280 10101110101101111111111110111010111110111011011111101101111101101101111111101110*
85L003360 11111111111111111111111111111111111111111111111111111110111110011101110110101101*
86L003440 10101110101101111111110110111010111110111011111111101110111110011110110111101101*
87L003520 10101110101101111111011110101010111110111011111111101101111101011101110111101111*
88L003600 10100110100101011111111110101010011110111001111111100101110101011101110111100111*
89L003680 11111110101111111111111110111110111110111011111111111111111111111111111111111111*
90L003760 11111110101111111111111111111110111110111011111111111111111110111111111011111110*
91L003840 11111111111111111111111111111111111111111111111111111111111110111111111111111111*
92L003920 11111110101111111111111101111101111110110111111111111111111110111111111011111110*
93L004000 11111110101111111111111110111110111110111111111111111111111110111111111011111110*
94L004080 11111110111111111111111110111101111101111011111111111111111101011111111111111111*
95L004160 11111111111111111111111111111111111111111111111111111111111111111111111101111111*
96L004240 11111111111111111111111111111111111111111111111111111111111101011111110110101110*
97L004320 11111111111111111111111111111111111111111111111111111111111111111011111111111111*
98L004400 11111111111111111111111111111111111111111111111111111111111110101111111110101111*
99L004480 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
100L004560 11111111111111111111111111111111111111111111111111110111111111111111111111111111*
101L004640 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
102L004720 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
103L004800 11111111110111111111111111111111111111111111111111111111111111111111111111111111*
104L004880 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
105L004960 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
106L005040 11111111111111111111111111111111110111111111111111111111111101111111111011111111*
107L005120 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
108L005200 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
109L005280 11111111111111111111111111111111111111111111111111111111111111111111111110101111*
110L005360 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
111L005440 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
112L005520 11111111111111111111111111111110111111110111111111111101111111111110111111111111*
113
114Note Block 0 PLA OR array *
115L005600 0111111111111111*
116L005616 0111111111111111*
117L005632 1111111111111011*
118L005648 1111111111111011*
119L005664 1111111111111011*
120L005680 1111111111111111*
121L005696 1111111111111011*
122L005712 1111111111111011*
123L005728 1111111111111011*
124L005744 1111111111111011*
125L005760 1111111110111011*
126L005776 1111111110111011*
127L005792 1111111110111111*
128L005808 1111111111111111*
129L005824 1111111100111111*
130L005840 1111111110111111*
131L005856 1111111111111111*
132L005872 1111111110111111*
133L005888 1111111110111111*
134L005904 1111111111111111*
135L005920 1111111110111111*
136L005936 1111111110111111*
137L005952 1111111110111111*
138L005968 1111111101111111*
139L005984 1111111101111111*
140L006000 1111111111111111*
141L006016 1111111101111111*
142L006032 1111111101111111*
143L006048 1111111111111111*
144L006064 1111111101111111*
145L006080 1111111101111111*
146L006096 1111111101111111*
147L006112 1111111011111111*
148L006128 1111111011111111*
149L006144 1111111111111111*
150L006160 1111111011111111*
151L006176 1111111011111111*
152L006192 1111111011111111*
153L006208 1011111111111110*
154L006224 1111111111111101*
155L006240 1111111111111111*
156L006256 1111111111111101*
157L006272 1111111111111111*
158L006288 1111111111111111*
159L006304 1111111111111111*
160L006320 1111111111111111*
161L006336 1111111111111111*
162L006352 1111111111111111*
163L006368 1111111111111111*
164L006384 1111111111111111*
165L006400 1111111111111111*
166L006416 1111111111111111*
167L006432 1111111111111111*
168L006448 1111111111111111*
169L006464 1111111111111111*
170L006480 1111111111111111*
171
172Note Block 0 I/O Macrocell Configuration 29 bits (15 if buried) *
173N Aclk Clk:2 ClkFreq ClkOp DG FB:2 InMod:2 InReg INz:2 Oe:4 P:2 Pu RegCom RegMod:2 R:2 Slw Tm XorIn:2*
174N Aclk Clk:2 ClkFreq ClkOp FB:2 P:2 Pu RegMod:2 R:2 XorIn:2*
175L006496 00000011001110000111100110000*
176L006525 00100010111111110111111100000*
177L006554 00000011001110000111100110001*
178L006583 00100010111111110111100110010*
179L006612 00000011001110000111100110011*
180L006641 00000011001110000111100110010*
181L006670 0000000111001110*
182L006686 0000000111001100*
183L006702 0010010111001001*
184L006718 0010010111101000*
185L006734 00000011001110000111100110010*
186L006763 00100010111111110111100110010*
187L006792 00100010111111110111100110010*
188L006821 00100010111111110111110100010*
189L006850 00000000111111110111100110010*
190L006879 00100010111111110111111100000*
191
192Note Block 1 *
193Note Block 1 ZIA *
194L006908 1111001110111111111111111111*
195L006936 1111001110111111111111111111*
196L006964 1111011010111111111111111111*
197L006992 1111011010111111111111111111*
198L007020 1110011110111111111111111111*
199L007048 1111011010111111111111111111*
200L007076 1111111110100111111111111111*
201L007104 1111111110100111111111111111*
202L007132 1111011010111111111111111111*
203L007160 0111011110111111111111111111*
204L007188 1111111110111111010111111111*
205L007216 1111111110111111111111110011*
206L007244 1111111110100111111111111111*
207L007272 1111111110110011111111111111*
208L007300 1111010110111111111111111111*
209L007328 1111111110010111111111111111*
210L007356 1111111110010111111111111111*
211L007384 1111111110110011111111111111*
212L007412 1111111110010111111111111111*
213L007440 1111111110010111111111111111*
214L007468 1111111110110101111111111111*
215L007496 1111111110110011111111111111*
216L007524 1111011010111111111111111111*
217L007552 1111111110111111111111100111*
218L007580 1111111110111111111111110011*
219L007608 1111111110100111111111111111*
220L007636 1111111110110101111111111111*
221L007664 1111111110100111111111111111*
222L007692 1111111110111111110011111111*
223L007720 1111111111111111111111111111*
224L007748 1111111110110101111111111111*
225L007776 1111111110110101111111111111*
226L007804 1111111110111111111111010111*
227L007832 1111111110110011111111111111*
228L007860 1111111111111111111111111111*
229L007888 1111111110110101111111111111*
230L007916 1111111110110110111111111111*
231L007944 1111111110110110111111111111*
232L007972 1111111110110110111111111111*
233L008000 1111111110010111111111111111*
234
235Note Block 1 PLA AND array *
236L008028 11111111111111111111111111011111111111111111011111111111111111111111111111111111*
237L008108 10101110101011111010111111101010111010111011011111101101111111111101110101011110*
238L008188 11110111111111011111111101011111111111111101110111110111111101111111111111110111*
239L008268 10100110101011011010111101101010111010111001110111100101111101111101110101010110*
240L008348 11111111111111111111111111111111101111111111111111111111111111111110111111111111*
241L008428 11111111111111111111111111111111111111101111111111111111111111111111111111111111*
242L008508 10101110101011111010111111111010101010111011111111101101111111111111111111111111*
243L008588 11111111111111111110101111111111101111111111111111111110111111111111111111111111*
244L008668 11111111111111111110111111111111101111111111111111111110111111111111110101011110*
245L008748 11111111111111111110111111111111101111111111111111111110111111111111111110101111*
246L008828 11011110101011111010111111111110111110111011111111101111111111111110111111111111*
247L008908 11111110101011111010111111111110110110111011111111101111111111111110111111111111*
248L008988 11111110101011111010111111110110111110111011111111101111111111111110111111111111*
249L009068 01111110101011111010111111111110111110111011111111101111111111111110111111111111*
250L009148 11011110101011111010111111111110111110111011111111101101111111111111111111111111*
251L009228 11111110101011111010111111111110110110111011111111101101111111111111111111111111*
252L009308 11111110101011111010111111110110111110111011111111101101111111111111111111111111*
253L009388 01111110101011111010111111111110111110111011111111101101111111111111111111111111*
254L009468 11111111111111111110101111111111111011111111111111111110111111111101110101101101*
255L009548 11111111111111111111111111111111111111111111111101111111111111110111111111111111*
256L009628 11111110101011111010011111111110111110111011111111101110111111011101111111111111*
257L009708 11111110101011111010111111111110111110111011111111101110111111011111111111011111*
258L009788 11111111111111111111111111111111111111111111111111111111011111110111111111111111*
259L009868 11111110101011111010111111111110111110111011111111101110111111011111111111111110*
260L009948 11111110101011111010111111111110111110111011111111101110111111011111111011111111*
261L010028 11111111111111111111111011111111111111111111111111111111111111110111111111111111*
262L010108 11111110101011111010111111111110111110111011111111101110111111011111111110111111*
263L010188 11111111111111111110011111111111110111111111111111111110111111101101111111111111*
264L010268 11111111111111111110111111111111110111111111111111111110111111101101111111011111*
265L010348 11111111111111111110111111111111110111111111111111111110111111101101111111111110*
266L010428 11111111111111111110111111111111110111111111111111111110111111101101111011111111*
267L010508 11111111111111111110111111111111110111111111111111111110111111101101111110111111*
268L010588 11111111111111111111111111111111011111111111111111111110111111111111111111111111*
269L010668 11111110101011111010011111111110111110110111111111101111111111111111111111111111*
270L010748 11011110101011111010111111111110111110111111111111101111111111111110111111111111*
271L010828 11111110101011111010111111110110111110111111111111101111111111111110111111111111*
272L010908 01111110101011111010111111111110111110111111111111101111111111111110111111111111*
273L010988 11011110101011111010111111111110111110111111111111101101111111111111111111111111*
274L011068 11111110101011111010111111110110111110111111111111101101111111111111111111111111*
275L011148 01111110101011111010111111111110111110111111111111101101111111111111111111111111*
276L011228 11111110101011111010111111111110111110110111111111101111111111111111111111011111*
277L011308 11111110101011111010111111111110111110110111111111101111111111111111111111111110*
278L011388 11111110101011111010111111111110111110110111111111101111111111111111111011111111*
279L011468 11111111111111111111111111111111111111111111111111111111111111111111111111110111*
280L011548 11111111111111111110101111111111111111111011111111111110111111111101110101101101*
281L011628 11111110101011111010111111111110111110110111111111101111111111111111111110111111*
282L011708 11111110101011111010111111111110111110111011111111101110111111011101111111111111*
283L011788 11111111111111111110011111111111111111110111111111111110111111101101111111111111*
284L011868 11111111111111111110111111111111111111110111111111111110111111101101111111011111*
285L011948 11111111111111111110111111111111111111110111111111111110111111101101111111111110*
286L012028 11111111111111111110111111111111111111110111111111111110111111101101111011111111*
287L012108 11111111111111111110111111111111111111110111111111111110111111101101111110111111*
288L012188 11111111111111111111111111111111111111111101111111111111111111111111111111111111*
289L012268 11111110101010111010111111111110111110111111111111101111111111111110111111111111*
290L012348 11111110101010111010111111111110111110111111111111101101111111111111111111111111*
291L012428 11111111111111111111111111111111111011111011111111111111111111111111111111111111*
292
293Note Block 1 PLA OR array *
294L012508 1111111110111111*
295L012524 1111111100111111*
296L012540 1111111110111111*
297L012556 1111111100111111*
298L012572 1111111011111111*
299L012588 1111111111111111*
300L012604 1111111011111111*
301L012620 1111111011111111*
302L012636 1111111011111111*
303L012652 1111111011111111*
304L012668 1111110111111111*
305L012684 1111110111111111*
306L012700 1111110111111111*
307L012716 1111110111111111*
308L012732 1111110111111111*
309L012748 1111110111111111*
310L012764 1111110111111111*
311L012780 1111110111111111*
312L012796 1111110111111111*
313L012812 1111111111111111*
314L012828 1111110111111111*
315L012844 1111110111111111*
316L012860 1111111111111111*
317L012876 1111110111111111*
318L012892 1111110111111111*
319L012908 1111111111111111*
320L012924 1111110111111111*
321L012940 1111110111111111*
322L012956 1111110111111111*
323L012972 1111110111111111*
324L012988 1111110111111111*
325L013004 1111110111111111*
326L013020 0111110111111111*
327L013036 0111111111111111*
328L013052 0111111111111111*
329L013068 0111111111111111*
330L013084 0111111111111111*
331L013100 0111111111111111*
332L013116 0111111111111111*
333L013132 0111111111111111*
334L013148 0111111111111111*
335L013164 0111111111111111*
336L013180 0111111111111111*
337L013196 1111111111111111*
338L013212 0111111111111111*
339L013228 0111111111111111*
340L013244 0111111111111111*
341L013260 0111111111111111*
342L013276 0111111111111111*
343L013292 0111111111111111*
344L013308 0111111111111111*
345L013324 0111111111111111*
346L013340 1111111111111111*
347L013356 0111111111111111*
348L013372 0111111111111111*
349L013388 1111111111111111*
350
351Note Block 1 I/O Macrocell Configuration 29 bits (15 if buried) *
352N Aclk Clk:2 ClkFreq ClkOp DG FB:2 InMod:2 InReg INz:2 Oe:4 P:2 Pu RegCom RegMod:2 R:2 Slw Tm XorIn:2*
353N Aclk Clk:2 ClkFreq ClkOp FB:2 P:2 Pu RegMod:2 R:2 XorIn:2*
354L013404 00100010111111110111110100000*
355L013433 00000011111111110110100110000*
356L013462 00000011111111110110100110000*
357L013491 00000011001110000111100110010*
358L013520 00000011001110000111100110010*
359L013549 00000011001110000111100110001*
360L013578 0010010111101000*
361L013594 0010010111001011*
362L013610 0000000111001100*
363L013626 0010010111001000*
364L013642 00000011001001111110100110100*
365L013671 00100010111111110111100110010*
366L013700 00000011111111110110100110000*
367L013729 00000011111111110110100110000*
368L013758 00100010111111110111100110010*
369L013787 00000000001111111111100110110*
370
371Note Block 2 *
372Note Block 2 ZIA *
373L013816 1111001110111111111111111111*
374L013844 1111001110111111111111111111*
375L013872 1111011010111111111111111111*
376L013900 1111011010111111111111111111*
377L013928 1110011110111111111111111111*
378L013956 1111011010111111111111111111*
379L013984 1111011010111111111111111111*
380L014012 1111111110100111111111111111*
381L014040 1111011010111111111111111111*
382L014068 1111111110110011111111111111*
383L014096 1111111110111111010111111111*
384L014124 1111111110010111111111111111*
385L014152 1111111110010111111111111111*
386L014180 1111111110110110111111111111*
387L014208 1111010110111111111111111111*
388L014236 1111011010111111111111111111*
389L014264 1111111110110011111111111111*
390L014292 1111111110110011111111111111*
391L014320 1111111110110110111111111111*
392L014348 1111111110010111111111111111*
393L014376 1111111110110101111111111111*
394L014404 1111111110111111111111100111*
395L014432 1111111111111111111111111111*
396L014460 1111111110010111111111111111*
397L014488 1111111110010111111111111111*
398L014516 1111111110100111111111111111*
399L014544 1111111110110101111111111111*
400L014572 1111111110100111111111111111*
401L014600 1111111110110011111111111111*
402L014628 1111111110100111111111111111*
403L014656 1111111110110101111111111111*
404L014684 1111111110110101111111111111*
405L014712 1111111110111111111111010111*
406L014740 1111111110110110111111111111*
407L014768 1111111111111111111111111111*
408L014796 1111111110110101111111111111*
409L014824 1111111110100111111111111111*
410L014852 0111011110111111111111111111*
411L014880 1111111110110110111111111111*
412L014908 1111111110010111111111111111*
413
414Note Block 2 PLA AND array *
415L014936 11111111111111111111111111101111111110111111111111111111111111111011111011111101*
416L015016 11110111111111011111111111111111111111111101111111110111010101110111111111110111*
417L015096 11111111111111111111111111111111101111111111111111111111111111111111111111111110*
418L015176 11111111111111111111111111011111101111111111111111111111111111111111110111111111*
419L015256 11111111111111111111111111011111101101111111111111111111111111111111111111111111*
420L015336 11111111111111111111111111111111111111101111111111111111111111111111111111111111*
421L015416 11111111111111111111111111111111111111101111111111111111111111111111111111111111*
422L015496 11111111111111111111111101011111111101111111111111111111111111111111110111111110*
423L015576 11111111111110111111111110101101111101111111111111111111111111111111110111111101*
424L015656 11111111111111111111111111011111111101111111111111111111111111111111110111111110*
425L015736 11111111111111111111111111111111111111111111111111101111111111111111111111101111*
426L015816 10101111111111111110111011111011111011111011111111101111111111111111111111111111*
427L015896 10101111111111111111111011111011111011111011111111101101111111111111111111111111*
428L015976 11111111111111111111111011011111111111111111111111111111111111111111111111111111*
429L016056 11111111111111111111111011111111111111111111111111111111111111111111111111111110*
430L016136 11111111111111111111111011111111111111111111111111111111111111111111111011111111*
431L016216 11111111111111111111111011111111111110111111111111111111111111111111111111111111*
432L016296 11111111111111111111111010111111111111111111111111111111111111111111111111111111*
433L016376 10101110101011111001111111111011111011111011111010101101111111111111111111101111*
434L016456 10101110101011111011111111101011111001111011111010101101111111111111111111101101*
435L016536 11111111111111111101111111011111111101111111111111111110111111111111110111101110*
436L016616 11111111111111111101111111101111111110111111111111111110111111111111111111101111*
437L016696 10101110101011111010111011101011111001111011111010101111111111111111110111101101*
438L016776 11111111111111111101111111111111111111111111111111111110111111011110111111111111*
439L016856 11111110101011111011111111111111111111111111111010011111111111111110111111101111*
440L016936 11111111111111111111111111111111111111111111110111101111111111111110111111101111*
441L017016 11111111111111110111111111111111111111111111111111101111111111111110111111101111*
442L017096 11111111110111111111111111111111111111111111111111101111111111111110111111101111*
443L017176 11111111111111011111111111111111111111111111111111111111111111111111111111111111*
444L017256 11111101111111111111111111111111111111111111111111101111111111111110111111101111*
445L017336 11111111111111111111111111111111111111111111111101101111111111111110111111101111*
446L017416 11111111011111111111111111111111111111111111111111101111111111111110111111101111*
447L017496 10101111111111111111111111111011111011111011111111101101111111111110111111101111*
448L017576 11111111111111111101101111101111111101111111111111111110111111111110110111101101*
449L017656 10101111111111111110111011101011111001111011111111101111111111111110110111101101*
450L017736 11111111111111111101111111111111111111111111111111111110111111101110111111101111*
451L017816 11111111111111111111111111111111111111111111111110111111111111111111111111011111*
452L017896 11111110111011111011111111111111111111111111111001111111111111111110111111101111*
453L017976 11111111111111111111111111111111111111111111110110111111111111111110111111111111*
454L018056 11111111111111110111111111111111111111111111111110111111111111111110111111111111*
455L018136 11111111110111111111111111111111111111111111111110111111111111111110111111111111*
456L018216 11111101111111111111111111111111111111111111111110111111111111111110111111111111*
457L018296 10101111101111111111111111111011111111111111111110101101111111111110111101111111*
458L018376 10101111101111111110111011101011111101111111111110101111111111111110110101111101*
459L018456 10101110101011111011111111101011111001111011111010101101111111111111111011101101*
460L018536 10101110101011111010110111101011111001111011111010101110111111111111110111101101*
461L018616 10101110101011111010111111111011111011111011111010101101111111111111111111101111*
462L018696 10101110101011111010111111011011111011111011111010101111111111111111111111101111*
463L018776 10101110101011111010111111111011111011111011111010101111111111111111111111101110*
464L018856 10101110101011111010111111111011111011111011111010101111111111111111111011101111*
465L018936 10101110101011111010111111111011111010111011111010101111111111111111111111101111*
466L019016 10101110101011111010110111111011111011111011111010101111111111111111111111101111*
467L019096 11111111111111111111111111111111111111111111111111111111111111111111111111011111*
468L019176 11111111111111111101101111101111111101111111110111111110111111111111110111111101*
469L019256 11111111111111111101111111111111111111111111110111111110111111101111111111111111*
470L019336 11111111111111111111111111111111111111111111111110111111111111111111111111111111*
471
472Note Block 2 PLA OR array *
473L019416 1111111111011111*
474L019432 1111111111011111*
475L019448 1111111111101111*
476L019464 1111111111101111*
477L019480 1111111111101111*
478L019496 1111111111111111*
479L019512 1111111111111111*
480L019528 1111111110111111*
481L019544 1111111110111111*
482L019560 1111111101111111*
483L019576 1111111111111111*
484L019592 1111111101111111*
485L019608 1111111101111111*
486L019624 1111111101111111*
487L019640 1111111101111111*
488L019656 1111111101111111*
489L019672 1111111101111111*
490L019688 1111111101111111*
491L019704 1111111011111101*
492L019720 1111111011111111*
493L019736 1111111011111111*
494L019752 1111111011111111*
495L019768 1111111011111111*
496L019784 1111111011111111*
497L019800 0111111111111111*
498L019816 0111111111111111*
499L019832 0111111111111111*
500L019848 0111111111111111*
501L019864 1111111111111111*
502L019880 0111111111111111*
503L019896 0111111111111111*
504L019912 0111111111111111*
505L019928 0111111111111111*
506L019944 0111111111111110*
507L019960 0111111111111111*
508L019976 0111111111111110*
509L019992 1111111111111110*
510L020008 1111111111111110*
511L020024 1111111111111110*
512L020040 1111111111111110*
513L020056 1111111111111110*
514L020072 1111111111111110*
515L020088 1111111111111110*
516L020104 1111111111111110*
517L020120 1111111111111101*
518L020136 1111111111111101*
519L020152 1111111111111011*
520L020168 1111111111111011*
521L020184 1111111111111011*
522L020200 1111111111111011*
523L020216 1111111111111011*
524L020232 1111111111111011*
525L020248 1111111111110111*
526L020264 1111111111110111*
527L020280 1111111111110111*
528L020296 1111111111111111*
529
530Note Block 2 I/O Macrocell Configuration 29 bits (15 if buried) *
531N Aclk Clk:2 ClkFreq ClkOp DG FB:2 InMod:2 InReg INz:2 Oe:4 P:2 Pu RegCom RegMod:2 R:2 Slw Tm XorIn:2*
532N Aclk Clk:2 ClkFreq ClkOp FB:2 P:2 Pu RegMod:2 R:2 XorIn:2*
533L020312 00100010111111110111110100010*
534L020341 00000011111111110110100110000*
535L020370 00000011111111110110100110000*
536L020399 00000011001001111110100110100*
537L020428 00000011001001111110100110100*
538L020457 00000011001001111110100110100*
539L020486 00100010001001111111100110110*
540L020515 0010010111101000*
541L020531 0010010111001011*
542L020547 0010010111101000*
543L020563 00000011001110000111100110011*
544L020592 00100010111111110111100100011*
545L020621 00100010111111110101110110011*
546L020650 00000000111111110111100110000*
547L020679 00000000111111110111100110000*
548L020708 00100010111111110101110110010*
549
550Note Block 3 *
551Note Block 3 ZIA *
552L020737 1111011010111111111111111111*
553L020765 1111001110111111111111111111*
554L020793 1111111110010111111111111111*
555L020821 1111011010111111111111111111*
556L020849 1110011110111111111111111111*
557L020877 1111011010111111111111111111*
558L020905 1111011010111111111111111111*
559L020933 1111011010111111111111111111*
560L020961 1111010110111111111111111111*
561L020989 0111011110111111111111111111*
562L021017 1101011110111111111111111111*
563L021045 1111111111111111111111111111*
564L021073 1111111110111111010111111111*
565L021101 1111011010111111111111111111*
566L021129 1111010110111111111111111111*
567L021157 1111011010111111111111111111*
568L021185 1111111111111111111111111111*
569L021213 1111111110110101111111111111*
570L021241 1111111110110110111111111111*
571L021269 1111111110111111111111110011*
572L021297 1111011010111111111111111111*
573L021325 1111001110111111111111111111*
574L021353 1111111110010111111111111111*
575L021381 1111111110010111111111111111*
576L021409 1111011010111111111111111111*
577L021437 1111111110100111111111111111*
578L021465 1111111110010111111111111111*
579L021493 1111111110100111111111111111*
580L021521 1111111110110101111111111111*
581L021549 1111111110100111111111111111*
582L021577 1111111110010111111111111111*
583L021605 1111111110100111111111111111*
584L021633 1111111111111111111111111111*
585L021661 1111111110110011111111111111*
586L021689 1111111111111111111111111111*
587L021717 1111010110111111111111111111*
588L021745 1111111110010111111111111111*
589L021773 1111111110110110111111111111*
590L021801 0111011110111111111111111111*
591L021829 1111111111111111111111111111*
592
593Note Block 3 PLA AND array *
594L021857 11111111111110111111111111011101111111111111111111111111111111111111111111111111*
595L021937 11111111111111111111111111101111111111111111011111111111111111111111111111111111*
596L022017 11111111111111111111111111111111111111101111111111111111111111111111111111111111*
597L022097 11111111111101111111111111111110111111111111111111111111111111111111111111111111*
598L022177 11111111111111111111011111111111111111111111111111111111111111111111111111111111*
599L022257 11111111111101111111111111111111111111011111111111111111111111111111111111111111*
600L022337 11111111111111111111111111111111111111111111101111111111111111111111111111111111*
601L022417 11111111111111111111111111111101111111011111111111111111111111111111111111111111*
602L022497 11111111111111111101111111111111111111110111111111111111111111111111111111111111*
603L022577 11111111111111111111111111111111111111110111110111111111111111111111111111111111*
604L022657 10111011111111101011111111111111111111111111111110111111111110111111111011111011*
605L022737 11111111111111111110111111111111111111111011111011111111111111111111111111111111*
606L022817 11111111111111111110111110111111111101111111111111110110011111111101111111101111*
607L022897 11110111111111111111111111111111111111111111111111111111111111111111111111111111*
608L022977 11111111111111111110111111111111111011111111111111111110111111111101111111111111*
609L023057 11111111110111111101111111111111111111111111111111111111111111111111111111111111*
610L023137 01111111111111111111111111111111111111111111111111111111111111111111111111111111*
611L023217 11111111110111111111111111111111111111111111110111111111111111111111111111111111*
612L023297 11111111110111111111111111111111111111110111111111111111111111111111111111111111*
613L023377 11111111111011111110111111111111111111111011111011111111111111111111111111111111*
614L023457 11111110111111111101111111111111111111111111111111111111111111111111111111111111*
615L023537 11111101111011111110111111111111111111111011111011111111111111111110111111111111*
616L023617 11111111111111111110111111111111111111111111111111111111111111111101111111111111*
617L023697 11111110111111111111111111111111111111111111110111111111111111111110111111111111*
618L023777 11111110111111111111111111111111111111110111111111111111111111111110111111111111*
619L023857 11111110110111111111111111111111111111111111111111111111111111111110111111111111*
620L023937 11111101111111111110111111111111111111111111110111111101111111111101111111111111*
621L024017 11111101111111111110111111111111111111110111111111111101111111111101111111111111*
622L024097 11111101110111111110111111111111111111111111111111111101111111111101111111111111*
623L024177 11111110111011111111111111111111111111111011111011111101111111111101111111111111*
624L024257 11111101111111111110111111111111110111111111110111111111111111111101111111111111*
625L024337 11111111111111111111111111111111111111111111111101111111111111111111111111111111*
626L024417 11111101111111111110111111111111110111110111111111111111111111111101111111111111*
627L024497 11111101110111111110111111111111110111111111111111111111111111111101111111111111*
628L024577 11111111111111111111111111111111111111111111111111111111111111111111111111110111*
629L024657 11111110111011111111111111111111110111111011111011111111111111111101111111111111*
630L024737 11101110101111111111111111111011111111111110111111101101111111011110111110111111*
631L024817 11111111111111111111111111111111111111111111111111111111110111111111111111111111*
632L024897 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
633L024977 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
634L025057 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
635L025137 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
636L025217 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
637L025297 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
638L025377 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
639L025457 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
640L025537 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
641L025617 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
642L025697 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
643L025777 11111111111111111111111111111111111111111111111111111111111111111111110111111111*
644L025857 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
645L025937 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
646L026017 11111111111111110111111111111111111111111111111111111111111111111111111111111111*
647L026097 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
648L026177 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
649L026257 11111111111111111111111111111111111111111111111111111111111101111111111111111111*
650
651Note Block 3 PLA OR array *
652L026337 1111111111110111*
653L026353 1111111111110111*
654L026369 1111111111101111*
655L026385 1111111111101111*
656L026401 1111111111111111*
657L026417 1111111111011111*
658L026433 1111111111111111*
659L026449 1111111111011111*
660L026465 1111110111111111*
661L026481 1111110111111111*
662L026497 1111111111111111*
663L026513 1111110111111111*
664L026529 1111000111111111*
665L026545 1111111111111111*
666L026561 1111100111111111*
667L026577 1111101111111111*
668L026593 1111111111111111*
669L026609 1111101111111111*
670L026625 1111101111111111*
671L026641 1111101111111111*
672L026657 1111011111111111*
673L026673 1111011111111111*
674L026689 1111111111111111*
675L026705 1111011111111111*
676L026721 1111011111111111*
677L026737 1111011111111111*
678L026753 1111011111111111*
679L026769 1111011111111111*
680L026785 1111011111111111*
681L026801 1111011111111111*
682L026817 1111011111111111*
683L026833 1111111111111111*
684L026849 1111011111111111*
685L026865 1111011111111111*
686L026881 1111111111111111*
687L026897 1111011111111111*
688L026913 1111011111111111*
689L026929 1111111111111111*
690L026945 1111111111111111*
691L026961 1111111111111111*
692L026977 1111111111111111*
693L026993 1111111111111111*
694L027009 1111111111111111*
695L027025 1111111111111111*
696L027041 1111111111111111*
697L027057 1111111111111111*
698L027073 1111111111111111*
699L027089 1111111111111111*
700L027105 1111111111111111*
701L027121 1111111111111111*
702L027137 1111111111111111*
703L027153 1111111111111111*
704L027169 1111111111111111*
705L027185 1111111111111111*
706L027201 1111111111111111*
707L027217 1111111111111111*
708
709Note Block 3 I/O Macrocell Configuration 29 bits (15 if buried) *
710N Aclk Clk:2 ClkFreq ClkOp DG FB:2 InMod:2 InReg INz:2 Oe:4 P:2 Pu RegCom RegMod:2 R:2 Slw Tm XorIn:2*
711N Aclk Clk:2 ClkFreq ClkOp FB:2 P:2 Pu RegMod:2 R:2 XorIn:2*
712L027233 00100000000101111111100110110*
713L027262 00100010111111110110100110010*
714L027291 00100010111111110110100110010*
715L027320 00000011001001111110100110100*
716L027349 00100010001001111101100110101*
717L027378 00100010001001111101100110100*
718L027407 00100010001001111101100110100*
719L027436 0010010110001110*
720L027452 0010010110001110*
721L027468 0010010111001110*
722L027484 11100010111111110111100110000*
723L027513 11100010111111110111100110011*
724L027542 00100010111111110110100110011*
725L027571 00100010111111110110100110010*
726L027600 00100010111111110110100110010*
727L027629 00100010111111110110100110010*
728
729Note Block 4 *
730Note Block 4 ZIA *
731L027658 1111001110111111111111111111*
732L027686 0111011110111111111111111111*
733L027714 1111111111111111111111111111*
734L027742 1111011010111111111111111111*
735L027770 1110011110111111111111111111*
736L027798 1111011010111111111111111111*
737L027826 1111111110100111111111111111*
738L027854 1111111111111111111111111111*
739L027882 1111011010111111111111111111*
740L027910 0111011110111111111111111111*
741L027938 1111111110111111010111111111*
742L027966 1111111111111111111111111111*
743L027994 1111111110110011111111111111*
744L028022 1111111110110110111111111111*
745L028050 1111010110111111111111111111*
746L028078 1111111110010111111111111111*
747L028106 1111111110010111111111111111*
748L028134 1111111110110101111111111111*
749L028162 1111111110010111111111111111*
750L028190 1111001110111111111111111111*
751L028218 1111111111111111111111111111*
752L028246 1111111111111111111111111111*
753L028274 1111111110010111111111111111*
754L028302 1111111111111111111111111111*
755L028330 1111111111111111111111111111*
756L028358 1111111110100111111111111111*
757L028386 1111111110010111111111111111*
758L028414 1111111110100111111111111111*
759L028442 1111111110110101111111111111*
760L028470 1111111111111111111111111111*
761L028498 1111111111111111111111111111*
762L028526 1111111110110110111111111111*
763L028554 1111111110111111111111010111*
764L028582 1111111110111111111111110101*
765L028610 1111111111111111111111111111*
766L028638 1111111111111111111111111111*
767L028666 1111111111111111111111111111*
768L028694 1111111111111111111111111111*
769L028722 1111111111111111111111111111*
770L028750 1111111111111111111111111111*
771
772Note Block 4 PLA AND array *
773L028778 11111111111111111111111111111111111111111111111111111111111111110110111111111111*
774L028858 11101111111111111111111111111111111111111111111111111111111111111011111111111111*
775L028938 10111111111111111110101101101111111111111111111111110110011111011111111111111111*
776L029018 01111111111111111110011101111111111011111111111111111110111111111111111111111111*
777L029098 01111111111111111110111101011111111011111111111111111110111111111111111111111111*
778L029178 11111111111111111111111111111111111111111111101111111111111111111111111111111111*
779L029258 01111111111111111110111101111111111011111111111111111010111111111111111111111111*
780L029338 01111111111111111110111101111111111011111111111111111110101111111111111111111111*
781L029418 01111111111111111110111101111111111011111111111111111110111111101111111111111111*
782L029498 11111111111111111111111111111111011111111111111111111110111111111111111111111111*
783L029578 01111110101001111010011111111010111110101111111111101111111111111111111111111111*
784L029658 01111110101001111010111110111010111110101111111111101111111111111111111111111111*
785L029738 01111110101001111010111111111010111110101111111111101101111111111111111111111111*
786L029818 01111110101001111010111111011010111110101111111111101111111111111111111111111111*
787L029898 01111110101001111010111111111010111110101111111111101011111111111111111111111111*
788L029978 01111110101001111010111111111010111110101111111111101111101111111111111111111111*
789L030058 01111110101001111010111111111010111110101111111111101111111111101111111111111111*
790L030138 10111110101001111010111101111010110110101111111111101110111111111111111111111111*
791L030218 11111111111111111110101101101111111111101111111111110110011111011111111111111111*
792L030298 11111111111111111110011101111111111011011111111111111110111111111111111111111111*
793L030378 11111111111111111110111101011111111011011111111111111110111111111111111111111111*
794L030458 11111111111111111110111101111111111011011111111111111010111111111111111111111111*
795L030538 11111111111111111110111101111111111011011111111111111110101111111111111111111111*
796L030618 11111111111111111110111101111111111011011111111111111110111111101111111111111111*
797L030698 11111110101001111010011111111010111110011111111111101111111111111111111111111111*
798L030778 11111110101001111010111110111010111110011111111111101111111111111111111111111111*
799L030858 01111110101001111010111110111010111110111111111111101111111111111111111111111111*
800L030938 11111110101001111010111111111010111110011111111111101101111111111111111111111111*
801L031018 01111110101001111010111111111010111110111111111111101101111111111111111111111111*
802L031098 11111110101001111010111111011010111110011111111111101111111111111111111111111111*
803L031178 11111110101001111010111111111010111110011111111111101011111111111111111111111111*
804L031258 11111110101001111010111111111010111110011111111111101111101111111111111111111111*
805L031338 11111110101001111010111111111010111110011111111111101111111111101111111111111111*
806L031418 11111110101001111010111101111010110110101111111111101110111111111111111111111111*
807L031498 11111111111111111110101101101011111111111111111111110110011111011111111111111111*
808L031578 11111111111111111110011101110111111011111111111111111110111111111111111111111111*
809L031658 11111111111111111110111101010111111011111111111111111110111111111111111111111111*
810L031738 11111111111111111110111101110111111011111111111111111010111111111111111111111111*
811L031818 11111111111111111110111101110111111011111111111111111110101111111111111111111111*
812L031898 11111111111111111110111101110111111011111111111111111110111111101111111111111111*
813L031978 11111110101001111010011111110110111110111111111111101111111111111111111111111111*
814L032058 11111110101001111010111110111110111110011111111111101111111111111111111111111111*
815L032138 11111110101001111010111110110110111110111111111111101111111111111111111111111111*
816L032218 01111110101001111010111110111110111110111111111111101111111111111111111111111111*
817L032298 11111110101001111010111111111110111110011111111111101101111111111111111111111111*
818L032378 11111110101001111010111111110110111110111111111111101101111111111111111111111111*
819L032458 01111110101001111010111111111110111110111111111111101101111111111111111111111111*
820L032538 11111110101001111010111111010110111110111111111111101111111111111111111111111111*
821L032618 11111110101001111010111111110110111110111111111111101011111111111111111111111111*
822L032698 11111110101001111010111111110110111110111111111111101111101111111111111111111111*
823L032778 11111110101001111010111111110110111110111111111111101111111111101111111111111111*
824L032858 11111110101001111010111101111010110110111111111111101110111111111111111111111111*
825L032938 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
826L033018 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
827L033098 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
828L033178 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
829
830Note Block 4 PLA OR array *
831L033258 1111111111111101*
832L033274 1111111111111101*
833L033290 1111111111111110*
834L033306 1111111111111110*
835L033322 1111111111111110*
836L033338 1111111111111111*
837L033354 1111111111111110*
838L033370 1111111111111110*
839L033386 1111111111111110*
840L033402 1111111100111110*
841L033418 1111111111111110*
842L033434 1111111111111110*
843L033450 1111111111111110*
844L033466 1111111111111110*
845L033482 1111111111111110*
846L033498 1111111111111110*
847L033514 1111111111111110*
848L033530 1111111111111110*
849L033546 1111111110111111*
850L033562 1111111110111111*
851L033578 1111111110111111*
852L033594 1111111110111111*
853L033610 1111111110111111*
854L033626 1111111110111111*
855L033642 1111111110111111*
856L033658 1111111110111111*
857L033674 1111111110111111*
858L033690 1111111110111111*
859L033706 1111111110111111*
860L033722 1111111110111111*
861L033738 1111111110111111*
862L033754 1111111110111111*
863L033770 1111111110111111*
864L033786 1111111110111111*
865L033802 1111111101111111*
866L033818 1111111101111111*
867L033834 1111111101111111*
868L033850 1111111101111111*
869L033866 1111111101111111*
870L033882 1111111101111111*
871L033898 1111111101111111*
872L033914 1111111101111111*
873L033930 1111111101111111*
874L033946 1111111101111111*
875L033962 1111111101111111*
876L033978 1111111101111111*
877L033994 1111111101111111*
878L034010 1111111101111111*
879L034026 1111111101111111*
880L034042 1111111101111111*
881L034058 1111111101111111*
882L034074 1111111101111111*
883L034090 1111111111111111*
884L034106 1111111111111111*
885L034122 1111111111111111*
886L034138 1111111111111111*
887
888Note Block 4 I/O Macrocell Configuration 29 bits (15 if buried) *
889N Aclk Clk:2 ClkFreq ClkOp DG FB:2 InMod:2 InReg INz:2 Oe:4 P:2 Pu RegCom RegMod:2 R:2 Slw Tm XorIn:2*
890N Aclk Clk:2 ClkFreq ClkOp FB:2 P:2 Pu RegMod:2 R:2 XorIn:2*
891L034154 00000011111111110110100110000*
892L034183 00000011111111110110100110000*
893L034212 00000011111111110110100110000*
894L034241 00000011111111110110100110000*
895L034270 00000011111111110110100110000*
896L034299 00000011111111110110100110000*
897L034328 00000011111111110110100110000*
898L034357 0000011110001100*
899L034373 0010010111101000*
900L034389 0010010111101000*
901L034405 00000011111111110110100110000*
902L034434 00000011111111110110100110000*
903L034463 00000011111111110110100110000*
904L034492 00000011111111110110100110000*
905L034521 00000011001110000111100110011*
906L034550 00100010111111110111110100000*
907
908Note Block 5 *
909Note Block 5 ZIA *
910L034579 1111001110111111111111111111*
911L034607 1111001110111111111111111111*
912L034635 1111111111111111111111111111*
913L034663 1111011010111111111111111111*
914L034691 1110011110111111111111111111*
915L034719 1111011010111111111111111111*
916L034747 1111111110100111111111111111*
917L034775 1111111111111111111111111111*
918L034803 1111011010111111111111111111*
919L034831 0111011110111111111111111111*
920L034859 1111111110111111010111111111*
921L034887 1111111111111111111111111111*
922L034915 1111111110110011111111111111*
923L034943 1111111110110110111111111111*
924L034971 1111010110111111111111111111*
925L034999 1111111110010111111111111111*
926L035027 1111111110010111111111111111*
927L035055 1111111110110101111111111111*
928L035083 1111111110010111111111111111*
929L035111 1111111110010111111111111111*
930L035139 1111111111111111111111111111*
931L035167 1111111111111111111111111111*
932L035195 1111111111111111111111111111*
933L035223 1111111111111111111111111111*
934L035251 1111111111111111111111111111*
935L035279 1111111110100111111111111111*
936L035307 1111111110010111111111111111*
937L035335 1111111110100111111111111111*
938L035363 1111111110110101111111111111*
939L035391 1111111111111111111111111111*
940L035419 1111111111111111111111111111*
941L035447 1111111110110110111111111111*
942L035475 1111111111111111111111111111*
943L035503 1111111111111111111111111111*
944L035531 1111111111111111111111111111*
945L035559 1111111111111111111111111111*
946L035587 1111111111111111111111111111*
947L035615 1111111111111111111111111111*
948L035643 1111111111111111111111111111*
949L035671 1111111111111111111111111111*
950
951Note Block 5 PLA AND array *
952L035699 11111110011011111010011111111110111110111111111111111111111111111111111111111111*
953L035779 11111110011011111010111110111110111110111111111111111111111111111111111111111111*
954L035859 11011110111011111010111110111110111110111111111111111111111111111111111111111111*
955L035939 11111110111011111010111110111110111110111111111111011111111111111111111111111111*
956L036019 11111110111011111010111110110110111110111111111111111111111111111111111111111111*
957L036099 01111110111011111010111110111110111110111111111111111111111111111111111111111111*
958L036179 11111111111111111111111111111111111111101111111111111111111111111111111111111111*
959L036259 11111110111011111010111101111110111110111111111111111101111111111111111111111111*
960L036339 11111110011011111010111111011110111110111111111111111111111111111111111111111111*
961L036419 11111110011011111010111111111110111110111111111111111011111111111111111111111111*
962L036499 11111110011011111010111111111110111110111111111111111111101111111111111111111111*
963L036579 11111111101111111110101101101111111111111111111111110110011111011111111111111111*
964L036659 11111110011011111010111111111110111110111111111111111111111111101111111111111111*
965L036739 11111110101011111010111101111110110110111111111111111111111111111111111111111111*
966L036819 11111111011111111110011101111111111011111111111111111110111111111111111111111111*
967L036899 11111111011111111110111101011111111011111111111111111110111111111111111111111111*
968L036979 11111111011111111110111101111111111011111111111111111010111111111111111111111111*
969L037059 11111111011111111110111101111111111011111111111111111110101111111111111111111111*
970L037139 11111111011111111110111101111111111011111111111111111110111111101111111111111111*
971L037219 11111111111111111111111111111111011111111111111111111110111111111111111111111111*
972L037299 11111110111010111010111110111110111110111111111111111111111111111111111111111111*
973L037379 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
974L037459 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
975L037539 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
976L037619 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
977L037699 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
978L037779 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
979L037859 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
980L037939 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
981L038019 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
982L038099 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
983L038179 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
984L038259 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
985L038339 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
986L038419 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
987L038499 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
988L038579 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
989L038659 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
990L038739 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
991L038819 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
992L038899 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
993L038979 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
994L039059 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
995L039139 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
996L039219 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
997L039299 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
998L039379 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
999L039459 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
1000L039539 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
1001L039619 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
1002L039699 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
1003L039779 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
1004L039859 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
1005L039939 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
1006L040019 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
1007L040099 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
1008
1009Note Block 5 PLA OR array *
1010L040179 1111111111111101*
1011L040195 1111111111111101*
1012L040211 1111111111111101*
1013L040227 1111111111111101*
1014L040243 1111111111111101*
1015L040259 1111111111111101*
1016L040275 1111111111111111*
1017L040291 1111111111111101*
1018L040307 1111111111111101*
1019L040323 1111111111111101*
1020L040339 1111111111111101*
1021L040355 1111111111111101*
1022L040371 1111111111111101*
1023L040387 1111111111111101*
1024L040403 1111111111111101*
1025L040419 1111111111111101*
1026L040435 1111111111111101*
1027L040451 1111111111111101*
1028L040467 1111111111111101*
1029L040483 1111111111111101*
1030L040499 1111111111111101*
1031L040515 1111111111111111*
1032L040531 1111111111111111*
1033L040547 1111111111111111*
1034L040563 1111111111111111*
1035L040579 1111111111111111*
1036L040595 1111111111111111*
1037L040611 1111111111111111*
1038L040627 1111111111111111*
1039L040643 1111111111111111*
1040L040659 1111111111111111*
1041L040675 1111111111111111*
1042L040691 1111111111111111*
1043L040707 1111111111111111*
1044L040723 1111111111111111*
1045L040739 1111111111111111*
1046L040755 1111111111111111*
1047L040771 1111111111111111*
1048L040787 1111111111111111*
1049L040803 1111111111111111*
1050L040819 1111111111111111*
1051L040835 1111111111111111*
1052L040851 1111111111111111*
1053L040867 1111111111111111*
1054L040883 1111111111111111*
1055L040899 1111111111111111*
1056L040915 1111111111111111*
1057L040931 1111111111111111*
1058L040947 1111111111111111*
1059L040963 1111111111111111*
1060L040979 1111111111111111*
1061L040995 1111111111111111*
1062L041011 1111111111111111*
1063L041027 1111111111111111*
1064L041043 1111111111111111*
1065L041059 1111111111111111*
1066
1067Note Block 5 I/O Macrocell Configuration 29 bits (15 if buried) *
1068N Aclk Clk:2 ClkFreq ClkOp DG FB:2 InMod:2 InReg INz:2 Oe:4 P:2 Pu RegCom RegMod:2 R:2 Slw Tm XorIn:2*
1069N Aclk Clk:2 ClkFreq ClkOp FB:2 P:2 Pu RegMod:2 R:2 XorIn:2*
1070L041075 00000011111111110110100110000*
1071L041104 00000011111111110110100110000*
1072L041133 00000011111111110110100110000*
1073L041162 00000011111111110110100110000*
1074L041191 00000011111111110110100110000*
1075L041220 00000011111111110110100110000*
1076L041249 0000011110001100*
1077L041265 0000011110001100*
1078L041281 0000011110001100*
1079L041297 0000011110001100*
1080L041313 00000011111111110110100110000*
1081L041342 00000011111111110110100110000*
1082L041371 00000011111111110110100110000*
1083L041400 00000011111111110110100110000*
1084L041429 00100010111111110101110110000*
1085L041458 00000011111111110110100110000*
1086
1087Note Block 6 *
1088Note Block 6 ZIA *
1089L041487 1111111110010111111111111111*
1090L041515 1111111110100111111111111111*
1091L041543 1111111111111111111111111111*
1092L041571 1111111110110101111111111111*
1093L041599 1111111110010111111111111111*
1094L041627 1111111111111111111111111111*
1095L041655 1111111111111111111111111111*
1096L041683 1111111111111111111111111111*
1097L041711 1111111111111111111111111111*
1098L041739 0111011110111111111111111111*
1099L041767 1111111111111111111111111111*
1100L041795 1111111111111111111111111111*
1101L041823 1111111110110011111111111111*
1102L041851 1111111110110110111111111111*
1103L041879 1111111111111111111111111111*
1104L041907 1111111111111111111111111111*
1105L041935 1111111110111111111111010111*
1106L041963 1111111111111111111111111111*
1107L041991 1111111110110110111111111111*
1108L042019 1111111110010111111111111111*
1109L042047 1111111111111111111111111111*
1110L042075 1111111111111111111111111111*
1111L042103 1111111111111111111111111111*
1112L042131 1111111111111111111111111111*
1113L042159 1111111111111111111111111111*
1114L042187 1111111111111111111111111111*
1115L042215 1111111111111111111111111111*
1116L042243 1111111111111111111111111111*
1117L042271 1111111111111111111111111111*
1118L042299 1111111111111111111111111111*
1119L042327 1111111111111111111111111111*
1120L042355 1111111111111111111111111111*
1121L042383 1111111111111111111111111111*
1122L042411 1111111111111111111111111111*
1123L042439 1111111111111111111111111111*
1124L042467 1111111111111111111111111111*
1125L042495 1111111111111111111111111111*
1126L042523 1111111111111111111111111111*
1127L042551 1111111111111111111111111111*
1128L042579 1111111111111111111111111111*
1129
1130Note Block 6 PLA AND array *
1131L042607 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
1132L042687 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
1133L042767 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
1134L042847 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
1135L042927 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
1136L043007 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
1137L043087 11111111111111111111111111111111111111101111111111111111111111111111111111111111*
1138L043167 11111111111111111111111111111111101111111111111111111111111111111111111111111111*
1139L043247 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
1140L043327 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
1141L043407 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
1142L043487 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
1143L043567 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
1144L043647 01101101101111111110111110101111111101111111111111111111111111111111111111111111*
1145L043727 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
1146L043807 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
1147L043887 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
1148L043967 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
1149L044047 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
1150L044127 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
1151L044207 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
1152L044287 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
1153L044367 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
1154L044447 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
1155L044527 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
1156L044607 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
1157L044687 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
1158L044767 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
1159L044847 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
1160L044927 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
1161L045007 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
1162L045087 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
1163L045167 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
1164L045247 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
1165L045327 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
1166L045407 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
1167L045487 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
1168L045567 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
1169L045647 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
1170L045727 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
1171L045807 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
1172L045887 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
1173L045967 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
1174L046047 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
1175L046127 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
1176L046207 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
1177L046287 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
1178L046367 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
1179L046447 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
1180L046527 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
1181L046607 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
1182L046687 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
1183L046767 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
1184L046847 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
1185L046927 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
1186L047007 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
1187
1188Note Block 6 PLA OR array *
1189L047087 1111111111111111*
1190L047103 1111111111111111*
1191L047119 1111111111111111*
1192L047135 1111111111111111*
1193L047151 1111111111111111*
1194L047167 1111111111111111*
1195L047183 1111111111111111*
1196L047199 1111111111111111*
1197L047215 1111111111111111*
1198L047231 1111111111111111*
1199L047247 1111111111111111*
1200L047263 1111111111111111*
1201L047279 1111111111111111*
1202L047295 1111111111111111*
1203L047311 1111111111111111*
1204L047327 1111111111111111*
1205L047343 1111111111111111*
1206L047359 1111111111111111*
1207L047375 1111111111111111*
1208L047391 1111111111111111*
1209L047407 1111111111111111*
1210L047423 1111111111111111*
1211L047439 1111111111111111*
1212L047455 1111111111111111*
1213L047471 1111111111111111*
1214L047487 1111111111111111*
1215L047503 1111111111111111*
1216L047519 1111111111111111*
1217L047535 1111111111111111*
1218L047551 1111111111111111*
1219L047567 1111111111111111*
1220L047583 1111111111111111*
1221L047599 1111111111111111*
1222L047615 1111111111111111*
1223L047631 1111111111111111*
1224L047647 1111111111111111*
1225L047663 1111111111111111*
1226L047679 1111111111111111*
1227L047695 1111111111111111*
1228L047711 1111111111111111*
1229L047727 1111111111111111*
1230L047743 1111111111111111*
1231L047759 1111111111111111*
1232L047775 1111111111111111*
1233L047791 1111111111111111*
1234L047807 1111111111111111*
1235L047823 1111111111111111*
1236L047839 1111111111111111*
1237L047855 1111111111111111*
1238L047871 1111111111111111*
1239L047887 1111111111111111*
1240L047903 1111111111111111*
1241L047919 1111111111111111*
1242L047935 1111111111111111*
1243L047951 1111111111111111*
1244L047967 1111111111111111*
1245
1246Note Block 6 I/O Macrocell Configuration 29 bits (15 if buried) *
1247N Aclk Clk:2 ClkFreq ClkOp DG FB:2 InMod:2 InReg INz:2 Oe:4 P:2 Pu RegCom RegMod:2 R:2 Slw Tm XorIn:2*
1248N Aclk Clk:2 ClkFreq ClkOp FB:2 P:2 Pu RegMod:2 R:2 XorIn:2*
1249L047983 00000011111111110110100110000*
1250L048012 00100010001001000101000110101*
1251L048041 00000011111111110110100110000*
1252L048070 00000011111111110110100110000*
1253L048099 00000011111111110110100110000*
1254L048128 00000011111111110110100110000*
1255L048157 00000011111111110110100110000*
1256L048186 0000011110001100*
1257L048202 0000011110001100*
1258L048218 0000011110001100*
1259L048234 00000011111111110110100110000*
1260L048263 00000011111111110110100110000*
1261L048292 00000011111111110110100110000*
1262L048321 00000011111111110110100110000*
1263L048350 00000011111111110110100110000*
1264L048379 00000011111111110110100110000*
1265
1266Note Block 7 *
1267Note Block 7 ZIA *
1268L048408 1111001110111111111111111111*
1269L048436 1111001110111111111111111111*
1270L048464 1111111111111111111111111111*
1271L048492 1111011010111111111111111111*
1272L048520 1110011110111111111111111111*
1273L048548 0111011110111111111111111111*
1274L048576 1111111110100111111111111111*
1275L048604 1111111111111111111111111111*
1276L048632 1111011010111111111111111111*
1277L048660 0111011110111111111111111111*
1278L048688 1101011110111111111111111111*
1279L048716 1111111110010111111111111111*
1280L048744 1111011010111111111111111111*
1281L048772 1111111110110110111111111111*
1282L048800 1111010110111111111111111111*
1283L048828 1111111110010111111111111111*
1284L048856 1111111111111111111111111111*
1285L048884 1111111110010111111111111111*
1286L048912 1111111110010111111111111111*
1287L048940 1111111110010111111111111111*
1288L048968 1111111111111111111111111111*
1289L048996 1111111110111111111111010111*
1290L049024 1111111111111111111111111111*
1291L049052 1111111111111111111111111111*
1292L049080 1111111111111111111111111111*
1293L049108 1111111110100111111111111111*
1294L049136 1111111110010111111111111111*
1295L049164 1111111110100111111111111111*
1296L049192 1111111110110101111111111111*
1297L049220 1111111110110110111111111111*
1298L049248 1111111111111111111111111111*
1299L049276 1111111110110110111111111111*
1300L049304 1111111111111111111111111111*
1301L049332 1111111110110011111111111111*
1302L049360 1111111111111111111111111111*
1303L049388 1111111110111111111111010111*
1304L049416 1111111110111111111111010111*
1305L049444 1111111111111111111111111111*
1306L049472 1111111111111111111111111111*
1307L049500 1111111111111111111111111111*
1308
1309Note Block 7 PLA AND array *
1310L049528 11111101011111110111111101111110111111111111111111111111111111111111111111111111*
1311L049608 11111111011111111111111111111111111101111111111111111111111111111111111111111111*
1312L049688 11111111111111111111111111111111111111111111111111111111111111111101111111111111*
1313L049768 11111111111111111111111111111111111111111111111111111101111111111111111111111111*
1314L049848 11111111111111111111111111011111111111111111111111110111111111111111111111111111*
1315L049928 11111111111111111111111111111111111111101111111111111111111111111111111111111111*
1316L050008 11111111111111111111111111111111111111101111111111111111111111111111111111111111*
1317L050088 11111101011111110111111110111111111111111111111111110111011111111111111111111111*
1318L050168 11011111111111111111111111111111111111111111111111110111011111111111111111111111*
1319L050248 11111111111111111111111111111111111111111111111111010111011111111111111111111111*
1320L050328 11111111111111111111111111110111111111111111111111110111011111111111111111111111*
1321L050408 01111111111111111111111111111111111111111111111111110111011111111111111111111111*
1322L050488 11111101011111111011111110111110111111111111111111111011011111111111111111111111*
1323L050568 11111111011111111011111110111101111111111111111111110111101111111111110111111111*
1324L050648 11111101011111111111111101111110111111111111111111110111101111111111111111111111*
1325L050728 11111101011111111011111110111101111111111111111111110111101111111111111111111111*
1326L050808 11111110011111110111111110111101111111111101111111110111101111111111111111111111*
1327L050888 11111110011111110111111110111110111111111110111111110111101111111111111111111111*
1328L050968 11111110011111111011111110111110111111111111111111110111101111111111111101111111*
1329L051048 11111101011111111111111101011110111111111111111111111111111111011111111111111111*
1330L051128 11111111111111111111111111011111111111111111111111111111011111011111111111111111*
1331L051208 11111101011111111011111101111101111111111111111111111111111111101111111111111111*
1332L051288 11111111111111111111111111101111111111111111111111111111111111101111111111111111*
1333L051368 11111101011111110111111110111101111111111111111111111111011111101111111111111111*
1334L051448 11111101011111111111111111111110111111111111111111111111101111101111111111111111*
1335L051528 11111101011111111011111111111111111111111111111111111111101111101111111111111111*
1336L051608 11111111111111111111111011111111111111111111111111110111011111111111111111111111*
1337L051688 11111111111111111111111111111111111111111111111111111111110111111111111111111111*
1338L051768 11111111111110111111111111111111111111111111111111110111011111111111111111111111*
1339L051848 11111111111111111101111111011111111111111111111111111011011111011111111111111111*
1340L051928 11111111111111111101111111111111111111111111111111110111011111101111111111111111*
1341L052008 11111111111111111101111111101111111111111111111111111111101111101111111111111111*
1342L052088 11111111110111111110111111111111111111111111111111111111111111111111111111111111*
1343L052168 11111111111111111101111111101111111011111111111111111111111111101111111111111111*
1344L052248 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
1345L052328 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
1346L052408 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
1347L052488 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
1348L052568 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
1349L052648 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
1350L052728 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
1351L052808 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
1352L052888 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
1353L052968 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
1354L053048 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
1355L053128 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
1356L053208 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
1357L053288 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
1358L053368 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
1359L053448 11111111111111111110011111111111111111111111111111111111111111111111111111111111*
1360L053528 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
1361L053608 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
1362L053688 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
1363L053768 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
1364L053848 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
1365L053928 11111111111111111111111111111111111111111111111111111111111111111111111111111111*
1366
1367Note Block 7 PLA OR array *
1368L054008 1111111111101111*
1369L054024 1111111111101111*
1370L054040 1111111111101111*
1371L054056 1111111111101111*
1372L054072 1111111111101111*
1373L054088 1111111111111111*
1374L054104 1111111111111111*
1375L054120 1111111111101111*
1376L054136 1111111111101111*
1377L054152 1111111111101111*
1378L054168 1111111111101111*
1379L054184 1111111111101111*
1380L054200 1111111111101111*
1381L054216 1111111111101111*
1382L054232 1111111111101111*
1383L054248 1111111111101111*
1384L054264 1111111111101111*
1385L054280 1111111111101111*
1386L054296 1111111111101111*
1387L054312 1111111111101111*
1388L054328 1111111111101111*
1389L054344 1111111111101111*
1390L054360 1111111111101111*
1391L054376 1111111111101111*
1392L054392 1111111111101111*
1393L054408 1111111111101111*
1394L054424 1111111111101111*
1395L054440 1111111111101111*
1396L054456 1111111111101111*
1397L054472 1111101111111111*
1398L054488 1111101111111111*
1399L054504 1111101111111111*
1400L054520 1111101111111111*
1401L054536 1111101111111111*
1402L054552 1111111111111111*
1403L054568 1111111111111111*
1404L054584 1111111111111111*
1405L054600 1111111111111111*
1406L054616 1111111111111111*
1407L054632 1111111111111111*
1408L054648 1111111111111111*
1409L054664 1111111111111111*
1410L054680 1111111111111111*
1411L054696 1111111111111111*
1412L054712 1111111111111111*
1413L054728 1111111111111111*
1414L054744 1111111111111111*
1415L054760 1111111111111111*
1416L054776 1111111111111111*
1417L054792 1111111111111111*
1418L054808 1111111111111111*
1419L054824 1111111111111111*
1420L054840 1111111111111111*
1421L054856 1111111111111111*
1422L054872 1111111111111111*
1423L054888 1111111111111111*
1424
1425Note Block 7 I/O Macrocell Configuration 29 bits (15 if buried) *
1426N Aclk Clk:2 ClkFreq ClkOp DG FB:2 InMod:2 InReg INz:2 Oe:4 P:2 Pu RegCom RegMod:2 R:2 Slw Tm XorIn:2*
1427N Aclk Clk:2 ClkFreq ClkOp FB:2 P:2 Pu RegMod:2 R:2 XorIn:2*
1428L054904 00000011111111110110100110000*
1429L054933 00000011111111110110100110000*
1430L054962 00000011111111110110100110000*
1431L054991 00000011111111110110100110000*
1432L055020 00000011111111110110100110000*
1433L055049 00100010001110000101000110000*
1434L055078 0000011110001100*
1435L055094 0000011110001100*
1436L055110 0000011110001100*
1437L055126 0000011110001100*
1438L055142 00000011111111110110100110000*
1439L055171 00100011001110000101000110000*
1440L055200 00100010001110000111010100011*
1441L055229 00100010000001111101111110100*
1442L055258 00000011111111110110100110000*
1443L055287 00100010000111111110100110100*
1444
1445Note Globals *
1446Note Global Clock Mux *
1447L055316 001*
1448
1449Note Programmable Clock Divider *
1450L055319 1111*
1451
1452Note Programmable Clock Delay *
1453L055323 1*
1454
1455Note Global Set/Reset Mux *
1456L055324 00*
1457
1458Note Global OE Mux *
1459L055326 11111111*
1460
1461Note Global Termination *
1462L055334 1*
1463
1464Note Data Gate Enable *
1465L055335 1*
1466
1467Note Input Voltage Standard for IOB *
1468L055336 00*
1469
1470Note Output Voltage Standard for IOB *
1471L055338 00*
1472
1473Note VREF enable *
1474L055340 1*
1475
1476C7F97*
1477B5EC
Note: See TracBrowser for help on using the repository browser.