source: PlatformSupport/CustomPeripherals/pcores/uart_mux_v1_00_a/hdl/verilog/uart_mux.v

Last change on this file was 2076, checked in by murphpo, 11 years ago
File size: 445 bytes
Line 
1module uart_mux
2(
3    input uart_sel,
4   
5    input uart_0_tx,
6    input uart_1_tx,
7   
8    output uart_0_rx,
9    output uart_1_rx,
10   
11    output uart_tx,
12    input uart_rx
13);
14
15parameter MIRROR_UART_RX = 0;
16
17parameter C_FAMILY = "virtex6";
18
19assign uart_0_rx = ((MIRROR_UART_RX == 1) || (uart_sel == 0)) ? uart_rx : 1'b0;
20assign uart_1_rx = ((MIRROR_UART_RX == 1) || (uart_sel == 1)) ? uart_rx : 1'b0;
21
22assign uart_tx = (uart_sel == 0) ? uart_0_tx : uart_1_tx;
23
24endmodule
Note: See TracBrowser for help on using the repository browser.