################################################################### ## ## Name : warp_v4_userio ## Desc : Microprocessor Peripheral Description ## : Automatically generated by PsfUtility ## ################################################################### BEGIN warp_v4_userio ## Peripheral Options OPTION IPTYPE = PERIPHERAL OPTION RUN_NGCBUILD = TRUE OPTION IMP_NETLIST = TRUE OPTION STYLE = MIX OPTION HDL = MIXED OPTION IP_GROUP = MICROBLAZE:PPC:USER OPTION USAGE_LEVEL = BASE_USER OPTION ARCH_SUPPORT_MAP = (virtex4=PREFERRED, others=AVAILABLE) IO_INTERFACE IO_IF = FPGAv2_UserIO, IO_TYPE = WARP_V4_USERIO_V1 ## Bus Interfaces BUS_INTERFACE BUS = SPLB, BUS_STD = PLBV46, BUS_TYPE = SLAVE ## Generics for VHDL or Parameters for Verilog PARAMETER C_ADDRESS_0 = 0x40, DT = std_logic_vector, DESC = Address of IO Expander 0, IO_IS = address_0 PARAMETER C_ADDRESS_1 = 0x50, DT = std_logic_vector, DESC = Address of IO Expander 1, IO_IS = address_1 PARAMETER C_I2C_DIVIDER = 0x40, DT = std_logic_vector, DESC = Clock divider ratio x 4 for example 0x01 is a divider ratio of 4, IO_IS = i2c_divider PARAMETER C_BASEADDR = 0xffffffff, DT = std_logic_vector, MIN_SIZE = 0x200, PAIR = C_HIGHADDR, ADDRESS = BASE, BUS = SPLB PARAMETER C_HIGHADDR = 0x00000000, DT = std_logic_vector, PAIR = C_BASEADDR, ADDRESS = HIGH, BUS = SPLB PARAMETER C_SPLB_AWIDTH = 32, DT = INTEGER, BUS = SPLB, ASSIGNMENT = CONSTANT PARAMETER C_SPLB_DWIDTH = 128, DT = INTEGER, BUS = SPLB, RANGE = (32, 64, 128) PARAMETER C_SPLB_NUM_MASTERS = 8, DT = INTEGER, BUS = SPLB, RANGE = (1:16) PARAMETER C_SPLB_MID_WIDTH = 3, DT = INTEGER, BUS = SPLB, RANGE = (1:4) PARAMETER C_SPLB_NATIVE_DWIDTH = 32, DT = INTEGER, BUS = SPLB, RANGE = (32, 64, 128), ASSIGNMENT = CONSTANT PARAMETER C_SPLB_P2P = 0, DT = INTEGER, BUS = SPLB, RANGE = (0, 1) PARAMETER C_SPLB_SUPPORT_BURSTS = 0, DT = INTEGER, BUS = SPLB, RANGE = (0, 1), ASSIGNMENT = CONSTANT PARAMETER C_SPLB_SMALLEST_MASTER = 32, DT = INTEGER, BUS = SPLB, RANGE = (32, 64, 128) PARAMETER C_SPLB_CLK_PERIOD_PS = 10000, DT = INTEGER, BUS = SPLB PARAMETER C_INCLUDE_DPHASE_TIMER = 0, DT = INTEGER, RANGE = (0, 1) PARAMETER C_FAMILY = virtex4, DT = STRING ## Ports PORT LEDs_out = "", DIR = O, VEC = [0:7], IO_IF = FPGAv2_UserIO, IO_IS = leds_out PORT IOEx_SDA = "", DIR = O, IO_IF = FPGAv2_UserIO, IO_IS = sda PORT IOEx_SCL = "", DIR = O, IO_IF = FPGAv2_UserIO, IO_IS = scl PORT PB_in = "", DIR = I, VEC = [0:3], IO_IF = FPGAv2_UserIO, IO_IS = pb_in PORT DIPSW_in = "", DIR = I, VEC = [0:3], IO_IF = FPGAv2_UserIO, IO_IS = dipsw_in PORT SPLB_Clk = "", DIR = I, SIGIS = CLK, BUS = SPLB PORT SPLB_Rst = SPLB_Rst, DIR = I, SIGIS = RST, BUS = SPLB PORT PLB_ABus = PLB_ABus, DIR = I, VEC = [0:31], BUS = SPLB PORT PLB_UABus = PLB_UABus, DIR = I, VEC = [0:31], BUS = SPLB PORT PLB_PAValid = PLB_PAValid, DIR = I, BUS = SPLB PORT PLB_SAValid = PLB_SAValid, DIR = I, BUS = SPLB PORT PLB_rdPrim = PLB_rdPrim, DIR = I, BUS = SPLB PORT PLB_wrPrim = PLB_wrPrim, DIR = I, BUS = SPLB PORT PLB_masterID = PLB_masterID, DIR = I, VEC = [0:(C_SPLB_MID_WIDTH-1)], BUS = SPLB PORT PLB_abort = PLB_abort, DIR = I, BUS = SPLB PORT PLB_busLock = PLB_busLock, DIR = I, BUS = SPLB PORT PLB_RNW = PLB_RNW, DIR = I, BUS = SPLB PORT PLB_BE = PLB_BE, DIR = I, VEC = [0:((C_SPLB_DWIDTH/8)-1)], BUS = SPLB PORT PLB_MSize = PLB_MSize, DIR = I, VEC = [0:1], BUS = SPLB PORT PLB_size = PLB_size, DIR = I, VEC = [0:3], BUS = SPLB PORT PLB_type = PLB_type, DIR = I, VEC = [0:2], BUS = SPLB PORT PLB_lockErr = PLB_lockErr, DIR = I, BUS = SPLB PORT PLB_wrDBus = PLB_wrDBus, DIR = I, VEC = [0:(C_SPLB_DWIDTH-1)], BUS = SPLB PORT PLB_wrBurst = PLB_wrBurst, DIR = I, BUS = SPLB PORT PLB_rdBurst = PLB_rdBurst, DIR = I, BUS = SPLB PORT PLB_wrPendReq = PLB_wrPendReq, DIR = I, BUS = SPLB PORT PLB_rdPendReq = PLB_rdPendReq, DIR = I, BUS = SPLB PORT PLB_wrPendPri = PLB_wrPendPri, DIR = I, VEC = [0:1], BUS = SPLB PORT PLB_rdPendPri = PLB_rdPendPri, DIR = I, VEC = [0:1], BUS = SPLB PORT PLB_reqPri = PLB_reqPri, DIR = I, VEC = [0:1], BUS = SPLB PORT PLB_TAttribute = PLB_TAttribute, DIR = I, VEC = [0:15], BUS = SPLB PORT Sl_addrAck = Sl_addrAck, DIR = O, BUS = SPLB PORT Sl_SSize = Sl_SSize, DIR = O, VEC = [0:1], BUS = SPLB PORT Sl_wait = Sl_wait, DIR = O, BUS = SPLB PORT Sl_rearbitrate = Sl_rearbitrate, DIR = O, BUS = SPLB PORT Sl_wrDAck = Sl_wrDAck, DIR = O, BUS = SPLB PORT Sl_wrComp = Sl_wrComp, DIR = O, BUS = SPLB PORT Sl_wrBTerm = Sl_wrBTerm, DIR = O, BUS = SPLB PORT Sl_rdDBus = Sl_rdDBus, DIR = O, VEC = [0:(C_SPLB_DWIDTH-1)], BUS = SPLB PORT Sl_rdWdAddr = Sl_rdWdAddr, DIR = O, VEC = [0:3], BUS = SPLB PORT Sl_rdDAck = Sl_rdDAck, DIR = O, BUS = SPLB PORT Sl_rdComp = Sl_rdComp, DIR = O, BUS = SPLB PORT Sl_rdBTerm = Sl_rdBTerm, DIR = O, BUS = SPLB PORT Sl_MBusy = Sl_MBusy, DIR = O, VEC = [0:(C_SPLB_NUM_MASTERS-1)], BUS = SPLB PORT Sl_MWrErr = Sl_MWrErr, DIR = O, VEC = [0:(C_SPLB_NUM_MASTERS-1)], BUS = SPLB PORT Sl_MRdErr = Sl_MRdErr, DIR = O, VEC = [0:(C_SPLB_NUM_MASTERS-1)], BUS = SPLB PORT Sl_MIRQ = Sl_MIRQ, DIR = O, VEC = [0:(C_SPLB_NUM_MASTERS-1)], BUS = SPLB END