source: PlatformSupport/CustomPeripherals/pcores/warp_v4_userio_v1_00_a/mdlsrc/hex_out.mdl

Last change on this file was 1331, checked in by sgupta, 15 years ago

userio core for V4

  • Property svn:executable set to *
File size: 214.6 KB
Line 
1Model {
2  Name            "hex_out"
3  Version         7.1
4  MdlSubVersion       0
5  GraphicalInterface {
6    NumRootInports      0
7    NumRootOutports     0
8    ParameterArgumentNames  ""
9    ComputedModelVersion    "1.83"
10    NumModelReferences      0
11    NumTestPointedSignals   0
12  }
13  SavedCharacterEncoding  "windows-1252"
14  SaveDefaultBlockParams  on
15  SampleTimeColors    on
16  LibraryLinkDisplay      "none"
17  WideLines       off
18  ShowLineDimensions      off
19  ShowPortDataTypes   on
20  ShowLoopsOnError    on
21  IgnoreBidirectionalLines off
22  ShowStorageClass    off
23  ShowTestPointIcons      on
24  ShowSignalResolutionIcons on
25  ShowViewerIcons     on
26  SortedOrder         off
27  ExecutionContextIcon    off
28  ShowLinearizationAnnotations on
29  ScopeRefreshTime    0.035000
30  OverrideScopeRefreshTime on
31  DisableAllScopes    off
32  DataTypeOverride    "UseLocalSettings"
33  MinMaxOverflowLogging   "UseLocalSettings"
34  MinMaxOverflowArchiveMode "Overwrite"
35  BlockNameDataTip    off
36  BlockParametersDataTip  off
37  BlockDescriptionStringDataTip off
38  ToolBar         on
39  StatusBar       on
40  BrowserShowLibraryLinks off
41  BrowserLookUnderMasks   off
42  InitFcn         "init"
43  StartFcn        "init"
44  Created         "Tue Sep 29 13:35:03 2009"
45  Creator         "sgupta"
46  UpdateHistory       "UpdateHistoryNever"
47  ModifiedByFormat    "%<Auto>"
48  LastModifiedBy      "sgupta"
49  ModifiedDateFormat      "%<Auto>"
50  LastModifiedDate    "Tue Oct 06 10:12:46 2009"
51  RTWModifiedTimeStamp    0
52  ModelVersionFormat      "1.%<AutoIncrement:83>"
53  ConfigurationManager    "None"
54  SimulationMode      "normal"
55  LinearizationMsg    "none"
56  Profile         off
57  ParamWorkspaceSource    "MATLABWorkspace"
58  AccelSystemTargetFile   "accel.tlc"
59  AccelTemplateMakefile   "accel_default_tmf"
60  AccelMakeCommand    "make_rtw"
61  TryForcingSFcnDF    off
62  RecordCoverage      off
63  CovPath         "/"
64  CovSaveName         "covdata"
65  CovMetricSettings   "dw"
66  CovNameIncrementing     off
67  CovHtmlReporting    on
68  covSaveCumulativeToWorkspaceVar on
69  CovSaveSingleToWorkspaceVar on
70  CovCumulativeVarName    "covCumulativeData"
71  CovCumulativeReport     off
72  CovReportOnPause    on
73  CovModelRefEnable   "Off"
74  ExtModeBatchMode    off
75  ExtModeEnableFloating   on
76  ExtModeTrigType     "manual"
77  ExtModeTrigMode     "normal"
78  ExtModeTrigPort     "1"
79  ExtModeTrigElement      "any"
80  ExtModeTrigDuration     1000
81  ExtModeTrigDurationFloating "auto"
82  ExtModeTrigHoldOff      0
83  ExtModeTrigDelay    0
84  ExtModeTrigDirection    "rising"
85  ExtModeTrigLevel    0
86  ExtModeArchiveMode      "off"
87  ExtModeAutoIncOneShot   off
88  ExtModeIncDirWhenArm    off
89  ExtModeAddSuffixToVar   off
90  ExtModeWriteAllDataToWs off
91  ExtModeArmWhenConnect   on
92  ExtModeSkipDownloadWhenConnect off
93  ExtModeLogAll       on
94  ExtModeAutoUpdateStatusClock on
95  BufferReuse         on
96  ShowModelReferenceBlockVersion off
97  ShowModelReferenceBlockIO off
98  Array {
99    Type            "Handle"
100    Dimension           1
101    Simulink.ConfigSet {
102      $ObjectID           1
103      Version             "1.4.0"
104      Array {
105    Type            "Handle"
106    Dimension       7
107    Simulink.SolverCC {
108      $ObjectID       2
109      Version         "1.4.0"
110      StartTime       "0.0"
111      StopTime        "100000"
112      AbsTol          "auto"
113      FixedStep       "auto"
114      InitialStep         "auto"
115      MaxNumMinSteps      "-1"
116      MaxOrder        5
117      ZcThreshold         "auto"
118      ConsecutiveZCsStepRelTol "10*128*eps"
119      MaxConsecutiveZCs   "1000"
120      ExtrapolationOrder      4
121      NumberNewtonIterations  1
122      MaxStep         "auto"
123      MinStep         "auto"
124      MaxConsecutiveMinStep   "1"
125      RelTol          "1e-3"
126      SolverMode          "Auto"
127      Solver          "ode45"
128      SolverName          "ode45"
129      ShapePreserveControl    "DisableAll"
130      ZeroCrossControl    "UseLocalSettings"
131      ZeroCrossAlgorithm      "Non-adaptive"
132      AlgebraicLoopSolver     "TrustRegion"
133      SolverResetMethod   "Fast"
134      PositivePriorityOrder   off
135      AutoInsertRateTranBlk   off
136      SampleTimeConstraint    "Unconstrained"
137      InsertRTBMode       "Whenever possible"
138    }
139    Simulink.DataIOCC {
140      $ObjectID       3
141      Version         "1.4.0"
142      Decimation          "1"
143      ExternalInput       "[t, u]"
144      FinalStateName      "xFinal"
145      InitialState        "xInitial"
146      LimitDataPoints     on
147      MaxDataPoints       "1000"
148      LoadExternalInput   off
149      LoadInitialState    off
150      SaveFinalState      off
151      SaveFormat          "Array"
152      SaveOutput          on
153      SaveState       off
154      SignalLogging       on
155      InspectSignalLogs   off
156      SaveTime        on
157      StateSaveName       "xout"
158      TimeSaveName        "tout"
159      OutputSaveName      "yout"
160      SignalLoggingName   "logsout"
161      OutputOption        "RefineOutputTimes"
162      OutputTimes         "[]"
163      Refine          "1"
164    }
165    Simulink.OptimizationCC {
166      $ObjectID       4
167      Array {
168        Type            "Cell"
169        Dimension           5
170        Cell            "ZeroExternalMemoryAtStartup"
171        Cell            "ZeroInternalMemoryAtStartup"
172        Cell            "InitFltsAndDblsToZero"
173        Cell            "OptimizeModelRefInitCode"
174        Cell            "NoFixptDivByZeroProtection"
175        PropName            "DisabledProps"
176      }
177      Version         "1.4.0"
178      BlockReduction      on
179      BooleanDataType     on
180      ConditionallyExecuteInputs on
181      InlineParams        off
182      InlineInvariantSignals  off
183      OptimizeBlockIOStorage  on
184      BufferReuse         on
185      EnhancedBackFolding     off
186      EnforceIntegerDowncast  on
187      ExpressionFolding   on
188      ExpressionDepthLimit    2147483647
189      FoldNonRolledExpr   on
190      LocalBlockOutputs   on
191      RollThreshold       5
192      SystemCodeInlineAuto    off
193      StateBitsets        off
194      DataBitsets         off
195      UseTempVars         off
196      ZeroExternalMemoryAtStartup on
197      ZeroInternalMemoryAtStartup on
198      InitFltsAndDblsToZero   on
199      NoFixptDivByZeroProtection off
200      EfficientFloat2IntCast  off
201      OptimizeModelRefInitCode off
202      LifeSpan        "inf"
203      BufferReusableBoundary  on
204      SimCompilerOptimization "Off"
205      AccelVerboseBuild   off
206    }
207    Simulink.DebuggingCC {
208      $ObjectID       5
209      Version         "1.4.0"
210      RTPrefix        "error"
211      ConsistencyChecking     "none"
212      ArrayBoundsChecking     "none"
213      SignalInfNanChecking    "none"
214      SignalRangeChecking     "none"
215      ReadBeforeWriteMsg      "UseLocalSettings"
216      WriteAfterWriteMsg      "UseLocalSettings"
217      WriteAfterReadMsg   "UseLocalSettings"
218      AlgebraicLoopMsg    "warning"
219      ArtificialAlgebraicLoopMsg "warning"
220      SaveWithDisabledLinksMsg "warning"
221      SaveWithParameterizedLinksMsg "warning"
222      CheckSSInitialOutputMsg on
223      CheckExecutionContextPreStartOutputMsg off
224      CheckExecutionContextRuntimeOutputMsg off
225      SignalResolutionControl "UseLocalSettings"
226      BlockPriorityViolationMsg "warning"
227      MinStepSizeMsg      "warning"
228      TimeAdjustmentMsg   "none"
229      MaxConsecutiveZCsMsg    "error"
230      SolverPrmCheckMsg   "warning"
231      InheritedTsInSrcMsg     "warning"
232      DiscreteInheritContinuousMsg "warning"
233      MultiTaskDSMMsg     "error"
234      MultiTaskCondExecSysMsg "error"
235      MultiTaskRateTransMsg   "error"
236      SingleTaskRateTransMsg  "none"
237      TasksWithSamePriorityMsg "warning"
238      SigSpecEnsureSampleTimeMsg "warning"
239      CheckMatrixSingularityMsg "none"
240      IntegerOverflowMsg      "warning"
241      Int32ToFloatConvMsg     "warning"
242      ParameterDowncastMsg    "error"
243      ParameterOverflowMsg    "error"
244      ParameterUnderflowMsg   "none"
245      ParameterPrecisionLossMsg "warning"
246      ParameterTunabilityLossMsg "warning"
247      UnderSpecifiedDataTypeMsg "none"
248      UnnecessaryDatatypeConvMsg "none"
249      VectorMatrixConversionMsg "none"
250      InvalidFcnCallConnMsg   "error"
251      FcnCallInpInsideContextMsg "Use local settings"
252      SignalLabelMismatchMsg  "none"
253      UnconnectedInputMsg     "warning"
254      UnconnectedOutputMsg    "warning"
255      UnconnectedLineMsg      "warning"
256      SFcnCompatibilityMsg    "none"
257      UniqueDataStoreMsg      "none"
258      BusObjectLabelMismatch  "warning"
259      RootOutportRequireBusObject "warning"
260      AssertControl       "UseLocalSettings"
261      EnableOverflowDetection off
262      ModelReferenceIOMsg     "none"
263      ModelReferenceVersionMismatchMessage "none"
264      ModelReferenceIOMismatchMessage "none"
265      ModelReferenceCSMismatchMessage "none"
266      ModelReferenceSimTargetVerbose off
267      UnknownTsInhSupMsg      "warning"
268      ModelReferenceDataLoggingMessage "warning"
269      ModelReferenceSymbolNameMessage "warning"
270      ModelReferenceExtraNoncontSigs "error"
271      StateNameClashWarn      "warning"
272      StrictBusMsg        "Warning"
273      LoggingUnavailableSignals "error"
274      BlockIODiagnostic   "none"
275    }
276    Simulink.HardwareCC {
277      $ObjectID       6
278      Version         "1.4.0"
279      ProdBitPerChar      8
280      ProdBitPerShort     16
281      ProdBitPerInt       32
282      ProdBitPerLong      32
283      ProdIntDivRoundTo   "Undefined"
284      ProdEndianess       "Unspecified"
285      ProdWordSize        32
286      ProdShiftRightIntArith  on
287      ProdHWDeviceType    "32-bit Generic"
288      TargetBitPerChar    8
289      TargetBitPerShort   16
290      TargetBitPerInt     32
291      TargetBitPerLong    32
292      TargetShiftRightIntArith on
293      TargetIntDivRoundTo     "Undefined"
294      TargetEndianess     "Unspecified"
295      TargetWordSize      32
296      TargetTypeEmulationWarnSuppressLevel 0
297      TargetPreprocMaxBitsSint 32
298      TargetPreprocMaxBitsUint 32
299      TargetHWDeviceType      "Specified"
300      TargetUnknown       off
301      ProdEqTarget        on
302    }
303    Simulink.ModelReferenceCC {
304      $ObjectID       7
305      Version         "1.4.0"
306      UpdateModelReferenceTargets "IfOutOfDateOrStructuralChange"
307      CheckModelReferenceTargetMessage "error"
308      ModelReferenceNumInstancesAllowed "Multi"
309      ModelReferenceSigSizeVariationType "Always allowed"
310      ModelReferencePassRootInputsByReference on
311      ModelReferenceMinAlgLoopOccurrences off
312    }
313    Simulink.RTWCC {
314      $BackupClass        "Simulink.RTWCC"
315      $ObjectID       8
316      Array {
317        Type            "Cell"
318        Dimension           6
319        Cell            "IncludeHyperlinkInReport"
320        Cell            "GenerateTraceInfo"
321        Cell            "GenerateTraceReport"
322        Cell            "GenerateTraceReportSl"
323        Cell            "GenerateTraceReportSf"
324        Cell            "GenerateTraceReportEml"
325        PropName            "DisabledProps"
326      }
327      Version         "1.4.0"
328      SystemTargetFile    "grt.tlc"
329      GenCodeOnly         off
330      MakeCommand         "make_rtw"
331      GenerateMakefile    on
332      TemplateMakefile    "grt_default_tmf"
333      GenerateReport      off
334      SaveLog         off
335      RTWVerbose          on
336      RetainRTWFile       off
337      ProfileTLC          off
338      TLCDebug        off
339      TLCCoverage         off
340      TLCAssert       off
341      ProcessScriptMode   "Default"
342      ConfigurationMode   "Optimized"
343      ConfigAtBuild       off
344      IncludeHyperlinkInReport off
345      LaunchReport        off
346      TargetLang          "C"
347      IncludeBusHierarchyInRTWFileBlockHierarchyMap off
348      IncludeERTFirstTime     off
349      GenerateTraceInfo   off
350      GenerateTraceReport     off
351      GenerateTraceReportSl   off
352      GenerateTraceReportSf   off
353      GenerateTraceReportEml  off
354      GenerateCodeInfo    off
355      RTWCompilerOptimization "Off"
356      Array {
357        Type            "Handle"
358        Dimension           2
359        Simulink.CodeAppCC {
360          $ObjectID           9
361          Array {
362        Type            "Cell"
363        Dimension       16
364        Cell            "IgnoreCustomStorageClasses"
365        Cell            "InsertBlockDesc"
366        Cell            "SFDataObjDesc"
367        Cell            "SimulinkDataObjDesc"
368        Cell            "DefineNamingRule"
369        Cell            "SignalNamingRule"
370        Cell            "ParamNamingRule"
371        Cell            "InlinedPrmAccess"
372        Cell            "CustomSymbolStr"
373        Cell            "CustomSymbolStrGlobalVar"
374        Cell            "CustomSymbolStrType"
375        Cell            "CustomSymbolStrField"
376        Cell            "CustomSymbolStrFcn"
377        Cell            "CustomSymbolStrBlkIO"
378        Cell            "CustomSymbolStrTmpVar"
379        Cell            "CustomSymbolStrMacro"
380        PropName        "DisabledProps"
381          }
382          Version             "1.4.0"
383          ForceParamTrailComments off
384          GenerateComments        on
385          IgnoreCustomStorageClasses on
386          IncHierarchyInIds       off
387          MaxIdLength         31
388          PreserveName        off
389          PreserveNameWithParent  off
390          ShowEliminatedStatement off
391          IncAutoGenComments      off
392          SimulinkDataObjDesc     off
393          SFDataObjDesc       off
394          IncDataTypeInIds        off
395          MangleLength        1
396          CustomSymbolStrGlobalVar "$R$N$M"
397          CustomSymbolStrType     "$N$R$M"
398          CustomSymbolStrField    "$N$M"
399          CustomSymbolStrFcn      "$R$N$M$F"
400          CustomSymbolStrBlkIO    "rtb_$N$M"
401          CustomSymbolStrTmpVar   "$N$M"
402          CustomSymbolStrMacro    "$R$N$M"
403          DefineNamingRule        "None"
404          ParamNamingRule         "None"
405          SignalNamingRule        "None"
406          InsertBlockDesc         off
407          SimulinkBlockComments   on
408          EnableCustomComments    off
409          InlinedPrmAccess        "Literals"
410          ReqsInCode          off
411        }
412        Simulink.GRTTargetCC {
413          $BackupClass        "Simulink.TargetCC"
414          $ObjectID           10
415          Array {
416        Type            "Cell"
417        Dimension       16
418        Cell            "IncludeMdlTerminateFcn"
419        Cell            "CombineOutputUpdateFcns"
420        Cell            "SuppressErrorStatus"
421        Cell            "ERTCustomFileBanners"
422        Cell            "GenerateSampleERTMain"
423        Cell            "GenerateTestInterfaces"
424        Cell            "ModelStepFunctionPrototypeControlCompliant"
425        Cell            "CPPClassGenCompliant"
426        Cell            "MultiInstanceERTCode"
427        Cell            "PurelyIntegerCode"
428        Cell            "SupportNonFinite"
429        Cell            "SupportComplex"
430        Cell            "SupportAbsoluteTime"
431        Cell            "SupportContinuousTime"
432        Cell            "SupportNonInlinedSFcns"
433        Cell            "PortableWordSizes"
434        PropName        "DisabledProps"
435          }
436          Version             "1.4.0"
437          TargetFcnLib        "ansi_tfl_table_tmw.mat"
438          TargetLibSuffix         ""
439          TargetPreCompLibLocation ""
440          TargetFunctionLibrary   "ANSI_C"
441          UtilityFuncGeneration   "Auto"
442          GenerateFullHeader      on
443          GenerateSampleERTMain   off
444          GenerateTestInterfaces  off
445          IsPILTarget         off
446          ModelReferenceCompliant on
447          CompOptLevelCompliant   on
448          IncludeMdlTerminateFcn  on
449          CombineOutputUpdateFcns off
450          SuppressErrorStatus     off
451          ERTFirstTimeCompliant   off
452          IncludeFileDelimiter    "Auto"
453          ERTCustomFileBanners    off
454          SupportAbsoluteTime     on
455          LogVarNameModifier      "rt_"
456          MatFileLogging          on
457          MultiInstanceERTCode    off
458          SupportNonFinite        on
459          SupportComplex          on
460          PurelyIntegerCode       off
461          SupportContinuousTime   on
462          SupportNonInlinedSFcns  on
463          EnableShiftOperators    on
464          ParenthesesLevel        "Nominal"
465          PortableWordSizes       off
466          ModelStepFunctionPrototypeControlCompliant off
467          AutosarCompliant        off
468          ExtMode             off
469          ExtModeStaticAlloc      off
470          ExtModeTesting          off
471          ExtModeStaticAllocSize  1000000
472          ExtModeTransport        0
473          ExtModeMexFile          "ext_comm"
474          ExtModeIntrfLevel       "Level1"
475          RTWCAPISignals          off
476          RTWCAPIParams       off
477          RTWCAPIStates       off
478          GenerateASAP2       off
479        }
480        PropName            "Components"
481      }
482    }
483    PropName        "Components"
484      }
485      Name            "Configuration"
486      CurrentDlgPage          "Solver"
487    }
488    PropName            "ConfigurationSets"
489  }
490  Simulink.ConfigSet {
491    $PropName           "ActiveConfigurationSet"
492    $ObjectID           1
493  }
494  BlockDefaults {
495    Orientation         "right"
496    ForegroundColor     "black"
497    BackgroundColor     "white"
498    DropShadow          off
499    NamePlacement       "normal"
500    FontName            "Helvetica"
501    FontSize            10
502    FontWeight          "normal"
503    FontAngle           "normal"
504    ShowName            on
505  }
506  BlockParameterDefaults {
507    Block {
508      BlockType           From
509      IconDisplay         "Tag"
510      TagVisibility       "local"
511    }
512    Block {
513      BlockType           Goto
514      IconDisplay         "Tag"
515    }
516    Block {
517      BlockType           Inport
518      Port            "1"
519      UseBusObject        off
520      BusObject           "BusObject"
521      BusOutputAsStruct       off
522      PortDimensions          "-1"
523      SampleTime          "-1"
524      OutMin              "[]"
525      OutMax              "[]"
526      DataType            "auto"
527      OutDataType         "fixdt(1,16,0)"
528      OutScaling          "[]"
529      OutDataTypeStr          "Inherit: auto"
530      SignalType          "auto"
531      SamplingMode        "auto"
532      LatchByDelayingOutsideSignal off
533      LatchByCopyingInsideSignal off
534      Interpolate         on
535    }
536    Block {
537      BlockType           InportShadow
538      UseBusObject        off
539      BusObject           "BusObject"
540      BusOutputAsStruct       off
541      PortDimensions          "-1"
542      SampleTime          "-1"
543      OutMin              "[]"
544      OutMax              "[]"
545      DataType            "auto"
546      OutDataType         "fixdt(1,16,0)"
547      OutScaling          "[]"
548      OutDataTypeStr          "Inherit: auto"
549      SignalType          "auto"
550      SamplingMode        "auto"
551      Interpolate         on
552    }
553    Block {
554      BlockType           Outport
555      Port            "1"
556      UseBusObject        off
557      BusObject           "BusObject"
558      BusOutputAsStruct       off
559      PortDimensions          "-1"
560      SampleTime          "-1"
561      OutMin              "[]"
562      OutMax              "[]"
563      DataType            "auto"
564      OutDataType         "fixdt(1,16,0)"
565      OutScaling          "[]"
566      OutDataTypeStr          "Inherit: auto"
567      SignalType          "auto"
568      SamplingMode        "auto"
569      OutputWhenDisabled      "held"
570      InitialOutput       "[]"
571    }
572    Block {
573      BlockType           Scope
574      ModelBased          off
575      TickLabels          "OneTimeTick"
576      ZoomMode            "on"
577      Grid            "on"
578      TimeRange           "auto"
579      YMin            "-5"
580      YMax            "5"
581      SaveToWorkspace         off
582      SaveName            "ScopeData"
583      LimitDataPoints         on
584      MaxDataPoints       "5000"
585      Decimation          "1"
586      SampleInput         off
587      SampleTime          "-1"
588    }
589    Block {
590      BlockType           "S-Function"
591      FunctionName        "system"
592      SFunctionModules        "''"
593      PortCounts          "[]"
594      SFunctionDeploymentMode off
595    }
596    Block {
597      BlockType           SubSystem
598      ShowPortLabels          "FromPortIcon"
599      Permissions         "ReadWrite"
600      PermitHierarchicalResolution "All"
601      TreatAsAtomicUnit       off
602      CheckFcnCallInpInsideContextMsg off
603      SystemSampleTime        "-1"
604      RTWFcnNameOpts          "Auto"
605      RTWFileNameOpts         "Auto"
606      RTWMemSecFuncInitTerm   "Inherit from model"
607      RTWMemSecFuncExecute    "Inherit from model"
608      RTWMemSecDataConstants  "Inherit from model"
609      RTWMemSecDataInternal   "Inherit from model"
610      RTWMemSecDataParameters "Inherit from model"
611      SimViewingDevice        off
612      DataTypeOverride        "UseLocalSettings"
613      MinMaxOverflowLogging   "UseLocalSettings"
614    }
615    Block {
616      BlockType           Terminator
617    }
618    Block {
619      BlockType           Constant
620      Value           "1"
621      VectorParams1D          on
622      SamplingMode        "Sample based"
623      OutMin              "[]"
624      OutMax              "[]"
625      OutDataTypeMode         "Inherit from 'Constant value'"
626      OutDataType         "fixdt(1,16,0)"
627      ConRadixGroup       "Use specified scaling"
628      OutScaling          "[]"
629      OutDataTypeStr          "Inherit: Inherit from 'Constant value'"
630      SampleTime          "inf"
631      FramePeriod         "inf"
632    }
633    Block {
634      BlockType           DiscretePulseGenerator
635      PulseType           "Sample based"
636      TimeSource          "Use simulation time"
637      Amplitude           "1"
638      Period              "2"
639      PulseWidth          "1"
640      PhaseDelay          "0"
641      SampleTime          "1"
642      VectorParams1D          on
643    }
644  }
645  AnnotationDefaults {
646    HorizontalAlignment     "center"
647    VerticalAlignment       "middle"
648    ForegroundColor     "black"
649    BackgroundColor     "white"
650    DropShadow          off
651    FontName            "Helvetica"
652    FontSize            10
653    FontWeight          "normal"
654    FontAngle           "normal"
655    UseDisplayTextAsClickCallback off
656  }
657  LineDefaults {
658    FontName            "Helvetica"
659    FontSize            9
660    FontWeight          "normal"
661    FontAngle           "normal"
662  }
663  System {
664    Name            "hex_out"
665    Location            [405, 110, 1585, 1079]
666    Open            on
667    ModelBrowserVisibility  off
668    ModelBrowserWidth       200
669    ScreenColor         "white"
670    PaperOrientation        "landscape"
671    PaperPositionMode       "auto"
672    PaperType           "usletter"
673    PaperUnits          "inches"
674    TiledPaperMargins       [0.500000, 0.500000, 0.500000, 0.500000]
675    TiledPageScale      1
676    ShowPageBoundaries      off
677    ZoomFactor          "100"
678    ReportName          "simulink-default.rpt"
679    Block {
680      BlockType           Reference
681      Name            " System Generator"
682      Tag             "genX"
683      Ports           []
684      Position            [39, 19, 90, 69]
685      ShowName            off
686      AttributesFormatString  "System\\nGenerator"
687      UserDataPersistent      on
688      UserData            "DataTag0"
689      SourceBlock         "xbsIndex_r4/ System Generator"
690      SourceType          "Xilinx System Generator Block"
691      ShowPortLabels          "FromPortIcon"
692      SystemSampleTime        "-1"
693      FunctionWithSeparateData off
694      RTWMemSecFuncInitTerm   "Inherit from model"
695      RTWMemSecFuncExecute    "Inherit from model"
696      RTWMemSecDataConstants  "Inherit from model"
697      RTWMemSecDataInternal   "Inherit from model"
698      RTWMemSecDataParameters "Inherit from model"
699      infoedit            " System Generator"
700      xilinxfamily        "virtex4"
701      part            "xc4vfx100"
702      speed           "-11"
703      package             "ff1517"
704      synthesis_tool          "XST"
705      clock_wrapper       "Clock Enables"
706      directory           "./netlist02"
707      testbench           off
708      simulink_period         "1"
709      sysclk_period       "10"
710      dcm_input_clock_period  "100"
711      incr_netlist        off
712      trim_vbits          "Everywhere in SubSystem"
713      dbl_ovrd            "According to Block Masks"
714      core_generation         "According to Block Masks"
715      run_coregen         off
716      deprecated_control      off
717      eval_field          "0"
718      has_advanced_control    "0"
719      sggui_pos           "-1,-1,-1,-1"
720      block_type          "sysgen"
721      block_version       "10.1.3"
722      sg_icon_stat        "51,50,-1,-1,red,beige,0,07734,right"
723      sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npatch([0 51 51 0 ],[0 0 50 50 ],[0.93 0.92 0.86]);\npatch([12 4 16 4 12 25 29 33 47 36 25 17 29 17 25 36 47 33 29 25 12 ],[5 13 25 37 45 45 41 45 45 34 45 37 25 13 5 16 5 5 9 5 5 ],[0.6 0.2 0.25]);\nplot([0 51 51 0 0 ],[0 0 50 50 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\nfprintf('','COMMENT: end icon text');\n"
724    }
725    Block {
726      BlockType           SubSystem
727      Name            "2wire Count Gen"
728      Ports           [2, 3]
729      Position            [390, 238, 465, 382]
730      MinAlgLoopOccurrences   off
731      PropExecContextOutsideSubsystem off
732      RTWSystemCode       "Auto"
733      FunctionWithSeparateData off
734      Opaque              off
735      RequestExecContextInheritance off
736      MaskHideContents        off
737      System {
738    Name            "2wire Count Gen"
739    Location        [707, 830, 1108, 1043]
740    Open            off
741    ModelBrowserVisibility  off
742    ModelBrowserWidth   200
743    ScreenColor     "white"
744    PaperOrientation    "landscape"
745    PaperPositionMode   "auto"
746    PaperType       "usletter"
747    PaperUnits      "inches"
748    TiledPaperMargins   [0.500000, 0.500000, 0.500000, 0.500000]
749    TiledPageScale      1
750    ShowPageBoundaries  off
751    ZoomFactor      "100"
752    Block {
753      BlockType       Inport
754      Name            "reset"
755      Position        [175, 133, 205, 147]
756      IconDisplay         "Port number"
757    }
758    Block {
759      BlockType       Inport
760      Name            "ce"
761      Position        [75, 228, 105, 242]
762      Port            "2"
763      IconDisplay         "Port number"
764    }
765    Block {
766      BlockType       Reference
767      Name            "Constant"
768      Ports           [0, 1]
769      Position        [370, 192, 425, 218]
770      SourceBlock         "xbsIndex_r4/Constant"
771      SourceType          "Xilinx Constant Block Block"
772      arith_type          "Unsigned"
773      const           "7"
774      n_bits          "6"
775      bin_pt          "0"
776      explicit_period     off
777      period          "1"
778      dsp48_infoedit      "The use of this block for DSP48 instructions is deprecated.  Please use the Opmode block."
779      equ             "P=C"
780      opselect        "C"
781      inp2            "PCIN>>17"
782      opr             "+"
783      inp1            "P"
784      carry           "CIN"
785      dbl_ovrd        off
786      has_advanced_control    "0"
787      sggui_pos       "20,20,400,346"
788      block_type          "constant"
789      block_version       "10.1.3"
790      sg_icon_stat        "55,26,1,1,white,blue,0,deaf0d43,right"
791      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 ],[0 0 26 26 ],[0.77 0.82 0.91]);\npatch([20 16 22 16 20 27 29 31 38 32 26 22 28 22 26 32 38 31 29 27 20 ],[3 7 13 19 23 23 21 23 23 17 23 19 13 7 3 9 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 55 55 0 0 ],[0 0 26 26 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('output',1,'7');\nfprintf('','COMMENT: end icon text');\n"
792    }
793    Block {
794      BlockType       Reference
795      Name            "Constant1"
796      Ports           [0, 1]
797      Position        [375, 327, 430, 353]
798      SourceBlock         "xbsIndex_r4/Constant"
799      SourceType          "Xilinx Constant Block Block"
800      arith_type          "Unsigned"
801      const           "31"
802      n_bits          "6"
803      bin_pt          "0"
804      explicit_period     off
805      period          "1"
806      dsp48_infoedit      "The use of this block for DSP48 instructions is deprecated.  Please use the Opmode block."
807      equ             "P=C"
808      opselect        "C"
809      inp2            "PCIN>>17"
810      opr             "+"
811      inp1            "P"
812      carry           "CIN"
813      dbl_ovrd        off
814      has_advanced_control    "0"
815      sggui_pos       "20,20,400,346"
816      block_type          "constant"
817      block_version       "10.1.3"
818      sg_icon_stat        "55,26,1,1,white,blue,0,ce9593e4,right"
819      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 ],[0 0 26 26 ],[0.77 0.82 0.91]);\npatch([20 16 22 16 20 27 29 31 38 32 26 22 28 22 26 32 38 31 29 27 20 ],[3 7 13 19 23 23 21 23 23 17 23 19 13 7 3 9 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 55 55 0 0 ],[0 0 26 26 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('output',1,'31');\nfprintf('','COMMENT: end icon text');\n"
820    }
821    Block {
822      BlockType       Reference
823      Name            "Counter4"
824      Ports           [2, 1]
825      Position        [240, 125, 300, 185]
826      SourceBlock         "xbsIndex_r4/Counter"
827      SourceType          "Xilinx Counter Block"
828      infoedit        "Hardware notes: Free running counters are the least expensive in hardware.  A count limited counter is implemented by combining a counter with a comparator."
829      cnt_type        "Free Running"
830      cnt_to          "23"
831      operation       "Up"
832      start_count         "0"
833      cnt_by_val          "1"
834      arith_type          "Unsigned"
835      n_bits          "6"
836      bin_pt          "0"
837      load_pin        off
838      rst             on
839      en              on
840      explicit_period     "on"
841      period          "1"
842      dbl_ovrd        off
843      use_behavioral_HDL      off
844      use_rpm         off
845      implementation      "Fabric"
846      xl_use_area         off
847      xl_area         "[4 6 0 6 0 0 0]"
848      has_advanced_control    "0"
849      sggui_pos       "20,20,348,619"
850      block_type          "counter"
851      block_version       "10.1.3"
852      sg_icon_stat        "60,60,1,1,white,blue,0,46c73e85,right"
853      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 ],[0 0 60 60 ],[0.77 0.82 0.91]);\npatch([14 4 18 4 14 30 34 38 55 42 29 20 35 20 29 42 55 38 34 30 14 ],[6 16 30 44 54 54 50 54 54 41 54 45 30 15 6 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 60 60 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1,'rst');\ncolor('black');port_label('input',2,'en');\ncolor('black');port_label('output',1,'out');\nfprintf('','COMMENT: end icon text');\n"
854    }
855    Block {
856      BlockType       Reference
857      Name            "Delay"
858      Ports           [2, 1]
859      Position        [350, 276, 380, 314]
860      SourceBlock         "xbsIndex_r4/Delay"
861      SourceType          "Xilinx Delay Block"
862      infoedit        "Hardware notes: A delay line is a chain, each link of which is an SRL16 followed by a flip-flop."
863      en              on
864      latency         "1"
865      dbl_ovrd        off
866      reg_retiming        off
867      xl_use_area         off
868      xl_area         "[3 6 0 0 0 0 0]"
869      has_advanced_control    "0"
870      sggui_pos       "20,20,348,255"
871      block_type          "delay"
872      block_version       "10.1.3"
873      sg_icon_stat        "30,38,1,1,white,blue,0,9c7d2b66,right"
874      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 ],[0 0 56 56 ],[0.77 0.82 0.91]);\npatch([15 6 19 6 15 30 34 38 54 41 29 20 32 20 29 41 54 38 34 30 15 ],[6 15 28 41 50 50 46 50 50 37 49 40 28 16 7 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 56 56 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',2,'en');\ncolor('black');disp('z^{-1}','texmode','on');\nfprintf('','COMMENT: end icon text');\n"
875    }
876    Block {
877      BlockType       Reference
878      Name            "Logical"
879      Ports           [2, 1]
880      Position        [605, 275, 660, 335]
881      SourceBlock         "xbsIndex_r4/Logical"
882      SourceType          "Xilinx Logical Block Block"
883      logical_function    "AND"
884      inputs          "2"
885      en              off
886      latency         "0"
887      precision       "Full"
888      arith_type          "Unsigned"
889      n_bits          "16"
890      bin_pt          "0"
891      align_bp        on
892      dbl_ovrd        off
893      xl_use_area         off
894      xl_area         "[1 0 0 1 0 0 0]"
895      has_advanced_control    "0"
896      sggui_pos       "20,20,348,261"
897      block_type          "logical"
898      block_version       "10.1.3"
899      sg_icon_stat        "55,60,1,1,white,blue,0,087b5522,right"
900      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 ],[0 0 60 60 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13 28 32 36 52 40 28 19 32 19 28 40 52 36 32 28 13 ],[8 17 30 43 52 52 48 52 52 40 52 43 30 17 8 20 8 8 12 8 8 ],[0.98 0.96 0.92]);\nplot([0 55 55 0 0 ],[0 0 60 60 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');disp('\\newlineand\\newlinez^{-0}','texmode','on');\nfprintf('','COMMENT: end icon text');\n"
901    }
902    Block {
903      BlockType       Reference
904      Name            "Logical1"
905      Ports           [2, 1]
906      Position        [145, 220, 200, 280]
907      SourceBlock         "xbsIndex_r4/Logical"
908      SourceType          "Xilinx Logical Block Block"
909      logical_function    "AND"
910      inputs          "2"
911      en              off
912      latency         "0"
913      precision       "Full"
914      arith_type          "Unsigned"
915      n_bits          "16"
916      bin_pt          "0"
917      align_bp        on
918      dbl_ovrd        off
919      xl_use_area         off
920      xl_area         "[1 0 0 1 0 0 0]"
921      has_advanced_control    "0"
922      sggui_pos       "20,20,348,261"
923      block_type          "logical"
924      block_version       "10.1.3"
925      sg_icon_stat        "55,60,1,1,white,blue,0,087b5522,right"
926      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 ],[0 0 60 60 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13 28 32 36 52 40 28 19 32 19 28 40 52 36 32 28 13 ],[8 17 30 43 52 52 48 52 52 40 52 43 30 17 8 20 8 8 12 8 8 ],[0.98 0.96 0.92]);\nplot([0 55 55 0 0 ],[0 0 60 60 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');disp('\\newlineand\\newlinez^{-0}','texmode','on');\nfprintf('','COMMENT: end icon text');\n"
927    }
928    Block {
929      BlockType       Reference
930      Name            "Relational"
931      Ports           [3, 1]
932      Position        [495, 162, 550, 218]
933      SourceBlock         "xbsIndex_r4/Relational"
934      SourceType          "Xilinx Arithmetic Relational Operator Block"
935      mode            "a!=b"
936      en              on
937      latency         "1"
938      dbl_ovrd        off
939      xl_use_area         off
940      xl_area         "[2 1 0 3 0 0 0]"
941      has_advanced_control    "0"
942      sggui_pos       "20,20,348,193"
943      block_type          "relational"
944      block_version       "10.1.3"
945      sg_icon_stat        "55,56,1,1,white,blue,0,5c91c1a3,right"
946      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 ],[0 0 56 56 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13 28 32 36 52 40 28 19 32 19 28 40 52 36 32 28 13 ],[6 15 28 41 50 50 46 50 50 38 50 41 28 15 6 18 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 55 55 0 0 ],[0 0 56 56 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1,'a');\ncolor('black');port_label('input',2,'b');\ncolor('black');port_label('input',3,'en');\ncolor('black');disp('\\newline\\bf{a!=b}\\newlinez^{-1}','texmode','on');\nfprintf('','COMMENT: end icon text');\n"
947    }
948    Block {
949      BlockType       Reference
950      Name            "Relational1"
951      Ports           [3, 1]
952      Position        [485, 279, 540, 361]
953      SourceBlock         "xbsIndex_r4/Relational"
954      SourceType          "Xilinx Arithmetic Relational Operator Block"
955      mode            "a!=b"
956      en              on
957      latency         "1"
958      dbl_ovrd        off
959      xl_use_area         off
960      xl_area         "[2 1 0 3 0 0 0]"
961      has_advanced_control    "0"
962      sggui_pos       "20,20,348,193"
963      block_type          "relational"
964      block_version       "10.1.3"
965      sg_icon_stat        "55,82,1,1,white,blue,0,5c91c1a3,right"
966      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 ],[0 0 82 82 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13 28 32 36 52 40 28 19 32 19 28 40 52 36 32 28 13 ],[19 28 41 54 63 63 59 63 63 51 63 54 41 28 19 31 19 19 23 19 19 ],[0.98 0.96 0.92]);\nplot([0 55 55 0 0 ],[0 0 82 82 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1,'a');\ncolor('black');port_label('input',2,'b');\ncolor('black');port_label('input',3,'en');\ncolor('black');disp('\\newline\\bf{a!=b}\\newlinez^{-1}','texmode','on');\nfprintf('','COMMENT: end icon text');\n"
967    }
968    Block {
969      BlockType       Scope
970      Name            "Scope1"
971      Ports           [4]
972      Position        [795, 185, 830, 250]
973      Floating        off
974      Location        [5, 45, 1925, 1171]
975      Open            off
976      NumInputPorts       "4"
977      ZoomMode        "xonly"
978      List {
979        ListType            AxesTitles
980        axes1           "%<SignalLabel>"
981        axes2           "%<SignalLabel>"
982        axes3           "%<SignalLabel>"
983        axes4           "%<SignalLabel>"
984      }
985      YMin            "-5~-5~-5~-5"
986      YMax            "5~5~5~5"
987      SaveName        "ScopeData6"
988      DataFormat          "StructureWithTime"
989      SampleTime          "0"
990    }
991    Block {
992      BlockType       Scope
993      Name            "Scope3"
994      Ports           [2]
995      Position        [750, 308, 785, 372]
996      Floating        off
997      Location        [5, 45, 1925, 1171]
998      Open            off
999      NumInputPorts       "2"
1000      ZoomMode        "xonly"
1001      List {
1002        ListType            AxesTitles
1003        axes1           "%<SignalLabel>"
1004        axes2           "%<SignalLabel>"
1005      }
1006      YMin            "-5~-5"
1007      YMax            "5~5"
1008      SaveName        "ScopeData3"
1009      DataFormat          "StructureWithTime"
1010      SampleTime          "0"
1011    }
1012    Block {
1013      BlockType       Reference
1014      Name            "Slice1"
1015      Ports           [1, 1]
1016      Position        [370, 140, 430, 170]
1017      SourceBlock         "xbsIndex_r4/Slice"
1018      SourceType          "Xilinx Bit Slice Extractor Block"
1019      infoedit        "Extracts a given range of bits from each input sample and presents it at the output.  The output type is ordinarily unsigned with binary point at zero, but can be Boolean when the slice is one bit wide.<P><P>Hardware notes: In hardware this block costs nothing."
1020      nbits           "3"
1021      boolean_output      off
1022      mode            "Lower Bit Location + Width"
1023      bit1            "0"
1024      base1           "MSB of Input"
1025      bit0            "0"
1026      base0           "LSB of Input"
1027      dbl_ovrd        off
1028      has_advanced_control    "0"
1029      sggui_pos       "20,20,449,376"
1030      block_type          "slice"
1031      block_version       "10.1.3"
1032      sg_icon_stat        "60,30,1,1,white,blue,0,b1026674,right"
1033      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 ],[0 0 30 30 ],[0.77 0.82 0.91]);\npatch([22 17 24 17 22 30 32 34 42 35 29 24 30 24 29 35 42 34 32 30 22 ],[3 8 15 22 27 27 25 27 27 20 26 21 15 9 4 10 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 30 30 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('output',1,'[a:b]');\nfprintf('','COMMENT: end icon text');\n"
1034    }
1035    Block {
1036      BlockType       Reference
1037      Name            "Slice2"
1038      Ports           [1, 1]
1039      Position        [400, 280, 460, 310]
1040      SourceBlock         "xbsIndex_r4/Slice"
1041      SourceType          "Xilinx Bit Slice Extractor Block"
1042      infoedit        "Extracts a given range of bits from each input sample and presents it at the output.  The output type is ordinarily unsigned with binary point at zero, but can be Boolean when the slice is one bit wide.<P><P>Hardware notes: In hardware this block costs nothing."
1043      nbits           "5"
1044      boolean_output      off
1045      mode            "Lower Bit Location + Width"
1046      bit1            "0"
1047      base1           "MSB of Input"
1048      bit0            "0"
1049      base0           "LSB of Input"
1050      dbl_ovrd        off
1051      has_advanced_control    "0"
1052      sggui_pos       "20,20,449,376"
1053      block_type          "slice"
1054      block_version       "10.1.3"
1055      sg_icon_stat        "60,30,1,1,white,blue,0,b1026674,right"
1056      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 ],[0 0 30 30 ],[0.77 0.82 0.91]);\npatch([22 17 24 17 22 30 32 34 42 35 29 24 30 24 29 35 42 34 32 30 22 ],[3 8 15 22 27 27 25 27 27 20 26 21 15 9 4 10 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 30 30 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('output',1,'[a:b]');\nfprintf('','COMMENT: end icon text');\n"
1057    }
1058    Block {
1059      BlockType       InportShadow
1060      Name            "ce1"
1061      Position        [435, 238, 465, 252]
1062      Port            "2"
1063      IconDisplay         "Port number"
1064      LatchByDelayingOutsideSignal off
1065      LatchByCopyingInsideSignal off
1066    }
1067    Block {
1068      BlockType       InportShadow
1069      Name            "ce2"
1070      Position        [410, 368, 440, 382]
1071      Port            "2"
1072      IconDisplay         "Port number"
1073      LatchByDelayingOutsideSignal off
1074      LatchByCopyingInsideSignal off
1075    }
1076    Block {
1077      BlockType       InportShadow
1078      Name            "ce3"
1079      Position        [265, 298, 295, 312]
1080      Port            "2"
1081      IconDisplay         "Port number"
1082      LatchByDelayingOutsideSignal off
1083      LatchByCopyingInsideSignal off
1084    }
1085    Block {
1086      BlockType       Outport
1087      Name            "addr"
1088      Position        [350, 113, 380, 127]
1089      IconDisplay         "Port number"
1090    }
1091    Block {
1092      BlockType       Outport
1093      Name            "enable7"
1094      Position        [595, 218, 625, 232]
1095      Port            "2"
1096      IconDisplay         "Port number"
1097    }
1098    Block {
1099      BlockType       Outport
1100      Name            "enable31"
1101      Position        [595, 378, 625, 392]
1102      Port            "3"
1103      IconDisplay         "Port number"
1104    }
1105    Line {
1106      SrcBlock        "Counter4"
1107      SrcPort         1
1108      Points          [30, 0]
1109      Branch {
1110        DstBlock            "addr"
1111        DstPort         1
1112      }
1113      Branch {
1114        DstBlock            "Delay"
1115        DstPort         1
1116      }
1117      Branch {
1118        DstBlock            "Slice1"
1119        DstPort         1
1120      }
1121    }
1122    Line {
1123      SrcBlock        "Slice1"
1124      SrcPort         1
1125      Points          [35, 0; 0, 15]
1126      DstBlock        "Relational"
1127      DstPort         1
1128    }
1129    Line {
1130      SrcBlock        "Constant"
1131      SrcPort         1
1132      Points          [25, 0; 0, -15]
1133      DstBlock        "Relational"
1134      DstPort         2
1135    }
1136    Line {
1137      SrcBlock        "Relational"
1138      SrcPort         1
1139      Points          [15, 0; 0, 35]
1140      Branch {
1141        DstBlock            "enable7"
1142        DstPort         1
1143      }
1144      Branch {
1145        Points          [0, 65]
1146        DstBlock            "Logical"
1147        DstPort         1
1148      }
1149    }
1150    Line {
1151      SrcBlock        "Constant1"
1152      SrcPort         1
1153      Points          [25, 0; 0, -20]
1154      DstBlock        "Relational1"
1155      DstPort         2
1156    }
1157    Line {
1158      SrcBlock        "Delay"
1159      SrcPort         1
1160      DstBlock        "Slice2"
1161      DstPort         1
1162    }
1163    Line {
1164      SrcBlock        "Relational1"
1165      SrcPort         1
1166      Points          [25, 0]
1167      Branch {
1168        DstBlock            "Logical"
1169        DstPort         2
1170      }
1171      Branch {
1172        Points          [0, 65]
1173        DstBlock            "enable31"
1174        DstPort         1
1175      }
1176    }
1177    Line {
1178      SrcBlock        "Slice2"
1179      SrcPort         1
1180      DstBlock        "Relational1"
1181      DstPort         1
1182    }
1183    Line {
1184      SrcBlock        "reset"
1185      SrcPort         1
1186      DstBlock        "Counter4"
1187      DstPort         1
1188    }
1189    Line {
1190      SrcBlock        "Logical1"
1191      SrcPort         1
1192      Points          [10, 0; 0, -80]
1193      DstBlock        "Counter4"
1194      DstPort         2
1195    }
1196    Line {
1197      SrcBlock        "ce"
1198      SrcPort         1
1199      DstBlock        "Logical1"
1200      DstPort         1
1201    }
1202    Line {
1203      SrcBlock        "Logical"
1204      SrcPort         1
1205      Points          [10, 0; 0, 140; -545, 0]
1206      DstBlock        "Logical1"
1207      DstPort         2
1208    }
1209    Line {
1210      SrcBlock        "ce1"
1211      SrcPort         1
1212      Points          [0, -35]
1213      DstBlock        "Relational"
1214      DstPort         3
1215    }
1216    Line {
1217      SrcBlock        "ce2"
1218      SrcPort         1
1219      Points          [20, 0; 0, -30]
1220      DstBlock        "Relational1"
1221      DstPort         3
1222    }
1223    Line {
1224      SrcBlock        "ce3"
1225      SrcPort         1
1226      DstBlock        "Delay"
1227      DstPort         2
1228    }
1229      }
1230    }
1231    Block {
1232      BlockType           SubSystem
1233      Name            "ClockEnableGen"
1234      Ports           [2, 1]
1235      Position            [325, 638, 405, 707]
1236      MinAlgLoopOccurrences   off
1237      PropExecContextOutsideSubsystem off
1238      RTWSystemCode       "Auto"
1239      FunctionWithSeparateData off
1240      Opaque              off
1241      RequestExecContextInheritance off
1242      MaskHideContents        off
1243      System {
1244    Name            "ClockEnableGen"
1245    Location        [465, 685, 955, 978]
1246    Open            off
1247    ModelBrowserVisibility  off
1248    ModelBrowserWidth   200
1249    ScreenColor     "white"
1250    PaperOrientation    "landscape"
1251    PaperPositionMode   "auto"
1252    PaperType       "usletter"
1253    PaperUnits      "inches"
1254    TiledPaperMargins   [0.500000, 0.500000, 0.500000, 0.500000]
1255    TiledPageScale      1
1256    ShowPageBoundaries  off
1257    ZoomFactor      "100"
1258    Block {
1259      BlockType       Inport
1260      Name            "divider"
1261      Position        [55, 33, 85, 47]
1262      IconDisplay         "Port number"
1263    }
1264    Block {
1265      BlockType       Inport
1266      Name            "reset"
1267      Position        [70, 193, 100, 207]
1268      Port            "2"
1269      IconDisplay         "Port number"
1270    }
1271    Block {
1272      BlockType       Reference
1273      Name            "AddSub"
1274      Ports           [2, 1]
1275      Position        [235, 61, 295, 119]
1276      SourceBlock         "xbsIndex_r4/AddSub"
1277      SourceType          "Xilinx Adder/Subtractor Block"
1278      mode            "Subtraction"
1279      use_carryin         off
1280      use_carryout        off
1281      en              off
1282      latency         "0"
1283      precision       "User Defined"
1284      arith_type          "Unsigned"
1285      n_bits          "10"
1286      bin_pt          "0"
1287      quantization        "Truncate"
1288      overflow        "Wrap"
1289      dbl_ovrd        off
1290      use_behavioral_HDL      off
1291      pipelined       off
1292      use_rpm         on
1293      hw_selection        "Fabric"
1294      xl_use_area         off
1295      xl_area         "[6 0 0 10 0 0 0]"
1296      has_advanced_control    "0"
1297      sggui_pos       "20,20,348,344"
1298      block_type          "addsub"
1299      block_version       "10.1.3"
1300      sg_icon_stat        "60,58,1,1,white,blue,0,46b4c804,right"
1301      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 ],[0 0 58 58 ],[0.77 0.82 0.91]);\npatch([15 5 19 5 15 30 34 38 54 41 29 20 34 20 29 41 54 38 34 30 15 ],[6 16 30 44 54 54 50 54 54 41 53 44 30 16 7 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 58 58 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1,'a');\ncolor('black');port_label('input',2,'b');\ncolor('black');port_label('output',1,'\\bf{a - b}','texmode','on');\nfprintf('','COMMENT: end icon text');\n"
1302    }
1303    Block {
1304      BlockType       Reference
1305      Name            "Concat"
1306      Ports           [2, 1]
1307      Position        [140, 25, 200, 85]
1308      SourceBlock         "xbsIndex_r4/Concat"
1309      SourceType          "Xilinx Bus Concatenator Block"
1310      infoedit        "Concatenates two or more inputs.  Output will be cast to an unsigned value with the binary point at zero."
1311      num_inputs          "2"
1312      dbl_ovrd        off
1313      has_advanced_control    "0"
1314      sggui_pos       "-1,-1,-1,-1"
1315      block_type          "concat"
1316      block_version       "10.1.3"
1317      sg_icon_stat        "60,60,1,1,white,blue,0,df1e5aba,right"
1318      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 ],[0 0 60 60 ],[0.77 0.82 0.91]);\npatch([14 4 18 4 14 30 34 38 55 42 29 20 35 20 29 42 55 38 34 30 14 ],[6 16 30 44 54 54 50 54 54 41 54 45 30 15 6 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 60 60 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1,'hi');\ncolor('black');port_label('input',2,'lo');\nfprintf('','COMMENT: end icon text');\n"
1319    }
1320    Block {
1321      BlockType       Reference
1322      Name            "Constant15"
1323      Ports           [0, 1]
1324      Position        [25, 72, 80, 98]
1325      SourceBlock         "xbsIndex_r4/Constant"
1326      SourceType          "Xilinx Constant Block Block"
1327      arith_type          "Unsigned"
1328      const           "0"
1329      n_bits          "2"
1330      bin_pt          "0"
1331      explicit_period     on
1332      period          "1"
1333      dsp48_infoedit      "The use of this block for DSP48 instructions is deprecated.  Please use the Opmode block."
1334      equ             "P=C"
1335      opselect        "C"
1336      inp2            "PCIN>>17"
1337      opr             "+"
1338      inp1            "P"
1339      carry           "CIN"
1340      dbl_ovrd        off
1341      has_advanced_control    "0"
1342      sggui_pos       "20,20,400,346"
1343      block_type          "constant"
1344      block_version       "10.1.3"
1345      sg_icon_stat        "55,26,1,1,white,blue,0,72d575a1,right"
1346      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 ],[0 0 26 26 ],[0.77 0.82 0.91]);\npatch([20 16 22 16 20 27 29 31 38 32 26 22 28 22 26 32 38 31 29 27 20 ],[3 7 13 19 23 23 21 23 23 17 23 19 13 7 3 9 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 55 55 0 0 ],[0 0 26 26 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('output',1,'0');\nfprintf('','COMMENT: end icon text');\n"
1347    }
1348    Block {
1349      BlockType       Reference
1350      Name            "Constant17"
1351      Ports           [0, 1]
1352      Position        [140, 117, 195, 143]
1353      SourceBlock         "xbsIndex_r4/Constant"
1354      SourceType          "Xilinx Constant Block Block"
1355      arith_type          "Unsigned"
1356      const           "2"
1357      n_bits          "10"
1358      bin_pt          "0"
1359      explicit_period     on
1360      period          "1"
1361      dsp48_infoedit      "The use of this block for DSP48 instructions is deprecated.  Please use the Opmode block."
1362      equ             "P=C"
1363      opselect        "C"
1364      inp2            "PCIN>>17"
1365      opr             "+"
1366      inp1            "P"
1367      carry           "CIN"
1368      dbl_ovrd        off
1369      has_advanced_control    "0"
1370      sggui_pos       "20,20,400,346"
1371      block_type          "constant"
1372      block_version       "10.1.3"
1373      sg_icon_stat        "55,26,1,1,white,blue,0,702e86fb,right"
1374      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 ],[0 0 26 26 ],[0.77 0.82 0.91]);\npatch([20 16 22 16 20 27 29 31 38 32 26 22 28 22 26 32 38 31 29 27 20 ],[3 7 13 19 23 23 21 23 23 17 23 19 13 7 3 9 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 55 55 0 0 ],[0 0 26 26 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('output',1,'2');\nfprintf('','COMMENT: end icon text');\n"
1375    }
1376    Block {
1377      BlockType       Reference
1378      Name            "Counter2"
1379      Ports           [1, 1]
1380      Position        [240, 185, 300, 245]
1381      SourceBlock         "xbsIndex_r4/Counter"
1382      SourceType          "Xilinx Counter Block"
1383      infoedit        "Hardware notes: Free running counters are the least expensive in hardware.  A count limited counter is implemented by combining a counter with a comparator."
1384      cnt_type        "Free Running"
1385      cnt_to          "23"
1386      operation       "Up"
1387      start_count         "0"
1388      cnt_by_val          "1"
1389      arith_type          "Unsigned"
1390      n_bits          "10"
1391      bin_pt          "0"
1392      load_pin        off
1393      rst             on
1394      en              off
1395      explicit_period     "on"
1396      period          "1"
1397      dbl_ovrd        off
1398      use_behavioral_HDL      off
1399      use_rpm         off
1400      implementation      "Fabric"
1401      xl_use_area         off
1402      xl_area         "[6 10 0 10 0 0 0]"
1403      has_advanced_control    "0"
1404      sggui_pos       "20,20,348,619"
1405      block_type          "counter"
1406      block_version       "10.1.3"
1407      sg_icon_stat        "60,60,1,1,white,blue,0,300e9576,right"
1408      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 ],[0 0 60 60 ],[0.77 0.82 0.91]);\npatch([14 4 18 4 14 30 34 38 55 42 29 20 35 20 29 42 55 38 34 30 14 ],[6 16 30 44 54 54 50 54 54 41 54 45 30 15 6 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 60 60 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1,'rst');\ncolor('black');port_label('output',1,'out');\nfprintf('','COMMENT: end icon text');\n"
1409    }
1410    Block {
1411      BlockType       Reference
1412      Name            "Logical"
1413      Ports           [2, 1]
1414      Position        [145, 185, 200, 245]
1415      SourceBlock         "xbsIndex_r4/Logical"
1416      SourceType          "Xilinx Logical Block Block"
1417      logical_function    "OR"
1418      inputs          "2"
1419      en              off
1420      latency         "0"
1421      precision       "Full"
1422      arith_type          "Unsigned"
1423      n_bits          "16"
1424      bin_pt          "0"
1425      align_bp        on
1426      dbl_ovrd        off
1427      xl_use_area         off
1428      xl_area         "[0,0,0,0,0,0,0]"
1429      has_advanced_control    "0"
1430      sggui_pos       "20,20,348,261"
1431      block_type          "logical"
1432      block_version       "10.1.3"
1433      sg_icon_stat        "55,60,1,1,white,blue,0,f4a65842,right"
1434      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 ],[0 0 60 60 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13 28 32 36 52 40 28 19 32 19 28 40 52 36 32 28 13 ],[8 17 30 43 52 52 48 52 52 40 52 43 30 17 8 20 8 8 12 8 8 ],[0.98 0.96 0.92]);\nplot([0 55 55 0 0 ],[0 0 60 60 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');disp('\\newlineor\\newlinez^{-0}','texmode','on');\nfprintf('','COMMENT: end icon text');\n"
1435    }
1436    Block {
1437      BlockType       Reference
1438      Name            "Relational"
1439      Ports           [2, 1]
1440      Position        [345, 122, 400, 178]
1441      SourceBlock         "xbsIndex_r4/Relational"
1442      SourceType          "Xilinx Arithmetic Relational Operator Block"
1443      mode            "a=b"
1444      en              off
1445      latency         "1"
1446      dbl_ovrd        off
1447      xl_use_area         off
1448      xl_area         "[3 1 0 6 0 0 0]"
1449      has_advanced_control    "0"
1450      sggui_pos       "20,20,348,193"
1451      block_type          "relational"
1452      block_version       "10.1.3"
1453      sg_icon_stat        "55,56,1,1,white,blue,0,1cf02e61,right"
1454      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 ],[0 0 56 56 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13 28 32 36 52 40 28 19 32 19 28 40 52 36 32 28 13 ],[6 15 28 41 50 50 46 50 50 38 50 41 28 15 6 18 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 55 55 0 0 ],[0 0 56 56 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1,'a');\ncolor('black');port_label('input',2,'b');\ncolor('black');disp('\\newline\\bf{a=b}\\newlinez^{-1}','texmode','on');\nfprintf('','COMMENT: end icon text');\n"
1455    }
1456    Block {
1457      BlockType       Outport
1458      Name            "ce"
1459      Position        [435, 143, 465, 157]
1460      IconDisplay         "Port number"
1461    }
1462    Line {
1463      SrcBlock        "Constant15"
1464      SrcPort         1
1465      Points          [20, 0; 0, -15]
1466      DstBlock        "Concat"
1467      DstPort         2
1468    }
1469    Line {
1470      SrcBlock        "Counter2"
1471      SrcPort         1
1472      Points          [10, 0; 0, -50]
1473      DstBlock        "Relational"
1474      DstPort         2
1475    }
1476    Line {
1477      SrcBlock        "Relational"
1478      SrcPort         1
1479      Points          [5, 0]
1480      Branch {
1481        DstBlock            "ce"
1482        DstPort         1
1483      }
1484      Branch {
1485        Points          [0, 120; -280, 0]
1486        DstBlock            "Logical"
1487        DstPort         2
1488      }
1489    }
1490    Line {
1491      SrcBlock        "AddSub"
1492      SrcPort         1
1493      Points          [15, 0; 0, 45]
1494      DstBlock        "Relational"
1495      DstPort         1
1496    }
1497    Line {
1498      SrcBlock        "Concat"
1499      SrcPort         1
1500      Points          [5, 0; 0, 20]
1501      DstBlock        "AddSub"
1502      DstPort         1
1503    }
1504    Line {
1505      SrcBlock        "Constant17"
1506      SrcPort         1
1507      Points          [10, 0; 0, -25]
1508      DstBlock        "AddSub"
1509      DstPort         2
1510    }
1511    Line {
1512      SrcBlock        "divider"
1513      SrcPort         1
1514      DstBlock        "Concat"
1515      DstPort         1
1516    }
1517    Line {
1518      SrcBlock        "Logical"
1519      SrcPort         1
1520      DstBlock        "Counter2"
1521      DstPort         1
1522    }
1523    Line {
1524      SrcBlock        "reset"
1525      SrcPort         1
1526      DstBlock        "Logical"
1527      DstPort         1
1528    }
1529      }
1530    }
1531    Block {
1532      BlockType           SubSystem
1533      Name            "ClockEnableGen_div4"
1534      Ports           [2, 1]
1535      Position            [325, 733, 405, 802]
1536      MinAlgLoopOccurrences   off
1537      PropExecContextOutsideSubsystem off
1538      RTWSystemCode       "Auto"
1539      FunctionWithSeparateData off
1540      Opaque              off
1541      RequestExecContextInheritance off
1542      MaskHideContents        off
1543      System {
1544    Name            "ClockEnableGen_div4"
1545    Location        [405, 110, 1838, 1114]
1546    Open            off
1547    ModelBrowserVisibility  off
1548    ModelBrowserWidth   200
1549    ScreenColor     "white"
1550    PaperOrientation    "landscape"
1551    PaperPositionMode   "auto"
1552    PaperType       "usletter"
1553    PaperUnits      "inches"
1554    TiledPaperMargins   [0.500000, 0.500000, 0.500000, 0.500000]
1555    TiledPageScale      1
1556    ShowPageBoundaries  off
1557    ZoomFactor      "100"
1558    Block {
1559      BlockType       Inport
1560      Name            "divider"
1561      Position        [75, 143, 105, 157]
1562      IconDisplay         "Port number"
1563    }
1564    Block {
1565      BlockType       Inport
1566      Name            "reset"
1567      Position        [120, 258, 150, 272]
1568      Port            "2"
1569      IconDisplay         "Port number"
1570    }
1571    Block {
1572      BlockType       Reference
1573      Name            "AddSub"
1574      Ports           [2, 1]
1575      Position        [285, 126, 345, 184]
1576      SourceBlock         "xbsIndex_r4/AddSub"
1577      SourceType          "Xilinx Adder/Subtractor Block"
1578      mode            "Subtraction"
1579      use_carryin         off
1580      use_carryout        off
1581      en              off
1582      latency         "0"
1583      precision       "User Defined"
1584      arith_type          "Unsigned"
1585      n_bits          "10"
1586      bin_pt          "0"
1587      quantization        "Truncate"
1588      overflow        "Wrap"
1589      dbl_ovrd        off
1590      use_behavioral_HDL      off
1591      pipelined       off
1592      use_rpm         on
1593      hw_selection        "Fabric"
1594      xl_use_area         off
1595      xl_area         "[6 0 0 10 0 0 0]"
1596      has_advanced_control    "0"
1597      sggui_pos       "20,20,348,344"
1598      block_type          "addsub"
1599      block_version       "10.1.3"
1600      sg_icon_stat        "60,58,1,1,white,blue,0,46b4c804,right"
1601      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 ],[0 0 58 58 ],[0.77 0.82 0.91]);\npatch([15 5 19 5 15 30 34 38 54 41 29 20 34 20 29 41 54 38 34 30 15 ],[6 16 30 44 54 54 50 54 54 41 53 44 30 16 7 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 58 58 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1,'a');\ncolor('black');port_label('input',2,'b');\ncolor('black');port_label('output',1,'\\bf{a - b}','texmode','on');\nfprintf('','COMMENT: end icon text');\n"
1602    }
1603    Block {
1604      BlockType       Reference
1605      Name            "Concat"
1606      Ports           [2, 1]
1607      Position        [190, 80, 250, 140]
1608      SourceBlock         "xbsIndex_r4/Concat"
1609      SourceType          "Xilinx Bus Concatenator Block"
1610      infoedit        "Concatenates two or more inputs.  Output will be cast to an unsigned value with the binary point at zero."
1611      num_inputs          "2"
1612      dbl_ovrd        off
1613      has_advanced_control    "0"
1614      sggui_pos       "-1,-1,-1,-1"
1615      block_type          "concat"
1616      block_version       "10.1.3"
1617      sg_icon_stat        "60,60,1,1,white,blue,0,df1e5aba,right"
1618      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 ],[0 0 60 60 ],[0.77 0.82 0.91]);\npatch([14 4 18 4 14 30 34 38 55 42 29 20 35 20 29 42 55 38 34 30 14 ],[6 16 30 44 54 54 50 54 54 41 54 45 30 15 6 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 60 60 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1,'hi');\ncolor('black');port_label('input',2,'lo');\nfprintf('','COMMENT: end icon text');\n"
1619    }
1620    Block {
1621      BlockType       Reference
1622      Name            "Constant15"
1623      Ports           [0, 1]
1624      Position        [75, 82, 130, 108]
1625      SourceBlock         "xbsIndex_r4/Constant"
1626      SourceType          "Xilinx Constant Block Block"
1627      arith_type          "Unsigned"
1628      const           "0"
1629      n_bits          "2"
1630      bin_pt          "0"
1631      explicit_period     on
1632      period          "1"
1633      dsp48_infoedit      "The use of this block for DSP48 instructions is deprecated.  Please use the Opmode block."
1634      equ             "P=C"
1635      opselect        "C"
1636      inp2            "PCIN>>17"
1637      opr             "+"
1638      inp1            "P"
1639      carry           "CIN"
1640      dbl_ovrd        off
1641      has_advanced_control    "0"
1642      sggui_pos       "20,20,400,346"
1643      block_type          "constant"
1644      block_version       "10.1.3"
1645      sg_icon_stat        "55,26,1,1,white,blue,0,72d575a1,right"
1646      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 ],[0 0 26 26 ],[0.77 0.82 0.91]);\npatch([20 16 22 16 20 27 29 31 38 32 26 22 28 22 26 32 38 31 29 27 20 ],[3 7 13 19 23 23 21 23 23 17 23 19 13 7 3 9 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 55 55 0 0 ],[0 0 26 26 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('output',1,'0');\nfprintf('','COMMENT: end icon text');\n"
1647    }
1648    Block {
1649      BlockType       Reference
1650      Name            "Constant17"
1651      Ports           [0, 1]
1652      Position        [190, 182, 245, 208]
1653      SourceBlock         "xbsIndex_r4/Constant"
1654      SourceType          "Xilinx Constant Block Block"
1655      arith_type          "Unsigned"
1656      const           "2"
1657      n_bits          "10"
1658      bin_pt          "0"
1659      explicit_period     on
1660      period          "1"
1661      dsp48_infoedit      "The use of this block for DSP48 instructions is deprecated.  Please use the Opmode block."
1662      equ             "P=C"
1663      opselect        "C"
1664      inp2            "PCIN>>17"
1665      opr             "+"
1666      inp1            "P"
1667      carry           "CIN"
1668      dbl_ovrd        off
1669      has_advanced_control    "0"
1670      sggui_pos       "20,20,400,346"
1671      block_type          "constant"
1672      block_version       "10.1.3"
1673      sg_icon_stat        "55,26,1,1,white,blue,0,702e86fb,right"
1674      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 ],[0 0 26 26 ],[0.77 0.82 0.91]);\npatch([20 16 22 16 20 27 29 31 38 32 26 22 28 22 26 32 38 31 29 27 20 ],[3 7 13 19 23 23 21 23 23 17 23 19 13 7 3 9 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 55 55 0 0 ],[0 0 26 26 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('output',1,'2');\nfprintf('','COMMENT: end icon text');\n"
1675    }
1676    Block {
1677      BlockType       Reference
1678      Name            "Counter2"
1679      Ports           [1, 1]
1680      Position        [290, 250, 350, 310]
1681      SourceBlock         "xbsIndex_r4/Counter"
1682      SourceType          "Xilinx Counter Block"
1683      infoedit        "Hardware notes: Free running counters are the least expensive in hardware.  A count limited counter is implemented by combining a counter with a comparator."
1684      cnt_type        "Free Running"
1685      cnt_to          "23"
1686      operation       "Up"
1687      start_count         "0"
1688      cnt_by_val          "1"
1689      arith_type          "Unsigned"
1690      n_bits          "10"
1691      bin_pt          "0"
1692      load_pin        off
1693      rst             on
1694      en              off
1695      explicit_period     "on"
1696      period          "1"
1697      dbl_ovrd        off
1698      use_behavioral_HDL      off
1699      use_rpm         off
1700      implementation      "Fabric"
1701      xl_use_area         off
1702      xl_area         "[6 10 0 10 0 0 0]"
1703      has_advanced_control    "0"
1704      sggui_pos       "20,20,348,619"
1705      block_type          "counter"
1706      block_version       "10.1.3"
1707      sg_icon_stat        "60,60,1,1,white,blue,0,300e9576,right"
1708      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 ],[0 0 60 60 ],[0.77 0.82 0.91]);\npatch([14 4 18 4 14 30 34 38 55 42 29 20 35 20 29 42 55 38 34 30 14 ],[6 16 30 44 54 54 50 54 54 41 54 45 30 15 6 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 60 60 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1,'rst');\ncolor('black');port_label('output',1,'out');\nfprintf('','COMMENT: end icon text');\n"
1709    }
1710    Block {
1711      BlockType       Reference
1712      Name            "Logical"
1713      Ports           [2, 1]
1714      Position        [195, 250, 250, 310]
1715      SourceBlock         "xbsIndex_r4/Logical"
1716      SourceType          "Xilinx Logical Block Block"
1717      logical_function    "OR"
1718      inputs          "2"
1719      en              off
1720      latency         "0"
1721      precision       "Full"
1722      arith_type          "Unsigned"
1723      n_bits          "16"
1724      bin_pt          "0"
1725      align_bp        on
1726      dbl_ovrd        off
1727      xl_use_area         off
1728      xl_area         "[0,0,0,0,0,0,0]"
1729      has_advanced_control    "0"
1730      sggui_pos       "20,20,348,261"
1731      block_type          "logical"
1732      block_version       "10.1.3"
1733      sg_icon_stat        "55,60,1,1,white,blue,0,f4a65842,right"
1734      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 ],[0 0 60 60 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13 28 32 36 52 40 28 19 32 19 28 40 52 36 32 28 13 ],[8 17 30 43 52 52 48 52 52 40 52 43 30 17 8 20 8 8 12 8 8 ],[0.98 0.96 0.92]);\nplot([0 55 55 0 0 ],[0 0 60 60 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');disp('\\newlineor\\newlinez^{-0}','texmode','on');\nfprintf('','COMMENT: end icon text');\n"
1735    }
1736    Block {
1737      BlockType       Reference
1738      Name            "Relational"
1739      Ports           [2, 1]
1740      Position        [395, 187, 450, 243]
1741      SourceBlock         "xbsIndex_r4/Relational"
1742      SourceType          "Xilinx Arithmetic Relational Operator Block"
1743      mode            "a=b"
1744      en              off
1745      latency         "1"
1746      dbl_ovrd        off
1747      xl_use_area         off
1748      xl_area         "[3 1 0 6 0 0 0]"
1749      has_advanced_control    "0"
1750      sggui_pos       "20,20,348,193"
1751      block_type          "relational"
1752      block_version       "10.1.3"
1753      sg_icon_stat        "55,56,1,1,white,blue,0,1cf02e61,right"
1754      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 ],[0 0 56 56 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13 28 32 36 52 40 28 19 32 19 28 40 52 36 32 28 13 ],[6 15 28 41 50 50 46 50 50 38 50 41 28 15 6 18 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 55 55 0 0 ],[0 0 56 56 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1,'a');\ncolor('black');port_label('input',2,'b');\ncolor('black');disp('\\newline\\bf{a=b}\\newlinez^{-1}','texmode','on');\nfprintf('','COMMENT: end icon text');\n"
1755    }
1756    Block {
1757      BlockType       Outport
1758      Name            "ce4"
1759      Position        [485, 208, 515, 222]
1760      IconDisplay         "Port number"
1761    }
1762    Line {
1763      SrcBlock        "Constant17"
1764      SrcPort         1
1765      Points          [10, 0; 0, -25]
1766      DstBlock        "AddSub"
1767      DstPort         2
1768    }
1769    Line {
1770      SrcBlock        "AddSub"
1771      SrcPort         1
1772      Points          [15, 0; 0, 45]
1773      DstBlock        "Relational"
1774      DstPort         1
1775    }
1776    Line {
1777      SrcBlock        "Relational"
1778      SrcPort         1
1779      Points          [10, 0]
1780      Branch {
1781        DstBlock            "ce4"
1782        DstPort         1
1783      }
1784      Branch {
1785        Points          [0, 125; -295, 0; 0, -45]
1786        DstBlock            "Logical"
1787        DstPort         2
1788      }
1789    }
1790    Line {
1791      SrcBlock        "Counter2"
1792      SrcPort         1
1793      Points          [10, 0; 0, -50]
1794      DstBlock        "Relational"
1795      DstPort         2
1796    }
1797    Line {
1798      SrcBlock        "Concat"
1799      SrcPort         1
1800      Points          [5, 0; 0, 30]
1801      DstBlock        "AddSub"
1802      DstPort         1
1803    }
1804    Line {
1805      SrcBlock        "Constant15"
1806      SrcPort         1
1807      DstBlock        "Concat"
1808      DstPort         1
1809    }
1810    Line {
1811      SrcBlock        "divider"
1812      SrcPort         1
1813      Points          [50, 0; 0, -25]
1814      DstBlock        "Concat"
1815      DstPort         2
1816    }
1817    Line {
1818      SrcBlock        "Logical"
1819      SrcPort         1
1820      DstBlock        "Counter2"
1821      DstPort         1
1822    }
1823    Line {
1824      SrcBlock        "reset"
1825      SrcPort         1
1826      DstBlock        "Logical"
1827      DstPort         1
1828    }
1829      }
1830    }
1831    Block {
1832      BlockType           SubSystem
1833      Name            "ConfigDataMuxCtrl"
1834      Ports           [2, 1]
1835      Position            [615, 58, 740, 147]
1836      MinAlgLoopOccurrences   off
1837      PropExecContextOutsideSubsystem off
1838      RTWSystemCode       "Auto"
1839      FunctionWithSeparateData off
1840      Opaque              off
1841      RequestExecContextInheritance off
1842      MaskHideContents        off
1843      System {
1844    Name            "ConfigDataMuxCtrl"
1845    Location        [847, 660, 1845, 990]
1846    Open            off
1847    ModelBrowserVisibility  off
1848    ModelBrowserWidth   200
1849    ScreenColor     "white"
1850    PaperOrientation    "landscape"
1851    PaperPositionMode   "auto"
1852    PaperType       "usletter"
1853    PaperUnits      "inches"
1854    TiledPaperMargins   [0.500000, 0.500000, 0.500000, 0.500000]
1855    TiledPageScale      1
1856    ShowPageBoundaries  off
1857    ZoomFactor      "100"
1858    Block {
1859      BlockType       Inport
1860      Name            "configrising"
1861      Position        [40, 45, 70, 60]
1862      Orientation         "down"
1863      IconDisplay         "Port number"
1864    }
1865    Block {
1866      BlockType       Inport
1867      Name            "enable31falling"
1868      Position        [300, 25, 330, 40]
1869      Orientation         "down"
1870      Port            "2"
1871      IconDisplay         "Port number"
1872    }
1873    Block {
1874      BlockType       Reference
1875      Name            "Delay1"
1876      Ports           [1, 1]
1877      Position        [27, 100, 83, 160]
1878      Orientation         "down"
1879      SourceBlock         "xbsIndex_r4/Delay"
1880      SourceType          "Xilinx Delay Block"
1881      infoedit        "Hardware notes: A delay line is a chain, each link of which is an SRL16 followed by a flip-flop."
1882      en              off
1883      latency         "1"
1884      dbl_ovrd        off
1885      reg_retiming        off
1886      xl_use_area         off
1887      xl_area         "[1 1 0 0 0 0 0]"
1888      has_advanced_control    "0"
1889      sggui_pos       "20,20,348,255"
1890      block_type          "delay"
1891      block_version       "10.1.3"
1892      sg_icon_stat        "56,60,1,1,white,blue,0,fc531c0e,down"
1893      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 56 56 0 ],[0 0 60 60 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13 28 32 36 52 39 27 18 30 18 27 39 52 36 32 28 13 ],[8 17 30 43 52 52 48 52 52 39 51 42 30 18 9 21 8 8 12 8 8 ],[0.98 0.96 0.92]);\nplot([0 56 56 0 0 ],[0 0 60 60 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');disp('z^{-1}','texmode','on');\nfprintf('','COMMENT: end icon text');\n"
1894    }
1895    Block {
1896      BlockType       Reference
1897      Name            "Inverter"
1898      Ports           [1, 1]
1899      Position        [702, 125, 728, 145]
1900      Orientation         "down"
1901      SourceBlock         "xbsIndex_r4/Inverter"
1902      SourceType          "Xilinx Inverter Block"
1903      infoedit        "Bitwise logical negation (one's complement) operator."
1904      en              off
1905      latency         "0"
1906      dbl_ovrd        off
1907      xl_use_area         off
1908      xl_area         "[0 0 0 0 0 0 0]"
1909      has_advanced_control    "0"
1910      sggui_pos       "-1,-1,-1,-1"
1911      block_type          "inv"
1912      block_version       "10.1.3"
1913      sg_icon_stat        "26,20,1,1,white,blue,0,1ab4a85f,down"
1914      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 26 26 0 ],[0 0 20 20 ],[0.77 0.82 0.91]);\npatch([7 4 9 4 7 12 13 14 20 16 12 9 14 9 12 16 20 14 13 12 7 ],[2 5 10 15 18 18 17 18 18 14 18 15 10 5 2 6 2 2 3 2 2 ],[0.98 0.96 0.92]);\nplot([0 26 26 0 0 ],[0 0 20 20 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');disp('not');\nfprintf('','COMMENT: end icon text');\n"
1915    }
1916    Block {
1917      BlockType       Reference
1918      Name            "Inverter1"
1919      Ports           [1, 1]
1920      Position        [572, 230, 598, 250]
1921      Orientation         "down"
1922      SourceBlock         "xbsIndex_r4/Inverter"
1923      SourceType          "Xilinx Inverter Block"
1924      infoedit        "Bitwise logical negation (one's complement) operator."
1925      en              off
1926      latency         "0"
1927      dbl_ovrd        off
1928      xl_use_area         off
1929      xl_area         "[0 0 0 0 0 0 0]"
1930      has_advanced_control    "0"
1931      sggui_pos       "-1,-1,-1,-1"
1932      block_type          "inv"
1933      block_version       "10.1.3"
1934      sg_icon_stat        "26,20,1,1,white,blue,0,1ab4a85f,down"
1935      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 26 26 0 ],[0 0 20 20 ],[0.77 0.82 0.91]);\npatch([7 4 9 4 7 12 13 14 20 16 12 9 14 9 12 16 20 14 13 12 7 ],[2 5 10 15 18 18 17 18 18 14 18 15 10 5 2 6 2 2 3 2 2 ],[0.98 0.96 0.92]);\nplot([0 26 26 0 0 ],[0 0 20 20 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');disp('not');\nfprintf('','COMMENT: end icon text');\n"
1936    }
1937    Block {
1938      BlockType       Reference
1939      Name            "Logical"
1940      Ports           [4, 1]
1941      Position        [755, 157, 810, 218]
1942      SourceBlock         "xbsIndex_r4/Logical"
1943      SourceType          "Xilinx Logical Block Block"
1944      logical_function    "AND"
1945      inputs          "4"
1946      en              off
1947      latency         "0"
1948      precision       "Full"
1949      arith_type          "Unsigned"
1950      n_bits          "16"
1951      bin_pt          "0"
1952      align_bp        on
1953      dbl_ovrd        off
1954      xl_use_area         off
1955      xl_area         "[1 0 0 1 0 0 0]"
1956      has_advanced_control    "0"
1957      sggui_pos       "20,20,348,261"
1958      block_type          "logical"
1959      block_version       "10.1.3"
1960      sg_icon_stat        "55,61,1,1,white,blue,0,04d2767a,right"
1961      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 ],[0 0 61 61 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13 28 32 36 52 40 28 19 32 19 28 40 52 36 32 28 13 ],[9 18 31 44 53 53 49 53 53 41 53 44 31 18 9 21 9 9 13 9 9 ],[0.98 0.96 0.92]);\nplot([0 55 55 0 0 ],[0 0 61 61 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');disp('\\newlineand\\newlinez^{-0}','texmode','on');\nfprintf('','COMMENT: end icon text');\n"
1962    }
1963    Block {
1964      BlockType       Reference
1965      Name            "Logical1"
1966      Ports           [4, 1]
1967      Position        [755, 242, 810, 303]
1968      SourceBlock         "xbsIndex_r4/Logical"
1969      SourceType          "Xilinx Logical Block Block"
1970      logical_function    "AND"
1971      inputs          "4"
1972      en              off
1973      latency         "0"
1974      precision       "Full"
1975      arith_type          "Unsigned"
1976      n_bits          "16"
1977      bin_pt          "0"
1978      align_bp        on
1979      dbl_ovrd        off
1980      xl_use_area         off
1981      xl_area         "[1 0 0 1 0 0 0]"
1982      has_advanced_control    "0"
1983      sggui_pos       "20,20,348,261"
1984      block_type          "logical"
1985      block_version       "10.1.3"
1986      sg_icon_stat        "55,61,1,1,white,blue,0,04d2767a,right"
1987      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 ],[0 0 61 61 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13 28 32 36 52 40 28 19 32 19 28 40 52 36 32 28 13 ],[9 18 31 44 53 53 49 53 53 41 53 44 31 18 9 21 9 9 13 9 9 ],[0.98 0.96 0.92]);\nplot([0 55 55 0 0 ],[0 0 61 61 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');disp('\\newlineand\\newlinez^{-0}','texmode','on');\nfprintf('','COMMENT: end icon text');\n"
1988    }
1989    Block {
1990      BlockType       Reference
1991      Name            "Logical2"
1992      Ports           [2, 1]
1993      Position        [865, 205, 920, 265]
1994      SourceBlock         "xbsIndex_r4/Logical"
1995      SourceType          "Xilinx Logical Block Block"
1996      logical_function    "OR"
1997      inputs          "2"
1998      en              off
1999      latency         "0"
2000      precision       "Full"
2001      arith_type          "Unsigned"
2002      n_bits          "16"
2003      bin_pt          "0"
2004      align_bp        on
2005      dbl_ovrd        off
2006      xl_use_area         off
2007      xl_area         "[1 0 0 1 0 0 0]"
2008      has_advanced_control    "0"
2009      sggui_pos       "20,20,348,261"
2010      block_type          "logical"
2011      block_version       "10.1.3"
2012      sg_icon_stat        "55,60,1,1,white,blue,0,f4a65842,right"
2013      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 ],[0 0 60 60 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13 28 32 36 52 40 28 19 32 19 28 40 52 36 32 28 13 ],[8 17 30 43 52 52 48 52 52 40 52 43 30 17 8 20 8 8 12 8 8 ],[0.98 0.96 0.92]);\nplot([0 55 55 0 0 ],[0 0 60 60 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');disp('\\newlineor\\newlinez^{-0}','texmode','on');\nfprintf('','COMMENT: end icon text');\n"
2014    }
2015    Block {
2016      BlockType       Reference
2017      Name            "Register"
2018      Ports           [3, 1]
2019      Position        [355, 52, 415, 108]
2020      SourceBlock         "xbsIndex_r4/Register"
2021      SourceType          "Xilinx Register Block"
2022      init            "0"
2023      rst             on
2024      en              on
2025      dbl_ovrd        off
2026      xl_use_area         off
2027      xl_area         "[1 1 0 0 0 0 0]"
2028      has_advanced_control    "0"
2029      sggui_pos       "20,20,348,193"
2030      block_type          "register"
2031      block_version       "10.1.3"
2032      sg_icon_stat        "60,56,1,1,white,blue,0,923c1847,right"
2033      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 ],[0 0 56 56 ],[0.77 0.82 0.91]);\npatch([15 6 19 6 15 30 34 38 54 41 29 20 32 20 29 41 54 38 34 30 15 ],[6 15 28 41 50 50 46 50 50 37 49 40 28 16 7 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 56 56 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1,'d');\ncolor('black');port_label('input',2,'rst');\ncolor('black');port_label('input',3,'en');\ncolor('black');port_label('output',1,'q');\ncolor('black');disp('\\bf{z^{-1}}','texmode','on');\nfprintf('','COMMENT: end icon text');\n"
2034    }
2035    Block {
2036      BlockType       Reference
2037      Name            "Register1"
2038      Ports           [3, 1]
2039      Position        [495, 52, 555, 108]
2040      SourceBlock         "xbsIndex_r4/Register"
2041      SourceType          "Xilinx Register Block"
2042      init            "0"
2043      rst             on
2044      en              on
2045      dbl_ovrd        off
2046      xl_use_area         off
2047      xl_area         "[1 1 0 0 0 0 0]"
2048      has_advanced_control    "0"
2049      sggui_pos       "20,20,348,193"
2050      block_type          "register"
2051      block_version       "10.1.3"
2052      sg_icon_stat        "60,56,1,1,white,blue,0,923c1847,right"
2053      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 ],[0 0 56 56 ],[0.77 0.82 0.91]);\npatch([15 6 19 6 15 30 34 38 54 41 29 20 32 20 29 41 54 38 34 30 15 ],[6 15 28 41 50 50 46 50 50 37 49 40 28 16 7 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 56 56 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1,'d');\ncolor('black');port_label('input',2,'rst');\ncolor('black');port_label('input',3,'en');\ncolor('black');port_label('output',1,'q');\ncolor('black');disp('\\bf{z^{-1}}','texmode','on');\nfprintf('','COMMENT: end icon text');\n"
2054    }
2055    Block {
2056      BlockType       Reference
2057      Name            "Register2"
2058      Ports           [3, 1]
2059      Position        [630, 52, 690, 108]
2060      SourceBlock         "xbsIndex_r4/Register"
2061      SourceType          "Xilinx Register Block"
2062      init            "0"
2063      rst             on
2064      en              on
2065      dbl_ovrd        off
2066      xl_use_area         off
2067      xl_area         "[1 1 0 0 0 0 0]"
2068      has_advanced_control    "0"
2069      sggui_pos       "20,20,348,193"
2070      block_type          "register"
2071      block_version       "10.1.3"
2072      sg_icon_stat        "60,56,1,1,white,blue,0,923c1847,right"
2073      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 ],[0 0 56 56 ],[0.77 0.82 0.91]);\npatch([15 6 19 6 15 30 34 38 54 41 29 20 32 20 29 41 54 38 34 30 15 ],[6 15 28 41 50 50 46 50 50 37 49 40 28 16 7 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 56 56 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1,'d');\ncolor('black');port_label('input',2,'rst');\ncolor('black');port_label('input',3,'en');\ncolor('black');port_label('output',1,'q');\ncolor('black');disp('\\bf{z^{-1}}','texmode','on');\nfprintf('','COMMENT: end icon text');\n"
2074    }
2075    Block {
2076      BlockType       Reference
2077      Name            "Register3"
2078      Ports           [3, 1]
2079      Position        [125, 182, 185, 238]
2080      SourceBlock         "xbsIndex_r4/Register"
2081      SourceType          "Xilinx Register Block"
2082      init            "0"
2083      rst             on
2084      en              on
2085      dbl_ovrd        off
2086      xl_use_area         off
2087      xl_area         "[1 1 0 0 0 0 0]"
2088      has_advanced_control    "0"
2089      sggui_pos       "20,20,348,193"
2090      block_type          "register"
2091      block_version       "10.1.3"
2092      sg_icon_stat        "60,56,1,1,white,blue,0,923c1847,right"
2093      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 ],[0 0 56 56 ],[0.77 0.82 0.91]);\npatch([15 6 19 6 15 30 34 38 54 41 29 20 32 20 29 41 54 38 34 30 15 ],[6 15 28 41 50 50 46 50 50 37 49 40 28 16 7 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 56 56 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1,'d');\ncolor('black');port_label('input',2,'rst');\ncolor('black');port_label('input',3,'en');\ncolor('black');port_label('output',1,'q');\ncolor('black');disp('\\bf{z^{-1}}','texmode','on');\nfprintf('','COMMENT: end icon text');\n"
2094    }
2095    Block {
2096      BlockType       Outport
2097      Name            "mux_sel"
2098      Position        [945, 228, 975, 242]
2099      IconDisplay         "Port number"
2100    }
2101    Line {
2102      SrcBlock        "enable31falling"
2103      SrcPort         1
2104      Points          [0, 15]
2105      Branch {
2106        Points          [0, 40]
2107        Branch {
2108          Points              [0, 25; 165, 0]
2109          Branch {
2110        Points          [135, 0]
2111        DstBlock        "Register2"
2112        DstPort         3
2113          }
2114          Branch {
2115        DstBlock        "Register1"
2116        DstPort         3
2117          }
2118        }
2119        Branch {
2120          DstBlock            "Register"
2121          DstPort             3
2122        }
2123      }
2124      Branch {
2125        DstBlock            "Register"
2126        DstPort         1
2127      }
2128    }
2129    Line {
2130      SrcBlock        "Register"
2131      SrcPort         1
2132      Points          [30, 0]
2133      Branch {
2134        Points          [0, -20]
2135        DstBlock            "Register1"
2136        DstPort         1
2137      }
2138      Branch {
2139        Points          [0, 115]
2140        Branch {
2141          DstBlock            "Logical"
2142          DstPort             3
2143        }
2144        Branch {
2145          Points              [0, 85]
2146          DstBlock            "Logical1"
2147          DstPort             3
2148        }
2149      }
2150    }
2151    Line {
2152      SrcBlock        "Register1"
2153      SrcPort         1
2154      Points          [25, 0]
2155      Branch {
2156        Points          [0, -20]
2157        DstBlock            "Register2"
2158        DstPort         1
2159      }
2160      Branch {
2161        Points          [0, 100]
2162        Branch {
2163          DstBlock            "Logical"
2164          DstPort             2
2165        }
2166        Branch {
2167          DstBlock            "Inverter1"
2168          DstPort             1
2169        }
2170      }
2171    }
2172    Line {
2173      SrcBlock        "Delay1"
2174      SrcPort         1
2175      Points          [0, 25]
2176      Branch {
2177        DstBlock            "Register3"
2178        DstPort         1
2179      }
2180      Branch {
2181        Points          [0, 40]
2182        DstBlock            "Register3"
2183        DstPort         3
2184      }
2185    }
2186    Line {
2187      SrcBlock        "Register2"
2188      SrcPort         1
2189      Points          [20, 0]
2190      DstBlock        "Inverter"
2191      DstPort         1
2192    }
2193    Line {
2194      SrcBlock        "Register3"
2195      SrcPort         1
2196      Points          [245, 0]
2197      Branch {
2198        DstBlock            "Logical"
2199        DstPort         4
2200      }
2201      Branch {
2202        Points          [0, 85]
2203        DstBlock            "Logical1"
2204        DstPort         4
2205      }
2206    }
2207    Line {
2208      SrcBlock        "Inverter"
2209      SrcPort         1
2210      Points          [0, 15]
2211      Branch {
2212        DstBlock            "Logical"
2213        DstPort         1
2214      }
2215      Branch {
2216        Points          [0, 85]
2217        DstBlock            "Logical1"
2218        DstPort         1
2219      }
2220    }
2221    Line {
2222      SrcBlock        "Inverter1"
2223      SrcPort         1
2224      Points          [0, 10]
2225      DstBlock        "Logical1"
2226      DstPort         2
2227    }
2228    Line {
2229      SrcBlock        "Logical"
2230      SrcPort         1
2231      Points          [30, 0; 0, 30]
2232      DstBlock        "Logical2"
2233      DstPort         1
2234    }
2235    Line {
2236      SrcBlock        "Logical1"
2237      SrcPort         1
2238      Points          [30, 0; 0, -25]
2239      DstBlock        "Logical2"
2240      DstPort         2
2241    }
2242    Line {
2243      SrcBlock        "configrising"
2244      SrcPort         1
2245      Points          [0, 15]
2246      Branch {
2247        DstBlock            "Delay1"
2248        DstPort         1
2249      }
2250      Branch {
2251        Points          [45, 0]
2252        Branch {
2253          Points              [170, 0]
2254          Branch {
2255        DstBlock        "Register"
2256        DstPort         2
2257          }
2258          Branch {
2259        Points          [0, 70; 195, 0]
2260        Branch {
2261          Points          [0, -70]
2262          DstBlock        "Register1"
2263          DstPort         2
2264        }
2265        Branch {
2266          Points          [135, 0; 0, -70]
2267          DstBlock        "Register2"
2268          DstPort         2
2269        }
2270          }
2271        }
2272        Branch {
2273          Points              [0, 130]
2274          DstBlock            "Register3"
2275          DstPort             2
2276        }
2277      }
2278    }
2279    Line {
2280      SrcBlock        "Logical2"
2281      SrcPort         1
2282      DstBlock        "mux_sel"
2283      DstPort         1
2284    }
2285      }
2286    }
2287    Block {
2288      BlockType           Constant
2289      Name            "Constant16"
2290      Position            [145, 640, 175, 670]
2291      Value           "hex2dec('80')"
2292      OutDataType         "sfix(16)"
2293      OutScaling          "2^0"
2294    }
2295    Block {
2296      BlockType           Reference
2297      Name            "Delay1"
2298      Ports           [1, 1]
2299      Position            [435, 52, 495, 108]
2300      SourceBlock         "xbsIndex_r4/Delay"
2301      SourceType          "Xilinx Delay Block"
2302      infoedit            "Hardware notes: A delay line is a chain, each link of which is an SRL16 followed by a flip-flop."
2303      en              off
2304      latency             "80"
2305      dbl_ovrd            off
2306      reg_retiming        off
2307      xl_use_area         off
2308      xl_area             "[1 1 0 0 0 0 0]"
2309      has_advanced_control    "0"
2310      sggui_pos           "20,20,348,255"
2311      block_type          "delay"
2312      block_version       "10.1.3"
2313      sg_icon_stat        "60,56,1,1,white,blue,0,caa6cacf,right"
2314      sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 ],[0 0 56 56 ],[0.77 0.82 0.91]);\npatch([15 6 19 6 15 30 34 38 54 41 29 20 32 20 29 41 54 38 34 30 15 ],[6 15 28 41 50 50 46 50 50 37 49 40 28 16 7 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 56 56 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');disp('z^{-80}','texmode','on');\nfprintf('','COMMENT: end icon text');\n"
2315    }
2316    Block {
2317      BlockType           Reference
2318      Name            "Delay4"
2319      Ports           [2, 1]
2320      Position            [650, 297, 710, 353]
2321      SourceBlock         "xbsIndex_r4/Delay"
2322      SourceType          "Xilinx Delay Block"
2323      infoedit            "Hardware notes: A delay line is a chain, each link of which is an SRL16 followed by a flip-flop."
2324      en              on
2325      latency             "1"
2326      dbl_ovrd            off
2327      reg_retiming        off
2328      xl_use_area         off
2329      xl_area             "[1 1 0 0 0 0 0]"
2330      has_advanced_control    "0"
2331      sggui_pos           "20,20,348,255"
2332      block_type          "delay"
2333      block_version       "10.1.3"
2334      sg_icon_stat        "60,56,1,1,white,blue,0,9c7d2b66,right"
2335      sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 ],[0 0 56 56 ],[0.77 0.82 0.91]);\npatch([15 6 19 6 15 30 34 38 54 41 29 20 32 20 29 41 54 38 34 30 15 ],[6 15 28 41 50 50 46 50 50 37 49 40 28 16 7 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 56 56 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',2,'en');\ncolor('black');disp('z^{-1}','texmode','on');\nfprintf('','COMMENT: end icon text');\n"
2336    }
2337    Block {
2338      BlockType           From
2339      Name            "From"
2340      Position            [570, 326, 610, 354]
2341      CloseFcn            "tagdialog Close"
2342      GotoTag             "CE"
2343      TagVisibility       "global"
2344    }
2345    Block {
2346      BlockType           From
2347      Name            "From1"
2348      Position            [310, 331, 350, 359]
2349      CloseFcn            "tagdialog Close"
2350      GotoTag             "CE"
2351      TagVisibility       "global"
2352    }
2353    Block {
2354      BlockType           Goto
2355      Name            "Goto"
2356      Position            [460, 660, 500, 690]
2357      GotoTag             "CE"
2358      TagVisibility       "global"
2359    }
2360    Block {
2361      BlockType           Goto
2362      Name            "Goto1"
2363      Position            [460, 755, 500, 785]
2364      GotoTag             "CE4"
2365      TagVisibility       "global"
2366    }
2367    Block {
2368      BlockType           DiscretePulseGenerator
2369      Name            "Pulse\nGenerator1"
2370      Ports           [0, 1]
2371      Position            [50, 258, 95, 292]
2372      PulseType           "Time based"
2373      Period              "500000"
2374      PulseWidth          "10/2000*100"
2375      PhaseDelay          "2000"
2376    }
2377    Block {
2378      BlockType           Reference
2379      Name            "Resource Estimator"
2380      Tag             "resEstTag"
2381      Ports           []
2382      Position            [106, 18, 159, 71]
2383      ShowName            off
2384      AttributesFormatString  "Resource\\nEstimator"
2385      SourceBlock         "xbsIndex_r4/Resource Estimator"
2386      SourceType          "Xilinx Resource Estimator Block"
2387      Slices              "127"
2388      FFs             "89"
2389      BRAMs           "0"
2390      LUTs            "186"
2391      IOBs            "57"
2392      EBMs            "0"
2393      TBUFs           "0"
2394      xl_use_estimator_area   off
2395      est_options         "Estimate"
2396      has_advanced_control    "0"
2397      sggui_pos           "20,20,336,293"
2398      block_type          "resource_estimator"
2399      block_version       "10.1.3"
2400      sg_icon_stat        "53,53,-1,-1,blue,white,0,07734,right"
2401      sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npatch([0 53 53 0 ],[0 0 53 53 ],[0.98 0.96 0.92]);\npatch([13 4 16 4 13 27 31 35 50 38 27 19 31 19 27 38 50 35 31 27 13 ],[6 15 27 39 48 48 44 48 48 36 47 39 27 15 7 18 6 6 10 6 6 ],[0.77 0.82 0.91]);\nplot([0 53 53 0 0 ],[0 0 53 53 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\nfprintf('','COMMENT: end icon text');\n"
2402    }
2403    Block {
2404      BlockType           SubSystem
2405      Name            "SCLGenerate"
2406      Ports           [2, 1]
2407      Position            [785, 437, 925, 608]
2408      MinAlgLoopOccurrences   off
2409      PropExecContextOutsideSubsystem off
2410      RTWSystemCode       "Auto"
2411      FunctionWithSeparateData off
2412      Opaque              off
2413      RequestExecContextInheritance off
2414      MaskHideContents        off
2415      System {
2416    Name            "SCLGenerate"
2417    Location        [952, 723, 1467, 937]
2418    Open            off
2419    ModelBrowserVisibility  off
2420    ModelBrowserWidth   200
2421    ScreenColor     "white"
2422    PaperOrientation    "landscape"
2423    PaperPositionMode   "auto"
2424    PaperType       "usletter"
2425    PaperUnits      "inches"
2426    TiledPaperMargins   [0.500000, 0.500000, 0.500000, 0.500000]
2427    TiledPageScale      1
2428    ShowPageBoundaries  off
2429    ZoomFactor      "100"
2430    Block {
2431      BlockType       Inport
2432      Name            "enable31"
2433      Position        [100, 33, 130, 47]
2434      IconDisplay         "Port number"
2435    }
2436    Block {
2437      BlockType       Inport
2438      Name            "reset_rising"
2439      Position        [35, 103, 65, 117]
2440      Port            "2"
2441      IconDisplay         "Port number"
2442    }
2443    Block {
2444      BlockType       Reference
2445      Name            "Constant2"
2446      Ports           [0, 1]
2447      Position        [290, 67, 345, 93]
2448      SourceBlock         "xbsIndex_r4/Constant"
2449      SourceType          "Xilinx Constant Block Block"
2450      arith_type          "Unsigned"
2451      const           "1"
2452      n_bits          "1"
2453      bin_pt          "0"
2454      explicit_period     off
2455      period          "1"
2456      dsp48_infoedit      "The use of this block for DSP48 instructions is deprecated.  Please use the Opmode block."
2457      equ             "P=C"
2458      opselect        "C"
2459      inp2            "PCIN>>17"
2460      opr             "+"
2461      inp1            "P"
2462      carry           "CIN"
2463      dbl_ovrd        off
2464      has_advanced_control    "0"
2465      sggui_pos       "20,20,400,346"
2466      block_type          "constant"
2467      block_version       "10.1.3"
2468      sg_icon_stat        "55,26,1,1,white,blue,0,06094819,right"
2469      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 ],[0 0 26 26 ],[0.77 0.82 0.91]);\npatch([20 16 22 16 20 27 29 31 38 32 26 22 28 22 26 32 38 31 29 27 20 ],[3 7 13 19 23 23 21 23 23 17 23 19 13 7 3 9 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 55 55 0 0 ],[0 0 26 26 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('output',1,'1');\nfprintf('','COMMENT: end icon text');\n"
2470    }
2471    Block {
2472      BlockType       Reference
2473      Name            "Counter1"
2474      Ports           [2, 1]
2475      Position        [100, 95, 160, 155]
2476      SourceBlock         "xbsIndex_r4/Counter"
2477      SourceType          "Xilinx Counter Block"
2478      infoedit        "Hardware notes: Free running counters are the least expensive in hardware.  A count limited counter is implemented by combining a counter with a comparator."
2479      cnt_type        "Free Running"
2480      cnt_to          "23"
2481      operation       "Up"
2482      start_count         "0"
2483      cnt_by_val          "1"
2484      arith_type          "Unsigned"
2485      n_bits          "2"
2486      bin_pt          "0"
2487      load_pin        off
2488      rst             on
2489      en              on
2490      explicit_period     "on"
2491      period          "1"
2492      dbl_ovrd        off
2493      use_behavioral_HDL      off
2494      use_rpm         off
2495      implementation      "Fabric"
2496      xl_use_area         off
2497      xl_area         "[2 2 0 2 0 0 0]"
2498      has_advanced_control    "0"
2499      sggui_pos       "20,20,348,619"
2500      block_type          "counter"
2501      block_version       "10.1.3"
2502      sg_icon_stat        "60,60,1,1,white,blue,0,46c73e85,right"
2503      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 ],[0 0 60 60 ],[0.77 0.82 0.91]);\npatch([14 4 18 4 14 30 34 38 55 42 29 20 35 20 29 42 55 38 34 30 14 ],[6 16 30 44 54 54 50 54 54 41 54 45 30 15 6 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 60 60 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1,'rst');\ncolor('black');port_label('input',2,'en');\ncolor('black');port_label('output',1,'out');\nfprintf('','COMMENT: end icon text');\n"
2504    }
2505    Block {
2506      BlockType       Reference
2507      Name            "Delay2"
2508      Ports           [2, 1]
2509      Position        [285, 112, 345, 168]
2510      SourceBlock         "xbsIndex_r4/Delay"
2511      SourceType          "Xilinx Delay Block"
2512      infoedit        "Hardware notes: A delay line is a chain, each link of which is an SRL16 followed by a flip-flop."
2513      en              on
2514      latency         "4"
2515      dbl_ovrd        off
2516      reg_retiming        off
2517      xl_use_area         off
2518      xl_area         "[1 1 0 1 0 0 0]"
2519      has_advanced_control    "0"
2520      sggui_pos       "20,20,348,255"
2521      block_type          "delay"
2522      block_version       "10.1.3"
2523      sg_icon_stat        "60,56,1,1,white,blue,0,f683d42d,right"
2524      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 ],[0 0 56 56 ],[0.77 0.82 0.91]);\npatch([15 6 19 6 15 30 34 38 54 41 29 20 32 20 29 41 54 38 34 30 15 ],[6 15 28 41 50 50 46 50 50 37 49 40 28 16 7 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 56 56 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',2,'en');\ncolor('black');disp('z^{-4}','texmode','on');\nfprintf('','COMMENT: end icon text');\n"
2525    }
2526    Block {
2527      BlockType       Reference
2528      Name            "Delay3"
2529      Ports           [2, 1]
2530      Position        [165, 27, 225, 83]
2531      SourceBlock         "xbsIndex_r4/Delay"
2532      SourceType          "Xilinx Delay Block"
2533      infoedit        "Hardware notes: A delay line is a chain, each link of which is an SRL16 followed by a flip-flop."
2534      en              on
2535      latency         "1"
2536      dbl_ovrd        off
2537      reg_retiming        off
2538      xl_use_area         off
2539      xl_area         "[1 1 0 0 0 0 0]"
2540      has_advanced_control    "0"
2541      sggui_pos       "20,20,348,255"
2542      block_type          "delay"
2543      block_version       "10.1.3"
2544      sg_icon_stat        "60,56,1,1,white,blue,0,9c7d2b66,right"
2545      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 ],[0 0 56 56 ],[0.77 0.82 0.91]);\npatch([15 6 19 6 15 30 34 38 54 41 29 20 32 20 29 41 54 38 34 30 15 ],[6 15 28 41 50 50 46 50 50 37 49 40 28 16 7 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 56 56 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',2,'en');\ncolor('black');disp('z^{-1}','texmode','on');\nfprintf('','COMMENT: end icon text');\n"
2546    }
2547    Block {
2548      BlockType       From
2549      Name            "From1"
2550      Position        [60, 56, 100, 84]
2551      CloseFcn        "tagdialog Close"
2552      GotoTag         "CE"
2553      TagVisibility       "global"
2554    }
2555    Block {
2556      BlockType       From
2557      Name            "From4"
2558      Position        [25, 126, 65, 154]
2559      CloseFcn        "tagdialog Close"
2560      GotoTag         "CE4"
2561      TagVisibility       "global"
2562    }
2563    Block {
2564      BlockType       From
2565      Name            "From5"
2566      Position        [215, 161, 255, 189]
2567      CloseFcn        "tagdialog Close"
2568      GotoTag         "CE4"
2569      TagVisibility       "global"
2570    }
2571    Block {
2572      BlockType       Reference
2573      Name            "Mux2"
2574      Ports           [3, 1]
2575      Position        [400, 39, 435, 141]
2576      SourceBlock         "xbsIndex_r4/Mux"
2577      SourceType          "Xilinx Bus Multiplexer Block"
2578      inputs          "2"
2579      en              off
2580      latency         "0"
2581      precision       "Full"
2582      arith_type          "Unsigned"
2583      n_bits          "16"
2584      bin_pt          "14"
2585      quantization        "Truncate"
2586      overflow        "Wrap"
2587      dbl_ovrd        off
2588      xl_use_area         off
2589      xl_area         "[1 0 0 1 0 0 0]"
2590      has_advanced_control    "0"
2591      sggui_pos       "20,20,348,303"
2592      block_type          "mux"
2593      block_version       "10.1.3"
2594      sg_icon_stat        "35,102,1,1,white,blue,3,613f58e1,right"
2595      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 45 45 0 ],[0 14.8571 89.1429 104 ],[0.77 0.82 0.91]);\npatch([10 3 14 3 10 22 25 28 41 31 21 14 25 14 21 31 41 28 25 22 10 ],[34 41 52 63 70 70 67 70 70 60 70 63 52 41 34 44 34 34 37 34 34 ],[0.98 0.96 0.92]);\nplot([0 45 45 0 0 ],[0 14.8571 89.1429 104 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1,'sel');\ncolor('black');port_label('input',2,'d0');\ncolor('black');port_label('input',3,'d1');\ncolor('black');disp('\\bf{}','texmode','on');\nfprintf('','COMMENT: end icon text');\n"
2596    }
2597    Block {
2598      BlockType       Reference
2599      Name            "Slice1"
2600      Ports           [1, 1]
2601      Position        [195, 110, 255, 140]
2602      SourceBlock         "xbsIndex_r4/Slice"
2603      SourceType          "Xilinx Bit Slice Extractor Block"
2604      infoedit        "Extracts a given range of bits from each input sample and presents it at the output.  The output type is ordinarily unsigned with binary point at zero, but can be Boolean when the slice is one bit wide.<P><P>Hardware notes: In hardware this block costs nothing."
2605      nbits           "1"
2606      boolean_output      off
2607      mode            "Upper Bit Location + Width"
2608      bit1            "0"
2609      base1           "MSB of Input"
2610      bit0            "0"
2611      base0           "LSB of Input"
2612      dbl_ovrd        off
2613      has_advanced_control    "0"
2614      sggui_pos       "20,20,449,376"
2615      block_type          "slice"
2616      block_version       "10.1.3"
2617      sg_icon_stat        "60,30,1,1,white,blue,0,b1026674,right"
2618      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 ],[0 0 30 30 ],[0.77 0.82 0.91]);\npatch([22 17 24 17 22 30 32 34 42 35 29 24 30 24 29 35 42 34 32 30 22 ],[3 8 15 22 27 27 25 27 27 20 26 21 15 9 4 10 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 30 30 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('output',1,'[a:b]');\nfprintf('','COMMENT: end icon text');\n"
2619    }
2620    Block {
2621      BlockType       Outport
2622      Name            "SCL"
2623      Position        [505, 83, 535, 97]
2624      IconDisplay         "Port number"
2625    }
2626    Line {
2627      SrcBlock        "Counter1"
2628      SrcPort         1
2629      DstBlock        "Slice1"
2630      DstPort         1
2631    }
2632    Line {
2633      SrcBlock        "Slice1"
2634      SrcPort         1
2635      DstBlock        "Delay2"
2636      DstPort         1
2637    }
2638    Line {
2639      SrcBlock        "Delay2"
2640      SrcPort         1
2641      Points          [25, 0; 0, -15]
2642      DstBlock        "Mux2"
2643      DstPort         3
2644    }
2645    Line {
2646      SrcBlock        "Constant2"
2647      SrcPort         1
2648      Points          [35, 0]
2649      DstBlock        "Mux2"
2650      DstPort         2
2651    }
2652    Line {
2653      SrcBlock        "From1"
2654      SrcPort         1
2655      DstBlock        "Delay3"
2656      DstPort         2
2657    }
2658    Line {
2659      SrcBlock        "Delay3"
2660      SrcPort         1
2661      DstBlock        "Mux2"
2662      DstPort         1
2663    }
2664    Line {
2665      SrcBlock        "From4"
2666      SrcPort         1
2667      DstBlock        "Counter1"
2668      DstPort         2
2669    }
2670    Line {
2671      SrcBlock        "From5"
2672      SrcPort         1
2673      Points          [5, 0; 0, -20]
2674      DstBlock        "Delay2"
2675      DstPort         2
2676    }
2677    Line {
2678      SrcBlock        "enable31"
2679      SrcPort         1
2680      DstBlock        "Delay3"
2681      DstPort         1
2682    }
2683    Line {
2684      SrcBlock        "reset_rising"
2685      SrcPort         1
2686      DstBlock        "Counter1"
2687      DstPort         1
2688    }
2689    Line {
2690      SrcBlock        "Mux2"
2691      SrcPort         1
2692      DstBlock        "SCL"
2693      DstPort         1
2694    }
2695      }
2696    }
2697    Block {
2698      BlockType           SubSystem
2699      Name            "SDAGenerate"
2700      Ports           [7, 1]
2701      Position            [785, 104, 920, 306]
2702      MinAlgLoopOccurrences   off
2703      PropExecContextOutsideSubsystem off
2704      RTWSystemCode       "Auto"
2705      FunctionWithSeparateData off
2706      Opaque              off
2707      RequestExecContextInheritance off
2708      MaskHideContents        off
2709      System {
2710    Name            "SDAGenerate"
2711    Location        [464, 323, 1899, 789]
2712    Open            off
2713    ModelBrowserVisibility  off
2714    ModelBrowserWidth   200
2715    ScreenColor     "white"
2716    PaperOrientation    "landscape"
2717    PaperPositionMode   "auto"
2718    PaperType       "usletter"
2719    PaperUnits      "inches"
2720    TiledPaperMargins   [0.500000, 0.500000, 0.500000, 0.500000]
2721    TiledPageScale      1
2722    ShowPageBoundaries  off
2723    ZoomFactor      "100"
2724    Block {
2725      BlockType       Inport
2726      Name            "OutSelect"
2727      Position        [1140, 188, 1170, 202]
2728      IconDisplay         "Port number"
2729    }
2730    Block {
2731      BlockType       Inport
2732      Name            "hex_l"
2733      Position        [315, 228, 345, 242]
2734      Port            "2"
2735      IconDisplay         "Port number"
2736    }
2737    Block {
2738      BlockType       Inport
2739      Name            "hex_m"
2740      Position        [315, 248, 345, 262]
2741      Port            "3"
2742      IconDisplay         "Port number"
2743    }
2744    Block {
2745      BlockType       Inport
2746      Name            "hex_r"
2747      Position        [315, 288, 345, 302]
2748      Port            "4"
2749      IconDisplay         "Port number"
2750    }
2751    Block {
2752      BlockType       Inport
2753      Name            "led_in"
2754      Position        [315, 308, 345, 322]
2755      Port            "5"
2756      IconDisplay         "Port number"
2757    }
2758    Block {
2759      BlockType       Inport
2760      Name            "AddrB"
2761      Position        [605, 373, 635, 387]
2762      Port            "6"
2763      IconDisplay         "Port number"
2764    }
2765    Block {
2766      BlockType       Inport
2767      Name            "enable7"
2768      Position        [960, 248, 990, 262]
2769      Port            "7"
2770      IconDisplay         "Port number"
2771    }
2772    Block {
2773      BlockType       Reference
2774      Name            "Config"
2775      Ports           [5, 2]
2776      Position        [825, 251, 915, 439]
2777      SourceBlock         "xbsIndex_r4/Dual Port RAM"
2778      SourceType          "Xilinx Dual Port Random Access Memory Block"
2779      depth           "64"
2780      initVector          "0"
2781      distributed_mem     "Distributed memory"
2782      init_a          "0"
2783      init_b          "0"
2784      rst_a           off
2785      rst_b           off
2786      en_a            off
2787      en_b            on
2788      latency         "1"
2789      write_mode_A        "Read After Write"
2790      write_mode_B        "Read Before Write"
2791      dbl_ovrd        off
2792      optimize        "Area"
2793      use_rpm         on
2794      xl_use_area         off
2795      xl_area         "[4 2 0 8 0 0 0]"
2796      has_advanced_control    "0"
2797      sggui_pos       "20,20,384,398"
2798      block_type          "dpram"
2799      block_version       "10.1.3"
2800      sg_icon_stat        "90,188,1,1,white,blue,0,d3f904de,right"
2801      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 90 90 0 ],[0 0 188 188 ],[0.77 0.82 0.91]);\npatch([21 6 27 6 21 45 51 57 82 62 43 29 50 29 43 62 82 57 51 45 21 ],[59 74 95 116 131 131 125 131 131 111 130 116 95 74 60 79 59 59 65 59 59 ],[0.98 0.96 0.92]);\nplot([0 90 90 0 0 ],[0 0 188 188 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1,'addra');\ncolor('black');port_label('input',2,'dina');\ncolor('black');port_label('input',3,'wea');\ncolor('black');port_label('input',4,'addrb');\ncolor('black');port_label('input',5,'enb');\ncolor('black');port_label('output',1,'A');\ncolor('black');port_label('output',2,'B');\nfprintf('','COMMENT: end icon text');\n"
2802    }
2803    Block {
2804      BlockType       Constant
2805      Name            "Constant"
2806      Position        [235, 200, 265, 230]
2807      Value           "hex2dec('40')"
2808      OutDataType         "sfix(16)"
2809      OutScaling          "2^0"
2810    }
2811    Block {
2812      BlockType       Reference
2813      Name            "Constant1"
2814      Ports           [0, 1]
2815      Position        [935, 127, 990, 153]
2816      SourceBlock         "xbsIndex_r4/Constant"
2817      SourceType          "Xilinx Constant Block Block"
2818      arith_type          "Unsigned"
2819      const           "1"
2820      n_bits          "1"
2821      bin_pt          "0"
2822      explicit_period     off
2823      period          "1"
2824      dsp48_infoedit      "The use of this block for DSP48 instructions is deprecated.  Please use the Opmode block."
2825      equ             "P=C"
2826      opselect        "C"
2827      inp2            "PCIN>>17"
2828      opr             "+"
2829      inp1            "P"
2830      carry           "CIN"
2831      dbl_ovrd        off
2832      has_advanced_control    "0"
2833      sggui_pos       "20,20,400,346"
2834      block_type          "constant"
2835      block_version       "10.1.3"
2836      sg_icon_stat        "55,26,1,1,white,blue,0,06094819,right"
2837      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 ],[0 0 26 26 ],[0.77 0.82 0.91]);\npatch([20 16 22 16 20 27 29 31 38 32 26 22 28 22 26 32 38 31 29 27 20 ],[3 7 13 19 23 23 21 23 23 17 23 19 13 7 3 9 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 55 55 0 0 ],[0 0 26 26 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('output',1,'1');\nfprintf('','COMMENT: end icon text');\n"
2838    }
2839    Block {
2840      BlockType       Reference
2841      Name            "Constant11"
2842      Ports           [0, 1]
2843      Position        [715, 332, 770, 358]
2844      SourceBlock         "xbsIndex_r4/Constant"
2845      SourceType          "Xilinx Constant Block Block"
2846      arith_type          "Boolean"
2847      const           "1"
2848      n_bits          "1"
2849      bin_pt          "0"
2850      explicit_period     off
2851      period          "1"
2852      dsp48_infoedit      "The use of this block for DSP48 instructions is deprecated.  Please use the Opmode block."
2853      equ             "P=C"
2854      opselect        "C"
2855      inp2            "PCIN>>17"
2856      opr             "+"
2857      inp1            "P"
2858      carry           "CIN"
2859      dbl_ovrd        off
2860      has_advanced_control    "0"
2861      sggui_pos       "20,20,400,346"
2862      block_type          "constant"
2863      block_version       "10.1.3"
2864      sg_icon_stat        "55,26,1,1,white,blue,0,06094819,right"
2865      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 ],[0 0 26 26 ],[0.77 0.82 0.91]);\npatch([20 16 22 16 20 27 29 31 38 32 26 22 28 22 26 32 38 31 29 27 20 ],[3 7 13 19 23 23 21 23 23 17 23 19 13 7 3 9 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 55 55 0 0 ],[0 0 26 26 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('output',1,'1');\nfprintf('','COMMENT: end icon text');\n"
2866    }
2867    Block {
2868      BlockType       Reference
2869      Name            "Constant14"
2870      Ports           [0, 1]
2871      Position        [940, 347, 995, 373]
2872      SourceBlock         "xbsIndex_r4/Constant"
2873      SourceType          "Xilinx Constant Block Block"
2874      arith_type          "Unsigned"
2875      const           "1"
2876      n_bits          "1"
2877      bin_pt          "0"
2878      explicit_period     off
2879      period          "1"
2880      dsp48_infoedit      "The use of this block for DSP48 instructions is deprecated.  Please use the Opmode block."
2881      equ             "P=C"
2882      opselect        "C"
2883      inp2            "PCIN>>17"
2884      opr             "+"
2885      inp1            "P"
2886      carry           "CIN"
2887      dbl_ovrd        off
2888      has_advanced_control    "0"
2889      sggui_pos       "20,20,400,346"
2890      block_type          "constant"
2891      block_version       "10.1.3"
2892      sg_icon_stat        "55,26,1,1,white,blue,0,06094819,right"
2893      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 ],[0 0 26 26 ],[0.77 0.82 0.91]);\npatch([20 16 22 16 20 27 29 31 38 32 26 22 28 22 26 32 38 31 29 27 20 ],[3 7 13 19 23 23 21 23 23 17 23 19 13 7 3 9 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 55 55 0 0 ],[0 0 26 26 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('output',1,'1');\nfprintf('','COMMENT: end icon text');\n"
2894    }
2895    Block {
2896      BlockType       Constant
2897      Name            "Constant3"
2898      Position        [235, 260, 265, 290]
2899      Value           "hex2dec('48')"
2900      OutDataType         "sfix(16)"
2901      OutScaling          "2^0"
2902    }
2903    Block {
2904      BlockType       Reference
2905      Name            "Constant8"
2906      Ports           [0, 1]
2907      Position        [710, 112, 765, 138]
2908      SourceBlock         "xbsIndex_r4/Constant"
2909      SourceType          "Xilinx Constant Block Block"
2910      arith_type          "Boolean"
2911      const           "1"
2912      n_bits          "1"
2913      bin_pt          "0"
2914      explicit_period     off
2915      period          "1"
2916      dsp48_infoedit      "The use of this block for DSP48 instructions is deprecated.  Please use the Opmode block."
2917      equ             "P=C"
2918      opselect        "C"
2919      inp2            "PCIN>>17"
2920      opr             "+"
2921      inp1            "P"
2922      carry           "CIN"
2923      dbl_ovrd        off
2924      has_advanced_control    "0"
2925      sggui_pos       "20,20,400,346"
2926      block_type          "constant"
2927      block_version       "10.1.3"
2928      sg_icon_stat        "55,26,1,1,white,blue,0,06094819,right"
2929      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 ],[0 0 26 26 ],[0.77 0.82 0.91]);\npatch([20 16 22 16 20 27 29 31 38 32 26 22 28 22 26 32 38 31 29 27 20 ],[3 7 13 19 23 23 21 23 23 17 23 19 13 7 3 9 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 55 55 0 0 ],[0 0 26 26 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('output',1,'1');\nfprintf('','COMMENT: end icon text');\n"
2930    }
2931    Block {
2932      BlockType       Reference
2933      Name            "Counter3"
2934      Ports           [0, 1]
2935      Position        [25, 25, 85, 85]
2936      SourceBlock         "xbsIndex_r4/Counter"
2937      SourceType          "Xilinx Counter Block"
2938      infoedit        "Hardware notes: Free running counters are the least expensive in hardware.  A count limited counter is implemented by combining a counter with a comparator."
2939      cnt_type        "Free Running"
2940      cnt_to          "23"
2941      operation       "Up"
2942      start_count         "0"
2943      cnt_by_val          "1"
2944      arith_type          "Unsigned"
2945      n_bits          "6"
2946      bin_pt          "0"
2947      load_pin        off
2948      rst             off
2949      en              off
2950      explicit_period     "on"
2951      period          "1"
2952      dbl_ovrd        off
2953      use_behavioral_HDL      off
2954      use_rpm         off
2955      implementation      "Fabric"
2956      xl_use_area         off
2957      xl_area         "[4 6 0 6 0 0 0]"
2958      has_advanced_control    "0"
2959      sggui_pos       "20,20,348,619"
2960      block_type          "counter"
2961      block_version       "10.1.3"
2962      sg_icon_stat        "60,60,1,1,white,blue,0,a170c862,right"
2963      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 ],[0 0 60 60 ],[0.77 0.82 0.91]);\npatch([14 4 18 4 14 30 34 38 55 42 29 20 35 20 29 42 55 38 34 30 14 ],[6 16 30 44 54 54 50 54 54 41 54 45 30 15 6 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 60 60 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('output',1,'out');\nfprintf('','COMMENT: end icon text');\n"
2964    }
2965    Block {
2966      BlockType       Reference
2967      Name            "Data"
2968      Ports           [5, 2]
2969      Position        [825, 31, 915, 219]
2970      SourceBlock         "xbsIndex_r4/Dual Port RAM"
2971      SourceType          "Xilinx Dual Port Random Access Memory Block"
2972      depth           "64"
2973      initVector          "0"
2974      distributed_mem     "Distributed memory"
2975      init_a          "0"
2976      init_b          "0"
2977      rst_a           off
2978      rst_b           off
2979      en_a            off
2980      en_b            on
2981      latency         "1"
2982      write_mode_A        "Read After Write"
2983      write_mode_B        "Read Before Write"
2984      dbl_ovrd        off
2985      optimize        "Area"
2986      use_rpm         on
2987      xl_use_area         off
2988      xl_area         "[4 2 0 8 0 0 0]"
2989      has_advanced_control    "0"
2990      sggui_pos       "20,20,384,398"
2991      block_type          "dpram"
2992      block_version       "10.1.3"
2993      sg_icon_stat        "90,188,1,1,white,blue,0,d3f904de,right"
2994      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 90 90 0 ],[0 0 188 188 ],[0.77 0.82 0.91]);\npatch([21 6 27 6 21 45 51 57 82 62 43 29 50 29 43 62 82 57 51 45 21 ],[59 74 95 116 131 131 125 131 131 111 130 116 95 74 60 79 59 59 65 59 59 ],[0.98 0.96 0.92]);\nplot([0 90 90 0 0 ],[0 0 188 188 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1,'addra');\ncolor('black');port_label('input',2,'dina');\ncolor('black');port_label('input',3,'wea');\ncolor('black');port_label('input',4,'addrb');\ncolor('black');port_label('input',5,'enb');\ncolor('black');port_label('output',1,'A');\ncolor('black');port_label('output',2,'B');\nfprintf('','COMMENT: end icon text');\n"
2995    }
2996    Block {
2997      BlockType       Reference
2998      Name            "Delay"
2999      Ports           [1, 1]
3000      Position        [315, 27, 375, 83]
3001      SourceBlock         "xbsIndex_r4/Delay"
3002      SourceType          "Xilinx Delay Block"
3003      infoedit        "Hardware notes: A delay line is a chain, each link of which is an SRL16 followed by a flip-flop."
3004      en              off
3005      latency         "8"
3006      dbl_ovrd        off
3007      reg_retiming        off
3008      xl_use_area         off
3009      xl_area         "[3 6 0 6 0 0 0]"
3010      has_advanced_control    "0"
3011      sggui_pos       "20,20,348,255"
3012      block_type          "delay"
3013      block_version       "10.1.3"
3014      sg_icon_stat        "60,56,1,1,white,blue,0,a6538547,right"
3015      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 ],[0 0 56 56 ],[0.77 0.82 0.91]);\npatch([15 6 19 6 15 30 34 38 54 41 29 20 32 20 29 41 54 38 34 30 15 ],[6 15 28 41 50 50 46 50 50 37 49 40 28 16 7 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 56 56 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');disp('z^{-8}','texmode','on');\nfprintf('','COMMENT: end icon text');\n"
3016    }
3017    Block {
3018      BlockType       Reference
3019      Name            "Delay1"
3020      Ports           [2, 1]
3021      Position        [1295, 237, 1355, 293]
3022      SourceBlock         "xbsIndex_r4/Delay"
3023      SourceType          "Xilinx Delay Block"
3024      infoedit        "Hardware notes: A delay line is a chain, each link of which is an SRL16 followed by a flip-flop."
3025      en              on
3026      latency         "1"
3027      dbl_ovrd        off
3028      reg_retiming        off
3029      xl_use_area         off
3030      xl_area         "[1 1 0 0 0 0 0]"
3031      has_advanced_control    "0"
3032      sggui_pos       "20,20,348,255"
3033      block_type          "delay"
3034      block_version       "10.1.3"
3035      sg_icon_stat        "60,56,1,1,white,blue,0,9c7d2b66,right"
3036      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 ],[0 0 56 56 ],[0.77 0.82 0.91]);\npatch([15 6 19 6 15 30 34 38 54 41 29 20 32 20 29 41 54 38 34 30 15 ],[6 15 28 41 50 50 46 50 50 37 49 40 28 16 7 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 56 56 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',2,'en');\ncolor('black');disp('z^{-1}','texmode','on');\nfprintf('','COMMENT: end icon text');\n"
3037    }
3038    Block {
3039      BlockType       Reference
3040      Name            "Down Sample"
3041      Ports           [1, 1]
3042      Position        [155, 307, 215, 363]
3043      SourceBlock         "xbsIndex_r4/Down Sample"
3044      SourceType          "Xilinx Down Sampler Block"
3045      infoedit        "Hardware notes: Sample and Latency controls determine the hardware implementation.  The cost in hardware of different implementations varies considerably; press Help for details."
3046      sample_ratio        "8"
3047      sample_phase        "Last Value of Frame  (most efficient)"
3048      en              off
3049      latency         "1"
3050      dbl_ovrd        off
3051      xl_use_area         off
3052      xl_area         "[3 6 0 0 0 0 0]"
3053      has_advanced_control    "0"
3054      sggui_pos       "20,20,360,300"
3055      block_type          "dsamp"
3056      block_version       "10.1.3"
3057      sg_icon_stat        "60,56,1,1,white,blue,0,e83e9e44,right"
3058      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 ],[0 0 56 56 ],[0.77 0.82 0.91]);\npatch([15 6 19 6 15 30 34 38 54 41 29 20 32 20 29 41 54 38 34 30 15 ],[6 15 28 41 50 50 46 50 50 37 49 40 28 16 7 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 56 56 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');disp('\\newline{\\fontsize{14pt}\\bf\\downarrow}8\\newlinez^{-1}','texmode','on');\nfprintf('','COMMENT: end icon text');\n"
3059    }
3060    Block {
3061      BlockType       From
3062      Name            "From2"
3063      Position        [740, 401, 780, 429]
3064      CloseFcn        "tagdialog Close"
3065      GotoTag         "CE"
3066      TagVisibility       "global"
3067    }
3068    Block {
3069      BlockType       From
3070      Name            "From3"
3071      Position        [735, 181, 775, 209]
3072      CloseFcn        "tagdialog Close"
3073      GotoTag         "CE"
3074      TagVisibility       "global"
3075    }
3076    Block {
3077      BlockType       From
3078      Name            "From6"
3079      Position        [1230, 306, 1270, 334]
3080      CloseFcn        "tagdialog Close"
3081      GotoTag         "CE4"
3082      TagVisibility       "global"
3083    }
3084    Block {
3085      BlockType       Reference
3086      Name            "Mux1"
3087      Ports           [3, 1]
3088      Position        [1045, 89, 1080, 191]
3089      SourceBlock         "xbsIndex_r4/Mux"
3090      SourceType          "Xilinx Bus Multiplexer Block"
3091      inputs          "2"
3092      en              off
3093      latency         "0"
3094      precision       "Full"
3095      arith_type          "Unsigned"
3096      n_bits          "16"
3097      bin_pt          "14"
3098      quantization        "Truncate"
3099      overflow        "Wrap"
3100      dbl_ovrd        off
3101      xl_use_area         off
3102      xl_area         "[1 0 0 1 0 0 0]"
3103      has_advanced_control    "0"
3104      sggui_pos       "20,20,348,303"
3105      block_type          "mux"
3106      block_version       "10.1.3"
3107      sg_icon_stat        "35,102,1,1,white,blue,3,613f58e1,right"
3108      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 45 45 0 ],[0 14.8571 89.1429 104 ],[0.77 0.82 0.91]);\npatch([10 3 14 3 10 22 25 28 41 31 21 14 25 14 21 31 41 28 25 22 10 ],[34 41 52 63 70 70 67 70 70 60 70 63 52 41 34 44 34 34 37 34 34 ],[0.98 0.96 0.92]);\nplot([0 45 45 0 0 ],[0 14.8571 89.1429 104 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1,'sel');\ncolor('black');port_label('input',2,'d0');\ncolor('black');port_label('input',3,'d1');\ncolor('black');disp('\\bf{}','texmode','on');\nfprintf('','COMMENT: end icon text');\n"
3109    }
3110    Block {
3111      BlockType       Reference
3112      Name            "Mux4"
3113      Ports           [3, 1]
3114      Position        [1050, 309, 1085, 411]
3115      SourceBlock         "xbsIndex_r4/Mux"
3116      SourceType          "Xilinx Bus Multiplexer Block"
3117      inputs          "2"
3118      en              off
3119      latency         "0"
3120      precision       "Full"
3121      arith_type          "Unsigned"
3122      n_bits          "16"
3123      bin_pt          "14"
3124      quantization        "Truncate"
3125      overflow        "Wrap"
3126      dbl_ovrd        off
3127      xl_use_area         off
3128      xl_area         "[1 0 0 1 0 0 0]"
3129      has_advanced_control    "0"
3130      sggui_pos       "20,20,348,303"
3131      block_type          "mux"
3132      block_version       "10.1.3"
3133      sg_icon_stat        "35,102,1,1,white,blue,3,613f58e1,right"
3134      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 45 45 0 ],[0 14.8571 89.1429 104 ],[0.77 0.82 0.91]);\npatch([10 3 14 3 10 22 25 28 41 31 21 14 25 14 21 31 41 28 25 22 10 ],[34 41 52 63 70 70 67 70 70 60 70 63 52 41 34 44 34 34 37 34 34 ],[0.98 0.96 0.92]);\nplot([0 45 45 0 0 ],[0 14.8571 89.1429 104 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1,'sel');\ncolor('black');port_label('input',2,'d0');\ncolor('black');port_label('input',3,'d1');\ncolor('black');disp('\\bf{}','texmode','on');\nfprintf('','COMMENT: end icon text');\n"
3135    }
3136    Block {
3137      BlockType       Reference
3138      Name            "Mux5"
3139      Ports           [3, 1]
3140      Position        [1195, 179, 1230, 281]
3141      SourceBlock         "xbsIndex_r4/Mux"
3142      SourceType          "Xilinx Bus Multiplexer Block"
3143      inputs          "2"
3144      en              off
3145      latency         "0"
3146      precision       "Full"
3147      arith_type          "Unsigned"
3148      n_bits          "16"
3149      bin_pt          "14"
3150      quantization        "Truncate"
3151      overflow        "Wrap"
3152      dbl_ovrd        off
3153      xl_use_area         off
3154      xl_area         "[1 0 0 1 0 0 0]"
3155      has_advanced_control    "0"
3156      sggui_pos       "20,20,348,303"
3157      block_type          "mux"
3158      block_version       "10.1.3"
3159      sg_icon_stat        "35,102,1,1,white,blue,3,613f58e1,right"
3160      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 45 45 0 ],[0 14.8571 89.1429 104 ],[0.77 0.82 0.91]);\npatch([10 3 14 3 10 22 25 28 41 31 21 14 25 14 21 31 41 28 25 22 10 ],[34 41 52 63 70 70 67 70 70 60 70 63 52 41 34 44 34 34 37 34 34 ],[0.98 0.96 0.92]);\nplot([0 45 45 0 0 ],[0 14.8571 89.1429 104 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1,'sel');\ncolor('black');port_label('input',2,'d0');\ncolor('black');port_label('input',3,'d1');\ncolor('black');disp('\\bf{}','texmode','on');\nfprintf('','COMMENT: end icon text');\n"
3161    }
3162    Block {
3163      BlockType       Reference
3164      Name            "Slice"
3165      Ports           [1, 1]
3166      Position        [270, 320, 330, 350]
3167      SourceBlock         "xbsIndex_r4/Slice"
3168      SourceType          "Xilinx Bit Slice Extractor Block"
3169      infoedit        "Extracts a given range of bits from each input sample and presents it at the output.  The output type is ordinarily unsigned with binary point at zero, but can be Boolean when the slice is one bit wide.<P><P>Hardware notes: In hardware this block costs nothing."
3170      nbits           "3"
3171      boolean_output      off
3172      mode            "Upper Bit Location + Width"
3173      bit1            "0"
3174      base1           "MSB of Input"
3175      bit0            "0"
3176      base0           "LSB of Input"
3177      dbl_ovrd        off
3178      has_advanced_control    "0"
3179      sggui_pos       "20,20,449,376"
3180      block_type          "slice"
3181      block_version       "10.1.3"
3182      sg_icon_stat        "60,30,1,1,white,blue,0,b1026674,right"
3183      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 ],[0 0 30 30 ],[0.77 0.82 0.91]);\npatch([22 17 24 17 22 30 32 34 42 35 29 24 30 24 29 35 42 34 32 30 22 ],[3 8 15 22 27 27 25 27 27 20 26 21 15 9 4 10 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 30 30 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('output',1,'[a:b]');\nfprintf('','COMMENT: end icon text');\n"
3184    }
3185    Block {
3186      BlockType       SubSystem
3187      Name            "inputdata_write"
3188      Ports           [7, 2]
3189      Position        [370, 209, 500, 341]
3190      MinAlgLoopOccurrences   off
3191      PropExecContextOutsideSubsystem off
3192      RTWSystemCode       "Auto"
3193      FunctionWithSeparateData off
3194      Opaque          off
3195      RequestExecContextInheritance off
3196      MaskHideContents    off
3197      System {
3198        Name            "inputdata_write"
3199        Location            [525, 199, 1285, 1022]
3200        Open            off
3201        ModelBrowserVisibility  off
3202        ModelBrowserWidth       200
3203        ScreenColor         "white"
3204        PaperOrientation        "landscape"
3205        PaperPositionMode       "auto"
3206        PaperType           "usletter"
3207        PaperUnits          "inches"
3208        TiledPaperMargins       [0.500000, 0.500000, 0.500000, 0.500000]
3209        TiledPageScale      1
3210        ShowPageBoundaries      off
3211        ZoomFactor          "122"
3212        Block {
3213          BlockType           Inport
3214          Name            "addr0"
3215          Position            [25, 58, 55, 72]
3216          IconDisplay         "Port number"
3217        }
3218        Block {
3219          BlockType           Inport
3220          Name            "hex_l"
3221          Position            [245, 143, 275, 157]
3222          Port            "2"
3223          IconDisplay         "Port number"
3224        }
3225        Block {
3226          BlockType           Inport
3227          Name            "hex_m"
3228          Position            [245, 183, 275, 197]
3229          Port            "3"
3230          IconDisplay         "Port number"
3231        }
3232        Block {
3233          BlockType           Inport
3234          Name            "addr1"
3235          Position            [25, 223, 55, 237]
3236          Port            "4"
3237          IconDisplay         "Port number"
3238        }
3239        Block {
3240          BlockType           Inport
3241          Name            "hex_r"
3242          Position            [245, 303, 275, 317]
3243          Port            "5"
3244          IconDisplay         "Port number"
3245        }
3246        Block {
3247          BlockType           Inport
3248          Name            "led_in"
3249          Position            [245, 343, 275, 357]
3250          Port            "6"
3251          IconDisplay         "Port number"
3252        }
3253        Block {
3254          BlockType           Inport
3255          Name            "mux_select"
3256          Position            [145, 483, 175, 497]
3257          Port            "7"
3258          IconDisplay         "Port number"
3259        }
3260        Block {
3261          BlockType           Reference
3262          Name            "Constant10"
3263          Ports           [0, 1]
3264          Position            [305, 692, 360, 718]
3265          SourceBlock         "xbsIndex_r4/Constant"
3266          SourceType          "Xilinx Constant Block Block"
3267          arith_type          "Unsigned"
3268          const           "6"
3269          n_bits              "8"
3270          bin_pt              "0"
3271          explicit_period         on
3272          period              "8"
3273          dsp48_infoedit          "The use of this block for DSP48 instructions is deprecated.  Please use the Opmode block."
3274          equ             "P=C"
3275          opselect            "C"
3276          inp2            "PCIN>>17"
3277          opr             "+"
3278          inp1            "P"
3279          carry           "CIN"
3280          dbl_ovrd            off
3281          has_advanced_control    "0"
3282          sggui_pos           "20,20,400,346"
3283          block_type          "constant"
3284          block_version       "10.1.3"
3285          sg_icon_stat        "55,26,1,1,white,blue,0,3979f86e,right"
3286          sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 ],[0 0 26 26 ],[0.77 0.82 0.91]);\npatch([20 16 22 16 20 27 29 31 38 32 26 22 28 22 26 32 38 31 29 27 20 ],[3 7 13 19 23 23 21 23 23 17 23 19 13 7 3 9 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 55 55 0 0 ],[0 0 26 26 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('output',1,'6');\nfprintf('','COMMENT: end icon text');\n"
3287        }
3288        Block {
3289          BlockType           Reference
3290          Name            "Constant12"
3291          Ports           [0, 1]
3292          Position            [305, 732, 360, 758]
3293          SourceBlock         "xbsIndex_r4/Constant"
3294          SourceType          "Xilinx Constant Block Block"
3295          arith_type          "Unsigned"
3296          const           "0"
3297          n_bits              "8"
3298          bin_pt              "0"
3299          explicit_period         on
3300          period              "8"
3301          dsp48_infoedit          "The use of this block for DSP48 instructions is deprecated.  Please use the Opmode block."
3302          equ             "P=C"
3303          opselect            "C"
3304          inp2            "PCIN>>17"
3305          opr             "+"
3306          inp1            "P"
3307          carry           "CIN"
3308          dbl_ovrd            off
3309          has_advanced_control    "0"
3310          sggui_pos           "20,20,400,346"
3311          block_type          "constant"
3312          block_version       "10.1.3"
3313          sg_icon_stat        "55,26,1,1,white,blue,0,72d575a1,right"
3314          sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 ],[0 0 26 26 ],[0.77 0.82 0.91]);\npatch([20 16 22 16 20 27 29 31 38 32 26 22 28 22 26 32 38 31 29 27 20 ],[3 7 13 19 23 23 21 23 23 17 23 19 13 7 3 9 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 55 55 0 0 ],[0 0 26 26 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('output',1,'0');\nfprintf('','COMMENT: end icon text');\n"
3315        }
3316        Block {
3317          BlockType           Reference
3318          Name            "Constant13"
3319          Ports           [0, 1]
3320          Position            [305, 772, 360, 798]
3321          SourceBlock         "xbsIndex_r4/Constant"
3322          SourceType          "Xilinx Constant Block Block"
3323          arith_type          "Unsigned"
3324          const           "0"
3325          n_bits              "8"
3326          bin_pt              "0"
3327          explicit_period         on
3328          period              "8"
3329          dsp48_infoedit          "The use of this block for DSP48 instructions is deprecated.  Please use the Opmode block."
3330          equ             "P=C"
3331          opselect            "C"
3332          inp2            "PCIN>>17"
3333          opr             "+"
3334          inp1            "P"
3335          carry           "CIN"
3336          dbl_ovrd            off
3337          has_advanced_control    "0"
3338          sggui_pos           "20,20,400,346"
3339          block_type          "constant"
3340          block_version       "10.1.3"
3341          sg_icon_stat        "55,26,1,1,white,blue,0,72d575a1,right"
3342          sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 ],[0 0 26 26 ],[0.77 0.82 0.91]);\npatch([20 16 22 16 20 27 29 31 38 32 26 22 28 22 26 32 38 31 29 27 20 ],[3 7 13 19 23 23 21 23 23 17 23 19 13 7 3 9 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 55 55 0 0 ],[0 0 26 26 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('output',1,'0');\nfprintf('','COMMENT: end icon text');\n"
3343        }
3344        Block {
3345          BlockType           Reference
3346          Name            "Constant4"
3347          Ports           [0, 1]
3348          Position            [305, 572, 360, 598]
3349          SourceBlock         "xbsIndex_r4/Constant"
3350          SourceType          "Xilinx Constant Block Block"
3351          arith_type          "Unsigned"
3352          const           "0"
3353          n_bits              "8"
3354          bin_pt              "0"
3355          explicit_period         on
3356          period              "8"
3357          dsp48_infoedit          "The use of this block for DSP48 instructions is deprecated.  Please use the Opmode block."
3358          equ             "P=C"
3359          opselect            "C"
3360          inp2            "PCIN>>17"
3361          opr             "+"
3362          inp1            "P"
3363          carry           "CIN"
3364          dbl_ovrd            off
3365          has_advanced_control    "0"
3366          sggui_pos           "20,20,400,346"
3367          block_type          "constant"
3368          block_version       "10.1.3"
3369          sg_icon_stat        "55,26,1,1,white,blue,0,72d575a1,right"
3370          sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 ],[0 0 26 26 ],[0.77 0.82 0.91]);\npatch([20 16 22 16 20 27 29 31 38 32 26 22 28 22 26 32 38 31 29 27 20 ],[3 7 13 19 23 23 21 23 23 17 23 19 13 7 3 9 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 55 55 0 0 ],[0 0 26 26 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('output',1,'0');\nfprintf('','COMMENT: end icon text');\n"
3371        }
3372        Block {
3373          BlockType           Reference
3374          Name            "Constant5"
3375          Ports           [0, 1]
3376          Position            [305, 97, 360, 123]
3377          SourceBlock         "xbsIndex_r4/Constant"
3378          SourceType          "Xilinx Constant Block Block"
3379          arith_type          "Unsigned"
3380          const           "2"
3381          n_bits              "8"
3382          bin_pt              "0"
3383          explicit_period         on
3384          period              "8"
3385          dsp48_infoedit          "The use of this block for DSP48 instructions is deprecated.  Please use the Opmode block."
3386          equ             "P=C"
3387          opselect            "C"
3388          inp2            "PCIN>>17"
3389          opr             "+"
3390          inp1            "P"
3391          carry           "CIN"
3392          dbl_ovrd            off
3393          has_advanced_control    "0"
3394          sggui_pos           "20,20,400,346"
3395          block_type          "constant"
3396          block_version       "10.1.3"
3397          sg_icon_stat        "55,26,1,1,white,blue,0,702e86fb,right"
3398          sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 ],[0 0 26 26 ],[0.77 0.82 0.91]);\npatch([20 16 22 16 20 27 29 31 38 32 26 22 28 22 26 32 38 31 29 27 20 ],[3 7 13 19 23 23 21 23 23 17 23 19 13 7 3 9 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 55 55 0 0 ],[0 0 26 26 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('output',1,'2');\nfprintf('','COMMENT: end icon text');\n"
3399        }
3400        Block {
3401          BlockType           Reference
3402          Name            "Constant6"
3403          Ports           [0, 1]
3404          Position            [305, 257, 360, 283]
3405          SourceBlock         "xbsIndex_r4/Constant"
3406          SourceType          "Xilinx Constant Block Block"
3407          arith_type          "Unsigned"
3408          const           "2"
3409          n_bits              "8"
3410          bin_pt              "0"
3411          explicit_period         on
3412          period              "8"
3413          dsp48_infoedit          "The use of this block for DSP48 instructions is deprecated.  Please use the Opmode block."
3414          equ             "P=C"
3415          opselect            "C"
3416          inp2            "PCIN>>17"
3417          opr             "+"
3418          inp1            "P"
3419          carry           "CIN"
3420          dbl_ovrd            off
3421          has_advanced_control    "0"
3422          sggui_pos           "20,20,400,346"
3423          block_type          "constant"
3424          block_version       "10.1.3"
3425          sg_icon_stat        "55,26,1,1,white,blue,0,702e86fb,right"
3426          sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 ],[0 0 26 26 ],[0.77 0.82 0.91]);\npatch([20 16 22 16 20 27 29 31 38 32 26 22 28 22 26 32 38 31 29 27 20 ],[3 7 13 19 23 23 21 23 23 17 23 19 13 7 3 9 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 55 55 0 0 ],[0 0 26 26 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('output',1,'2');\nfprintf('','COMMENT: end icon text');\n"
3427        }
3428        Block {
3429          BlockType           Reference
3430          Name            "Constant7"
3431          Ports           [0, 1]
3432          Position            [305, 612, 360, 638]
3433          SourceBlock         "xbsIndex_r4/Constant"
3434          SourceType          "Xilinx Constant Block Block"
3435          arith_type          "Unsigned"
3436          const           "0"
3437          n_bits              "8"
3438          bin_pt              "0"
3439          explicit_period         on
3440          period              "8"
3441          dsp48_infoedit          "The use of this block for DSP48 instructions is deprecated.  Please use the Opmode block."
3442          equ             "P=C"
3443          opselect            "C"
3444          inp2            "PCIN>>17"
3445          opr             "+"
3446          inp1            "P"
3447          carry           "CIN"
3448          dbl_ovrd            off
3449          has_advanced_control    "0"
3450          sggui_pos           "20,20,400,346"
3451          block_type          "constant"
3452          block_version       "10.1.3"
3453          sg_icon_stat        "55,26,1,1,white,blue,0,72d575a1,right"
3454          sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 ],[0 0 26 26 ],[0.77 0.82 0.91]);\npatch([20 16 22 16 20 27 29 31 38 32 26 22 28 22 26 32 38 31 29 27 20 ],[3 7 13 19 23 23 21 23 23 17 23 19 13 7 3 9 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 55 55 0 0 ],[0 0 26 26 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('output',1,'0');\nfprintf('','COMMENT: end icon text');\n"
3455        }
3456        Block {
3457          BlockType           Reference
3458          Name            "Constant9"
3459          Ports           [0, 1]
3460          Position            [305, 532, 360, 558]
3461          SourceBlock         "xbsIndex_r4/Constant"
3462          SourceType          "Xilinx Constant Block Block"
3463          arith_type          "Unsigned"
3464          const           "6"
3465          n_bits              "8"
3466          bin_pt              "0"
3467          explicit_period         on
3468          period              "8"
3469          dsp48_infoedit          "The use of this block for DSP48 instructions is deprecated.  Please use the Opmode block."
3470          equ             "P=C"
3471          opselect            "C"
3472          inp2            "PCIN>>17"
3473          opr             "+"
3474          inp1            "P"
3475          carry           "CIN"
3476          dbl_ovrd            off
3477          has_advanced_control    "0"
3478          sggui_pos           "20,20,400,346"
3479          block_type          "constant"
3480          block_version       "10.1.3"
3481          sg_icon_stat        "55,26,1,1,white,blue,0,3979f86e,right"
3482          sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 ],[0 0 26 26 ],[0.77 0.82 0.91]);\npatch([20 16 22 16 20 27 29 31 38 32 26 22 28 22 26 32 38 31 29 27 20 ],[3 7 13 19 23 23 21 23 23 17 23 19 13 7 3 9 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 55 55 0 0 ],[0 0 26 26 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('output',1,'6');\nfprintf('','COMMENT: end icon text');\n"
3483        }
3484        Block {
3485          BlockType           Reference
3486          Name            "Mux"
3487          Ports           [9, 1]
3488          Position            [505, 87, 555, 293]
3489          SourceBlock         "xbsIndex_r4/Mux"
3490          SourceType          "Xilinx Bus Multiplexer Block"
3491          inputs              "8"
3492          en              off
3493          latency             "0"
3494          precision           "Full"
3495          arith_type          "Unsigned"
3496          n_bits              "16"
3497          bin_pt              "14"
3498          quantization        "Truncate"
3499          overflow            "Wrap"
3500          dbl_ovrd            off
3501          xl_use_area         off
3502          xl_area             "[16 0 0 32 0 0 0]"
3503          has_advanced_control    "0"
3504          sggui_pos           "20,20,348,303"
3505          block_type          "mux"
3506          block_version       "10.1.3"
3507          sg_icon_stat        "50,206,1,1,white,blue,3,ee37f7b8,right"
3508          sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npatch([0 45 45 0 ],[0 14.8571 89.1429 104 ],[0.77 0.82 0.91]);\npatch([10 3 14 3 10 22 25 28 41 31 21 14 25 14 21 31 41 28 25 22 10 ],[34 41 52 63 70 70 67 70 70 60 70 63 52 41 34 44 34 34 37 34 34 ],[0.98 0.96 0.92]);\nplot([0 45 45 0 0 ],[0 14.8571 89.1429 104 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1,'sel');\ncolor('black');port_label('input',2,'d0');\ncolor('black');port_label('input',3,'d1');\ncolor('black');port_label('input',4,'d2');\ncolor('black');port_label('input',5,'d3');\ncolor('black');port_label('input',6,'d4');\ncolor('black');port_label('input',7,'d5');\ncolor('black');port_label('input',8,'d6');\ncolor('black');port_label('input',9,'d7');\ncolor('black');disp('\\bf{}','texmode','on');\nfprintf('','COMMENT: end icon text');\n"
3509        }
3510        Block {
3511          BlockType           Reference
3512          Name            "Mux3"
3513          Ports           [9, 1]
3514          Position            [505, 522, 555, 728]
3515          SourceBlock         "xbsIndex_r4/Mux"
3516          SourceType          "Xilinx Bus Multiplexer Block"
3517          inputs              "8"
3518          en              off
3519          latency             "0"
3520          precision           "Full"
3521          arith_type          "Unsigned"
3522          n_bits              "16"
3523          bin_pt              "14"
3524          quantization        "Truncate"
3525          overflow            "Wrap"
3526          dbl_ovrd            off
3527          xl_use_area         off
3528          xl_area             "[16 0 0 32 0 0 0]"
3529          has_advanced_control    "0"
3530          sggui_pos           "20,20,348,303"
3531          block_type          "mux"
3532          block_version       "10.1.3"
3533          sg_icon_stat        "50,206,1,1,white,blue,3,ee37f7b8,right"
3534          sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npatch([0 45 45 0 ],[0 14.8571 89.1429 104 ],[0.77 0.82 0.91]);\npatch([10 3 14 3 10 22 25 28 41 31 21 14 25 14 21 31 41 28 25 22 10 ],[34 41 52 63 70 70 67 70 70 60 70 63 52 41 34 44 34 34 37 34 34 ],[0.98 0.96 0.92]);\nplot([0 45 45 0 0 ],[0 14.8571 89.1429 104 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1,'sel');\ncolor('black');port_label('input',2,'d0');\ncolor('black');port_label('input',3,'d1');\ncolor('black');port_label('input',4,'d2');\ncolor('black');port_label('input',5,'d3');\ncolor('black');port_label('input',6,'d4');\ncolor('black');port_label('input',7,'d5');\ncolor('black');port_label('input',8,'d6');\ncolor('black');port_label('input',9,'d7');\ncolor('black');disp('\\bf{}','texmode','on');\nfprintf('','COMMENT: end icon text');\n"
3535        }
3536        Block {
3537          BlockType           Reference
3538          Name            "Parallel to Serial1"
3539          Ports           [1, 1]
3540          Position            [620, 161, 675, 219]
3541          SourceBlock         "xbsIndex_r4/Parallel to Serial"
3542          SourceType          "Xilinx Parallel to Serial Converter Block"
3543          infoedit            "Each sample presented at the input becomes several samples presented serially at the output."
3544          output_order        "Most Significant Word First"
3545          arith_type          "Unsigned"
3546          output_bits         "1"
3547          bin_pt              "0"
3548          en              off
3549          latency             "0"
3550          dbl_ovrd            off
3551          xl_use_area         off
3552          xl_area             "[6 9 0 8 0 0 0]"
3553          has_advanced_control    "0"
3554          sggui_pos           "20,20,348,359"
3555          block_type          "p2s"
3556          block_version       "10.1.3"
3557          sg_icon_stat        "55,58,1,1,white,blue,0,6850e666,right"
3558          sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 ],[0 0 58 58 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13 28 32 36 52 40 28 19 32 19 28 40 52 36 32 28 13 ],[7 16 29 42 51 51 47 51 51 39 51 42 29 16 7 19 7 7 11 7 7 ],[0.98 0.96 0.92]);\nplot([0 55 55 0 0 ],[0 0 58 58 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1,'p');\ncolor('black');port_label('output',1,'s');\nfprintf('','COMMENT: end icon text');\n"
3559        }
3560        Block {
3561          BlockType           Reference
3562          Name            "Parallel to Serial2"
3563          Ports           [1, 1]
3564          Position            [625, 596, 680, 654]
3565          SourceBlock         "xbsIndex_r4/Parallel to Serial"
3566          SourceType          "Xilinx Parallel to Serial Converter Block"
3567          infoedit            "Each sample presented at the input becomes several samples presented serially at the output."
3568          output_order        "Most Significant Word First"
3569          arith_type          "Unsigned"
3570          output_bits         "1"
3571          bin_pt              "0"
3572          en              off
3573          latency             "0"
3574          dbl_ovrd            off
3575          xl_use_area         off
3576          xl_area             "[6 9 0 8 0 0 0]"
3577          has_advanced_control    "0"
3578          sggui_pos           "20,20,348,359"
3579          block_type          "p2s"
3580          block_version       "10.1.3"
3581          sg_icon_stat        "55,58,1,1,white,blue,0,6850e666,right"
3582          sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 ],[0 0 58 58 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13 28 32 36 52 40 28 19 32 19 28 40 52 36 32 28 13 ],[7 16 29 42 51 51 47 51 51 39 51 42 29 16 7 19 7 7 11 7 7 ],[0.98 0.96 0.92]);\nplot([0 55 55 0 0 ],[0 0 58 58 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1,'p');\ncolor('black');port_label('output',1,'s');\nfprintf('','COMMENT: end icon text');\n"
3583        }
3584        Block {
3585          BlockType           Reference
3586          Name            "address0"
3587          Ports           [1, 1]
3588          Position            [80, 55, 145, 75]
3589          SourceBlock         "xbsIndex_r4/Gateway In"
3590          SourceType          "Xilinx Gateway In Block"
3591          infoedit            "Gateway in block.  Converts inputs of type Simulink integer, double and fixed point to  Xilinx fixed point type.<P><P>Hardware notes:  In hardware these blocks become top level input ports."
3592          arith_type          "Unsigned"
3593          n_bits              "8"
3594          bin_pt              "0"
3595          quantization        "Truncate"
3596          overflow            "Wrap"
3597          period              "8"
3598          dbl_ovrd            off
3599          timing_constraint       "None"
3600          locs_specified          off
3601          LOCs            "{}"
3602          xl_use_area         off
3603          xl_area             "[0 0 0 0 8 0 0]"
3604          has_advanced_control    "0"
3605          sggui_pos           "20,20,348,406"
3606          block_type          "gatewayin"
3607          block_version       "10.1.3"
3608          sg_icon_stat        "65,20,1,1,white,yellow,0,bc55d28f,right"
3609          sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npatch([0 65 65 0 ],[0 0 20 20 ],[0.95 0.93 0.65]);\npatch([27 24 29 24 27 32 33 34 40 36 32 29 34 29 32 36 40 34 33 32 27 ],[2 5 10 15 18 18 17 18 18 14 18 15 10 5 2 6 2 2 3 2 2 ],[0.98 0.96 0.92]);\nplot([0 65 65 0 0 ],[0 0 20 20 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1,'\\fontsize{11pt}\\bf In ','texmode','on');\ncolor('black');port_label('output',1,' ');\nfprintf('','COMMENT: end icon text');\n"
3610        }
3611        Block {
3612          BlockType           Reference
3613          Name            "address1"
3614          Ports           [1, 1]
3615          Position            [80, 220, 145, 240]
3616          SourceBlock         "xbsIndex_r4/Gateway In"
3617          SourceType          "Xilinx Gateway In Block"
3618          infoedit            "Gateway in block.  Converts inputs of type Simulink integer, double and fixed point to  Xilinx fixed point type.<P><P>Hardware notes:  In hardware these blocks become top level input ports."
3619          arith_type          "Unsigned"
3620          n_bits              "8"
3621          bin_pt              "0"
3622          quantization        "Truncate"
3623          overflow            "Wrap"
3624          period              "8"
3625          dbl_ovrd            off
3626          timing_constraint       "None"
3627          locs_specified          off
3628          LOCs            "{}"
3629          xl_use_area         off
3630          xl_area             "[0 0 0 0 8 0 0]"
3631          has_advanced_control    "0"
3632          sggui_pos           "20,20,348,406"
3633          block_type          "gatewayin"
3634          block_version       "10.1.3"
3635          sg_icon_stat        "65,20,1,1,white,yellow,0,bc55d28f,right"
3636          sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npatch([0 65 65 0 ],[0 0 20 20 ],[0.95 0.93 0.65]);\npatch([27 24 29 24 27 32 33 34 40 36 32 29 34 29 32 36 40 34 33 32 27 ],[2 5 10 15 18 18 17 18 18 14 18 15 10 5 2 6 2 2 3 2 2 ],[0.98 0.96 0.92]);\nplot([0 65 65 0 0 ],[0 0 20 20 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1,'\\fontsize{11pt}\\bf In ','texmode','on');\ncolor('black');port_label('output',1,' ');\nfprintf('','COMMENT: end icon text');\n"
3637        }
3638        Block {
3639          BlockType           Reference
3640          Name            "hex_L"
3641          Ports           [1, 1]
3642          Position            [300, 140, 365, 160]
3643          SourceBlock         "xbsIndex_r4/Gateway In"
3644          SourceType          "Xilinx Gateway In Block"
3645          infoedit            "Gateway in block.  Converts inputs of type Simulink integer, double and fixed point to  Xilinx fixed point type.<P><P>Hardware notes:  In hardware these blocks become top level input ports."
3646          arith_type          "Unsigned"
3647          n_bits              "8"
3648          bin_pt              "0"
3649          quantization        "Truncate"
3650          overflow            "Wrap"
3651          period              "8"
3652          dbl_ovrd            off
3653          timing_constraint       "None"
3654          locs_specified          off
3655          LOCs            "{}"
3656          xl_use_area         off
3657          xl_area             "[0 0 0 0 8 0 0]"
3658          has_advanced_control    "0"
3659          sggui_pos           "20,20,348,406"
3660          block_type          "gatewayin"
3661          block_version       "10.1.3"
3662          sg_icon_stat        "65,20,1,1,white,yellow,0,bc55d28f,right"
3663          sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npatch([0 65 65 0 ],[0 0 20 20 ],[0.95 0.93 0.65]);\npatch([27 24 29 24 27 32 33 34 40 36 32 29 34 29 32 36 40 34 33 32 27 ],[2 5 10 15 18 18 17 18 18 14 18 15 10 5 2 6 2 2 3 2 2 ],[0.98 0.96 0.92]);\nplot([0 65 65 0 0 ],[0 0 20 20 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1,'\\fontsize{11pt}\\bf In ','texmode','on');\ncolor('black');port_label('output',1,' ');\nfprintf('','COMMENT: end icon text');\n"
3664        }
3665        Block {
3666          BlockType           Reference
3667          Name            "hex_M"
3668          Ports           [1, 1]
3669          Position            [300, 180, 365, 200]
3670          SourceBlock         "xbsIndex_r4/Gateway In"
3671          SourceType          "Xilinx Gateway In Block"
3672          infoedit            "Gateway in block.  Converts inputs of type Simulink integer, double and fixed point to  Xilinx fixed point type.<P><P>Hardware notes:  In hardware these blocks become top level input ports."
3673          arith_type          "Unsigned"
3674          n_bits              "8"
3675          bin_pt              "0"
3676          quantization        "Truncate"
3677          overflow            "Wrap"
3678          period              "8"
3679          dbl_ovrd            off
3680          timing_constraint       "None"
3681          locs_specified          off
3682          LOCs            "{}"
3683          xl_use_area         off
3684          xl_area             "[0 0 0 0 8 0 0]"
3685          has_advanced_control    "0"
3686          sggui_pos           "20,20,348,406"
3687          block_type          "gatewayin"
3688          block_version       "10.1.3"
3689          sg_icon_stat        "65,20,1,1,white,yellow,0,bc55d28f,right"
3690          sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npatch([0 65 65 0 ],[0 0 20 20 ],[0.95 0.93 0.65]);\npatch([27 24 29 24 27 32 33 34 40 36 32 29 34 29 32 36 40 34 33 32 27 ],[2 5 10 15 18 18 17 18 18 14 18 15 10 5 2 6 2 2 3 2 2 ],[0.98 0.96 0.92]);\nplot([0 65 65 0 0 ],[0 0 20 20 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1,'\\fontsize{11pt}\\bf In ','texmode','on');\ncolor('black');port_label('output',1,' ');\nfprintf('','COMMENT: end icon text');\n"
3691        }
3692        Block {
3693          BlockType           Reference
3694          Name            "hex_R"
3695          Ports           [1, 1]
3696          Position            [300, 300, 365, 320]
3697          SourceBlock         "xbsIndex_r4/Gateway In"
3698          SourceType          "Xilinx Gateway In Block"
3699          infoedit            "Gateway in block.  Converts inputs of type Simulink integer, double and fixed point to  Xilinx fixed point type.<P><P>Hardware notes:  In hardware these blocks become top level input ports."
3700          arith_type          "Unsigned"
3701          n_bits              "8"
3702          bin_pt              "0"
3703          quantization        "Truncate"
3704          overflow            "Wrap"
3705          period              "8"
3706          dbl_ovrd            off
3707          timing_constraint       "None"
3708          locs_specified          off
3709          LOCs            "{}"
3710          xl_use_area         off
3711          xl_area             "[0 0 0 0 8 0 0]"
3712          has_advanced_control    "0"
3713          sggui_pos           "20,20,348,406"
3714          block_type          "gatewayin"
3715          block_version       "10.1.3"
3716          sg_icon_stat        "65,20,1,1,white,yellow,0,bc55d28f,right"
3717          sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npatch([0 65 65 0 ],[0 0 20 20 ],[0.95 0.93 0.65]);\npatch([27 24 29 24 27 32 33 34 40 36 32 29 34 29 32 36 40 34 33 32 27 ],[2 5 10 15 18 18 17 18 18 14 18 15 10 5 2 6 2 2 3 2 2 ],[0.98 0.96 0.92]);\nplot([0 65 65 0 0 ],[0 0 20 20 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1,'\\fontsize{11pt}\\bf In ','texmode','on');\ncolor('black');port_label('output',1,' ');\nfprintf('','COMMENT: end icon text');\n"
3718        }
3719        Block {
3720          BlockType           Reference
3721          Name            "led8"
3722          Ports           [1, 1]
3723          Position            [300, 340, 365, 360]
3724          SourceBlock         "xbsIndex_r4/Gateway In"
3725          SourceType          "Xilinx Gateway In Block"
3726          infoedit            "Gateway in block.  Converts inputs of type Simulink integer, double and fixed point to  Xilinx fixed point type.<P><P>Hardware notes:  In hardware these blocks become top level input ports."
3727          arith_type          "Unsigned"
3728          n_bits              "8"
3729          bin_pt              "0"
3730          quantization        "Truncate"
3731          overflow            "Wrap"
3732          period              "8"
3733          dbl_ovrd            off
3734          timing_constraint       "None"
3735          locs_specified          off
3736          LOCs            "{}"
3737          xl_use_area         off
3738          xl_area             "[0 0 0 0 8 0 0]"
3739          has_advanced_control    "0"
3740          sggui_pos           "20,20,348,406"
3741          block_type          "gatewayin"
3742          block_version       "10.1.3"
3743          sg_icon_stat        "65,20,1,1,white,yellow,0,bc55d28f,right"
3744          sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npatch([0 65 65 0 ],[0 0 20 20 ],[0.95 0.93 0.65]);\npatch([27 24 29 24 27 32 33 34 40 36 32 29 34 29 32 36 40 34 33 32 27 ],[2 5 10 15 18 18 17 18 18 14 18 15 10 5 2 6 2 2 3 2 2 ],[0.98 0.96 0.92]);\nplot([0 65 65 0 0 ],[0 0 20 20 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1,'\\fontsize{11pt}\\bf In ','texmode','on');\ncolor('black');port_label('output',1,' ');\nfprintf('','COMMENT: end icon text');\n"
3745        }
3746        Block {
3747          BlockType           Outport
3748          Name            "data_writedata"
3749          Position            [735, 183, 765, 197]
3750          IconDisplay         "Port number"
3751        }
3752        Block {
3753          BlockType           Outport
3754          Name            "config_writedata"
3755          Position            [745, 618, 775, 632]
3756          Port            "2"
3757          IconDisplay         "Port number"
3758        }
3759        Line {
3760          SrcBlock            "address0"
3761          SrcPort             1
3762          Points              [105, 0]
3763          Branch {
3764        Points          [195, 0; 0, 65]
3765        DstBlock        "Mux"
3766        DstPort         2
3767          }
3768          Branch {
3769        Points          [0, 450; 200, 0; 0, 50]
3770        DstBlock        "Mux3"
3771        DstPort         2
3772          }
3773        }
3774        Line {
3775          SrcBlock            "Constant5"
3776          SrcPort             1
3777          Points              [70, 0; 0, 40]
3778          DstBlock            "Mux"
3779          DstPort             3
3780        }
3781        Line {
3782          SrcBlock            "hex_L"
3783          SrcPort             1
3784          Points              [55, 0; 0, 20]
3785          DstBlock            "Mux"
3786          DstPort             4
3787        }
3788        Line {
3789          SrcBlock            "hex_M"
3790          SrcPort             1
3791          DstBlock            "Mux"
3792          DstPort             5
3793        }
3794        Line {
3795          SrcBlock            "address1"
3796          SrcPort             1
3797          Points              [85, 0]
3798          Branch {
3799        Points          [175, 0; 0, -20]
3800        DstBlock        "Mux"
3801        DstPort         6
3802          }
3803          Branch {
3804        Points          [0, 435; 150, 0; 0, -20]
3805        DstBlock        "Mux3"
3806        DstPort         6
3807          }
3808        }
3809        Line {
3810          SrcBlock            "Constant6"
3811          SrcPort             1
3812          Points              [55, 0; 0, -40]
3813          DstBlock            "Mux"
3814          DstPort             7
3815        }
3816        Line {
3817          SrcBlock            "hex_R"
3818          SrcPort             1
3819          Points              [70, 0; 0, -60]
3820          DstBlock            "Mux"
3821          DstPort             8
3822        }
3823        Line {
3824          SrcBlock            "led8"
3825          SrcPort             1
3826          Points              [90, 0; 0, -80]
3827          DstBlock            "Mux"
3828          DstPort             9
3829        }
3830        Line {
3831          SrcBlock            "Mux"
3832          SrcPort             1
3833          DstBlock            "Parallel to Serial1"
3834          DstPort             1
3835        }
3836        Line {
3837          SrcBlock            "Constant9"
3838          SrcPort             1
3839          Points              [70, 0; 0, 40]
3840          DstBlock            "Mux3"
3841          DstPort             3
3842        }
3843        Line {
3844          SrcBlock            "Constant4"
3845          SrcPort             1
3846          Points              [60, 0; 0, 20]
3847          DstBlock            "Mux3"
3848          DstPort             4
3849        }
3850        Line {
3851          SrcBlock            "Constant7"
3852          SrcPort             1
3853          DstBlock            "Mux3"
3854          DstPort             5
3855        }
3856        Line {
3857          SrcBlock            "Constant10"
3858          SrcPort             1
3859          Points              [55, 0; 0, -40]
3860          DstBlock            "Mux3"
3861          DstPort             7
3862        }
3863        Line {
3864          SrcBlock            "Constant12"
3865          SrcPort             1
3866          Points              [75, 0; 0, -60]
3867          DstBlock            "Mux3"
3868          DstPort             8
3869        }
3870        Line {
3871          SrcBlock            "Constant13"
3872          SrcPort             1
3873          Points              [85, 0; 0, -80]
3874          DstBlock            "Mux3"
3875          DstPort             9
3876        }
3877        Line {
3878          SrcBlock            "Mux3"
3879          SrcPort             1
3880          DstBlock            "Parallel to Serial2"
3881          DstPort             1
3882        }
3883        Line {
3884          SrcBlock            "mux_select"
3885          SrcPort             1
3886          Points              [15, 0]
3887          Branch {
3888        Points          [295, 0]
3889        DstBlock        "Mux3"
3890        DstPort         1
3891          }
3892          Branch {
3893        Points          [0, -460; 295, 0]
3894        DstBlock        "Mux"
3895        DstPort         1
3896          }
3897        }
3898        Line {
3899          SrcBlock            "addr0"
3900          SrcPort             1
3901          DstBlock            "address0"
3902          DstPort             1
3903        }
3904        Line {
3905          SrcBlock            "hex_l"
3906          SrcPort             1
3907          DstBlock            "hex_L"
3908          DstPort             1
3909        }
3910        Line {
3911          SrcBlock            "Parallel to Serial1"
3912          SrcPort             1
3913          DstBlock            "data_writedata"
3914          DstPort             1
3915        }
3916        Line {
3917          SrcBlock            "hex_m"
3918          SrcPort             1
3919          DstBlock            "hex_M"
3920          DstPort             1
3921        }
3922        Line {
3923          SrcBlock            "addr1"
3924          SrcPort             1
3925          DstBlock            "address1"
3926          DstPort             1
3927        }
3928        Line {
3929          SrcBlock            "hex_r"
3930          SrcPort             1
3931          DstBlock            "hex_R"
3932          DstPort             1
3933        }
3934        Line {
3935          SrcBlock            "led_in"
3936          SrcPort             1
3937          DstBlock            "led8"
3938          DstPort             1
3939        }
3940        Line {
3941          SrcBlock            "Parallel to Serial2"
3942          SrcPort             1
3943          DstBlock            "config_writedata"
3944          DstPort             1
3945        }
3946      }
3947    }
3948    Block {
3949      BlockType       Outport
3950      Name            "SDA"
3951      Position        [1380, 258, 1410, 272]
3952      IconDisplay         "Port number"
3953    }
3954    Line {
3955      SrcBlock        "Counter3"
3956      SrcPort         1
3957      Points          [50, 0]
3958      Branch {
3959        DstBlock            "Down Sample"
3960        DstPort         1
3961      }
3962      Branch {
3963        DstBlock            "Delay"
3964        DstPort         1
3965      }
3966    }
3967    Line {
3968      SrcBlock        "Constant8"
3969      SrcPort         1
3970      DstBlock        "Data"
3971      DstPort         3
3972    }
3973    Line {
3974      SrcBlock        "inputdata_write"
3975      SrcPort         1
3976      Points          [125, 0; 0, -155]
3977      DstBlock        "Data"
3978      DstPort         2
3979    }
3980    Line {
3981      SrcBlock        "Down Sample"
3982      SrcPort         1
3983      DstBlock        "Slice"
3984      DstPort         1
3985    }
3986    Line {
3987      SrcBlock        "AddrB"
3988      SrcPort         1
3989      Points          [25, 0]
3990      Branch {
3991        Points          [0, -220]
3992        DstBlock            "Data"
3993        DstPort         4
3994      }
3995      Branch {
3996        DstBlock            "Config"
3997        DstPort         4
3998      }
3999    }
4000    Line {
4001      SrcBlock        "Data"
4002      SrcPort         2
4003      DstBlock        "Mux1"
4004      DstPort         3
4005    }
4006    Line {
4007      SrcBlock        "Constant1"
4008      SrcPort         1
4009      DstBlock        "Mux1"
4010      DstPort         2
4011    }
4012    Line {
4013      SrcBlock        "Constant"
4014      SrcPort         1
4015      DstBlock        "inputdata_write"
4016      DstPort         1
4017    }
4018    Line {
4019      SrcBlock        "Constant3"
4020      SrcPort         1
4021      DstBlock        "inputdata_write"
4022      DstPort         4
4023    }
4024    Line {
4025      SrcBlock        "inputdata_write"
4026      SrcPort         2
4027      DstBlock        "Config"
4028      DstPort         2
4029    }
4030    Line {
4031      SrcBlock        "Constant11"
4032      SrcPort         1
4033      DstBlock        "Config"
4034      DstPort         3
4035    }
4036    Line {
4037      SrcBlock        "Config"
4038      SrcPort         2
4039      DstBlock        "Mux4"
4040      DstPort         3
4041    }
4042    Line {
4043      SrcBlock        "Constant14"
4044      SrcPort         1
4045      DstBlock        "Mux4"
4046      DstPort         2
4047    }
4048    Line {
4049      SrcBlock        "Mux1"
4050      SrcPort         1
4051      Points          [45, 0; 0, 90]
4052      DstBlock        "Mux5"
4053      DstPort         2
4054    }
4055    Line {
4056      SrcBlock        "Mux4"
4057      SrcPort         1
4058      Points          [40, 0; 0, -95]
4059      DstBlock        "Mux5"
4060      DstPort         3
4061    }
4062    Line {
4063      SrcBlock        "Delay"
4064      SrcPort         1
4065      Points          [200, 0]
4066      Branch {
4067        DstBlock            "Data"
4068        DstPort         1
4069      }
4070      Branch {
4071        Points          [0, 220]
4072        DstBlock            "Config"
4073        DstPort         1
4074      }
4075    }
4076    Line {
4077      SrcBlock        "Slice"
4078      SrcPort         1
4079      DstBlock        "inputdata_write"
4080      DstPort         7
4081    }
4082    Line {
4083      SrcBlock        "From2"
4084      SrcPort         1
4085      DstBlock        "Config"
4086      DstPort         5
4087    }
4088    Line {
4089      SrcBlock        "From3"
4090      SrcPort         1
4091      DstBlock        "Data"
4092      DstPort         5
4093    }
4094    Line {
4095      SrcBlock        "Mux5"
4096      SrcPort         1
4097      Points          [20, 0; 0, 20]
4098      DstBlock        "Delay1"
4099      DstPort         1
4100    }
4101    Line {
4102      SrcBlock        "From6"
4103      SrcPort         1
4104      Points          [0, -40]
4105      DstBlock        "Delay1"
4106      DstPort         2
4107    }
4108    Line {
4109      SrcBlock        "OutSelect"
4110      SrcPort         1
4111      DstBlock        "Mux5"
4112      DstPort         1
4113    }
4114    Line {
4115      SrcBlock        "hex_l"
4116      SrcPort         1
4117      DstBlock        "inputdata_write"
4118      DstPort         2
4119    }
4120    Line {
4121      SrcBlock        "hex_m"
4122      SrcPort         1
4123      DstBlock        "inputdata_write"
4124      DstPort         3
4125    }
4126    Line {
4127      SrcBlock        "Delay1"
4128      SrcPort         1
4129      DstBlock        "SDA"
4130      DstPort         1
4131    }
4132    Line {
4133      SrcBlock        "hex_r"
4134      SrcPort         1
4135      DstBlock        "inputdata_write"
4136      DstPort         5
4137    }
4138    Line {
4139      SrcBlock        "led_in"
4140      SrcPort         1
4141      DstBlock        "inputdata_write"
4142      DstPort         6
4143    }
4144    Line {
4145      SrcBlock        "enable7"
4146      SrcPort         1
4147      Points          [30, 0]
4148      Branch {
4149        Points          [0, -150]
4150        DstBlock            "Mux1"
4151        DstPort         1
4152      }
4153      Branch {
4154        Points          [0, 70]
4155        DstBlock            "Mux4"
4156        DstPort         1
4157      }
4158    }
4159      }
4160    }
4161    Block {
4162      BlockType           Scope
4163      Name            "Scope1"
4164      Ports           [3]
4165      Position            [1315, 483, 1350, 547]
4166      Floating            off
4167      Location            [5, 49, 1917, 1167]
4168      Open            off
4169      NumInputPorts       "3"
4170      ZoomMode            "xonly"
4171      List {
4172    ListType        AxesTitles
4173    axes1           "%<SignalLabel>"
4174    axes2           "%<SignalLabel>"
4175    axes3           "%<SignalLabel>"
4176      }
4177      TimeRange           "30000"
4178      YMin            "0~0~-1"
4179      YMax            "1~1~1"
4180      DataFormat          "StructureWithTime"
4181      LimitDataPoints         off
4182      SampleTime          "0"
4183    }
4184    Block {
4185      BlockType           Scope
4186      Name            "Scope2"
4187      Ports           [3]
4188      Position            [1210, 338, 1245, 402]
4189      Floating            off
4190      Location            [1, 45, 1921, 1171]
4191      Open            off
4192      NumInputPorts       "3"
4193      ZoomMode            "xonly"
4194      List {
4195    ListType        AxesTitles
4196    axes1           "%<SignalLabel>"
4197    axes2           "%<SignalLabel>"
4198    axes3           "%<SignalLabel>"
4199      }
4200      YMin            "0~0~-1"
4201      YMax            "1~1~1"
4202      SaveName            "ScopeData2"
4203      DataFormat          "StructureWithTime"
4204      LimitDataPoints         off
4205      SampleTime          "0"
4206    }
4207    Block {
4208      BlockType           Reference
4209      Name            "divider"
4210      Ports           [1, 1]
4211      Position            [205, 645, 270, 665]
4212      SourceBlock         "xbsIndex_r4/Gateway In"
4213      SourceType          "Xilinx Gateway In Block"
4214      infoedit            "Gateway in block.  Converts inputs of type Simulink integer, double and fixed point to  Xilinx fixed point type.<P><P>Hardware notes:  In hardware these blocks become top level input ports."
4215      arith_type          "Unsigned"
4216      n_bits              "8"
4217      bin_pt              "0"
4218      quantization        "Truncate"
4219      overflow            "Wrap"
4220      period              "1"
4221      dbl_ovrd            off
4222      timing_constraint       "None"
4223      locs_specified          off
4224      LOCs            "{}"
4225      xl_use_area         off
4226      xl_area             "[0 0 0 0 8 0 0]"
4227      has_advanced_control    "0"
4228      sggui_pos           "20,20,348,406"
4229      block_type          "gatewayin"
4230      block_version       "10.1.3"
4231      sg_icon_stat        "65,20,1,1,white,yellow,0,bc55d28f,right"
4232      sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npatch([0 65 65 0 ],[0 0 20 20 ],[0.95 0.93 0.65]);\npatch([27 24 29 24 27 32 33 34 40 36 32 29 34 29 32 36 40 34 33 32 27 ],[2 5 10 15 18 18 17 18 18 14 18 15 10 5 2 6 2 2 3 2 2 ],[0.98 0.96 0.92]);\nplot([0 65 65 0 0 ],[0 0 20 20 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1,'\\fontsize{11pt}\\bf In ','texmode','on');\ncolor('black');port_label('output',1,' ');\nfprintf('','COMMENT: end icon text');\n"
4233    }
4234    Block {
4235      BlockType           SubSystem
4236      Name            "fallingedge"
4237      Ports           [1, 1]
4238      Position            [510, 107, 575, 143]
4239      MinAlgLoopOccurrences   off
4240      PropExecContextOutsideSubsystem off
4241      RTWSystemCode       "Auto"
4242      FunctionWithSeparateData off
4243      Opaque              off
4244      RequestExecContextInheritance off
4245      MaskHideContents        off
4246      System {
4247    Name            "fallingedge"
4248    Location        [405, 110, 1585, 1079]
4249    Open            off
4250    ModelBrowserVisibility  off
4251    ModelBrowserWidth   200
4252    ScreenColor     "white"
4253    PaperOrientation    "landscape"
4254    PaperPositionMode   "auto"
4255    PaperType       "usletter"
4256    PaperUnits      "inches"
4257    TiledPaperMargins   [0.500000, 0.500000, 0.500000, 0.500000]
4258    TiledPageScale      1
4259    ShowPageBoundaries  off
4260    ZoomFactor      "100"
4261    Block {
4262      BlockType       Inport
4263      Name            "in"
4264      Position        [25, 33, 55, 47]
4265      IconDisplay         "Port number"
4266    }
4267    Block {
4268      BlockType       Reference
4269      Name            "Delay4"
4270      Ports           [1, 1]
4271      Position        [190, 57, 250, 113]
4272      SourceBlock         "xbsIndex_r4/Delay"
4273      SourceType          "Xilinx Delay Block"
4274      infoedit        "Hardware notes: A delay line is a chain, each link of which is an SRL16 followed by a flip-flop."
4275      en              off
4276      latency         "1"
4277      dbl_ovrd        off
4278      reg_retiming        off
4279      xl_use_area         off
4280      xl_area         "[1 1 0 0 0 0 0]"
4281      has_advanced_control    "0"
4282      sggui_pos       "-1,-1,-1,-1"
4283      block_type          "delay"
4284      block_version       "10.1.3"
4285      sg_icon_stat        "60,56,1,1,white,blue,0,fc531c0e,right"
4286      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 ],[0 0 56 56 ],[0.77 0.82 0.91]);\npatch([15 6 19 6 15 30 34 38 54 41 29 20 32 20 29 41 54 38 34 30 15 ],[6 15 28 41 50 50 46 50 50 37 49 40 28 16 7 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 56 56 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');disp('z^{-1}','texmode','on');\nfprintf('','COMMENT: end icon text');\n"
4287    }
4288    Block {
4289      BlockType       Reference
4290      Name            "Inverter"
4291      Ports           [1, 1]
4292      Position        [115, 11, 170, 69]
4293      SourceBlock         "xbsIndex_r4/Inverter"
4294      SourceType          "Xilinx Inverter Block"
4295      infoedit        "Bitwise logical negation (one's complement) operator."
4296      en              off
4297      latency         "0"
4298      dbl_ovrd        off
4299      xl_use_area         off
4300      xl_area         "[0 0 0 0 0 0 0]"
4301      has_advanced_control    "0"
4302      sggui_pos       "-1,-1,-1,-1"
4303      block_type          "inv"
4304      block_version       "10.1.3"
4305      sg_icon_stat        "55,58,1,1,white,blue,0,1ab4a85f,right"
4306      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 ],[0 0 58 58 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13 28 32 36 52 40 28 19 32 19 28 40 52 36 32 28 13 ],[7 16 29 42 51 51 47 51 51 39 51 42 29 16 7 19 7 7 11 7 7 ],[0.98 0.96 0.92]);\nplot([0 55 55 0 0 ],[0 0 58 58 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');disp('not');\nfprintf('','COMMENT: end icon text');\n"
4307    }
4308    Block {
4309      BlockType       Reference
4310      Name            "Logical"
4311      Ports           [2, 1]
4312      Position        [300, 25, 355, 85]
4313      SourceBlock         "xbsIndex_r4/Logical"
4314      SourceType          "Xilinx Logical Block Block"
4315      logical_function    "AND"
4316      inputs          "2"
4317      en              off
4318      latency         "0"
4319      precision       "Full"
4320      arith_type          "Unsigned"
4321      n_bits          "16"
4322      bin_pt          "0"
4323      align_bp        on
4324      dbl_ovrd        off
4325      xl_use_area         off
4326      xl_area         "[1 0 0 1 0 0 0]"
4327      has_advanced_control    "0"
4328      sggui_pos       "-1,-1,-1,-1"
4329      block_type          "logical"
4330      block_version       "10.1.3"
4331      sg_icon_stat        "55,60,1,1,white,blue,0,087b5522,right"
4332      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 ],[0 0 60 60 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13 28 32 36 52 40 28 19 32 19 28 40 52 36 32 28 13 ],[8 17 30 43 52 52 48 52 52 40 52 43 30 17 8 20 8 8 12 8 8 ],[0.98 0.96 0.92]);\nplot([0 55 55 0 0 ],[0 0 60 60 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');disp('\\newlineand\\newlinez^{-0}','texmode','on');\nfprintf('','COMMENT: end icon text');\n"
4333    }
4334    Block {
4335      BlockType       Outport
4336      Name            "edge"
4337      Position        [380, 48, 410, 62]
4338      IconDisplay         "Port number"
4339    }
4340    Line {
4341      SrcBlock        "Logical"
4342      SrcPort         1
4343      DstBlock        "edge"
4344      DstPort         1
4345    }
4346    Line {
4347      SrcBlock        "Delay4"
4348      SrcPort         1
4349      Points          [15, 0; 0, -15]
4350      DstBlock        "Logical"
4351      DstPort         2
4352    }
4353    Line {
4354      SrcBlock        "in"
4355      SrcPort         1
4356      Points          [15, 0]
4357      Branch {
4358        DstBlock            "Inverter"
4359        DstPort         1
4360      }
4361      Branch {
4362        Points          [0, 45]
4363        DstBlock            "Delay4"
4364        DstPort         1
4365      }
4366    }
4367    Line {
4368      SrcBlock        "Inverter"
4369      SrcPort         1
4370      DstBlock        "Logical"
4371      DstPort         1
4372    }
4373      }
4374    }
4375    Block {
4376      BlockType           SubSystem
4377      Name            "fallingedge1"
4378      Ports           [1, 1]
4379      Position            [330, 62, 395, 98]
4380      MinAlgLoopOccurrences   off
4381      PropExecContextOutsideSubsystem off
4382      RTWSystemCode       "Auto"
4383      FunctionWithSeparateData off
4384      Opaque              off
4385      RequestExecContextInheritance off
4386      MaskHideContents        off
4387      System {
4388    Name            "fallingedge1"
4389    Location        [405, 110, 1838, 1114]
4390    Open            off
4391    ModelBrowserVisibility  off
4392    ModelBrowserWidth   200
4393    ScreenColor     "white"
4394    PaperOrientation    "landscape"
4395    PaperPositionMode   "auto"
4396    PaperType       "usletter"
4397    PaperUnits      "inches"
4398    TiledPaperMargins   [0.500000, 0.500000, 0.500000, 0.500000]
4399    TiledPageScale      1
4400    ShowPageBoundaries  off
4401    ZoomFactor      "100"
4402    Block {
4403      BlockType       Inport
4404      Name            "in"
4405      Position        [25, 33, 55, 47]
4406      IconDisplay         "Port number"
4407    }
4408    Block {
4409      BlockType       Reference
4410      Name            "Delay4"
4411      Ports           [1, 1]
4412      Position        [190, 57, 250, 113]
4413      SourceBlock         "xbsIndex_r4/Delay"
4414      SourceType          "Xilinx Delay Block"
4415      infoedit        "Hardware notes: A delay line is a chain, each link of which is an SRL16 followed by a flip-flop."
4416      en              off
4417      latency         "1"
4418      dbl_ovrd        off
4419      reg_retiming        off
4420      xl_use_area         off
4421      xl_area         "[1 1 0 0 0 0 0]"
4422      has_advanced_control    "0"
4423      sggui_pos       "-1,-1,-1,-1"
4424      block_type          "delay"
4425      block_version       "10.1.3"
4426      sg_icon_stat        "60,56,1,1,white,blue,0,fc531c0e,right"
4427      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 ],[0 0 56 56 ],[0.77 0.82 0.91]);\npatch([15 6 19 6 15 30 34 38 54 41 29 20 32 20 29 41 54 38 34 30 15 ],[6 15 28 41 50 50 46 50 50 37 49 40 28 16 7 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 56 56 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');disp('z^{-1}','texmode','on');\nfprintf('','COMMENT: end icon text');\n"
4428    }
4429    Block {
4430      BlockType       Reference
4431      Name            "Inverter"
4432      Ports           [1, 1]
4433      Position        [115, 11, 170, 69]
4434      SourceBlock         "xbsIndex_r4/Inverter"
4435      SourceType          "Xilinx Inverter Block"
4436      infoedit        "Bitwise logical negation (one's complement) operator."
4437      en              off
4438      latency         "0"
4439      dbl_ovrd        off
4440      xl_use_area         off
4441      xl_area         "[0 0 0 0 0 0 0]"
4442      has_advanced_control    "0"
4443      sggui_pos       "-1,-1,-1,-1"
4444      block_type          "inv"
4445      block_version       "10.1.3"
4446      sg_icon_stat        "55,58,1,1,white,blue,0,1ab4a85f,right"
4447      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 ],[0 0 58 58 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13 28 32 36 52 40 28 19 32 19 28 40 52 36 32 28 13 ],[7 16 29 42 51 51 47 51 51 39 51 42 29 16 7 19 7 7 11 7 7 ],[0.98 0.96 0.92]);\nplot([0 55 55 0 0 ],[0 0 58 58 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');disp('not');\nfprintf('','COMMENT: end icon text');\n"
4448    }
4449    Block {
4450      BlockType       Reference
4451      Name            "Logical"
4452      Ports           [2, 1]
4453      Position        [300, 25, 355, 85]
4454      SourceBlock         "xbsIndex_r4/Logical"
4455      SourceType          "Xilinx Logical Block Block"
4456      logical_function    "AND"
4457      inputs          "2"
4458      en              off
4459      latency         "0"
4460      precision       "Full"
4461      arith_type          "Unsigned"
4462      n_bits          "16"
4463      bin_pt          "0"
4464      align_bp        on
4465      dbl_ovrd        off
4466      xl_use_area         off
4467      xl_area         "[1 0 0 1 0 0 0]"
4468      has_advanced_control    "0"
4469      sggui_pos       "-1,-1,-1,-1"
4470      block_type          "logical"
4471      block_version       "10.1.3"
4472      sg_icon_stat        "55,60,1,1,white,blue,0,087b5522,right"
4473      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 ],[0 0 60 60 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13 28 32 36 52 40 28 19 32 19 28 40 52 36 32 28 13 ],[8 17 30 43 52 52 48 52 52 40 52 43 30 17 8 20 8 8 12 8 8 ],[0.98 0.96 0.92]);\nplot([0 55 55 0 0 ],[0 0 60 60 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');disp('\\newlineand\\newlinez^{-0}','texmode','on');\nfprintf('','COMMENT: end icon text');\n"
4474    }
4475    Block {
4476      BlockType       Outport
4477      Name            "edge"
4478      Position        [380, 48, 410, 62]
4479      IconDisplay         "Port number"
4480    }
4481    Line {
4482      SrcBlock        "Inverter"
4483      SrcPort         1
4484      DstBlock        "Logical"
4485      DstPort         1
4486    }
4487    Line {
4488      SrcBlock        "in"
4489      SrcPort         1
4490      Points          [15, 0]
4491      Branch {
4492        Points          [0, 45]
4493        DstBlock            "Delay4"
4494        DstPort         1
4495      }
4496      Branch {
4497        DstBlock            "Inverter"
4498        DstPort         1
4499      }
4500    }
4501    Line {
4502      SrcBlock        "Delay4"
4503      SrcPort         1
4504      Points          [15, 0; 0, -15]
4505      DstBlock        "Logical"
4506      DstPort         2
4507    }
4508    Line {
4509      SrcBlock        "Logical"
4510      SrcPort         1
4511      DstBlock        "edge"
4512      DstPort         1
4513    }
4514      }
4515    }
4516    Block {
4517      BlockType           Reference
4518      Name            "reset"
4519      Ports           [1, 1]
4520      Position            [115, 265, 180, 285]
4521      SourceBlock         "xbsIndex_r4/Gateway In"
4522      SourceType          "Xilinx Gateway In Block"
4523      infoedit            "Gateway in block.  Converts inputs of type Simulink integer, double and fixed point to  Xilinx fixed point type.<P><P>Hardware notes:  In hardware these blocks become top level input ports."
4524      arith_type          "Boolean"
4525      n_bits              "8"
4526      bin_pt              "0"
4527      quantization        "Truncate"
4528      overflow            "Wrap"
4529      period              "1"
4530      dbl_ovrd            off
4531      timing_constraint       "None"
4532      locs_specified          off
4533      LOCs            "{}"
4534      xl_use_area         off
4535      xl_area             "[0 0 0 0 1 0 0]"
4536      has_advanced_control    "0"
4537      sggui_pos           "20,20,348,406"
4538      block_type          "gatewayin"
4539      block_version       "10.1.3"
4540      sg_icon_stat        "65,20,1,1,white,yellow,0,bc55d28f,right"
4541      sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npatch([0 65 65 0 ],[0 0 20 20 ],[0.95 0.93 0.65]);\npatch([27 24 29 24 27 32 33 34 40 36 32 29 34 29 32 36 40 34 33 32 27 ],[2 5 10 15 18 18 17 18 18 14 18 15 10 5 2 6 2 2 3 2 2 ],[0.98 0.96 0.92]);\nplot([0 65 65 0 0 ],[0 0 20 20 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1,'\\fontsize{11pt}\\bf In ','texmode','on');\ncolor('black');port_label('output',1,' ');\nfprintf('','COMMENT: end icon text');\n"
4542    }
4543    Block {
4544      BlockType           SubSystem
4545      Name            "risingedge"
4546      Ports           [1, 1]
4547      Position            [205, 257, 270, 293]
4548      MinAlgLoopOccurrences   off
4549      PropExecContextOutsideSubsystem off
4550      RTWSystemCode       "Auto"
4551      FunctionWithSeparateData off
4552      Opaque              off
4553      RequestExecContextInheritance off
4554      MaskHideContents        off
4555      System {
4556    Name            "risingedge"
4557    Location        [675, 205, 1110, 346]
4558    Open            off
4559    ModelBrowserVisibility  off
4560    ModelBrowserWidth   200
4561    ScreenColor     "white"
4562    PaperOrientation    "landscape"
4563    PaperPositionMode   "auto"
4564    PaperType       "usletter"
4565    PaperUnits      "inches"
4566    TiledPaperMargins   [0.500000, 0.500000, 0.500000, 0.500000]
4567    TiledPageScale      1
4568    ShowPageBoundaries  off
4569    ZoomFactor      "100"
4570    Block {
4571      BlockType       Inport
4572      Name            "in"
4573      Position        [25, 33, 55, 47]
4574      IconDisplay         "Port number"
4575    }
4576    Block {
4577      BlockType       Reference
4578      Name            "Delay4"
4579      Ports           [1, 1]
4580      Position        [190, 57, 250, 113]
4581      SourceBlock         "xbsIndex_r4/Delay"
4582      SourceType          "Xilinx Delay Block"
4583      infoedit        "Hardware notes: A delay line is a chain, each link of which is an SRL16 followed by a flip-flop."
4584      en              off
4585      latency         "1"
4586      dbl_ovrd        off
4587      reg_retiming        off
4588      xl_use_area         off
4589      xl_area         "[1 1 0 0 0 0 0]"
4590      has_advanced_control    "0"
4591      sggui_pos       "-1,-1,-1,-1"
4592      block_type          "delay"
4593      block_version       "10.1.3"
4594      sg_icon_stat        "60,56,1,1,white,blue,0,fc531c0e,right"
4595      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 ],[0 0 56 56 ],[0.77 0.82 0.91]);\npatch([15 6 19 6 15 30 34 38 54 41 29 20 32 20 29 41 54 38 34 30 15 ],[6 15 28 41 50 50 46 50 50 37 49 40 28 16 7 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 56 56 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');disp('z^{-1}','texmode','on');\nfprintf('','COMMENT: end icon text');\n"
4596    }
4597    Block {
4598      BlockType       Reference
4599      Name            "Inverter"
4600      Ports           [1, 1]
4601      Position        [100, 56, 155, 114]
4602      SourceBlock         "xbsIndex_r4/Inverter"
4603      SourceType          "Xilinx Inverter Block"
4604      infoedit        "Bitwise logical negation (one's complement) operator."
4605      en              off
4606      latency         "0"
4607      dbl_ovrd        off
4608      xl_use_area         off
4609      xl_area         "[0 0 0 0 0 0 0]"
4610      has_advanced_control    "0"
4611      sggui_pos       "-1,-1,-1,-1"
4612      block_type          "inv"
4613      block_version       "10.1.3"
4614      sg_icon_stat        "55,58,1,1,white,blue,0,1ab4a85f,right"
4615      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 ],[0 0 58 58 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13 28 32 36 52 40 28 19 32 19 28 40 52 36 32 28 13 ],[7 16 29 42 51 51 47 51 51 39 51 42 29 16 7 19 7 7 11 7 7 ],[0.98 0.96 0.92]);\nplot([0 55 55 0 0 ],[0 0 58 58 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');disp('not');\nfprintf('','COMMENT: end icon text');\n"
4616    }
4617    Block {
4618      BlockType       Reference
4619      Name            "Logical"
4620      Ports           [2, 1]
4621      Position        [300, 25, 355, 85]
4622      SourceBlock         "xbsIndex_r4/Logical"
4623      SourceType          "Xilinx Logical Block Block"
4624      logical_function    "AND"
4625      inputs          "2"
4626      en              off
4627      latency         "0"
4628      precision       "Full"
4629      arith_type          "Unsigned"
4630      n_bits          "16"
4631      bin_pt          "0"
4632      align_bp        on
4633      dbl_ovrd        off
4634      xl_use_area         off
4635      xl_area         "[1 0 0 1 0 0 0]"
4636      has_advanced_control    "0"
4637      sggui_pos       "-1,-1,-1,-1"
4638      block_type          "logical"
4639      block_version       "10.1.3"
4640      sg_icon_stat        "55,60,1,1,white,blue,0,087b5522,right"
4641      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 ],[0 0 60 60 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13 28 32 36 52 40 28 19 32 19 28 40 52 36 32 28 13 ],[8 17 30 43 52 52 48 52 52 40 52 43 30 17 8 20 8 8 12 8 8 ],[0.98 0.96 0.92]);\nplot([0 55 55 0 0 ],[0 0 60 60 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');disp('\\newlineand\\newlinez^{-0}','texmode','on');\nfprintf('','COMMENT: end icon text');\n"
4642    }
4643    Block {
4644      BlockType       Outport
4645      Name            "edge"
4646      Position        [380, 48, 410, 62]
4647      IconDisplay         "Port number"
4648    }
4649    Line {
4650      SrcBlock        "in"
4651      SrcPort         1
4652      Points          [15, 0]
4653      Branch {
4654        DstBlock            "Logical"
4655        DstPort         1
4656      }
4657      Branch {
4658        Points          [0, 45]
4659        DstBlock            "Inverter"
4660        DstPort         1
4661      }
4662    }
4663    Line {
4664      SrcBlock        "Inverter"
4665      SrcPort         1
4666      DstBlock        "Delay4"
4667      DstPort         1
4668    }
4669    Line {
4670      SrcBlock        "Delay4"
4671      SrcPort         1
4672      Points          [15, 0; 0, -15]
4673      DstBlock        "Logical"
4674      DstPort         2
4675    }
4676    Line {
4677      SrcBlock        "Logical"
4678      SrcPort         1
4679      DstBlock        "edge"
4680      DstPort         1
4681    }
4682      }
4683    }
4684    Block {
4685      BlockType           Reference
4686      Name            "scl"
4687      Ports           [1, 1]
4688      Position            [990, 515, 1050, 535]
4689      SourceBlock         "xbsIndex_r4/Gateway Out"
4690      SourceType          "Xilinx Gateway Out Block"
4691      infoedit            "Gateway out block.  Converts Xilinx fixed point inputs into ouputs of type Simulink integer, double, or fixed point.<P><P>Hardware notes:  In hardware these blocks become top level output ports or are discarded, depending on how they are configured."
4692      hdl_port            on
4693      timing_constraint       "None"
4694      locs_specified          off
4695      LOCs            "{}"
4696      xl_use_area         off
4697      xl_area             "[0,0,0,0,0,0,0]"
4698      has_advanced_control    "0"
4699      sggui_pos           "20,20,336,386"
4700      block_type          "gatewayout"
4701      block_version       "10.1.3"
4702      sg_icon_stat        "60,20,1,1,white,yellow,0,38220381,right"
4703      sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 ],[0 0 20 20 ],[0.95 0.93 0.65]);\npatch([24 21 26 21 24 29 30 31 37 33 29 26 31 26 29 33 37 31 30 29 24 ],[2 5 10 15 18 18 17 18 18 14 18 15 10 5 2 6 2 2 3 2 2 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 20 20 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1,' ');\ncolor('black');port_label('output',1,'\\fontsize{11pt}\\bf Out ','texmode','on');\nfprintf('','COMMENT: end icon text');\n"
4704    }
4705    Block {
4706      BlockType           Reference
4707      Name            "sda"
4708      Ports           [1, 1]
4709      Position            [985, 195, 1045, 215]
4710      SourceBlock         "xbsIndex_r4/Gateway Out"
4711      SourceType          "Xilinx Gateway Out Block"
4712      infoedit            "Gateway out block.  Converts Xilinx fixed point inputs into ouputs of type Simulink integer, double, or fixed point.<P><P>Hardware notes:  In hardware these blocks become top level output ports or are discarded, depending on how they are configured."
4713      hdl_port            on
4714      timing_constraint       "None"
4715      locs_specified          off
4716      LOCs            "{}"
4717      xl_use_area         off
4718      xl_area             "[0,0,0,0,0,0,0]"
4719      has_advanced_control    "0"
4720      sggui_pos           "20,20,336,386"
4721      block_type          "gatewayout"
4722      block_version       "10.1.3"
4723      sg_icon_stat        "60,20,1,1,white,yellow,0,38220381,right"
4724      sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 ],[0 0 20 20 ],[0.95 0.93 0.65]);\npatch([24 21 26 21 24 29 30 31 37 33 29 26 31 26 29 33 37 31 30 29 24 ],[2 5 10 15 18 18 17 18 18 14 18 15 10 5 2 6 2 2 3 2 2 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 20 20 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1,' ');\ncolor('black');port_label('output',1,'\\fontsize{11pt}\\bf Out ','texmode','on');\nfprintf('','COMMENT: end icon text');\n"
4725    }
4726    Line {
4727      SrcBlock            "2wire Count Gen"
4728      SrcPort             2
4729      DstBlock            "Delay4"
4730      DstPort             1
4731    }
4732    Line {
4733      SrcBlock            "2wire Count Gen"
4734      SrcPort             3
4735      Points              [20, 0]
4736      Branch {
4737    Points          [0, -230]
4738    DstBlock        "fallingedge"
4739    DstPort         1
4740      }
4741      Branch {
4742    Labels          [0, 0]
4743    Points          [0, 125]
4744    DstBlock        "SCLGenerate"
4745    DstPort         1
4746      }
4747    }
4748    Line {
4749      SrcBlock            "divider"
4750      SrcPort             1
4751      Points              [20, 0]
4752      Branch {
4753    DstBlock        "ClockEnableGen"
4754    DstPort         1
4755      }
4756      Branch {
4757    Points          [0, 95]
4758    DstBlock        "ClockEnableGen_div4"
4759    DstPort         1
4760      }
4761    }
4762    Line {
4763      SrcBlock            "Constant16"
4764      SrcPort             1
4765      DstBlock            "divider"
4766      DstPort             1
4767    }
4768    Line {
4769      SrcBlock            "ClockEnableGen"
4770      SrcPort             1
4771      DstBlock            "Goto"
4772      DstPort             1
4773    }
4774    Line {
4775      SrcBlock            "From"
4776      SrcPort             1
4777      DstBlock            "Delay4"
4778      DstPort             2
4779    }
4780    Line {
4781      Labels              [0, 0]
4782      SrcBlock            "fallingedge"
4783      SrcPort             1
4784      DstBlock            "ConfigDataMuxCtrl"
4785      DstPort             2
4786    }
4787    Line {
4788      SrcBlock            "ClockEnableGen_div4"
4789      SrcPort             1
4790      DstBlock            "Goto1"
4791      DstPort             1
4792    }
4793    Line {
4794      SrcBlock            "Delay4"
4795      SrcPort             1
4796      Points              [25, 0; 0, -30]
4797      DstBlock            "SDAGenerate"
4798      DstPort             7
4799    }
4800    Line {
4801      SrcBlock            "2wire Count Gen"
4802      SrcPort             1
4803      DstBlock            "SDAGenerate"
4804      DstPort             6
4805    }
4806    Line {
4807      SrcBlock            "ConfigDataMuxCtrl"
4808      SrcPort             1
4809      Points              [0, 10]
4810      DstBlock            "SDAGenerate"
4811      DstPort             1
4812    }
4813    Line {
4814      SrcBlock            "From1"
4815      SrcPort             1
4816      DstBlock            "2wire Count Gen"
4817      DstPort             2
4818    }
4819    Line {
4820      SrcBlock            "SDAGenerate"
4821      SrcPort             1
4822      DstBlock            "sda"
4823      DstPort             1
4824    }
4825    Line {
4826      SrcBlock            "SCLGenerate"
4827      SrcPort             1
4828      DstBlock            "scl"
4829      DstPort             1
4830    }
4831    Line {
4832      SrcBlock            "sda"
4833      SrcPort             1
4834      Points              [75, 0; 0, 145]
4835      DstBlock            "Scope2"
4836      DstPort             1
4837    }
4838    Line {
4839      SrcBlock            "scl"
4840      SrcPort             1
4841      Points              [75, 0; 0, -155]
4842      DstBlock            "Scope2"
4843      DstPort             2
4844    }
4845    Line {
4846      SrcBlock            "Pulse\nGenerator1"
4847      SrcPort             1
4848      DstBlock            "reset"
4849      DstPort             1
4850    }
4851    Line {
4852      SrcBlock            "reset"
4853      SrcPort             1
4854      Points              [5, 0]
4855      Branch {
4856    Points          [0, 115]
4857    DstBlock        "Scope2"
4858    DstPort         3
4859      }
4860      Branch {
4861    Points          [0, 0]
4862    Branch {
4863      DstBlock        "risingedge"
4864      DstPort         1
4865    }
4866    Branch {
4867      Points          [0, -195]
4868      DstBlock        "fallingedge1"
4869      DstPort         1
4870    }
4871      }
4872    }
4873    Line {
4874      SrcBlock            "risingedge"
4875      SrcPort             1
4876      Points              [10, 0]
4877      Branch {
4878    Points          [0, 290]
4879    Branch {
4880      DstBlock        "SCLGenerate"
4881      DstPort         2
4882    }
4883    Branch {
4884      Points          [0, 125]
4885      Branch {
4886        Points          [0, 95]
4887        DstBlock            "ClockEnableGen_div4"
4888        DstPort         2
4889      }
4890      Branch {
4891        Points          [0, 0]
4892        DstBlock            "ClockEnableGen"
4893        DstPort         2
4894      }
4895    }
4896      }
4897      Branch {
4898    DstBlock        "2wire Count Gen"
4899    DstPort         1
4900      }
4901    }
4902    Line {
4903      SrcBlock            "fallingedge1"
4904      SrcPort             1
4905      DstBlock            "Delay1"
4906      DstPort             1
4907    }
4908    Line {
4909      SrcBlock            "Delay1"
4910      SrcPort             1
4911      DstBlock            "ConfigDataMuxCtrl"
4912      DstPort             1
4913    }
4914  }
4915}
4916MatData {
4917  NumRecords          1
4918  DataRecord {
4919    Tag             DataTag0
4920    Data            "  %)30     .    ^'    8    (     @         %    \"     $    !     0         %  0 !@    $    ,    <V%V960 =V]R:P        X   !0.   !@    @    \"          4    (     0    $    !          4 !  ,     0   !@   !S:&%R960       !C;VUP:6QA=&EO;@ .    Z 0   8    (     @         %    \"     $    !     0         %  0 $P    $   \"8    8V]M<&EL871I;VX          &-O;7!I;&%T:6]N7VQU=     !S:6UU;&EN:U]P97)I;V0     :6YC<E]N971L:7-T         '1R:6U?=F)I=',           !D8FQ?;W9R9               9&5P<F5C871E9%]C;VYT<F]L &)L;V-K7VEC;VY?9&ES<&QA>0 .    .     8    (    !          %    \"     $    '     0         0    !P   '1A<F=E=#$ #@   $@\"   &    \"     (         !0    @    !     0    $         !0 $  <    !    #@   &ME>7,   !V86QU97,    .    \" $   8    (     0         %    \"     $    #     0         .    0     8    (    !          %    \"     $    +     0         0    \"P   $A$3\"!.971L:7-T       .    0     8    (    !          %    \"     $    +     0         0    \"P   $Y'0R!.971L:7-T       .    2     8    (    !          %    \"     $    8     0         0    &    $5X<&]R=\"!A<R!A('!C;W)E('1O($5$2PX   #H    !@    @    !          4    (     0    ,    !          X    X    !@    @    $          4    (     0    <    !         !     '    =&%R9V5T,0 .    .     8    (    !          %    \"     $    '     0         0    !P   '1A<F=E=#( #@   #@    &    \"     0         !0    @    !    !P    $         $     <   !T87)G970S  X    P    !@    @    $          4    (     0    $    !         !   0 Q    #@   #     &    \"     0         !0    @    !     P    $         $  # &]F9@ .    2     8    (    !          %    \"     $    7     0         0    %P   $5V97)Y=VAE<F4@:6X@4W5B4WES=&5M  X   !(    !@    @    $          4    (     0   !@    !         !     8    06-C;W)D:6YG('1O($)L;V-K($UA<VMS#@   #     &    \"     0         !0    @    !     P    $         $  # &]F9@ .    .     8    (    !          %    \"     $    '     0         0    !P   $1E9F%U;'0 #@    @S   &    \"     (         !0    @    !     0    $         !0 $  @    !    &    '1A<F=E=#$ =&%R9V5T,@!T87)G970S  X   !@#@  !@    @    \"          4    (     0    $    !          4 !  >     0   !H$  !I;F9O961I=                             !X:6QI;GAF86UI;'D                       !P87)T                                  !S<&5E9                                 !P86-K86=E                              !S>6YT:&5S:7-?=&]O;%]S9V%D=F%N8V5D      !S>6YT:&5S:7-?=&]O;                     !C;&]C:U]W<F%P<&5R7W-G861V86YC960       !C;&]C:U]W<F%P<&5R                      !D:7)E8W1O<GD                           !T97-T8F5N8VA?<V=A9'9A;F-E9             !T97-T8F5N8V@                           !S>7-C;&M?<&5R:6]D                      !D8VU?:6YP=71?8VQO8VM?<&5R:6]D          !I;F-R7VYE=&QI<W1?<V=A9'9A;F-E9         !T<FEM7W9B:71S7W-G861V86YC960           !D8FQ?;W9R9%]S9V%D=F%N8V5D              !C;W)E7V=E;F5R871I;VY?<V=A9'9A;F-E9     !C;W)E7V=E;F5R871I;VX                   !R=6Y?8V]R96=E;E]S9V%D=F%N8V5D          !R=6Y?8V]R96=E;@                        !D97!R96-A=&5D7V-O;G1R;VQ?<V=A9'9A;F-E9 !E=F%L7V9I96QD                          !H87-?861V86YC961?8V]N=')O;             !S9V=U:5]P;W,                           !B;&]C:U]T>7!E                          !B;&]C:U]V97)S:6]N                      !S9U]I8V]N7W-T870                       !S9U]M87-K7V1I<W!L87D                   !S9U]L:7-T7V-O;G1E;G1S                  !S9U]B;&]C:V=U:5]X;6P                   !C;&]C:U]L;V,                           !S>6YT:&5S:7-?;&%N9W5A9V4               !C95]C;'(                               !P<F5S97)V95]H:65R87)C:'D                        .    2     8    (    !          %    \"     $    1     0         0    $0   \"!3>7-T96T@1V5N97)A=&]R          X    X    !@    @    $          4    (     0    <    !         !     '    =FER=&5X-  .    0     8    (    !          %    \"     $    )     0         0    \"0   'AC-'9F>#$P,          .    ,     8    (    !          %    \"     $    #     0         0  , +3$Q  X    X    !@    @    $          4    (     0    8    !         !     &    9F8Q-3$W   .    ,     8    (    !          %    \"                0         0          X    P    !@    @    $          4    (     0    ,    !         !   P!84U0 #@   #     &    \"     0         !0    @               $         $          .    0     8    (    !          %    \"     $    -     0         0    #0   $-L;V-K($5N86)L97,    .    0     8    (    !          %    \"     $    +     0         0    \"P   \"XO;F5T;&ES=# R       .    ,     8    (    !          %    \"                0         0          X    P    !@    @    $          4    (     0    ,    !         !   P!O9F8 #@   #     &    \"     0         !0    @    !     @    $         $  \" #$P   .    ,     8    (    !          %    \"     $    #     0         0  , ,3 P  X    P    !@    @    $          4    (               !         !          #@   #     &    \"     0         !0    @               $         $          .    ,     8    (    !          %    \"                0         0          X    P    !@    @    $          4    (               !         !          #@   $@    &    \"     0         !0    @    !    &     $         $    !@   !!8V-O<F1I;F<@=&\\@0FQO8VL@36%S:W,.    ,     8    (    !          %    \"                0         0          X    P    !@    @    $          4    (     0    ,    !         !   P!O9F8 #@   #     &    \"     0         !0    @               $         $          .    ,     8    (    !          %    \"     $    !     0         0  $ ,     X    P    !@    @    $          4    (     0    $    !         !   0 P    #@   $     &    \"     0         !0    @    !    \"P    $         $     L    M,2PM,2PM,2PM,0      #@   #@    &    \"     0         !0    @    !    !@    $         $     8   !S>7-G96X   X    X    !@    @    $          4    (     0    8    !         !     &    ,3 N,2XS   .    6     8    (    !          %    \"     $    C     0         0    (P   #4Q+#4P+\"TQ+\"TQ+')E9\"QB96EG92PP+# W-S,T+')I9VAT       .    P $   8    (    !          %    \"     $   \". 0   0         0    C@$  &9P<FEN=&8H)R<L)T-/34U%3E0Z(&)E9VEN(&EC;VX@9W)A<&AI8W,G*3L*<&%T8V@H6S @-3$@-3$@,\"!=+%LP(# @-3 @-3 @72Q;,\"XY,R P+CDR(# N.#9=*3L*<&%T8V@H6S$R(#0@,38@-\" Q,B R-2 R.2 S,R T-R S-B R-2 Q-R R.2 Q-R R-2 S-B T-R S,R R.2 R-2 Q,B!=+%LU(#$S(#(U(#,W(#0U(#0U(#0Q(#0U(#0U(#,T(#0U(#,W(#(U(#$S(#4@,38@-2 U(#D@-2 U(%TL6S N-B P+C(@,\"XR-5TI.PIP;&]T*%LP(#4Q(#4Q(# @,\"!=+%LP(# @-3 @-3 @,\"!=*3L*9G!R:6YT9B@G)RPG0T]-345.5#H@96YD(&EC;VX@9W)A<&AI8W,G*3L*9G!R:6YT9B@G)RPG0T]-345.5#H@8F5G:6X@:6-O;B!T97AT)RD[\"F9P<FEN=&8H)R<L)T-/34U%3E0Z(&5N9\"!I8V]N('1E>'0G*3L*   .    ,     8    (    !          %    \"                0         0          X    P    !@    @    $          4    (               !         !          #@   #     &    \"     0         !0    @               $         $          .    .     8    (    !          %    \"     $    '     0         0    !P   %9E<FEL;V< #@   #@    &    \"     8         !0    @    !     0    $         \"0    @               X    X    !@    @    &          4    (     0    $    !          D    (               .    , \\   8    (     @         %    \"     $    !     0         %  0 '@    $   !6!   :6YF;V5D:70                             >&EL:6YX9F%M:6QY                        <&%R=                                   <W!E960                                 <&%C:V%G90                              <WEN=&AE<VES7W1O;VQ?<V=A9'9A;F-E9       <WEN=&AE<VES7W1O;VP                     8VQO8VM?=W)A<'!E<E]S9V%D=F%N8V5D        8VQO8VM?=W)A<'!E<@                      9&ER96-T;W)Y                            =&5S=&)E;F-H7W-G861V86YC960             =&5S=&)E;F-H                            <WES8VQK7W!E<FEO9                       9&-M7VEN<'5T7V-L;V-K7W!E<FEO9           :6YC<E]N971L:7-T7W-G861V86YC960         =')I;5]V8FET<U]S9V%D=F%N8V5D            9&)L7V]V<F1?<V=A9'9A;F-E9               8V]R95]G96YE<F%T:6]N7W-G861V86YC960     8V]R95]G96YE<F%T:6]N                    <G5N7V-O<F5G96Y?<V=A9'9A;F-E9           <G5N7V-O<F5G96X                         9&5P<F5C871E9%]C;VYT<F]L7W-G861V86YC960 979A;%]F:65L9                           :&%S7V%D=F%N8V5D7V-O;G1R;VP             <V=G=6E?<&]S                            8FQO8VM?='EP90                          8FQO8VM?=F5R<VEO;@                      <V=?:6-O;E]S=&%T                        <V=?;6%S:U]D:7-P;&%Y                    <V=?;&ES=%]C;VYT96YT<P                  <V=?8FQO8VMG=6E?>&UL                    8VQO8VM?;&]C                            <WEN=&AE<VES7VQA;F=U86=E                8V5?8VQR                                <')E<V5R=F5?:&EE<F%R8VAY                <&]S=&=E;F5R871I;VY?9F-N                <V5T=&EN9W-?9F-N                           .    2     8    (    !          %    \"     $    1     0         0    $0   \"!3>7-T96T@1V5N97)A=&]R          X    X    !@    @    $          4    (     0    <    !         !     '    =FER=&5X-  .    0     8    (    !          %    \"     $    )     0         0    \"0   'AC-'9F>#$P,          .    ,     8    (    !          %    \"     $    #     0         0  , +3$Q  X    X    !@    @    $          4    (     0    8    !         !     &    9F8Q-3$W   .    ,     8    (    !          %    \"                0         0          X    P    !@    @    $          4    (     0    ,    !         !   P!84U0 #@   #     &    \"     0         !0    @               $         $          .    0     8    (    !          %    \"     $    -     0         0    #0   $-L;V-K($5N86)L97,    .    0     8    (    !          %    \"     $    -     0         0    #0   \"XO;F=C7VYE=&QI<W0    .    ,     8    (    !          %    \"                0         0          X    P    !@    @    $          4    (     0    ,    !         !   P!O9F8 #@   #     &    \"     0         !0    @    !     @    $         $  \" #$P   .    ,     8    (    !          %    \"     $    #     0         0  , ,3 P  X    P    !@    @    $          4    (               !         !          #@   #     &    \"     0         !0    @               $         $          .    ,     8    (    !          %    \"                0         0          X    P    !@    @    $          4    (               !         !          #@   $@    &    \"     0         !0    @    !    &     $         $    !@   !!8V-O<F1I;F<@=&\\@0FQO8VL@36%S:W,.    ,     8    (    !          %    \"                0         0          X    P    !@    @    $          4    (     0    ,    !         !   P!O9F8 #@   #     &    \"     0         !0    @               $         $          .    ,     8    (    !          %    \"     $    !     0         0  $ ,     X    P    !@    @    $          4    (     0    $    !         !   0 P    #@   $     &    \"     0         !0    @    !    \"P    $         $     L    M,2PM,2PM,2PM,0      #@   #@    &    \"     0         !0    @    !    !@    $         $     8   !S>7-G96X   X    X    !@    @    $          4    (     0    8    !         !     &    ,3 N,2XS   .    6     8    (    !          %    \"     $    C     0         0    (P   #4Q+#4P+\"TQ+\"TQ+')E9\"QB96EG92PP+# W-S,T+')I9VAT       .    P $   8    (    !          %    \"     $   \". 0   0         0    C@$  &9P<FEN=&8H)R<L)T-/34U%3E0Z(&)E9VEN(&EC;VX@9W)A<&AI8W,G*3L*<&%T8V@H6S @-3$@-3$@,\"!=+%LP(# @-3 @-3 @72Q;,\"XY,R P+CDR(# N.#9=*3L*<&%T8V@H6S$R(#0@,38@-\" Q,B R-2 R.2 S,R T-R S-B R-2 Q-R R.2 Q-R R-2 S-B T-R S,R R.2 R-2 Q,B!=+%LU(#$S(#(U(#,W(#0U(#0U(#0Q(#0U(#0U(#,T(#0U(#,W(#(U(#$S(#4@,38@-2 U(#D@-2 U(%TL6S N-B P+C(@,\"XR-5TI.PIP;&]T*%LP(#4Q(#4Q(# @,\"!=+%LP(# @-3 @-3 @,\"!=*3L*9G!R:6YT9B@G)RPG0T]-345.5#H@96YD(&EC;VX@9W)A<&AI8W,G*3L*9G!R:6YT9B@G)RPG0T]-345.5#H@8F5G:6X@:6-O;B!T97AT)RD[\"F9P<FEN=&8H)R<L)T-/34U%3E0Z(&5N9\"!I8V]N('1E>'0G*3L*   .    ,     8    (    !          %    \"                0         0          X    P    !@    @    $          4    (               !         !          #@   #     &    \"     0         !0    @               $         $          .    .     8    (    !          %    \"     $    '     0         0    !P   %9E<FEL;V< #@   #@    &    \"     8         !0    @    !     0    $         \"0    @               X    X    !@    @    &          4    (     0    $    !          D    (               .    2     8    (    !          %    \"     $    3     0         0    $P   'AL3D=#4&]S=$=E;F5R871I;VX       X   !     !@    @    $          4    (     0    T    !         !     -    >&QN9V-S971T:6YG<P    X    0%0  !@    @    \"          4    (     0    $    !          4 !  >     0   ,X$  !I;F9O961I=                             !X:6QI;GAF86UI;'D                       !P87)T                                  !S<&5E9                                 !P86-K86=E                              !S>6YT:&5S:7-?=&]O;%]S9V%D=F%N8V5D      !S>6YT:&5S:7-?=&]O;                     !C;&]C:U]W<F%P<&5R7W-G861V86YC960       !C;&]C:U]W<F%P<&5R                      !D:7)E8W1O<GD                           !T97-T8F5N8VA?<V=A9'9A;F-E9             !T97-T8F5N8V@                           !S>7-C;&M?<&5R:6]D                      !D8VU?:6YP=71?8VQO8VM?<&5R:6]D          !I;F-R7VYE=&QI<W1?<V=A9'9A;F-E9         !T<FEM7W9B:71S7W-G861V86YC960           !D8FQ?;W9R9%]S9V%D=F%N8V5D              !C;W)E7V=E;F5R871I;VY?<V=A9'9A;F-E9     !C;W)E7V=E;F5R871I;VX                   !R=6Y?8V]R96=E;E]S9V%D=F%N8V5D          !R=6Y?8V]R96=E;@                        !D97!R96-A=&5D7V-O;G1R;VQ?<V=A9'9A;F-E9 !E=F%L7V9I96QD                          !H87-?861V86YC961?8V]N=')O;             !S9V=U:5]P;W,                           !B;&]C:U]T>7!E                          !B;&]C:U]V97)S:6]N                      !S9U]I8V]N7W-T870                       !S9U]M87-K7V1I<W!L87D                   !S9U]L:7-T7V-O;G1E;G1S                  !S9U]B;&]C:V=U:5]X;6P                   !C;&]C:U]L;V,                           !S>6YT:&5S:7-?;&%N9W5A9V4               !C95]C;'(                               !P<F5S97)V95]H:65R87)C:'D               !V97)S:6]N                              !P;W-T9V5N97)A=&EO;E]F8VX               !S971T:6YG<U]F8VX                       !P<F5C;VUP:6QE7V9C;@                    !U<&1A=&5?9F-N                          !X;&5D:W-E='1I;F=S9&%T80                    X   !(    !@    @    $          4    (     0   !$    !         !     1    (%-Y<W1E;2!'96YE<F%T;W(         #@   #@    &    \"     0         !0    @    !    !P    $         $     <   !V:7)T97@T  X   !     !@    @    $          4    (     0    D    !         !     )    >&,T=F9X,3 P          X    P    !@    @    $          4    (     0    ,    !         !   P M,3$ #@   #@    &    \"     0         !0    @    !    !@    $         $     8   !F9C$U,3<   X    P    !@    @    $          4    (               !         !          #@   #     &    \"     0         !0    @    !     P    $         $  # %A35  .    ,     8    (    !          %    \"                0         0          X   !     !@    @    $          4    (     0    T    !         !     -    0VQO8VL@16YA8FQE<P    X   !     !@    @    $          4    (     0    L    !         !     +    +B]N971L:7-T,#$       X    P    !@    @    $          4    (               !         !          #@   #     &    \"     0         !0    @    !     P    $         $  # &]F9@ .    ,     8    (    !          %    \"     $    \"     0         0  ( ,3    X    P    !@    @    $          4    (     0    ,    !         !   P Q,#  #@   #     &    \"     0         !0    @               $         $          .    ,     8    (    !          %    \"                0         0          X    P    !@    @    $          4    (               !         !          #@   #     &    \"     0         !0    @               $         $          .    2     8    (    !          %    \"     $    8     0         0    &    $%C8V]R9&EN9R!T;R!\";&]C:R!-87-K<PX    P    !@    @    $          4    (               !         !          #@   #     &    \"     0         !0    @    !     P    $         $  # &]F9@ .    ,     8    (    !          %    \"                0         0          X    P    !@    @    $          4    (     0    $    !         !   0 P    #@   #     &    \"     0         !0    @    !     0    $         $  ! #     .    0     8    (    !          %    \"     $    +     0         0    \"P   \"TQ+\"TQ+\"TQ+\"TQ       .    .     8    (    !          %    \"     $    &     0         0    !@   '-Y<V=E;@  #@   #@    &    \"     0         !0    @    !    !@    $         $     8    Q,\"XQ+C,   X   !8    !@    @    $          4    (     0   \",    !         !     C    -3$L-3 L+3$L+3$L<F5D+&)E:6=E+# L,#<W,S0L<FEG:'0       X   #  0  !@    @    $          4    (     0   (X!   !         !    \". 0  9G!R:6YT9B@G)RPG0T]-345.5#H@8F5G:6X@:6-O;B!G<F%P:&EC<R<I.PIP871C:\"A;,\" U,2 U,2 P(%TL6S @,\" U,\" U,\"!=+%LP+CDS(# N.3(@,\"XX-ETI.PIP871C:\"A;,3(@-\" Q-B T(#$R(#(U(#(Y(#,S(#0W(#,V(#(U(#$W(#(Y(#$W(#(U(#,V(#0W(#,S(#(Y(#(U(#$R(%TL6S4@,3,@,C4@,S<@-#4@-#4@-#$@-#4@-#4@,S0@-#4@,S<@,C4@,3,@-2 Q-B U(#4@.2 U(#4@72Q;,\"XV(# N,B P+C(U72D[\"G!L;W0H6S @-3$@-3$@,\" P(%TL6S @,\" U,\" U,\" P(%TI.PIF<')I;G1F*\"<G+\"=#3TU-14Y4.B!E;F0@:6-O;B!G<F%P:&EC<R<I.PIF<')I;G1F*\"<G+\"=#3TU-14Y4.B!B96=I;B!I8V]N('1E>'0G*3L*9G!R:6YT9B@G)RPG0T]-345.5#H@96YD(&EC;VX@=&5X=\"<I.PH   X    P    !@    @    $          4    (               !         !          #@   #     &    \"     0         !0    @               $         $          .    ,     8    (    !          %    \"                0         0          X    X    !@    @    $          4    (     0    <    !         !     '    5F5R:6QO9P .    .     8    (    !@         %    \"     $    !     0         )    \"               #@   #@    &    \"     8         !0    @    !     0    $         \"0    @               X    X    !@    @    $          4    (     0    8    !         !     &    .2XR+C Q   .    0     8    (    !          %    \"     $    ,     0         0    #    'AL961K<&]S=&=E;@     .    0     8    (    !          %    \"     $    -     0         0    #0   'AL961K<V5T=&EN9W,    .    0     8    (    !          %    \"     $    /     0         0    #P   'AL961K<')E8V]M<&EL90 .    0     8    (    !          %    \"     $    -     0         0    #0   'AL961K=7!D871E9FX    .    F 0   8    (     @         %    \"     $    !     0         %  0 &     $    X 0  97AP;W)T                        97AP;W)T9&ER                    <V5L96-T:6]N=&%G                97AP;W)T9&ER<&%T:               ;6%J;W(                         ;6EN;W(                         :'=?8V]M<&%T:6)I;&ET>0          ;6%J7W-L:61E<@                  ;6EN;W)?<VQI9&5R                :'=?8V]M<&%T:6)I;&ET>5]S;&ED97( :7-$979E;&]P;65N=               =7-E0W5S=&]M0G5S26YT97)F86-E    8W5S=&]M0G5S26YT97)F86-E5F%L=64 #@   #@    &    \"     8         !0    @    !     0    $         \"0    @               X    P    !@    @    $          4    (               !         !          #@   $     &    \"     0         !0    @    !    $     $         $    !    !T87)G971?9&ER96-T;W)Y#@   $     &    \"     0         !0    @    !    \"P    $         $     L    N+VYE=&QI<W0P,0      #@   #     &    \"     0         !0    @    !     0    $         $  ! #$    .    ,     8    (    !          %    \"     $    \"     0         0  ( ,#    X    P    !@    @    $          4    (     0    $    !         !   0!A    #@   #@    &    \"     8         !0    @    !     0    $         \"0    @           #P/PX    X    !@    @    &          4    (     0    $    !          D    (               .    .     8    (    !@         %    \"     $    !     0         )    \"           0%A #@   #@    &    \"     8         !0    @    !     0    $         \"0    @               X    X    !@    @    &          4    (     0    $    !          D    (               .    ,     8    (    !@         %    \"                0         )          X   !0.   !@    @    \"          4    (     0    $    !          4 !  ,     0   !@   !S:&%R960       !C;VUP:6QA=&EO;@ .    Z 0   8    (     @         %    \"     $    !     0         %  0 $P    $   \"8    8V]M<&EL871I;VX          &-O;7!I;&%T:6]N7VQU=     !S:6UU;&EN:U]P97)I;V0     :6YC<E]N971L:7-T         '1R:6U?=F)I=',           !D8FQ?;W9R9               9&5P<F5C871E9%]C;VYT<F]L &)L;V-K7VEC;VY?9&ES<&QA>0 .    .     8    (    !          %    \"     $    '     0         0    !P   '1A<F=E=#( #@   $@\"   &    \"     (         !0    @    !     0    $         !0 $  <    !    #@   &ME>7,   !V86QU97,    .    \" $   8    (     0         %    \"     $    #     0         .    0     8    (    !          %    \"     $    +     0         0    \"P   $A$3\"!.971L:7-T       .    0     8    (    !          %    \"     $    +     0         0    \"P   $Y'0R!.971L:7-T       .    2     8    (    !          %    \"     $    8     0         0    &    $5X<&]R=\"!A<R!A('!C;W)E('1O($5$2PX   #H    !@    @    !          4    (     0    ,    !          X    X    !@    @    $          4    (     0    <    !         !     '    =&%R9V5T,0 .    .     8    (    !          %    \"     $    '     0         0    !P   '1A<F=E=#( #@   #@    &    \"     0         !0    @    !    !P    $         $     <   !T87)G970S  X    P    !@    @    $          4    (     0    $    !         !   0 Q    #@   #     &    \"     0         !0    @    !     P    $         $  # &]F9@ .    2     8    (    !          %    \"     $    7     0         0    %P   $5V97)Y=VAE<F4@:6X@4W5B4WES=&5M  X   !(    !@    @    $          4    (     0   !@    !         !     8    06-C;W)D:6YG('1O($)L;V-K($UA<VMS#@   #     &    \"     0         !0    @    !     P    $         $  # &]F9@ .    .     8    (    !          %    \"     $    '     0         0    !P   $1E9F%U;'0 #@    @S   &    \"     (         !0    @    !     0    $         !0 $  @    !    &    '1A<F=E=#$ =&%R9V5T,@!T87)G970S  X   !@#@  !@    @    \"          4    (     0    $    !          4 !  >     0   !H$  !I;F9O961I=                             !X:6QI;GAF86UI;'D                       !P87)T                                  !S<&5E9                                 !P86-K86=E                              !S>6YT:&5S:7-?=&]O;%]S9V%D=F%N8V5D      !S>6YT:&5S:7-?=&]O;                     !C;&]C:U]W<F%P<&5R7W-G861V86YC960       !C;&]C:U]W<F%P<&5R                      !D:7)E8W1O<GD                           !T97-T8F5N8VA?<V=A9'9A;F-E9             !T97-T8F5N8V@                           !S>7-C;&M?<&5R:6]D                      !D8VU?:6YP=71?8VQO8VM?<&5R:6]D          !I;F-R7VYE=&QI<W1?<V=A9'9A;F-E9         !T<FEM7W9B:71S7W-G861V86YC960           !D8FQ?;W9R9%]S9V%D=F%N8V5D              !C;W)E7V=E;F5R871I;VY?<V=A9'9A;F-E9     !C;W)E7V=E;F5R871I;VX                   !R=6Y?8V]R96=E;E]S9V%D=F%N8V5D          !R=6Y?8V]R96=E;@                        !D97!R96-A=&5D7V-O;G1R;VQ?<V=A9'9A;F-E9 !E=F%L7V9I96QD                          !H87-?861V86YC961?8V]N=')O;             !S9V=U:5]P;W,                           !B;&]C:U]T>7!E                          !B;&]C:U]V97)S:6]N                      !S9U]I8V]N7W-T870                       !S9U]M87-K7V1I<W!L87D                   !S9U]L:7-T7V-O;G1E;G1S                  !S9U]B;&]C:V=U:5]X;6P                   !C;&]C:U]L;V,                           !S>6YT:&5S:7-?;&%N9W5A9V4               !C95]C;'(                               !P<F5S97)V95]H:65R87)C:'D                        .    2     8    (    !          %    \"     $    1     0         0    $0   \"!3>7-T96T@1V5N97)A=&]R          X    X    !@    @    $          4    (     0    <    !         !     '    =FER=&5X-  .    0     8    (    !          %    \"     $    )     0         0    \"0   'AC-'9F>#$P,          .    ,     8    (    !          %    \"     $    #     0         0  , +3$Q  X    X    !@    @    $          4    (     0    8    !         !     &    9F8Q-3$W   .    ,     8    (    !          %    \"                0         0          X    P    !@    @    $          4    (     0    ,    !         !   P!84U0 #@   #     &    \"     0         !0    @               $         $          .    0     8    (    !          %    \"     $    -     0         0    #0   $-L;V-K($5N86)L97,    .    0     8    (    !          %    \"     $    +     0         0    \"P   \"XO;F5T;&ES=# R       .    ,     8    (    !          %    \"                0         0          X    P    !@    @    $          4    (     0    ,    !         !   P!O9F8 #@   #     &    \"     0         !0    @    !     @    $         $  \" #$P   .    ,     8    (    !          %    \"     $    #     0         0  , ,3 P  X    P    !@    @    $          4    (               !         !          #@   #     &    \"     0         !0    @               $         $          .    ,     8    (    !          %    \"                0         0          X    P    !@    @    $          4    (               !         !          #@   $@    &    \"     0         !0    @    !    &     $         $    !@   !!8V-O<F1I;F<@=&\\@0FQO8VL@36%S:W,.    ,     8    (    !          %    \"                0         0          X    P    !@    @    $          4    (     0    ,    !         !   P!O9F8 #@   #     &    \"     0         !0    @               $         $          .    ,     8    (    !          %    \"     $    !     0         0  $ ,     X    P    !@    @    $          4    (     0    $    !         !   0 P    #@   $     &    \"     0         !0    @    !    \"P    $         $     L    M,2PM,2PM,2PM,0      #@   #@    &    \"     0         !0    @    !    !@    $         $     8   !S>7-G96X   X    X    !@    @    $          4    (     0    8    !         !     &    ,3 N,2XS   .    6     8    (    !          %    \"     $    C     0         0    (P   #4Q+#4P+\"TQ+\"TQ+')E9\"QB96EG92PP+# W-S,T+')I9VAT       .    P $   8    (    !          %    \"     $   \". 0   0         0    C@$  &9P<FEN=&8H)R<L)T-/34U%3E0Z(&)E9VEN(&EC;VX@9W)A<&AI8W,G*3L*<&%T8V@H6S @-3$@-3$@,\"!=+%LP(# @-3 @-3 @72Q;,\"XY,R P+CDR(# N.#9=*3L*<&%T8V@H6S$R(#0@,38@-\" Q,B R-2 R.2 S,R T-R S-B R-2 Q-R R.2 Q-R R-2 S-B T-R S,R R.2 R-2 Q,B!=+%LU(#$S(#(U(#,W(#0U(#0U(#0Q(#0U(#0U(#,T(#0U(#,W(#(U(#$S(#4@,38@-2 U(#D@-2 U(%TL6S N-B P+C(@,\"XR-5TI.PIP;&]T*%LP(#4Q(#4Q(# @,\"!=+%LP(# @-3 @-3 @,\"!=*3L*9G!R:6YT9B@G)RPG0T]-345.5#H@96YD(&EC;VX@9W)A<&AI8W,G*3L*9G!R:6YT9B@G)RPG0T]-345.5#H@8F5G:6X@:6-O;B!T97AT)RD[\"F9P<FEN=&8H)R<L)T-/34U%3E0Z(&5N9\"!I8V]N('1E>'0G*3L*   .    ,     8    (    !          %    \"                0         0          X    P    !@    @    $          4    (               !         !          #@   #     &    \"     0         !0    @               $         $          .    .     8    (    !          %    \"     $    '     0         0    !P   %9E<FEL;V< #@   #@    &    \"     8         !0    @    !     0    $         \"0    @               X    X    !@    @    &          4    (     0    $    !          D    (               .    , \\   8    (     @         %    \"     $    !     0         %  0 '@    $   !6!   :6YF;V5D:70                             >&EL:6YX9F%M:6QY                        <&%R=                                   <W!E960                                 <&%C:V%G90                              <WEN=&AE<VES7W1O;VQ?<V=A9'9A;F-E9       <WEN=&AE<VES7W1O;VP                     8VQO8VM?=W)A<'!E<E]S9V%D=F%N8V5D        8VQO8VM?=W)A<'!E<@                      9&ER96-T;W)Y                            =&5S=&)E;F-H7W-G861V86YC960             =&5S=&)E;F-H                            <WES8VQK7W!E<FEO9                       9&-M7VEN<'5T7V-L;V-K7W!E<FEO9           :6YC<E]N971L:7-T7W-G861V86YC960         =')I;5]V8FET<U]S9V%D=F%N8V5D            9&)L7V]V<F1?<V=A9'9A;F-E9               8V]R95]G96YE<F%T:6]N7W-G861V86YC960     8V]R95]G96YE<F%T:6]N                    <G5N7V-O<F5G96Y?<V=A9'9A;F-E9           <G5N7V-O<F5G96X                         9&5P<F5C871E9%]C;VYT<F]L7W-G861V86YC960 979A;%]F:65L9                           :&%S7V%D=F%N8V5D7V-O;G1R;VP             <V=G=6E?<&]S                            8FQO8VM?='EP90                          8FQO8VM?=F5R<VEO;@                      <V=?:6-O;E]S=&%T                        <V=?;6%S:U]D:7-P;&%Y                    <V=?;&ES=%]C;VYT96YT<P                  <V=?8FQO8VMG=6E?>&UL                    8VQO8VM?;&]C                            <WEN=&AE<VES7VQA;F=U86=E                8V5?8VQR                                <')E<V5R=F5?:&EE<F%R8VAY                <&]S=&=E;F5R871I;VY?9F-N                <V5T=&EN9W-?9F-N                           .    2     8    (    !          %    \"     $    1     0         0    $0   \"!3>7-T96T@1V5N97)A=&]R          X    X    !@    @    $          4    (     0    <    !         !     '    =FER=&5X-  .    0     8    (    !          %    \"     $    )     0         0    \"0   'AC-'9F>#$P,          .    ,     8    (    !          %    \"     $    #     0         0  , +3$Q  X    X    !@    @    $          4    (     0    8    !         !     &    9F8Q-3$W   .    ,     8    (    !          %    \"                0         0          X    P    !@    @    $          4    (     0    ,    !         !   P!84U0 #@   #     &    \"     0         !0    @               $         $          .    0     8    (    !          %    \"     $    -     0         0    #0   $-L;V-K($5N86)L97,    .    0     8    (    !          %    \"     $    -     0         0    #0   \"XO;F=C7VYE=&QI<W0    .    ,     8    (    !          %    \"                0         0          X    P    !@    @    $          4    (     0    ,    !         !   P!O9F8 #@   #     &    \"     0         !0    @    !     @    $         $  \" #$P   .    ,     8    (    !          %    \"     $    #     0         0  , ,3 P  X    P    !@    @    $          4    (               !         !          #@   #     &    \"     0         !0    @               $         $          .    ,     8    (    !          %    \"                0         0          X    P    !@    @    $          4    (               !         !          #@   $@    &    \"     0         !0    @    !    &     $         $    !@   !!8V-O<F1I;F<@=&\\@0FQO8VL@36%S:W,.    ,     8    (    !          %    \"                0         0          X    P    !@    @    $          4    (     0    ,    !         !   P!O9F8 #@   #     &    \"     0         !0    @               $         $          .    ,     8    (    !          %    \"     $    !     0         0  $ ,     X    P    !@    @    $          4    (     0    $    !         !   0 P    #@   $     &    \"     0         !0    @    !    \"P    $         $     L    M,2PM,2PM,2PM,0      #@   #@    &    \"     0         !0    @    !    !@    $         $     8   !S>7-G96X   X    X    !@    @    $          4    (     0    8    !         !     &    ,3 N,2XS   .    6     8    (    !          %    \"     $    C     0         0    (P   #4Q+#4P+\"TQ+\"TQ+')E9\"QB96EG92PP+# W-S,T+')I9VAT       .    P $   8    (    !          %    \"     $   \". 0   0         0    C@$  &9P<FEN=&8H)R<L)T-/34U%3E0Z(&)E9VEN(&EC;VX@9W)A<&AI8W,G*3L*<&%T8V@H6S @-3$@-3$@,\"!=+%LP(# @-3 @-3 @72Q;,\"XY,R P+CDR(# N.#9=*3L*<&%T8V@H6S$R(#0@,38@-\" Q,B R-2 R.2 S,R T-R S-B R-2 Q-R R.2 Q-R R-2 S-B T-R S,R R.2 R-2 Q,B!=+%LU(#$S(#(U(#,W(#0U(#0U(#0Q(#0U(#0U(#,T(#0U(#,W(#(U(#$S(#4@,38@-2 U(#D@-2 U(%TL6S N-B P+C(@,\"XR-5TI.PIP;&]T*%LP(#4Q(#4Q(# @,\"!=+%LP(# @-3 @-3 @,\"!=*3L*9G!R:6YT9B@G)RPG0T]-345.5#H@96YD(&EC;VX@9W)A<&AI8W,G*3L*9G!R:6YT9B@G)RPG0T]-345.5#H@8F5G:6X@:6-O;B!T97AT)RD[\"F9P<FEN=&8H)R<L)T-/34U%3E0Z(&5N9\"!I8V]N('1E>'0G*3L*   .    ,     8    (    !          %    \"                0         0          X    P    !@    @    $          4    (               !         !          #@   #     &    \"     0         !0    @               $         $          .    .     8    (    !          %    \"     $    '     0         0    !P   %9E<FEL;V< #@   #@    &    \"     8         !0    @    !     0    $         \"0    @               X    X    !@    @    &          4    (     0    $    !          D    (               .    2     8    (    !          %    \"     $    3     0         0    $P   'AL3D=#4&]S=$=E;F5R871I;VX       X   !     !@    @    $          4    (     0    T    !         !     -    >&QN9V-S971T:6YG<P    X    0%0  !@    @    \"          4    (     0    $    !          4 !  >     0   ,X$  !I;F9O961I=                             !X:6QI;GAF86UI;'D                       !P87)T                                  !S<&5E9                                 !P86-K86=E                              !S>6YT:&5S:7-?=&]O;%]S9V%D=F%N8V5D      !S>6YT:&5S:7-?=&]O;                     !C;&]C:U]W<F%P<&5R7W-G861V86YC960       !C;&]C:U]W<F%P<&5R                      !D:7)E8W1O<GD                           !T97-T8F5N8VA?<V=A9'9A;F-E9             !T97-T8F5N8V@                           !S>7-C;&M?<&5R:6]D                      !D8VU?:6YP=71?8VQO8VM?<&5R:6]D          !I;F-R7VYE=&QI<W1?<V=A9'9A;F-E9         !T<FEM7W9B:71S7W-G861V86YC960           !D8FQ?;W9R9%]S9V%D=F%N8V5D              !C;W)E7V=E;F5R871I;VY?<V=A9'9A;F-E9     !C;W)E7V=E;F5R871I;VX                   !R=6Y?8V]R96=E;E]S9V%D=F%N8V5D          !R=6Y?8V]R96=E;@                        !D97!R96-A=&5D7V-O;G1R;VQ?<V=A9'9A;F-E9 !E=F%L7V9I96QD                          !H87-?861V86YC961?8V]N=')O;             !S9V=U:5]P;W,                           !B;&]C:U]T>7!E                          !B;&]C:U]V97)S:6]N                      !S9U]I8V]N7W-T870                       !S9U]M87-K7V1I<W!L87D                   !S9U]L:7-T7V-O;G1E;G1S                  !S9U]B;&]C:V=U:5]X;6P                   !C;&]C:U]L;V,                           !S>6YT:&5S:7-?;&%N9W5A9V4               !C95]C;'(                               !P<F5S97)V95]H:65R87)C:'D               !V97)S:6]N                              !P;W-T9V5N97)A=&EO;E]F8VX               !S971T:6YG<U]F8VX                       !P<F5C;VUP:6QE7V9C;@                    !U<&1A=&5?9F-N                          !X;&5D:W-E='1I;F=S9&%T80                    X   !(    !@    @    $          4    (     0   !$    !         !     1    (%-Y<W1E;2!'96YE<F%T;W(         #@   #@    &    \"     0         !0    @    !    !P    $         $     <   !V:7)T97@T  X   !     !@    @    $          4    (     0    D    !         !     )    >&,T=F9X,3 P          X    P    !@    @    $          4    (     0    ,    !         !   P M,3$ #@   #@    &    \"     0         !0    @    !    !@    $         $     8   !F9C$U,3<   X    P    !@    @    $          4    (               !         !          #@   #     &    \"     0         !0    @    !     P    $         $  # %A35  .    ,     8    (    !          %    \"                0         0          X   !     !@    @    $          4    (     0    T    !         !     -    0VQO8VL@16YA8FQE<P    X   !     !@    @    $          4    (     0    L    !         !     +    +B]N971L:7-T,#$       X    P    !@    @    $          4    (               !         !          #@   #     &    \"     0         !0    @    !     P    $         $  # &]F9@ .    ,     8    (    !          %    \"     $    \"     0         0  ( ,3    X    P    !@    @    $          4    (     0    ,    !         !   P Q,#  #@   #     &    \"     0         !0    @               $         $          .    ,     8    (    !          %    \"                0         0          X    P    !@    @    $          4    (               !         !          #@   #     &    \"     0         !0    @               $         $          .    2     8    (    !          %    \"     $    8     0         0    &    $%C8V]R9&EN9R!T;R!\";&]C:R!-87-K<PX    P    !@    @    $          4    (               !         !          #@   #     &    \"     0         !0    @    !     P    $         $  # &]F9@ .    ,     8    (    !          %    \"                0         0          X    P    !@    @    $          4    (     0    $    !         !   0 P    #@   #     &    \"     0         !0    @    !     0    $         $  ! #     .    0     8    (    !          %    \"     $    +     0         0    \"P   \"TQ+\"TQ+\"TQ+\"TQ       .    .     8    (    !          %    \"     $    &     0         0    !@   '-Y<V=E;@  #@   #@    &    \"     0         !0    @    !    !@    $         $     8    Q,\"XQ+C,   X   !8    !@    @    $          4    (     0   \",    !         !     C    -3$L-3 L+3$L+3$L<F5D+&)E:6=E+# L,#<W,S0L<FEG:'0       X   #  0  !@    @    $          4    (     0   (X!   !         !    \". 0  9G!R:6YT9B@G)RPG0T]-345.5#H@8F5G:6X@:6-O;B!G<F%P:&EC<R<I.PIP871C:\"A;,\" U,2 U,2 P(%TL6S @,\" U,\" U,\"!=+%LP+CDS(# N.3(@,\"XX-ETI.PIP871C:\"A;,3(@-\" Q-B T(#$R(#(U(#(Y(#,S(#0W(#,V(#(U(#$W(#(Y(#$W(#(U(#,V(#0W(#,S(#(Y(#(U(#$R(%TL6S4@,3,@,C4@,S<@-#4@-#4@-#$@-#4@-#4@,S0@-#4@,S<@,C4@,3,@-2 Q-B U(#4@.2 U(#4@72Q;,\"XV(# N,B P+C(U72D[\"G!L;W0H6S @-3$@-3$@,\" P(%TL6S @,\" U,\" U,\" P(%TI.PIF<')I;G1F*\"<G+\"=#3TU-14Y4.B!E;F0@:6-O;B!G<F%P:&EC<R<I.PIF<')I;G1F*\"<G+\"=#3TU-14Y4.B!B96=I;B!I8V]N('1E>'0G*3L*9G!R:6YT9B@G)RPG0T]-345.5#H@96YD(&EC;VX@=&5X=\"<I.PH   X    P    !@    @    $          4    (               !         !          #@   #     &    \"     0         !0    @               $         $          .    ,     8    (    !          %    \"                0         0          X    X    !@    @    $          4    (     0    <    !         !     '    5F5R:6QO9P .    .     8    (    !@         %    \"     $    !     0         )    \"               #@   #@    &    \"     8         !0    @    !     0    $         \"0    @               X    X    !@    @    $          4    (     0    8    !         !     &    .2XR+C Q   .    0     8    (    !          %    \"     $    ,     0         0    #    'AL961K<&]S=&=E;@     .    0     8    (    !          %    \"     $    -     0         0    #0   'AL961K<V5T=&EN9W,    .    0     8    (    !          %    \"     $    /     0         0    #P   'AL961K<')E8V]M<&EL90 .    0     8    (    !          %    \"     $    -     0         0    #0   'AL961K=7!D871E9FX    .    F 0   8    (     @         %    \"     $    !     0         %  0 &     $    X 0  97AP;W)T                        97AP;W)T9&ER                    <V5L96-T:6]N=&%G                97AP;W)T9&ER<&%T:               ;6%J;W(                         ;6EN;W(                         :'=?8V]M<&%T:6)I;&ET>0          ;6%J7W-L:61E<@                  ;6EN;W)?<VQI9&5R                :'=?8V]M<&%T:6)I;&ET>5]S;&ED97( :7-$979E;&]P;65N=               =7-E0W5S=&]M0G5S26YT97)F86-E    8W5S=&]M0G5S26YT97)F86-E5F%L=64 #@   #@    &    \"     8         !0    @    !     0    $         \"0    @               X    P    !@    @    $          4    (               !         !          #@   $     &    \"     0         !0    @    !    $     $         $    !    !T87)G971?9&ER96-T;W)Y#@   $     &    \"     0         !0    @    !    \"P    $         $     L    N+VYE=&QI<W0P,0      #@   #     &    \"     0         !0    @    !     0    $         $  ! #$    .    ,     8    (    !          %    \"     $    \"     0         0  ( ,#    X    P    !@    @    $          4    (     0    $    !         !   0!A    #@   #@    &    \"     8         !0    @    !     0    $         \"0    @           #P/PX    X    !@    @    &          4    (     0    $    !          D    (               .    .     8    (    !@         %    \"     $    !     0         )    \"           0%A #@   #@    &    \"     8         !0    @    !     0    $         \"0    @               X    X    !@    @    &          4    (     0    $    !          D    (               .    ,     8    (    !@         %    \"                0         )          "
4921  }
4922}
Note: See TracBrowser for help on using the repository browser.