source: PlatformSupport/sysgen2opb/skeleton_periph_model.mdl

Last change on this file was 598, checked in by murphpo, 17 years ago

Updated sysgen2opb to avoid some problems with Sysgen 9.1

File size: 144.8 KB
RevLine 
[307]1Model {
2  Name            "skeleton_periph_model"
[598]3  Version         6.5
[307]4  MdlSubVersion       0
5  GraphicalInterface {
6    NumRootInports      0
7    NumRootOutports     0
8    ParameterArgumentNames  ""
[598]9    ComputedModelVersion    "1.13"
[307]10    NumModelReferences      0
11    NumTestPointedSignals   0
12  }
13  SavedCharacterEncoding  "ibm-5348_P100-1997"
14  SaveDefaultBlockParams  on
15  SampleTimeColors    off
16  LibraryLinkDisplay      "none"
17  WideLines       off
18  ShowLineDimensions      off
19  ShowPortDataTypes   off
20  ShowLoopsOnError    on
21  IgnoreBidirectionalLines off
22  ShowStorageClass    off
23  ShowTestPointIcons      on
24  ShowViewerIcons     on
25  SortedOrder         off
26  ExecutionContextIcon    off
27  ShowLinearizationAnnotations on
28  ScopeRefreshTime    0.035000
29  OverrideScopeRefreshTime on
30  DisableAllScopes    off
31  DataTypeOverride    "UseLocalSettings"
32  MinMaxOverflowLogging   "UseLocalSettings"
33  MinMaxOverflowArchiveMode "Overwrite"
34  BlockNameDataTip    off
35  BlockParametersDataTip  off
36  BlockDescriptionStringDataTip off
37  ToolBar         on
38  StatusBar       on
39  BrowserShowLibraryLinks off
40  BrowserLookUnderMasks   off
41  Created         "Fri Jul 14 14:40:12 2006"
[598]42  Creator         "murphpo"
[307]43  UpdateHistory       "UpdateHistoryNever"
44  ModifiedByFormat    "%<Auto>"
[572]45  LastModifiedBy      "murphpo"
[307]46  ModifiedDateFormat      "%<Auto>"
[598]47  LastModifiedDate    "Sat Apr 28 03:22:14 2007"
48  ModelVersionFormat      "1.%<AutoIncrement:13>"
[307]49  ConfigurationManager    "None"
50  LinearizationMsg    "none"
51  Profile         off
52  ParamWorkspaceSource    "MATLABWorkspace"
53  AccelSystemTargetFile   "accel.tlc"
54  AccelTemplateMakefile   "accel_default_tmf"
55  AccelMakeCommand    "make_rtw"
56  TryForcingSFcnDF    off
[598]57  RecordCoverage      off
58  CovPath         "/"
59  CovSaveName         "covdata"
60  CovMetricSettings   "dw"
61  CovNameIncrementing     off
62  CovHtmlReporting    on
63  covSaveCumulativeToWorkspaceVar on
64  CovSaveSingleToWorkspaceVar on
65  CovCumulativeVarName    "covCumulativeData"
66  CovCumulativeReport     off
67  CovReportOnPause    on
[307]68  ExtModeBatchMode    off
69  ExtModeEnableFloating   on
70  ExtModeTrigType     "manual"
71  ExtModeTrigMode     "normal"
72  ExtModeTrigPort     "1"
73  ExtModeTrigElement      "any"
74  ExtModeTrigDuration     1000
75  ExtModeTrigDurationFloating "auto"
76  ExtModeTrigHoldOff      0
77  ExtModeTrigDelay    0
78  ExtModeTrigDirection    "rising"
79  ExtModeTrigLevel    0
80  ExtModeArchiveMode      "off"
81  ExtModeAutoIncOneShot   off
82  ExtModeIncDirWhenArm    off
83  ExtModeAddSuffixToVar   off
84  ExtModeWriteAllDataToWs off
85  ExtModeArmWhenConnect   on
86  ExtModeSkipDownloadWhenConnect off
87  ExtModeLogAll       on
88  ExtModeAutoUpdateStatusClock on
89  BufferReuse         on
90  ProdHWDeviceType    "32-bit Generic"
91  ShowModelReferenceBlockVersion off
92  ShowModelReferenceBlockIO off
93  Array {
94    Type            "Handle"
95    Dimension           1
96    Simulink.ConfigSet {
97      $ObjectID           1
[598]98      Version             "1.2.0"
[307]99      Array {
100    Type            "Handle"
101    Dimension       7
102    Simulink.SolverCC {
103      $ObjectID       2
[598]104      Version         "1.2.0"
[307]105      StartTime       "0.0"
106      StopTime        "10.0"
107      AbsTol          "auto"
108      FixedStep       "auto"
109      InitialStep         "auto"
110      MaxNumMinSteps      "-1"
111      MaxOrder        5
[598]112      ConsecutiveZCsStepRelTol "10*128*eps"
113      MaxConsecutiveZCs   "1000"
[307]114      ExtrapolationOrder      4
115      NumberNewtonIterations  1
116      MaxStep         "auto"
117      MinStep         "auto"
[598]118      MaxConsecutiveMinStep   "1"
[307]119      RelTol          "1e-3"
120      SolverMode          "Auto"
121      Solver          "ode45"
122      SolverName          "ode45"
123      ZeroCrossControl    "UseLocalSettings"
124      AlgebraicLoopSolver     "TrustRegion"
125      SolverResetMethod   "Fast"
126      PositivePriorityOrder   off
127      AutoInsertRateTranBlk   off
128      SampleTimeConstraint    "Unconstrained"
129      RateTranMode        "Deterministic"
130    }
131    Simulink.DataIOCC {
132      $ObjectID       3
[598]133      Version         "1.2.0"
[307]134      Decimation          "1"
135      ExternalInput       "[t, u]"
136      FinalStateName      "xFinal"
137      InitialState        "xInitial"
138      LimitDataPoints     on
139      MaxDataPoints       "1000"
140      LoadExternalInput   off
141      LoadInitialState    off
142      SaveFinalState      off
143      SaveFormat          "Array"
144      SaveOutput          on
145      SaveState       off
146      SignalLogging       on
147      InspectSignalLogs   off
148      SaveTime        on
149      StateSaveName       "xout"
150      TimeSaveName        "tout"
151      OutputSaveName      "yout"
152      SignalLoggingName   "logsout"
153      OutputOption        "RefineOutputTimes"
154      OutputTimes         "[]"
155      Refine          "1"
156    }
157    Simulink.OptimizationCC {
158      $ObjectID       4
159      Array {
160        Type            "Cell"
161        Dimension           5
162        Cell            "ZeroExternalMemoryAtStartup"
163        Cell            "ZeroInternalMemoryAtStartup"
164        Cell            "InitFltsAndDblsToZero"
165        Cell            "OptimizeModelRefInitCode"
166        Cell            "NoFixptDivByZeroProtection"
167        PropName            "DisabledProps"
168      }
[598]169      Version         "1.2.0"
[307]170      BlockReduction      on
171      BooleanDataType     on
172      ConditionallyExecuteInputs on
173      InlineParams        off
174      InlineInvariantSignals  on
175      OptimizeBlockIOStorage  on
176      BufferReuse         on
177      EnforceIntegerDowncast  on
178      ExpressionFolding   on
179      FoldNonRolledExpr   on
180      LocalBlockOutputs   on
181      ParameterPooling    on
182      RollThreshold       5
183      SystemCodeInlineAuto    off
184      StateBitsets        off
185      DataBitsets         off
186      UseTempVars         off
187      ZeroExternalMemoryAtStartup on
188      ZeroInternalMemoryAtStartup on
189      InitFltsAndDblsToZero   on
190      NoFixptDivByZeroProtection off
191      EfficientFloat2IntCast  off
192      OptimizeModelRefInitCode off
193      LifeSpan        "inf"
194      BufferReusableBoundary  on
195    }
196    Simulink.DebuggingCC {
197      $ObjectID       5
[598]198      Version         "1.2.0"
[307]199      RTPrefix        "error"
200      ConsistencyChecking     "none"
201      ArrayBoundsChecking     "none"
202      SignalInfNanChecking    "none"
203      ReadBeforeWriteMsg      "UseLocalSettings"
204      WriteAfterWriteMsg      "UseLocalSettings"
205      WriteAfterReadMsg   "UseLocalSettings"
206      AlgebraicLoopMsg    "warning"
207      ArtificialAlgebraicLoopMsg "warning"
208      CheckSSInitialOutputMsg on
209      CheckExecutionContextPreStartOutputMsg off
210      CheckExecutionContextRuntimeOutputMsg off
211      SignalResolutionControl "TryResolveAllWithWarning"
212      BlockPriorityViolationMsg "warning"
213      MinStepSizeMsg      "warning"
[598]214      TimeAdjustmentMsg   "none"
215      MaxConsecutiveZCsMsg    "error"
[307]216      SolverPrmCheckMsg   "warning"
217      InheritedTsInSrcMsg     "warning"
218      DiscreteInheritContinuousMsg "warning"
219      MultiTaskDSMMsg     "warning"
[598]220      MultiTaskCondExecSysMsg "none"
[307]221      MultiTaskRateTransMsg   "error"
222      SingleTaskRateTransMsg  "none"
223      TasksWithSamePriorityMsg "warning"
224      SigSpecEnsureSampleTimeMsg "warning"
225      CheckMatrixSingularityMsg "none"
226      IntegerOverflowMsg      "warning"
227      Int32ToFloatConvMsg     "warning"
228      ParameterDowncastMsg    "error"
229      ParameterOverflowMsg    "error"
230      ParameterUnderflowMsg   "none"
231      ParameterPrecisionLossMsg "warning"
232      UnderSpecifiedDataTypeMsg "none"
233      UnnecessaryDatatypeConvMsg "none"
234      VectorMatrixConversionMsg "none"
235      InvalidFcnCallConnMsg   "error"
236      FcnCallInpInsideContextMsg "Use local settings"
237      SignalLabelMismatchMsg  "none"
238      UnconnectedInputMsg     "warning"
239      UnconnectedOutputMsg    "warning"
240      UnconnectedLineMsg      "warning"
241      SFcnCompatibilityMsg    "none"
242      UniqueDataStoreMsg      "none"
243      BusObjectLabelMismatch  "warning"
244      RootOutportRequireBusObject "warning"
245      AssertControl       "UseLocalSettings"
246      EnableOverflowDetection off
247      ModelReferenceIOMsg     "none"
248      ModelReferenceVersionMismatchMessage "none"
249      ModelReferenceIOMismatchMessage "none"
250      ModelReferenceCSMismatchMessage "none"
251      ModelReferenceSimTargetVerbose off
252      UnknownTsInhSupMsg      "warning"
253      ModelReferenceDataLoggingMessage "warning"
254      ModelReferenceSymbolNameMessage "warning"
255      ModelReferenceExtraNoncontSigs "error"
[598]256      StrictBusMsg        "None"
[307]257    }
258    Simulink.HardwareCC {
259      $ObjectID       6
[598]260      Version         "1.2.0"
[307]261      ProdBitPerChar      8
262      ProdBitPerShort     16
263      ProdBitPerInt       32
264      ProdBitPerLong      32
265      ProdIntDivRoundTo   "Undefined"
266      ProdEndianess       "Unspecified"
267      ProdWordSize        32
268      ProdShiftRightIntArith  on
269      ProdHWDeviceType    "32-bit Generic"
270      TargetBitPerChar    8
271      TargetBitPerShort   16
272      TargetBitPerInt     32
273      TargetBitPerLong    32
274      TargetShiftRightIntArith on
275      TargetIntDivRoundTo     "Undefined"
276      TargetEndianess     "Unspecified"
277      TargetWordSize      32
278      TargetTypeEmulationWarnSuppressLevel 0
279      TargetPreprocMaxBitsSint 32
280      TargetPreprocMaxBitsUint 32
281      TargetHWDeviceType      "Specified"
282      TargetUnknown       off
283      ProdEqTarget        on
284    }
285    Simulink.ModelReferenceCC {
286      $ObjectID       7
[598]287      Version         "1.2.0"
[307]288      UpdateModelReferenceTargets "IfOutOfDateOrStructuralChange"
289      CheckModelReferenceTargetMessage "error"
290      ModelReferenceNumInstancesAllowed "Multi"
291      ModelReferencePassRootInputsByReference on
292      ModelReferenceMinAlgLoopOccurrences off
293    }
294    Simulink.RTWCC {
295      $BackupClass        "Simulink.RTWCC"
296      $ObjectID       8
297      Array {
298        Type            "Cell"
299        Dimension           1
300        Cell            "IncludeHyperlinkInReport"
301        PropName            "DisabledProps"
302      }
[598]303      Version         "1.2.0"
[307]304      SystemTargetFile    "grt.tlc"
305      GenCodeOnly         off
306      MakeCommand         "make_rtw"
[598]307      GenerateMakefile    on
[307]308      TemplateMakefile    "grt_default_tmf"
309      GenerateReport      off
310      SaveLog         off
311      RTWVerbose          on
312      RetainRTWFile       off
313      ProfileTLC          off
314      TLCDebug        off
315      TLCCoverage         off
316      TLCAssert       off
317      ProcessScriptMode   "Default"
318      ConfigurationMode   "Optimized"
319      ConfigAtBuild       off
320      IncludeHyperlinkInReport off
321      LaunchReport        off
322      TargetLang          "C"
[598]323      IncludeBusHierarchyInRTWFileBlockHierarchyMap off
324      IncludeERTFirstTime     on
[307]325      Array {
326        Type            "Handle"
327        Dimension           2
328        Simulink.CodeAppCC {
329          $ObjectID           9
330          Array {
331        Type            "Cell"
332        Dimension       9
333        Cell            "IgnoreCustomStorageClasses"
334        Cell            "InsertBlockDesc"
335        Cell            "SFDataObjDesc"
336        Cell            "SimulinkDataObjDesc"
337        Cell            "DefineNamingRule"
338        Cell            "SignalNamingRule"
339        Cell            "ParamNamingRule"
340        Cell            "InlinedPrmAccess"
341        Cell            "CustomSymbolStr"
342        PropName        "DisabledProps"
343          }
[598]344          Version             "1.2.0"
[307]345          ForceParamTrailComments off
346          GenerateComments        on
347          IgnoreCustomStorageClasses on
348          IncHierarchyInIds       off
349          MaxIdLength         31
350          PreserveName        off
351          PreserveNameWithParent  off
352          ShowEliminatedStatement off
353          IncAutoGenComments      off
354          SimulinkDataObjDesc     off
355          SFDataObjDesc       off
356          IncDataTypeInIds        off
357          PrefixModelToSubsysFcnNames on
358          MangleLength        1
[598]359          CustomSymbolStrGlobalVar "$R$N$M"
360          CustomSymbolStrType     "$N$R$M"
361          CustomSymbolStrField    "$N$M"
362          CustomSymbolStrFcn      "$R$N$M$F"
363          CustomSymbolStrBlkIO    "rtb_$N$M"
364          CustomSymbolStrTmpVar   "$N$M"
365          CustomSymbolStrMacro    "$R$N$M"
[307]366          DefineNamingRule        "None"
367          ParamNamingRule         "None"
368          SignalNamingRule        "None"
369          InsertBlockDesc         off
370          SimulinkBlockComments   on
371          EnableCustomComments    off
372          InlinedPrmAccess        "Literals"
373          ReqsInCode          off
374        }
375        Simulink.GRTTargetCC {
376          $BackupClass        "Simulink.TargetCC"
377          $ObjectID           10
378          Array {
379        Type            "Cell"
380        Dimension       12
381        Cell            "IncludeMdlTerminateFcn"
382        Cell            "CombineOutputUpdateFcns"
383        Cell            "SuppressErrorStatus"
384        Cell            "ERTCustomFileBanners"
385        Cell            "GenerateSampleERTMain"
386        Cell            "MultiInstanceERTCode"
387        Cell            "PurelyIntegerCode"
388        Cell            "SupportNonFinite"
389        Cell            "SupportComplex"
390        Cell            "SupportAbsoluteTime"
391        Cell            "SupportContinuousTime"
392        Cell            "SupportNonInlinedSFcns"
393        PropName        "DisabledProps"
394          }
[598]395          Version             "1.2.0"
[307]396          TargetFcnLib        "ansi_tfl_tmw.mat"
397          TargetLibSuffix         ""
398          TargetPreCompLibLocation ""
399          GenFloatMathFcnCalls    "ANSI_C"
400          UtilityFuncGeneration   "Auto"
401          GenerateFullHeader      on
402          GenerateSampleERTMain   off
[598]403          GenerateTestInterfaces  off
[307]404          IsPILTarget         off
405          ModelReferenceCompliant on
406          IncludeMdlTerminateFcn  on
407          CombineOutputUpdateFcns off
408          SuppressErrorStatus     off
409          IncludeFileDelimiter    "Auto"
410          ERTCustomFileBanners    off
411          SupportAbsoluteTime     on
412          LogVarNameModifier      "rt_"
413          MatFileLogging          on
414          MultiInstanceERTCode    off
415          SupportNonFinite        on
416          SupportComplex          on
417          PurelyIntegerCode       off
418          SupportContinuousTime   on
419          SupportNonInlinedSFcns  on
[598]420          EnableShiftOperators    on
421          ParenthesesLevel        "Nominal"
[307]422          ExtMode             off
423          ExtModeStaticAlloc      off
424          ExtModeTesting          off
425          ExtModeStaticAllocSize  1000000
426          ExtModeTransport        0
427          ExtModeMexFile          "ext_comm"
428          RTWCAPISignals          off
429          RTWCAPIParams       off
430          RTWCAPIStates       off
431          GenerateASAP2       off
432        }
433        PropName            "Components"
434      }
435    }
436    PropName        "Components"
437      }
438      Name            "Configuration"
439      SimulationMode          "normal"
440      CurrentDlgPage          "Solver"
441    }
442    PropName            "ConfigurationSets"
443  }
444  Simulink.ConfigSet {
445    $PropName           "ActiveConfigurationSet"
446    $ObjectID           1
447  }
448  BlockDefaults {
449    Orientation         "right"
450    ForegroundColor     "black"
451    BackgroundColor     "white"
452    DropShadow          off
453    NamePlacement       "normal"
454    FontName            "Helvetica"
455    FontSize            10
456    FontWeight          "normal"
457    FontAngle           "normal"
458    ShowName            on
459  }
460  BlockParameterDefaults {
461    Block {
462      BlockType           Constant
463    }
464    Block {
465      BlockType           DiscretePulseGenerator
466      PulseType           "Sample based"
467      TimeSource          "Use simulation time"
468      Amplitude           "1"
469      Period              "2"
470      PulseWidth          "1"
471      PhaseDelay          "0"
472      SampleTime          "1"
473      VectorParams1D          on
474    }
475    Block {
476      BlockType           From
477      IconDisplay         "Tag"
478    }
479    Block {
480      BlockType           Goto
481      IconDisplay         "Tag"
482    }
483    Block {
484      BlockType           Inport
485      Port            "1"
486      UseBusObject        off
487      BusObject           "BusObject"
488      BusOutputAsStruct       off
489      PortDimensions          "-1"
490      SampleTime          "-1"
491      DataType            "auto"
492      OutDataType         "sfix(16)"
493      OutScaling          "2^0"
494      SignalType          "auto"
495      SamplingMode        "auto"
496      LatchByDelayingOutsideSignal off
497      LatchByCopyingInsideSignal off
498      Interpolate         on
499    }
500    Block {
501      BlockType           Outport
502      Port            "1"
503      UseBusObject        off
504      BusObject           "BusObject"
505      BusOutputAsStruct       off
506      PortDimensions          "-1"
507      SampleTime          "-1"
508      DataType            "auto"
509      OutDataType         "sfix(16)"
510      OutScaling          "2^0"
511      SignalType          "auto"
512      SamplingMode        "auto"
513      OutputWhenDisabled      "held"
514      InitialOutput       "[]"
515    }
516    Block {
517      BlockType           "S-Function"
518      FunctionName        "system"
519      SFunctionModules        "''"
520      PortCounts          "[]"
521    }
522    Block {
523      BlockType           SubSystem
524      ShowPortLabels          on
525      Permissions         "ReadWrite"
526      PermitHierarchicalResolution "All"
[598]527      TreatAsAtomicUnit       off
[307]528      SystemSampleTime        "-1"
529      RTWFcnNameOpts          "Auto"
530      RTWFileNameOpts         "Auto"
[598]531      RTWMemSecFuncInitTerm   "Inherit from model"
532      RTWMemSecFuncExecute    "Inherit from model"
533      RTWMemSecDataConstants  "Inherit from model"
534      RTWMemSecDataInternal   "Inherit from model"
535      RTWMemSecDataParameters "Inherit from model"
[307]536      SimViewingDevice        off
537      DataTypeOverride        "UseLocalSettings"
538      MinMaxOverflowLogging   "UseLocalSettings"
539    }
540    Block {
541      BlockType           Terminator
542    }
543  }
544  AnnotationDefaults {
545    HorizontalAlignment     "center"
546    VerticalAlignment       "middle"
547    ForegroundColor     "black"
548    BackgroundColor     "white"
549    DropShadow          off
550    FontName            "Helvetica"
551    FontSize            10
552    FontWeight          "normal"
553    FontAngle           "normal"
554  }
555  LineDefaults {
556    FontName            "Helvetica"
557    FontSize            9
558    FontWeight          "normal"
559    FontAngle           "normal"
560  }
561  System {
562    Name            "skeleton_periph_model"
[598]563    Location            [327, 162, 1062, 721]
564    Open            on
[307]565    ModelBrowserVisibility  off
566    ModelBrowserWidth       200
567    ScreenColor         "white"
568    PaperOrientation        "landscape"
569    PaperPositionMode       "auto"
570    PaperType           "usletter"
571    PaperUnits          "inches"
[598]572    TiledPaperMargins       [0.500000, 0.500000, 0.500000, 0.500000]
573    TiledPageScale      1
574    ShowPageBoundaries      off
[307]575    ZoomFactor          "100"
576    ReportName          "simulink-default.rpt"
577    Block {
578      BlockType           Reference
579      Name            " System Generator"
580      Tag             "genX"
581      Ports           []
[572]582      Position            [77, 77, 128, 127]
[307]583      ShowName            off
584      AttributesFormatString  "System\\nGenerator"
585      UserDataPersistent      on
[572]586      SourceBlock         "xbsIndex_r4/ System Generator"
587      SourceType          "Xilinx System Generator Block"
[307]588      ShowPortLabels          on
[598]589      SystemSampleTime        "-1"
590      FunctionWithSeparateData off
591      RTWMemSecFuncInitTerm   "Inherit from model"
592      RTWMemSecFuncExecute    "Inherit from model"
593      RTWMemSecDataConstants  "Inherit from model"
594      RTWMemSecDataInternal   "Inherit from model"
595      RTWMemSecDataParameters "Inherit from model"
[572]596      infoedit            " System Generator"
597      xilinxfamily        "Virtex4"
598      part            "xc4vsx35"
599      speed           "-10"
600      package             "ff668"
[307]601      synthesis_tool          "XST"
[572]602      directory           "./netlist"
[307]603      testbench           off
604      simulink_period         "1"
[572]605      sysclk_period       "10"
[307]606      incr_netlist        off
[572]607      trim_vbits          "Everywhere in SubSystem"
[307]608      dbl_ovrd            "According to Block Masks"
609      core_generation         "According to Block Masks"
610      run_coregen         off
611      deprecated_control      off
612      eval_field          "0"
[572]613      has_advanced_control    "0"
614      sggui_pos           "-1,-1,-1,-1"
615      block_type          "sysgen"
616      block_version       "8.2.02"
617      sg_icon_stat        "51,50,-1,-1,red,beige,0,07734"
618      sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npa"
619"tch([0 51 51 0 ],[0 0 50 50 ],[0.93 0.92 0.86]);\npatch([12 4 16 4 12 25 29 3"
620"3 47 36 25 17 29 17 25 36 47 33 29 25 12 ],[5 13 25 37 45 45 41 45 45 34 45 3"
621"7 25 13 5 16 5 5 9 5 5 ],[0.6 0.2 0.25]);\nplot([0 0 51 51 0 ],[0 50 50 0 0 ]"
622");\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin ico"
623"n text');\nfprintf('','COMMENT: end icon text');\n"
624      sg_blockgui_xml         "<!--  *  Copyright (c) 2005, Xilinx, Inc.  All "
625"Rights Reserved.            --><!--  *  Reproduction or reuse, in any form, w"
626"ithout the explicit written  --><!--  *  consent of Xilinx, Inc., is strictly"
627" prohibited.                  --><sysgenblock has_userdata=\"true\" tag=\"gen"
628"X\" block_type=\"sysgen\" simulinkname=\" System Generator\" >\n <icon width="
629"\"51\" bg_color=\"beige\" height=\"50\" caption_format=\"System\\nGenerator\""
630" wmark_color=\"red\" />\n <callbacks DeleteFcn=\"xlSysgenGUI('delete', gcs, g"
631"cbh);\" OpenFcn=\"xlSysgenGUI('startup',gcs,gcbh)\" ModelCloseFcn=\"xlSysgenG"
632"UI('Close',gcs,gcbh)\" PostSaveFcn=\"xlSysgenGUI('Save')\" />\n <libraries>\n"
633"  <library name=\"xbsIndex\" />\n  <library name=\"xbsBasic\" />\n  <library "
634"name=\"xbsTools\" />\n </libraries>\n <subsystem_model file=\"system_generato"
635"r_subsystem.mdl\" />\n <blockgui label=\"Xilinx System Generator\" >\n  <edit"
636"box evaluate=\"false\" multi_line=\"true\" name=\"infoedit\" read_only=\"true"
637"\" default=\" System Generator\" />\n  <editbox evaluate=\"false\" name=\"xil"
638"inxfamily\" default=\"Virtex4\" label=\"Xilinx family\" />\n  <editbox evalua"
639"te=\"false\" name=\"part\" default=\"xc4vsx35\" label=\"Part\" />\n  <editbox"
640" evaluate=\"false\" name=\"speed\" default=\"-10\" label=\"Speed\" />\n  <edi"
641"tbox evaluate=\"false\" name=\"package\" default=\"ff668\" label=\"Package\" "
642"/>\n  <listbox evaluate=\"true\" name=\"synthesis_tool\" default=\"XST\" labe"
643"l=\"Synthesis tool\" >\n   <item value=\"Spectrum\" />\n   <item value=\"Synp"
644"lify\" />\n   <item value=\"Synplify Pro\" />\n   <item value=\"XST\" />\n   "
645"<item value=\"Precision\" />\n  </listbox>\n  <editbox evaluate=\"false\" nam"
646"e=\"directory\" default=\"./netlist\" label=\"Target directory\" />\n  <check"
647"box evaluate=\"true\" name=\"testbench\" default=\"off\" label=\"Testbench\" "
648"/>\n  <editbox evaluate=\"true\" name=\"simulink_period\" default=\"1\" label"
649"=\"Simulink period\" />\n  <editbox evaluate=\"true\" name=\"sysclk_period\" "
650"default=\"10\" label=\"System clock period\" />\n  <checkbox evaluate=\"true"
651"\" name=\"incr_netlist\" default=\"off\" label=\"Incremental netlisting\" />"
652"\n  <listbox evaluate=\"true\" name=\"trim_vbits\" default=\"Everywhere in Su"
653"bSystem\" label=\"Trim valid bits\" >\n   <item value=\"According to Block Ma"
654"sks\" />\n   <item value=\"Everywhere in SubSystem\" />\n   <item value=\"No "
655"Where in SubSystem\" />\n  </listbox>\n  <listbox evaluate=\"true\" name=\"db"
656"l_ovrd\" default=\"According to Block Masks\" label=\"Override with doubles\""
657" >\n   <item value=\"According to Block Masks\" />\n   <item value=\"Everywhe"
658"re in SubSystem\" />\n   <item value=\"No Where in SubSystem\" />\n  </listbo"
659"x>\n  <listbox evaluate=\"true\" name=\"core_generation\" default=\"According"
660" to Block Masks\" label=\"Generate cores\" >\n   <item value=\"According to B"
661"lock Masks\" />\n   <item value=\"Everywhere Available\" />\n   <item value="
662"\"Not Needed - Already Generated\" />\n  </listbox>\n  <checkbox evaluate=\"t"
663"rue\" name=\"run_coregen\" default=\"off\" label=\"Run CoreGen\" />\n  <check"
664"box evaluate=\"true\" name=\"deprecated_control\" default=\"off\" label=\"Sho"
665"w deprecated controls\" />\n  <hiddenvar evaluate=\"true\" name=\"eval_field"
666"\" default=\"0\" />\n </blockgui>\n</sysgenblock>\n"
[307]667    }
668    Block {
[426]669      BlockType           Reference
[438]670      Name            "Force8"
671      Ports           [1, 1]
672      Position            [275, 399, 315, 431]
673      SourceBlock         "xbsIndex_r4/Reinterpret"
674      SourceType          "Xilinx Type Reinterpreter Block"
675      infoedit            "Changes type of samples without altering their "
676"binary representation.<P><P>Hardware notes: In hardware this block costs noth"
677"ing.<P><P>Example:  Suppose the input is 6 bits wide, signed, with 2 fraction"
678"al bits, and the output is forced to unsigned with 0 fractional bits.  Then a"
679"n input of -2.0 (1110.00 in binary 2's complement) becomes an output of 56 (1"
680"11000 in binary)."
681      force_arith_type        on
682      arith_type          "Unsigned"
683      force_bin_pt        off
684      bin_pt              "0"
685      has_advanced_control    "0"
686      sggui_pos           "20,20,356,331"
687      block_type          "cast"
[572]688      block_version       "VER_STRING_GOES_HERE"
689      sg_icon_stat        "40,32,1,1,white,blue,0,8982c1db"
690      sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npa"
691"tch([0 50 50 0 ],[0 0 32 32 ],[0.77 0.82 0.91]);\npatch([16 11 18 11 16 24 26"
692" 28 37 30 23 18 25 18 23 30 37 28 26 24 16 ],[3 8 15 22 27 27 25 27 27 20 27 "
693"22 15 8 3 10 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 0 50 50 0 ],[0 32 32 0 0"
694" ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin i"
695"con text');\ncolor('black');disp('reinterpret');\nfprintf('','COMMENT: end ic"
696"on text');\n"
[438]697    }
698    Block {
699      BlockType           Reference
[426]700      Name            "Slice8"
701      Ports           [1, 1]
702      Position            [185, 401, 230, 429]
703      SourceBlock         "xbsIndex_r4/Slice"
704      SourceType          "Xilinx Bit Slice Extractor Block"
705      infoedit            "Extracts a given range of bits from each input "
706"sample and presents it at the output.  The output type is ordinarily unsigned"
707" with binary point at zero, but can be Boolean when the slice is one bit wide"
708".<P><P>Hardware notes: In hardware this block costs nothing."
709      nbits           "1"
710      boolean_output          off
711      mode            "Lower Bit Location + Width"
712      bit1            "0"
713      base1           "MSB of Input"
714      bit0            "0"
715      base0           "LSB of Input"
716      dbl_ovrd            off
717      has_advanced_control    "0"
718      sggui_pos           "20,20,516,446"
719      block_type          "slice"
[572]720      block_version       "VER_STRING_GOES_HERE"
[426]721      sg_icon_stat        "45,28,1,1,white,blue,0,fe24a11e"
722      sg_mask_display         "fprintf('','COMMENT: begin icon graphics ');\np"
723"atch([0 45 45 0 ],[0 0 28 28 ],[0.77 0.82 0.91]);\npatch([15 10 17 10 15 22 2"
724"4 26 34 28 22 18 26 18 22 28 34 26 24 22 15 ],[3 8 15 22 27 27 25 27 27 21 27"
725" 23 15 7 3 9 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 0 45 45 0 ],[0 28 28 0 0"
726" ]);\nfprintf('','COMMENT: end icon graphics');\n\nfprintf('','COMMENT: begin"
727" icon text ');\ncolor('black');port_label('output',1,'[a:b]');\nfprintf('','C"
728"OMMENT: end icon text');\n"
729    }
730    Block {
[307]731      BlockType           From
732      Name            "a_valid_from"
733      Position            [20, 271, 80, 299]
734      CloseFcn            "tagdialog Close"
735      GotoTag             "a_valid"
736    }
737    Block {
738      BlockType           From
739      Name            "addr_from"
740      Position            [20, 214, 75, 246]
741      CloseFcn            "tagdialog Close"
742      GotoTag             "addr"
743    }
744    Block {
745      BlockType           SubSystem
746      Name            "main8"
747      Ports           []
[572]748      Position            [124, 205, 164, 265]
[307]749      MinAlgLoopOccurrences   off
750      RTWSystemCode       "Auto"
[598]751      FunctionWithSeparateData off
[307]752      MaskHideContents        off
753      System {
754    Name            "main8"
755    Location        [198, 167, 1360, 994]
756    Open            off
757    ModelBrowserVisibility  off
758    ModelBrowserWidth   200
759    ScreenColor     "white"
760    PaperOrientation    "landscape"
761    PaperPositionMode   "auto"
762    PaperType       "usletter"
763    PaperUnits      "inches"
[598]764    TiledPaperMargins   [0.500000, 0.500000, 0.500000, 0.500000]
765    TiledPageScale      1
766    ShowPageBoundaries  off
[307]767    ZoomFactor      "100"
768    Block {
769      BlockType       Reference
770      Name            "Constant2"
771      Ports           [0, 1]
772      Position        [855, 481, 890, 499]
773      ShowName        off
774      SourceBlock         "xbsIndex_r4/Constant"
775      SourceType          "Xilinx Constant Block Block"
776      arith_type          "Unsigned"
777      const           "0"
778      n_bits          "1"
779      bin_pt          "0"
[572]780      explicit_period     on
[307]781      period          "1"
[572]782      dsp48_infoedit      "The use of this block for DSP48 instruction"
783"s is deprecated.  Please use the Opmode block."
[307]784      equ             "P=C"
785      opselect        "C"
786      inp2            "PCIN>>17"
787      opr             "+"
788      inp1            "P"
789      carry           "CIN"
[572]790      dbl_ovrd        off
[307]791      has_advanced_control    "0"
792      sggui_pos       "-1,-1,-1,-1"
793      block_type          "constant"
[572]794      block_version       "VER_STRING_GOES_HERE"
[307]795      sg_icon_stat        "35,18,0,1,white,blue,0,c7c04a0c"
796      sg_mask_display     "fprintf('','COMMENT: begin icon graphics ')"
797";\npatch([0 35 35 0 ],[0 0 18 18 ],[0.77 0.82 0.91]);\npatch([13 10 14 10 13 "
798"18 19 20 25 21 17 14 18 14 17 21 25 20 19 18 13 ],[2 5 9 13 16 16 15 16 16 12"
799" 16 13 9 5 2 6 2 2 3 2 2 ],[0.98 0.96 0.92]);\nplot([0 0 35 35 0 ],[0 18 18 0"
800" 0 ]);\nfprintf('','COMMENT: end icon graphics');\n\nfprintf('','COMMENT: beg"
801"in icon text ');\ncolor('black');port_label('output',1,'0');\nfprintf('','COM"
802"MENT: end icon text');\nfprintf('','COMMENT: Make no changes above this line "
803"-- machine generated code. ');\n"
804    }
805    Block {
806      BlockType       From
807      Name            "From"
808      Position        [355, 335, 390, 355]
809      CloseFcn        "tagdialog Close"
810      GotoTag         "ps"
811      Port {
812        PortNumber          1
813        Name            "decode"
814        PropagatedSignals       "opb addr"
815        RTWStorageClass     "Auto"
816        DataLoggingNameMode     "SignalName"
817      }
818    }
819    Block {
820      BlockType       Goto
821      Name            "Goto"
822      Position        [275, 309, 315, 331]
823      NamePlacement       "alternate"
824      ShowName        off
825      GotoTag         "addr"
826      TagVisibility       "global"
827    }
828    Block {
829      BlockType       Goto
830      Name            "Goto1"
831      Position        [270, 340, 315, 360]
832      ShowName        off
833      GotoTag         "a_valid"
834      TagVisibility       "global"
835    }
836    Block {
837      BlockType       SubSystem
838      Name            "IP2OPB_IF"
839      Ports           [5]
840      Position        [945, 406, 1025, 574]
841      MinAlgLoopOccurrences   off
842      RTWSystemCode       "Auto"
[598]843      FunctionWithSeparateData off
[307]844      MaskHideContents    off
845      System {
846        Name            "IP2OPB_IF"
847        Location            [175, 313, 603, 832]
848        Open            off
849        ModelBrowserVisibility  off
850        ModelBrowserWidth       200
851        ScreenColor         "white"
852        PaperOrientation        "landscape"
853        PaperPositionMode       "auto"
854        PaperType           "usletter"
855        PaperUnits          "inches"
[598]856        TiledPaperMargins       [0.500000, 0.500000, 0.500000, 0.500000]
857        TiledPageScale      1
858        ShowPageBoundaries      off
[307]859        ZoomFactor          "100"
860        Block {
861          BlockType           Inport
862          Name            "SGP_DBus_In"
863          Position            [60, 33, 90, 47]
864          IconDisplay         "Port number"
865        }
866        Block {
867          BlockType           Inport
868          Name            "SGP_xferAck_In"
869          Position            [60, 128, 90, 142]
870          Port            "2"
871          IconDisplay         "Port number"
872        }
873        Block {
874          BlockType           Inport
875          Name            "SGP_retry_In"
876          Position            [60, 193, 90, 207]
877          Port            "3"
878          IconDisplay         "Port number"
879        }
880        Block {
881          BlockType           Inport
882          Name            "SGP_toutSup_In"
883          Position            [60, 253, 90, 267]
884          Port            "4"
885          IconDisplay         "Port number"
886        }
887        Block {
888          BlockType           Inport
889          Name            "SGP_errAck_In"
890          Position            [60, 313, 90, 327]
891          Port            "5"
892          IconDisplay         "Port number"
893        }
894        Block {
895          BlockType           Goto
896          Name            "Goto6"
897          Position            [280, 52, 345, 68]
898          ShowName            off
899          GotoTag             "SGP_DBus"
900          TagVisibility       "global"
901        }
902        Block {
903          BlockType           Goto
904          Name            "Goto7"
905          Position            [280, 127, 345, 143]
906          ShowName            off
907          GotoTag             "SGP_xferAck"
908          TagVisibility       "global"
909        }
910        Block {
911          BlockType           Reference
912          Name            "Register"
913          Ports           [3, 1]
914          Position            [140, 32, 175, 88]
915          ShowName            off
916          SourceBlock         "xbsIndex_r4/Register"
917          SourceType          "Xilinx Register Block"
918          init            "0"
[598]919          rst             on
920          en              on
921          dbl_ovrd            off
922          xl_use_area         off
[307]923          xl_area             "[0, 0, 0, 0, 0, 0, 0]"
924          has_advanced_control    "0"
925          sggui_pos           "-1,-1,-1,-1"
926          block_type          "register"
[598]927          block_version       "VER_STRING_GOES_HERE"
[307]928          sg_icon_stat        "35,56,3,1,white,blue,0,923c1847"
929          sg_mask_display         "fprintf('','COMMENT: begin icon graphic"
930"s ');\npatch([0 35 35 0 ],[0 0 56 56 ],[0.77 0.82 0.91]);\npatch([8 2 10 2 8 "
931"17 20 23 33 25 18 13 21 13 18 25 33 23 20 17 8 ],[14 20 28 36 42 42 39 42 42 "
932"34 41 36 28 20 15 22 14 14 17 14 14 ],[0.98 0.96 0.92]);\nplot([0 0 35 35 0 ]"
933",[0 56 56 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\n\nfprintf('','C"
934"OMMENT: begin icon text ');\ncolor('black');port_label('input',1,'d');\ncolor"
935"('black');port_label('input',2,'rst');\ncolor('black');port_label('input',3,'"
936"en');\ncolor('black');port_label('output',1,'q');\ncolor('black');disp('\\bf{"
937"z^{-1}}','texmode','on');\nfprintf('','COMMENT: end icon text');\n"
938        }
939        Block {
940          BlockType           Reference
941          Name            "Register1"
942          Ports           [1, 1]
943          Position            [140, 107, 175, 163]
944          ShowName            off
945          SourceBlock         "xbsIndex_r4/Register"
946          SourceType          "Xilinx Register Block"
947          init            "0"
[598]948          rst             off
949          en              off
950          dbl_ovrd            off
951          xl_use_area         off
[307]952          xl_area             "[0, 0, 0, 0, 0, 0, 0]"
953          has_advanced_control    "0"
954          sggui_pos           "-1,-1,-1,-1"
955          block_type          "register"
[598]956          block_version       "VER_STRING_GOES_HERE"
[307]957          sg_icon_stat        "35,56,1,1,white,blue,0,ac6b57db"
958          sg_mask_display         "fprintf('','COMMENT: begin icon graphic"
959"s ');\npatch([0 35 35 0 ],[0 0 56 56 ],[0.77 0.82 0.91]);\npatch([8 2 10 2 8 "
960"17 20 23 33 25 18 13 21 13 18 25 33 23 20 17 8 ],[14 20 28 36 42 42 39 42 42 "
961"34 41 36 28 20 15 22 14 14 17 14 14 ],[0.98 0.96 0.92]);\nplot([0 0 35 35 0 ]"
962",[0 56 56 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\n\nfprintf('','C"
963"OMMENT: begin icon text ');\ncolor('black');port_label('input',1,'d');\ncolor"
964"('black');port_label('output',1,'q');\ncolor('black');disp('\\bf{z^{-1}}','te"
965"xmode','on');\nfprintf('','COMMENT: end icon text');\n"
966        }
967        Block {
968          BlockType           Reference
969          Name            "Register2"
970          Ports           [1, 1]
971          Position            [140, 172, 175, 228]
972          ShowName            off
973          SourceBlock         "xbsIndex_r4/Register"
974          SourceType          "Xilinx Register Block"
975          init            "0"
[598]976          rst             off
977          en              off
978          dbl_ovrd            off
979          xl_use_area         off
[307]980          xl_area             "[0, 0, 0, 0, 0, 0, 0]"
981          has_advanced_control    "0"
982          sggui_pos           "-1,-1,-1,-1"
983          block_type          "register"
[598]984          block_version       "VER_STRING_GOES_HERE"
[307]985          sg_icon_stat        "35,56,1,1,white,blue,0,ac6b57db"
986          sg_mask_display         "fprintf('','COMMENT: begin icon graphic"
987"s ');\npatch([0 35 35 0 ],[0 0 56 56 ],[0.77 0.82 0.91]);\npatch([8 2 10 2 8 "
988"17 20 23 33 25 18 13 21 13 18 25 33 23 20 17 8 ],[14 20 28 36 42 42 39 42 42 "
989"34 41 36 28 20 15 22 14 14 17 14 14 ],[0.98 0.96 0.92]);\nplot([0 0 35 35 0 ]"
990",[0 56 56 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\n\nfprintf('','C"
991"OMMENT: begin icon text ');\ncolor('black');port_label('input',1,'d');\ncolor"
992"('black');port_label('output',1,'q');\ncolor('black');disp('\\bf{z^{-1}}','te"
993"xmode','on');\nfprintf('','COMMENT: end icon text');\n"
994        }
995        Block {
996          BlockType           Reference
997          Name            "Register3"
998          Ports           [1, 1]
999          Position            [140, 232, 175, 288]
1000          ShowName            off
1001          SourceBlock         "xbsIndex_r4/Register"
1002          SourceType          "Xilinx Register Block"
1003          init            "0"
[598]1004          rst             off
1005          en              off
1006          dbl_ovrd            off
1007          xl_use_area         off
[307]1008          xl_area             "[0, 0, 0, 0, 0, 0, 0]"
1009          has_advanced_control    "0"
1010          sggui_pos           "-1,-1,-1,-1"
1011          block_type          "register"
[598]1012          block_version       "VER_STRING_GOES_HERE"
[307]1013          sg_icon_stat        "35,56,1,1,white,blue,0,ac6b57db"
1014          sg_mask_display         "fprintf('','COMMENT: begin icon graphic"
1015"s ');\npatch([0 35 35 0 ],[0 0 56 56 ],[0.77 0.82 0.91]);\npatch([8 2 10 2 8 "
1016"17 20 23 33 25 18 13 21 13 18 25 33 23 20 17 8 ],[14 20 28 36 42 42 39 42 42 "
1017"34 41 36 28 20 15 22 14 14 17 14 14 ],[0.98 0.96 0.92]);\nplot([0 0 35 35 0 ]"
1018",[0 56 56 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\n\nfprintf('','C"
1019"OMMENT: begin icon text ');\ncolor('black');port_label('input',1,'d');\ncolor"
1020"('black');port_label('output',1,'q');\ncolor('black');disp('\\bf{z^{-1}}','te"
1021"xmode','on');\nfprintf('','COMMENT: end icon text');\n"
1022        }
1023        Block {
1024          BlockType           Reference
1025          Name            "Register4"
1026          Ports           [1, 1]
1027          Position            [140, 292, 175, 348]
1028          ShowName            off
1029          SourceBlock         "xbsIndex_r4/Register"
1030          SourceType          "Xilinx Register Block"
1031          init            "0"
[598]1032          rst             off
1033          en              off
1034          dbl_ovrd            off
1035          xl_use_area         off
[307]1036          xl_area             "[0, 0, 0, 0, 0, 0, 0]"
1037          has_advanced_control    "0"
1038          sggui_pos           "-1,-1,-1,-1"
1039          block_type          "register"
[598]1040          block_version       "VER_STRING_GOES_HERE"
[307]1041          sg_icon_stat        "35,56,1,1,white,blue,0,ac6b57db"
1042          sg_mask_display         "fprintf('','COMMENT: begin icon graphic"
1043"s ');\npatch([0 35 35 0 ],[0 0 56 56 ],[0.77 0.82 0.91]);\npatch([8 2 10 2 8 "
1044"17 20 23 33 25 18 13 21 13 18 25 33 23 20 17 8 ],[14 20 28 36 42 42 39 42 42 "
1045"34 41 36 28 20 15 22 14 14 17 14 14 ],[0.98 0.96 0.92]);\nplot([0 0 35 35 0 ]"
1046",[0 56 56 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\n\nfprintf('','C"
1047"OMMENT: begin icon text ');\ncolor('black');port_label('input',1,'d');\ncolor"
1048"('black');port_label('output',1,'q');\ncolor('black');disp('\\bf{z^{-1}}','te"
1049"xmode','on');\nfprintf('','COMMENT: end icon text');\n"
1050        }
1051        Block {
1052          BlockType           Reference
1053          Name            "SGP_DBus"
1054          Ports           [1, 1]
1055          Position            [205, 53, 255, 67]
1056          SourceBlock         "xbsIndex_r4/Gateway Out"
1057          SourceType          "Xilinx Gateway Out Block"
1058          infoedit            "Gateway out block.  Converts Xilinx fix"
1059"ed point inputs into ouputs of type Simulink integer, double, or fixed point."
1060"<P><P>Hardware notes:  In hardware these blocks become top level output ports"
1061" or are discarded, depending on how they are configured."
[598]1062          hdl_port            on
[307]1063          timing_constraint       "None"
[598]1064          locs_specified          off
[307]1065          LOCs            "{}"
[598]1066          xl_use_area         off
[307]1067          xl_area             "[0, 0, 0, 0, 0, 0, 0]"
1068          has_advanced_control    "0"
1069          sggui_pos           "-1,-1,-1,-1"
1070          block_type          "gatewayout"
[598]1071          block_version       "VER_STRING_GOES_HERE"
[307]1072          sg_icon_stat        "50,14,1,1,white,yellow,0,f0cec300"
1073          sg_mask_display         "fprintf('','COMMENT: begin icon graphic"
1074"s ');\npatch([0 50 50 0 ],[0 0 14 14 ],[0.95 0.93 0.65]);\npatch([21 19 22 19"
1075" 21 25 26 27 31 28 25 23 26 23 25 28 31 27 26 25 21 ],[2 4 7 10 12 12 11 12 1"
1076"2 9 12 10 7 4 2 5 2 2 3 2 2 ],[0.98 0.96 0.92]);\nplot([0 0 50 50 0 ],[0 14 1"
1077"4 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\n\nfprintf('','COMMENT: "
1078"begin icon text ');\ncolor('black');port_label('input',1,' ');\ncolor('black'"
1079");port_label('output',1,'\\fontsize{11pt}\\bf Out ','texmode','on');\nfprintf"
1080"('','COMMENT: end icon text');\nfprintf('','COMMENT: Make no changes above th"
1081"is line -- machine generated code. ');\n"
1082        }
1083        Block {
1084          BlockType           Reference
1085          Name            "SGP_errAck"
1086          Ports           [1, 1]
1087          Position            [205, 313, 255, 327]
1088          SourceBlock         "xbsIndex_r4/Gateway Out"
1089          SourceType          "Xilinx Gateway Out Block"
1090          infoedit            "Gateway out block.  Converts Xilinx fix"
1091"ed point inputs into ouputs of type Simulink integer, double, or fixed point."
1092"<P><P>Hardware notes:  In hardware these blocks become top level output ports"
1093" or are discarded, depending on how they are configured."
[598]1094          hdl_port            on
[307]1095          timing_constraint       "None"
[598]1096          locs_specified          off
[307]1097          LOCs            "{}"
[598]1098          xl_use_area         off
[307]1099          xl_area             "[0, 0, 0, 0, 0, 0, 0]"
1100          has_advanced_control    "0"
1101          sggui_pos           "-1,-1,-1,-1"
1102          block_type          "gatewayout"
[598]1103          block_version       "VER_STRING_GOES_HERE"
[307]1104          sg_icon_stat        "50,14,1,1,white,yellow,0,f0cec300"
1105          sg_mask_display         "fprintf('','COMMENT: begin icon graphic"
1106"s ');\npatch([0 50 50 0 ],[0 0 14 14 ],[0.95 0.93 0.65]);\npatch([21 19 22 19"
1107" 21 25 26 27 31 28 25 23 26 23 25 28 31 27 26 25 21 ],[2 4 7 10 12 12 11 12 1"
1108"2 9 12 10 7 4 2 5 2 2 3 2 2 ],[0.98 0.96 0.92]);\nplot([0 0 50 50 0 ],[0 14 1"
1109"4 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\n\nfprintf('','COMMENT: "
1110"begin icon text ');\ncolor('black');port_label('input',1,' ');\ncolor('black'"
1111");port_label('output',1,'\\fontsize{11pt}\\bf Out ','texmode','on');\nfprintf"
1112"('','COMMENT: end icon text');\nfprintf('','COMMENT: Make no changes above th"
1113"is line -- machine generated code. ');\n"
1114        }
1115        Block {
1116          BlockType           Reference
1117          Name            "SGP_retry"
1118          Ports           [1, 1]
1119          Position            [205, 193, 255, 207]
1120          SourceBlock         "xbsIndex_r4/Gateway Out"
1121          SourceType          "Xilinx Gateway Out Block"
1122          infoedit            "Gateway out block.  Converts Xilinx fix"
1123"ed point inputs into ouputs of type Simulink integer, double, or fixed point."
1124"<P><P>Hardware notes:  In hardware these blocks become top level output ports"
1125" or are discarded, depending on how they are configured."
[598]1126          hdl_port            on
[307]1127          timing_constraint       "None"
[598]1128          locs_specified          off
[307]1129          LOCs            "{}"
[598]1130          xl_use_area         off
[307]1131          xl_area             "[0, 0, 0, 0, 0, 0, 0]"
1132          has_advanced_control    "0"
1133          sggui_pos           "-1,-1,-1,-1"
1134          block_type          "gatewayout"
[598]1135          block_version       "VER_STRING_GOES_HERE"
[307]1136          sg_icon_stat        "50,14,1,1,white,yellow,0,f0cec300"
1137          sg_mask_display         "fprintf('','COMMENT: begin icon graphic"
1138"s ');\npatch([0 50 50 0 ],[0 0 14 14 ],[0.95 0.93 0.65]);\npatch([21 19 22 19"
1139" 21 25 26 27 31 28 25 23 26 23 25 28 31 27 26 25 21 ],[2 4 7 10 12 12 11 12 1"
1140"2 9 12 10 7 4 2 5 2 2 3 2 2 ],[0.98 0.96 0.92]);\nplot([0 0 50 50 0 ],[0 14 1"
1141"4 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\n\nfprintf('','COMMENT: "
1142"begin icon text ');\ncolor('black');port_label('input',1,' ');\ncolor('black'"
1143");port_label('output',1,'\\fontsize{11pt}\\bf Out ','texmode','on');\nfprintf"
1144"('','COMMENT: end icon text');\nfprintf('','COMMENT: Make no changes above th"
1145"is line -- machine generated code. ');\n"
1146        }
1147        Block {
1148          BlockType           Reference
1149          Name            "SGP_toutSup"
1150          Ports           [1, 1]
1151          Position            [205, 253, 255, 267]
1152          SourceBlock         "xbsIndex_r4/Gateway Out"
1153          SourceType          "Xilinx Gateway Out Block"
1154          infoedit            "Gateway out block.  Converts Xilinx fix"
1155"ed point inputs into ouputs of type Simulink integer, double, or fixed point."
1156"<P><P>Hardware notes:  In hardware these blocks become top level output ports"
1157" or are discarded, depending on how they are configured."
[598]1158          hdl_port            on
[307]1159          timing_constraint       "None"
[598]1160          locs_specified          off
[307]1161          LOCs            "{}"
[598]1162          xl_use_area         off
[307]1163          xl_area             "[0, 0, 0, 0, 0, 0, 0]"
1164          has_advanced_control    "0"
1165          sggui_pos           "-1,-1,-1,-1"
1166          block_type          "gatewayout"
[598]1167          block_version       "VER_STRING_GOES_HERE"
[307]1168          sg_icon_stat        "50,14,1,1,white,yellow,0,f0cec300"
1169          sg_mask_display         "fprintf('','COMMENT: begin icon graphic"
1170"s ');\npatch([0 50 50 0 ],[0 0 14 14 ],[0.95 0.93 0.65]);\npatch([21 19 22 19"
1171" 21 25 26 27 31 28 25 23 26 23 25 28 31 27 26 25 21 ],[2 4 7 10 12 12 11 12 1"
1172"2 9 12 10 7 4 2 5 2 2 3 2 2 ],[0.98 0.96 0.92]);\nplot([0 0 50 50 0 ],[0 14 1"
1173"4 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\n\nfprintf('','COMMENT: "
1174"begin icon text ');\ncolor('black');port_label('input',1,' ');\ncolor('black'"
1175");port_label('output',1,'\\fontsize{11pt}\\bf Out ','texmode','on');\nfprintf"
1176"('','COMMENT: end icon text');\nfprintf('','COMMENT: Make no changes above th"
1177"is line -- machine generated code. ');\n"
1178        }
1179        Block {
1180          BlockType           Reference
1181          Name            "SGP_xferAck"
1182          Ports           [1, 1]
1183          Position            [205, 128, 255, 142]
1184          SourceBlock         "xbsIndex_r4/Gateway Out"
1185          SourceType          "Xilinx Gateway Out Block"
1186          infoedit            "Gateway out block.  Converts Xilinx fix"
1187"ed point inputs into ouputs of type Simulink integer, double, or fixed point."
1188"<P><P>Hardware notes:  In hardware these blocks become top level output ports"
1189" or are discarded, depending on how they are configured."
[598]1190          hdl_port            on
[307]1191          timing_constraint       "None"
[598]1192          locs_specified          off
[307]1193          LOCs            "{}"
[598]1194          xl_use_area         off
[307]1195          xl_area             "[0, 0, 0, 0, 0, 0, 0]"
1196          has_advanced_control    "0"
1197          sggui_pos           "-1,-1,-1,-1"
1198          block_type          "gatewayout"
[598]1199          block_version       "VER_STRING_GOES_HERE"
[307]1200          sg_icon_stat        "50,14,1,1,white,yellow,0,f0cec300"
1201          sg_mask_display         "fprintf('','COMMENT: begin icon graphic"
1202"s ');\npatch([0 50 50 0 ],[0 0 14 14 ],[0.95 0.93 0.65]);\npatch([21 19 22 19"
1203" 21 25 26 27 31 28 25 23 26 23 25 28 31 27 26 25 21 ],[2 4 7 10 12 12 11 12 1"
1204"2 9 12 10 7 4 2 5 2 2 3 2 2 ],[0.98 0.96 0.92]);\nplot([0 0 50 50 0 ],[0 14 1"
1205"4 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\n\nfprintf('','COMMENT: "
1206"begin icon text ');\ncolor('black');port_label('input',1,' ');\ncolor('black'"
1207");port_label('output',1,'\\fontsize{11pt}\\bf Out ','texmode','on');\nfprintf"
1208"('','COMMENT: end icon text');\nfprintf('','COMMENT: Make no changes above th"
1209"is line -- machine generated code. ');\n"
1210        }
1211        Block {
1212          BlockType           Terminator
1213          Name            "Terminator"
1214          Position            [280, 190, 300, 210]
1215          ShowName            off
1216        }
1217        Block {
1218          BlockType           Terminator
1219          Name            "Terminator1"
1220          Position            [280, 250, 300, 270]
1221          ShowName            off
1222        }
1223        Block {
1224          BlockType           Terminator
1225          Name            "Terminator2"
1226          Position            [280, 310, 300, 330]
1227          ShowName            off
1228        }
1229        Line {
1230          SrcBlock            "Register"
1231          SrcPort             1
1232          DstBlock            "SGP_DBus"
1233          DstPort             1
1234        }
1235        Line {
1236          SrcBlock            "Register4"
1237          SrcPort             1
1238          DstBlock            "SGP_errAck"
1239          DstPort             1
1240        }
1241        Line {
1242          SrcBlock            "Register3"
1243          SrcPort             1
1244          DstBlock            "SGP_toutSup"
1245          DstPort             1
1246        }
1247        Line {
1248          SrcBlock            "Register2"
1249          SrcPort             1
1250          DstBlock            "SGP_retry"
1251          DstPort             1
1252        }
1253        Line {
1254          SrcBlock            "SGP_xferAck"
1255          SrcPort             1
1256          DstBlock            "Goto7"
1257          DstPort             1
1258        }
1259        Line {
1260          SrcBlock            "SGP_DBus"
1261          SrcPort             1
1262          DstBlock            "Goto6"
1263          DstPort             1
1264        }
1265        Line {
1266          SrcBlock            "SGP_DBus_In"
1267          SrcPort             1
1268          DstBlock            "Register"
1269          DstPort             1
1270        }
1271        Line {
1272          SrcBlock            "SGP_xferAck_In"
1273          SrcPort             1
1274          Points              [30, 0]
1275          Branch {
1276        DstBlock        "Register"
1277        DstPort         3
1278          }
1279          Branch {
1280        DstBlock        "Register1"
1281        DstPort         1
1282          }
1283        }
1284        Line {
1285          SrcBlock            "SGP_retry_In"
1286          SrcPort             1
1287          DstBlock            "Register2"
1288          DstPort             1
1289        }
1290        Line {
1291          SrcBlock            "SGP_toutSup_In"
1292          SrcPort             1
1293          DstBlock            "Register3"
1294          DstPort             1
1295        }
1296        Line {
1297          SrcBlock            "SGP_errAck_In"
1298          SrcPort             1
1299          DstBlock            "Register4"
1300          DstPort             1
1301        }
1302        Line {
1303          SrcBlock            "Register1"
1304          SrcPort             1
1305          Points              [5, 0]
1306          Branch {
1307        DstBlock        "SGP_xferAck"
1308        DstPort         1
1309          }
1310          Branch {
1311        Points          [0, -40; -70, 0; 0, -35]
1312        DstBlock        "Register"
1313        DstPort         2
1314          }
1315        }
1316        Line {
1317          SrcBlock            "SGP_retry"
1318          SrcPort             1
1319          DstBlock            "Terminator"
1320          DstPort             1
1321        }
1322        Line {
1323          SrcBlock            "SGP_toutSup"
1324          SrcPort             1
1325          DstBlock            "Terminator1"
1326          DstPort             1
1327        }
1328        Line {
1329          SrcBlock            "SGP_errAck"
1330          SrcPort             1
1331          DstBlock            "Terminator2"
1332          DstPort             1
1333        }
1334      }
1335    }
1336    Block {
1337      BlockType       SubSystem
1338      Name            "OPB2IP_IF"
1339      Ports           [0, 7]
1340      Position        [85, 302, 180, 458]
1341      MinAlgLoopOccurrences   off
1342      RTWSystemCode       "Auto"
[598]1343      FunctionWithSeparateData off
[307]1344      MaskHideContents    off
1345      MaskIconFrame       on
1346      MaskIconOpaque      on
1347      MaskIconRotate      "none"
1348      MaskIconUnits       "autoscale"
1349      Port {
1350        PortNumber          1
1351        Name            "opb addr"
1352        RTWStorageClass     "Auto"
1353        DataLoggingNameMode     "SignalName"
1354      }
1355      Port {
1356        PortNumber          2
1357        Name            "opb select"
1358        RTWStorageClass     "Auto"
1359        DataLoggingNameMode     "SignalName"
1360      }
1361      Port {
1362        PortNumber          4
1363        Name            "opb data"
1364        RTWStorageClass     "Auto"
1365        DataLoggingNameMode     "SignalName"
1366      }
1367      Port {
1368        PortNumber          6
1369        Name            "opb reset"
1370        RTWStorageClass     "Auto"
1371        DataLoggingNameMode     "SignalName"
1372      }
1373      Port {
1374        PortNumber          7
1375        Name            "opb read"
1376        RTWStorageClass     "Auto"
1377        DataLoggingNameMode     "SignalName"
1378      }
1379      System {
1380        Name            "OPB2IP_IF"
1381        Location            [2, 74, 1014, 744]
[598]1382        Open            off
[307]1383        ModelBrowserVisibility  off
1384        ModelBrowserWidth       200
1385        ScreenColor         "white"
1386        PaperOrientation        "landscape"
1387        PaperPositionMode       "auto"
1388        PaperType           "usletter"
1389        PaperUnits          "inches"
[598]1390        TiledPaperMargins       [0.500000, 0.500000, 0.500000, 0.500000]
1391        TiledPageScale      1
1392        ShowPageBoundaries      off
[307]1393        ZoomFactor          "100"
1394        Block {
1395          BlockType           From
1396          Name            "From2"
1397          Position            [15, 46, 85, 64]
1398          ShowName            off
1399          CloseFcn            "tagdialog Close"
1400          GotoTag             "OPB_ABus"
1401        }
1402        Block {
1403          BlockType           From
1404          Name            "From3"
1405          Position            [15, 106, 85, 124]
1406          ShowName            off
1407          CloseFcn            "tagdialog Close"
1408          GotoTag             "OPB_BE"
1409        }
1410        Block {
1411          BlockType           From
1412          Name            "From4"
1413          Position            [15, 166, 85, 184]
1414          ShowName            off
1415          CloseFcn            "tagdialog Close"
1416          GotoTag             "OPB_DBus"
1417        }
1418        Block {
1419          BlockType           From
1420          Name            "From5"
1421          Position            [15, 226, 85, 244]
1422          ShowName            off
1423          CloseFcn            "tagdialog Close"
1424          GotoTag             "OPB_RNW"
1425        }
1426        Block {
1427          BlockType           From
1428          Name            "From6"
1429          Position            [15, 286, 85, 304]
1430          ShowName            off
1431          CloseFcn            "tagdialog Close"
1432          GotoTag             "OPB_select"
1433        }
1434        Block {
1435          BlockType           From
1436          Name            "From7"
1437          Position            [15, 346, 85, 364]
1438          ShowName            off
1439          CloseFcn            "tagdialog Close"
1440          GotoTag             "OPB_seqAddr"
1441        }
1442        Block {
1443          BlockType           From
1444          Name            "From8"
1445          Position            [15, 401, 85, 419]
1446          ShowName            off
1447          CloseFcn            "tagdialog Close"
1448          GotoTag             "OPB_rst"
1449        }
1450        Block {
1451          BlockType           Reference
1452          Name            "OPB_ABus"
1453          Ports           [1, 1]
1454          Position            [110, 48, 160, 62]
1455          SourceBlock         "xbsIndex_r4/Gateway In"
1456          SourceType          "Xilinx Gateway In Block"
1457          infoedit            "Gateway in block.  Converts inputs of t"
1458"ype Simulink integer, double and fixed point to  Xilinx fixed point type.<P><"
1459"P>Hardware notes:  In hardware these blocks become top level input ports."
[572]1460          arith_type          "Unsigned"
[307]1461          n_bits              "32"
1462          bin_pt              "0"
[572]1463          quantization        "Round  (unbiased: +/- Inf)"
1464          overflow            "Saturate"
[307]1465          period              "1"
[572]1466          dbl_ovrd            off
[307]1467          timing_constraint       "None"
[572]1468          locs_specified          off
[307]1469          LOCs            "{}"
[572]1470          xl_use_area         off
[307]1471          xl_area             "[0, 0, 0, 0, 0, 0, 0]"
1472          has_advanced_control    "0"
[572]1473          sggui_pos           "20,20,356,432"
[307]1474          block_type          "gatewayin"
[572]1475          block_version       "VER_STRING_GOES_HERE"
[307]1476          sg_icon_stat        "50,14,1,1,white,yellow,0,4bb76ffd"
1477          sg_mask_display         "fprintf('','COMMENT: begin icon graphic"
1478"s ');\npatch([0 50 50 0 ],[0 0 14 14 ],[0.95 0.93 0.65]);\npatch([21 19 22 19"
1479" 21 25 26 27 31 28 25 23 26 23 25 28 31 27 26 25 21 ],[2 4 7 10 12 12 11 12 1"
1480"2 9 12 10 7 4 2 5 2 2 3 2 2 ],[0.98 0.96 0.92]);\nplot([0 0 50 50 0 ],[0 14 1"
1481"4 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\n\nfprintf('','COMMENT: "
1482"begin icon text ');\ncolor('black');port_label('input',1,'\\fontsize{11pt}\\b"
1483"f In ','texmode','on');\ncolor('black');port_label('output',1,' ');\nfprintf("
1484"'','COMMENT: end icon text');\nfprintf('','COMMENT: Make no changes above thi"
1485"s line -- machine generated code. ');\n"
1486        }
1487        Block {
1488          BlockType           Reference
1489          Name            "OPB_BE"
1490          Ports           [1, 1]
1491          Position            [110, 108, 160, 122]
1492          SourceBlock         "xbsIndex_r4/Gateway In"
1493          SourceType          "Xilinx Gateway In Block"
1494          infoedit            "Gateway in block.  Converts inputs of t"
1495"ype Simulink integer, double and fixed point to  Xilinx fixed point type.<P><"
1496"P>Hardware notes:  In hardware these blocks become top level input ports."
[572]1497          arith_type          "Unsigned"
[307]1498          n_bits              "4"
1499          bin_pt              "0"
[572]1500          quantization        "Round  (unbiased: +/- Inf)"
1501          overflow            "Saturate"
[307]1502          period              "1"
[572]1503          dbl_ovrd            off
[307]1504          timing_constraint       "None"
[572]1505          locs_specified          off
[307]1506          LOCs            "{}"
[572]1507          xl_use_area         off
[307]1508          xl_area             "[0, 0, 0, 0, 0, 0, 0]"
1509          has_advanced_control    "0"
[572]1510          sggui_pos           "20,20,356,432"
[307]1511          block_type          "gatewayin"
[572]1512          block_version       "VER_STRING_GOES_HERE"
[307]1513          sg_icon_stat        "50,14,1,1,white,yellow,0,4bb76ffd"
1514          sg_mask_display         "fprintf('','COMMENT: begin icon graphic"
1515"s ');\npatch([0 50 50 0 ],[0 0 14 14 ],[0.95 0.93 0.65]);\npatch([21 19 22 19"
1516" 21 25 26 27 31 28 25 23 26 23 25 28 31 27 26 25 21 ],[2 4 7 10 12 12 11 12 1"
1517"2 9 12 10 7 4 2 5 2 2 3 2 2 ],[0.98 0.96 0.92]);\nplot([0 0 50 50 0 ],[0 14 1"
1518"4 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\n\nfprintf('','COMMENT: "
1519"begin icon text ');\ncolor('black');port_label('input',1,'\\fontsize{11pt}\\b"
1520"f In ','texmode','on');\ncolor('black');port_label('output',1,' ');\nfprintf("
1521"'','COMMENT: end icon text');\nfprintf('','COMMENT: Make no changes above thi"
1522"s line -- machine generated code. ');\n"
1523        }
1524        Block {
1525          BlockType           Reference
1526          Name            "OPB_DBus"
1527          Ports           [1, 1]
1528          Position            [110, 168, 160, 182]
1529          SourceBlock         "xbsIndex_r4/Gateway In"
1530          SourceType          "Xilinx Gateway In Block"
1531          infoedit            "Gateway in block.  Converts inputs of t"
1532"ype Simulink integer, double and fixed point to  Xilinx fixed point type.<P><"
1533"P>Hardware notes:  In hardware these blocks become top level input ports."
[572]1534          arith_type          "Unsigned"
[307]1535          n_bits              "32"
1536          bin_pt              "0"
[572]1537          quantization        "Round  (unbiased: +/- Inf)"
1538          overflow            "Saturate"
[307]1539          period              "1"
[572]1540          dbl_ovrd            off
[307]1541          timing_constraint       "None"
[572]1542          locs_specified          off
[307]1543          LOCs            "{}"
[572]1544          xl_use_area         off
[307]1545          xl_area             "[0, 0, 0, 0, 0, 0, 0]"
1546          has_advanced_control    "0"
[572]1547          sggui_pos           "20,20,356,432"
[307]1548          block_type          "gatewayin"
[572]1549          block_version       "VER_STRING_GOES_HERE"
[307]1550          sg_icon_stat        "50,14,1,1,white,yellow,0,4bb76ffd"
1551          sg_mask_display         "fprintf('','COMMENT: begin icon graphic"
1552"s ');\npatch([0 50 50 0 ],[0 0 14 14 ],[0.95 0.93 0.65]);\npatch([21 19 22 19"
1553" 21 25 26 27 31 28 25 23 26 23 25 28 31 27 26 25 21 ],[2 4 7 10 12 12 11 12 1"
1554"2 9 12 10 7 4 2 5 2 2 3 2 2 ],[0.98 0.96 0.92]);\nplot([0 0 50 50 0 ],[0 14 1"
1555"4 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\n\nfprintf('','COMMENT: "
1556"begin icon text ');\ncolor('black');port_label('input',1,'\\fontsize{11pt}\\b"
1557"f In ','texmode','on');\ncolor('black');port_label('output',1,' ');\nfprintf("
1558"'','COMMENT: end icon text');\nfprintf('','COMMENT: Make no changes above thi"
1559"s line -- machine generated code. ');\n"
1560        }
1561        Block {
1562          BlockType           Reference
1563          Name            "OPB_RNW"
1564          Ports           [1, 1]
1565          Position            [110, 228, 160, 242]
1566          SourceBlock         "xbsIndex_r4/Gateway In"
1567          SourceType          "Xilinx Gateway In Block"
1568          infoedit            "Gateway in block.  Converts inputs of t"
1569"ype Simulink integer, double and fixed point to  Xilinx fixed point type.<P><"
1570"P>Hardware notes:  In hardware these blocks become top level input ports."
1571          arith_type          "Boolean"
1572          n_bits              "1"
1573          bin_pt              "0"
[572]1574          quantization        "Round  (unbiased: +/- Inf)"
1575          overflow            "Saturate"
[307]1576          period              "1"
[572]1577          dbl_ovrd            off
[307]1578          timing_constraint       "None"
[572]1579          locs_specified          off
[307]1580          LOCs            "{}"
[572]1581          xl_use_area         off
[307]1582          xl_area             "[0, 0, 0, 0, 0, 0, 0]"
1583          has_advanced_control    "0"
[572]1584          sggui_pos           "20,20,356,432"
[307]1585          block_type          "gatewayin"
[572]1586          block_version       "VER_STRING_GOES_HERE"
[307]1587          sg_icon_stat        "50,14,1,1,white,yellow,0,4bb76ffd"
1588          sg_mask_display         "fprintf('','COMMENT: begin icon graphic"
1589"s ');\npatch([0 50 50 0 ],[0 0 14 14 ],[0.95 0.93 0.65]);\npatch([21 19 22 19"
1590" 21 25 26 27 31 28 25 23 26 23 25 28 31 27 26 25 21 ],[2 4 7 10 12 12 11 12 1"
1591"2 9 12 10 7 4 2 5 2 2 3 2 2 ],[0.98 0.96 0.92]);\nplot([0 0 50 50 0 ],[0 14 1"
1592"4 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\n\nfprintf('','COMMENT: "
1593"begin icon text ');\ncolor('black');port_label('input',1,'\\fontsize{11pt}\\b"
1594"f In ','texmode','on');\ncolor('black');port_label('output',1,' ');\nfprintf("
1595"'','COMMENT: end icon text');\nfprintf('','COMMENT: Make no changes above thi"
1596"s line -- machine generated code. ');\n"
1597        }
1598        Block {
1599          BlockType           Reference
1600          Name            "OPB_Select"
1601          Ports           [1, 1]
1602          Position            [110, 288, 160, 302]
1603          SourceBlock         "xbsIndex_r4/Gateway In"
1604          SourceType          "Xilinx Gateway In Block"
1605          infoedit            "Gateway in block.  Converts inputs of t"
1606"ype Simulink integer, double and fixed point to  Xilinx fixed point type.<P><"
1607"P>Hardware notes:  In hardware these blocks become top level input ports."
1608          arith_type          "Boolean"
1609          n_bits              "1"
1610          bin_pt              "0"
[572]1611          quantization        "Round  (unbiased: +/- Inf)"
1612          overflow            "Saturate"
[307]1613          period              "1"
[572]1614          dbl_ovrd            off
[307]1615          timing_constraint       "None"
[572]1616          locs_specified          off
[307]1617          LOCs            "{}"
[572]1618          xl_use_area         off
[307]1619          xl_area             "[0, 0, 0, 0, 0, 0, 0]"
1620          has_advanced_control    "0"
[572]1621          sggui_pos           "20,20,356,432"
[307]1622          block_type          "gatewayin"
[572]1623          block_version       "VER_STRING_GOES_HERE"
[307]1624          sg_icon_stat        "50,14,1,1,white,yellow,0,4bb76ffd"
1625          sg_mask_display         "fprintf('','COMMENT: begin icon graphic"
1626"s ');\npatch([0 50 50 0 ],[0 0 14 14 ],[0.95 0.93 0.65]);\npatch([21 19 22 19"
1627" 21 25 26 27 31 28 25 23 26 23 25 28 31 27 26 25 21 ],[2 4 7 10 12 12 11 12 1"
1628"2 9 12 10 7 4 2 5 2 2 3 2 2 ],[0.98 0.96 0.92]);\nplot([0 0 50 50 0 ],[0 14 1"
1629"4 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\n\nfprintf('','COMMENT: "
1630"begin icon text ');\ncolor('black');port_label('input',1,'\\fontsize{11pt}\\b"
1631"f In ','texmode','on');\ncolor('black');port_label('output',1,' ');\nfprintf("
1632"'','COMMENT: end icon text');\nfprintf('','COMMENT: Make no changes above thi"
1633"s line -- machine generated code. ');\n"
1634        }
1635        Block {
1636          BlockType           Reference
1637          Name            "OPB_rst"
1638          Ports           [1, 1]
1639          Position            [110, 403, 160, 417]
1640          SourceBlock         "xbsIndex_r4/Gateway In"
1641          SourceType          "Xilinx Gateway In Block"
1642          infoedit            "Gateway in block.  Converts inputs of t"
1643"ype Simulink integer, double and fixed point to  Xilinx fixed point type.<P><"
1644"P>Hardware notes:  In hardware these blocks become top level input ports."
1645          arith_type          "Boolean"
1646          n_bits              "1"
1647          bin_pt              "0"
[572]1648          quantization        "Round  (unbiased: +/- Inf)"
1649          overflow            "Saturate"
[307]1650          period              "1"
[572]1651          dbl_ovrd            off
[307]1652          timing_constraint       "None"
[572]1653          locs_specified          off
[307]1654          LOCs            "{}"
[572]1655          xl_use_area         off
[307]1656          xl_area             "[0, 0, 0, 0, 0, 0, 0]"
1657          has_advanced_control    "0"
1658          sggui_pos           "-1,-1,-1,-1"
1659          block_type          "gatewayin"
[572]1660          block_version       "VER_STRING_GOES_HERE"
[307]1661          sg_icon_stat        "50,14,1,1,white,yellow,0,4bb76ffd"
1662          sg_mask_display         "fprintf('','COMMENT: begin icon graphic"
1663"s ');\npatch([0 50 50 0 ],[0 0 14 14 ],[0.95 0.93 0.65]);\npatch([21 19 22 19"
1664" 21 25 26 27 31 28 25 23 26 23 25 28 31 27 26 25 21 ],[2 4 7 10 12 12 11 12 1"
1665"2 9 12 10 7 4 2 5 2 2 3 2 2 ],[0.98 0.96 0.92]);\nplot([0 0 50 50 0 ],[0 14 1"
1666"4 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\n\nfprintf('','COMMENT: "
1667"begin icon text ');\ncolor('black');port_label('input',1,'\\fontsize{11pt}\\b"
1668"f In ','texmode','on');\ncolor('black');port_label('output',1,' ');\nfprintf("
1669"'','COMMENT: end icon text');\nfprintf('','COMMENT: Make no changes above thi"
1670"s line -- machine generated code. ');\n"
1671        }
1672        Block {
1673          BlockType           Reference
1674          Name            "OPB_seqAddr"
1675          Ports           [1, 1]
1676          Position            [110, 348, 160, 362]
1677          SourceBlock         "xbsIndex_r4/Gateway In"
1678          SourceType          "Xilinx Gateway In Block"
1679          infoedit            "Gateway in block.  Converts inputs of t"
1680"ype Simulink integer, double and fixed point to  Xilinx fixed point type.<P><"
1681"P>Hardware notes:  In hardware these blocks become top level input ports."
1682          arith_type          "Boolean"
1683          n_bits              "1"
1684          bin_pt              "0"
[572]1685          quantization        "Round  (unbiased: +/- Inf)"
1686          overflow            "Saturate"
[307]1687          period              "1"
[572]1688          dbl_ovrd            off
[307]1689          timing_constraint       "None"
[572]1690          locs_specified          off
[307]1691          LOCs            "{}"
[572]1692          xl_use_area         off
[307]1693          xl_area             "[0, 0, 0, 0, 0, 0, 0]"
1694          has_advanced_control    "0"
[572]1695          sggui_pos           "20,20,356,432"
[307]1696          block_type          "gatewayin"
[572]1697          block_version       "VER_STRING_GOES_HERE"
[307]1698          sg_icon_stat        "50,14,1,1,white,yellow,0,4bb76ffd"
1699          sg_mask_display         "fprintf('','COMMENT: begin icon graphic"
1700"s ');\npatch([0 50 50 0 ],[0 0 14 14 ],[0.95 0.93 0.65]);\npatch([21 19 22 19"
1701" 21 25 26 27 31 28 25 23 26 23 25 28 31 27 26 25 21 ],[2 4 7 10 12 12 11 12 1"
1702"2 9 12 10 7 4 2 5 2 2 3 2 2 ],[0.98 0.96 0.92]);\nplot([0 0 50 50 0 ],[0 14 1"
1703"4 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\n\nfprintf('','COMMENT: "
1704"begin icon text ');\ncolor('black');port_label('input',1,'\\fontsize{11pt}\\b"
1705"f In ','texmode','on');\ncolor('black');port_label('output',1,' ');\nfprintf("
1706"'','COMMENT: end icon text');\nfprintf('','COMMENT: Make no changes above thi"
1707"s line -- machine generated code. ');\n"
1708        }
1709        Block {
1710          BlockType           Reference
1711          Name            "Register"
1712          Ports           [2, 1]
[572]1713          Position            [265, 40, 300, 95]
[307]1714          ShowName            off
1715          SourceBlock         "xbsIndex_r4/Register"
1716          SourceType          "Xilinx Register Block"
1717          init            "0"
[572]1718          rst             on
1719          en              off
1720          dbl_ovrd            off
1721          xl_use_area         off
[307]1722          xl_area             "[0, 0, 0, 0, 0, 0, 0]"
1723          has_advanced_control    "0"
1724          sggui_pos           "-1,-1,-1,-1"
1725          block_type          "register"
[572]1726          block_version       "VER_STRING_GOES_HERE"
[307]1727          sg_icon_stat        "35,55,2,1,white,blue,0,b6caf0d3"
1728          sg_mask_display         "fprintf('','COMMENT: begin icon graphic"
1729"s ');\npatch([0 35 35 0 ],[0 0 55 55 ],[0.77 0.82 0.91]);\npatch([8 2 10 2 8 "
1730"17 20 23 33 25 18 13 21 13 18 25 33 23 20 17 8 ],[14 20 28 36 42 42 39 42 42 "
1731"34 41 36 28 20 15 22 14 14 17 14 14 ],[0.98 0.96 0.92]);\nplot([0 0 35 35 0 ]"
1732",[0 55 55 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\n\nfprintf('','C"
1733"OMMENT: begin icon text ');\ncolor('black');port_label('input',1,'d');\ncolor"
1734"('black');port_label('input',2,'rst');\ncolor('black');port_label('output',1,"
1735"'q');\ncolor('black');disp('\\bf{z^{-1}}','texmode','on');\nfprintf('','COMME"
1736"NT: end icon text');\n"
1737        }
1738        Block {
1739          BlockType           Reference
1740          Name            "Register1"
1741          Ports           [2, 1]
[572]1742          Position            [265, 100, 300, 155]
[307]1743          ShowName            off
1744          SourceBlock         "xbsIndex_r4/Register"
1745          SourceType          "Xilinx Register Block"
1746          init            "0"
[572]1747          rst             on
1748          en              off
1749          dbl_ovrd            off
1750          xl_use_area         off
[307]1751          xl_area             "[0, 0, 0, 0, 0, 0, 0]"
1752          has_advanced_control    "0"
1753          sggui_pos           "-1,-1,-1,-1"
1754          block_type          "register"
[572]1755          block_version       "VER_STRING_GOES_HERE"
[307]1756          sg_icon_stat        "35,55,2,1,white,blue,0,b6caf0d3"
1757          sg_mask_display         "fprintf('','COMMENT: begin icon graphic"
1758"s ');\npatch([0 35 35 0 ],[0 0 55 55 ],[0.77 0.82 0.91]);\npatch([8 2 10 2 8 "
1759"17 20 23 33 25 18 13 21 13 18 25 33 23 20 17 8 ],[14 20 28 36 42 42 39 42 42 "
1760"34 41 36 28 20 15 22 14 14 17 14 14 ],[0.98 0.96 0.92]);\nplot([0 0 35 35 0 ]"
1761",[0 55 55 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\n\nfprintf('','C"
1762"OMMENT: begin icon text ');\ncolor('black');port_label('input',1,'d');\ncolor"
1763"('black');port_label('input',2,'rst');\ncolor('black');port_label('output',1,"
1764"'q');\ncolor('black');disp('\\bf{z^{-1}}','texmode','on');\nfprintf('','COMME"
1765"NT: end icon text');\n"
1766        }
1767        Block {
1768          BlockType           Reference
1769          Name            "Register2"
1770          Ports           [2, 1]
[572]1771          Position            [265, 160, 300, 215]
[307]1772          ShowName            off
1773          SourceBlock         "xbsIndex_r4/Register"
1774          SourceType          "Xilinx Register Block"
1775          init            "0"
[572]1776          rst             on
1777          en              off
1778          dbl_ovrd            off
1779          xl_use_area         off
[307]1780          xl_area             "[0, 0, 0, 0, 0, 0, 0]"
1781          has_advanced_control    "0"
1782          sggui_pos           "-1,-1,-1,-1"
1783          block_type          "register"
[572]1784          block_version       "VER_STRING_GOES_HERE"
[307]1785          sg_icon_stat        "35,55,2,1,white,blue,0,b6caf0d3"
1786          sg_mask_display         "fprintf('','COMMENT: begin icon graphic"
1787"s ');\npatch([0 35 35 0 ],[0 0 55 55 ],[0.77 0.82 0.91]);\npatch([8 2 10 2 8 "
1788"17 20 23 33 25 18 13 21 13 18 25 33 23 20 17 8 ],[14 20 28 36 42 42 39 42 42 "
1789"34 41 36 28 20 15 22 14 14 17 14 14 ],[0.98 0.96 0.92]);\nplot([0 0 35 35 0 ]"
1790",[0 55 55 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\n\nfprintf('','C"
1791"OMMENT: begin icon text ');\ncolor('black');port_label('input',1,'d');\ncolor"
1792"('black');port_label('input',2,'rst');\ncolor('black');port_label('output',1,"
1793"'q');\ncolor('black');disp('\\bf{z^{-1}}','texmode','on');\nfprintf('','COMME"
1794"NT: end icon text');\n"
1795        }
1796        Block {
1797          BlockType           Reference
1798          Name            "Register3"
1799          Ports           [2, 1]
[572]1800          Position            [265, 220, 300, 275]
[307]1801          ShowName            off
1802          SourceBlock         "xbsIndex_r4/Register"
1803          SourceType          "Xilinx Register Block"
1804          init            "0"
[572]1805          rst             on
1806          en              off
1807          dbl_ovrd            off
1808          xl_use_area         off
[307]1809          xl_area             "[0, 0, 0, 0, 0, 0, 0]"
1810          has_advanced_control    "0"
1811          sggui_pos           "-1,-1,-1,-1"
1812          block_type          "register"
[572]1813          block_version       "VER_STRING_GOES_HERE"
[307]1814          sg_icon_stat        "35,55,2,1,white,blue,0,b6caf0d3"
1815          sg_mask_display         "fprintf('','COMMENT: begin icon graphic"
1816"s ');\npatch([0 35 35 0 ],[0 0 55 55 ],[0.77 0.82 0.91]);\npatch([8 2 10 2 8 "
1817"17 20 23 33 25 18 13 21 13 18 25 33 23 20 17 8 ],[14 20 28 36 42 42 39 42 42 "
1818"34 41 36 28 20 15 22 14 14 17 14 14 ],[0.98 0.96 0.92]);\nplot([0 0 35 35 0 ]"
1819",[0 55 55 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\n\nfprintf('','C"
1820"OMMENT: begin icon text ');\ncolor('black');port_label('input',1,'d');\ncolor"
1821"('black');port_label('input',2,'rst');\ncolor('black');port_label('output',1,"
1822"'q');\ncolor('black');disp('\\bf{z^{-1}}','texmode','on');\nfprintf('','COMME"
1823"NT: end icon text');\n"
1824        }
1825        Block {
1826          BlockType           Reference
1827          Name            "Register4"
1828          Ports           [2, 1]
[572]1829          Position            [265, 280, 300, 335]
[307]1830          ShowName            off
1831          SourceBlock         "xbsIndex_r4/Register"
1832          SourceType          "Xilinx Register Block"
1833          init            "0"
[572]1834          rst             on
1835          en              off
1836          dbl_ovrd            off
1837          xl_use_area         off
[307]1838          xl_area             "[0, 0, 0, 0, 0, 0, 0]"
1839          has_advanced_control    "0"
1840          sggui_pos           "-1,-1,-1,-1"
1841          block_type          "register"
[572]1842          block_version       "VER_STRING_GOES_HERE"
[307]1843          sg_icon_stat        "35,55,2,1,white,blue,0,b6caf0d3"
1844          sg_mask_display         "fprintf('','COMMENT: begin icon graphic"
1845"s ');\npatch([0 35 35 0 ],[0 0 55 55 ],[0.77 0.82 0.91]);\npatch([8 2 10 2 8 "
1846"17 20 23 33 25 18 13 21 13 18 25 33 23 20 17 8 ],[14 20 28 36 42 42 39 42 42 "
1847"34 41 36 28 20 15 22 14 14 17 14 14 ],[0.98 0.96 0.92]);\nplot([0 0 35 35 0 ]"
1848",[0 55 55 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\n\nfprintf('','C"
1849"OMMENT: begin icon text ');\ncolor('black');port_label('input',1,'d');\ncolor"
1850"('black');port_label('input',2,'rst');\ncolor('black');port_label('output',1,"
1851"'q');\ncolor('black');disp('\\bf{z^{-1}}','texmode','on');\nfprintf('','COMME"
1852"NT: end icon text');\n"
1853        }
1854        Block {
1855          BlockType           Reference
1856          Name            "Register5"
1857          Ports           [2, 1]
[572]1858          Position            [265, 340, 300, 395]
[307]1859          ShowName            off
1860          SourceBlock         "xbsIndex_r4/Register"
1861          SourceType          "Xilinx Register Block"
1862          init            "0"
[572]1863          rst             on
1864          en              off
1865          dbl_ovrd            off
1866          xl_use_area         off
[307]1867          xl_area             "[0, 0, 0, 0, 0, 0, 0]"
1868          has_advanced_control    "0"
1869          sggui_pos           "-1,-1,-1,-1"
1870          block_type          "register"
[572]1871          block_version       "VER_STRING_GOES_HERE"
[307]1872          sg_icon_stat        "35,55,2,1,white,blue,0,b6caf0d3"
1873          sg_mask_display         "fprintf('','COMMENT: begin icon graphic"
1874"s ');\npatch([0 35 35 0 ],[0 0 55 55 ],[0.77 0.82 0.91]);\npatch([8 2 10 2 8 "
1875"17 20 23 33 25 18 13 21 13 18 25 33 23 20 17 8 ],[14 20 28 36 42 42 39 42 42 "
1876"34 41 36 28 20 15 22 14 14 17 14 14 ],[0.98 0.96 0.92]);\nplot([0 0 35 35 0 ]"
1877",[0 55 55 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\n\nfprintf('','C"
1878"OMMENT: begin icon text ');\ncolor('black');port_label('input',1,'d');\ncolor"
1879"('black');port_label('input',2,'rst');\ncolor('black');port_label('output',1,"
1880"'q');\ncolor('black');disp('\\bf{z^{-1}}','texmode','on');\nfprintf('','COMME"
1881"NT: end icon text');\n"
1882        }
1883        Block {
1884          BlockType           SubSystem
1885          Name            "signal inputs"
1886          Ports           []
1887          Position            [540, 30, 580, 90]
1888          MinAlgLoopOccurrences   off
1889          RTWSystemCode       "Auto"
[598]1890          FunctionWithSeparateData off
[307]1891          MaskHideContents        off
1892          System {
1893        Name            "signal inputs"
1894        Location        [212, 506, 421, 840]
1895        Open            off
1896        ModelBrowserVisibility  off
1897        ModelBrowserWidth   200
1898        ScreenColor     "white"
1899        PaperOrientation    "landscape"
1900        PaperPositionMode   "auto"
1901        PaperType       "usletter"
1902        PaperUnits      "inches"
[598]1903        TiledPaperMargins   "[0.500000, 0.500000, 0.500000, 0.5000"
1904"00]"
1905        TiledPageScale      1
1906        ShowPageBoundaries  off
[307]1907        ZoomFactor      "100"
1908        Block {
1909          BlockType       Constant
1910          Name            "Constant"
1911          Position        [25, 25, 55, 55]
[598]1912          Value           "1"
1913          VectorParams1D      on
1914          SamplingMode        "Sample based"
1915          OutDataTypeMode     "Inherit from 'Constant value'"
1916          OutDataType         "sfix(16)"
1917          ConRadixGroup       "Use specified scaling"
1918          OutScaling          "2^0"
1919          SampleTime          "inf"
1920          FramePeriod         "inf"
[307]1921        }
1922        Block {
1923          BlockType       Constant
1924          Name            "Constant1"
1925          Position        [25, 115, 55, 145]
[598]1926          Value           "1"
1927          VectorParams1D      on
1928          SamplingMode        "Sample based"
1929          OutDataTypeMode     "Inherit from 'Constant value'"
1930          OutDataType         "sfix(16)"
1931          ConRadixGroup       "Use specified scaling"
1932          OutScaling          "2^0"
1933          SampleTime          "inf"
1934          FramePeriod         "inf"
[307]1935        }
1936        Block {
1937          BlockType       Constant
1938          Name            "Constant2"
1939          Position        [25, 160, 55, 190]
[598]1940          Value           "1"
1941          VectorParams1D      on
1942          SamplingMode        "Sample based"
1943          OutDataTypeMode     "Inherit from 'Constant value'"
1944          OutDataType         "sfix(16)"
1945          ConRadixGroup       "Use specified scaling"
1946          OutScaling          "2^0"
1947          SampleTime          "inf"
1948          FramePeriod         "inf"
[307]1949        }
1950        Block {
1951          BlockType       Constant
1952          Name            "Constant3"
1953          Position        [25, 205, 55, 235]
[598]1954          Value           "1"
1955          VectorParams1D      on
1956          SamplingMode        "Sample based"
1957          OutDataTypeMode     "Inherit from 'Constant value'"
1958          OutDataType         "sfix(16)"
1959          ConRadixGroup       "Use specified scaling"
1960          OutScaling          "2^0"
1961          SampleTime          "inf"
1962          FramePeriod         "inf"
[307]1963        }
1964        Block {
1965          BlockType       Constant
1966          Name            "Constant4"
1967          Position        [25, 245, 55, 275]
[598]1968          Value           "1"
1969          VectorParams1D      on
1970          SamplingMode        "Sample based"
1971          OutDataTypeMode     "Inherit from 'Constant value'"
1972          OutDataType         "sfix(16)"
1973          ConRadixGroup       "Use specified scaling"
1974          OutScaling          "2^0"
1975          SampleTime          "inf"
1976          FramePeriod         "inf"
[307]1977        }
1978        Block {
1979          BlockType       Constant
1980          Name            "Constant5"
1981          Position        [25, 290, 55, 320]
[598]1982          Value           "1"
1983          VectorParams1D      on
1984          SamplingMode        "Sample based"
1985          OutDataTypeMode     "Inherit from 'Constant value'"
1986          OutDataType         "sfix(16)"
1987          ConRadixGroup       "Use specified scaling"
1988          OutScaling          "2^0"
1989          SampleTime          "inf"
1990          FramePeriod         "inf"
[307]1991        }
1992        Block {
1993          BlockType       Constant
1994          Name            "Constant6"
1995          Position        [25, 70, 55, 100]
[598]1996          Value           "1"
1997          VectorParams1D      on
1998          SamplingMode        "Sample based"
1999          OutDataTypeMode     "Inherit from 'Constant value'"
2000          OutDataType         "sfix(16)"
2001          ConRadixGroup       "Use specified scaling"
2002          OutScaling          "2^0"
2003          SampleTime          "inf"
2004          FramePeriod         "inf"
[307]2005        }
2006        Block {
2007          BlockType       Goto
2008          Name            "Goto"
2009          Position        [115, 30, 200, 50]
2010          GotoTag         "OPB_ABus"
2011          TagVisibility       "global"
2012        }
2013        Block {
2014          BlockType       Goto
2015          Name            "Goto1"
2016          Position        [115, 120, 200, 140]
2017          GotoTag         "OPB_DBus"
2018          TagVisibility       "global"
2019        }
2020        Block {
2021          BlockType       Goto
2022          Name            "Goto2"
2023          Position        [115, 165, 200, 185]
2024          GotoTag         "OPB_RNW"
2025          TagVisibility       "global"
2026        }
2027        Block {
2028          BlockType       Goto
2029          Name            "Goto3"
2030          Position        [115, 210, 200, 230]
2031          GotoTag         "OPB_select"
2032          TagVisibility       "global"
2033        }
2034        Block {
2035          BlockType       Goto
2036          Name            "Goto4"
2037          Position        [115, 250, 200, 270]
2038          GotoTag         "OPB_seqAddr"
2039          TagVisibility       "global"
2040        }
2041        Block {
2042          BlockType       Goto
2043          Name            "Goto5"
2044          Position        [115, 295, 200, 315]
2045          GotoTag         "OPB_rst"
2046          TagVisibility       "global"
2047        }
2048        Block {
2049          BlockType       Goto
2050          Name            "Goto6"
2051          Position        [115, 75, 200, 95]
2052          GotoTag         "OPB_BE"
2053          TagVisibility       "global"
2054        }
2055        Line {
2056          SrcBlock        "Constant"
2057          SrcPort         1
2058          DstBlock        "Goto"
2059          DstPort         1
2060        }
2061        Line {
2062          SrcBlock        "Constant1"
2063          SrcPort         1
2064          DstBlock        "Goto1"
2065          DstPort         1
2066        }
2067        Line {
2068          SrcBlock        "Constant2"
2069          SrcPort         1
2070          DstBlock        "Goto2"
2071          DstPort         1
2072        }
2073        Line {
2074          SrcBlock        "Constant3"
2075          SrcPort         1
2076          DstBlock        "Goto3"
2077          DstPort         1
2078        }
2079        Line {
2080          SrcBlock        "Constant4"
2081          SrcPort         1
2082          DstBlock        "Goto4"
2083          DstPort         1
2084        }
2085        Line {
2086          SrcBlock        "Constant5"
2087          SrcPort         1
2088          DstBlock        "Goto5"
2089          DstPort         1
2090        }
2091        Line {
2092          SrcBlock        "Constant6"
2093          SrcPort         1
2094          DstBlock        "Goto6"
2095          DstPort         1
2096        }
2097          }
2098        }
2099        Block {
2100          BlockType           Outport
2101          Name            "OPB_ABus_Reg"
[572]2102          Position            [340, 63, 370, 77]
[307]2103          IconDisplay         "Port number"
2104          BusOutputAsStruct       off
2105        }
2106        Block {
2107          BlockType           Outport
2108          Name            "OPB_Select_Reg"
[572]2109          Position            [340, 303, 370, 317]
[307]2110          Port            "2"
2111          IconDisplay         "Port number"
2112          BusOutputAsStruct       off
2113        }
2114        Block {
2115          BlockType           Outport
2116          Name            "OPB_BE_Reg"
[572]2117          Position            [340, 123, 370, 137]
[307]2118          Port            "3"
2119          IconDisplay         "Port number"
2120          BusOutputAsStruct       off
2121        }
2122        Block {
2123          BlockType           Outport
2124          Name            "OPB_DBus_Reg"
[572]2125          Position            [340, 183, 370, 197]
[307]2126          Port            "4"
2127          IconDisplay         "Port number"
2128          BusOutputAsStruct       off
2129        }
2130        Block {
2131          BlockType           Outport
2132          Name            "OPB_seqAddr_Reg"
[572]2133          Position            [340, 363, 370, 377]
[307]2134          Port            "5"
2135          IconDisplay         "Port number"
2136          BusOutputAsStruct       off
2137        }
2138        Block {
2139          BlockType           Outport
2140          Name            "OPB_Reset"
[572]2141          Position            [340, 403, 370, 417]
[307]2142          Port            "6"
2143          IconDisplay         "Port number"
2144          BusOutputAsStruct       off
2145        }
2146        Block {
2147          BlockType           Outport
2148          Name            "OPB_RNW_Reg"
[572]2149          Position            [340, 243, 370, 257]
[307]2150          Port            "7"
2151          IconDisplay         "Port number"
2152          BusOutputAsStruct       off
2153        }
2154        Line {
2155          SrcBlock            "OPB_DBus"
2156          SrcPort             1
2157          DstBlock            "Register2"
2158          DstPort             1
2159        }
2160        Line {
2161          SrcBlock            "OPB_RNW"
2162          SrcPort             1
2163          DstBlock            "Register3"
2164          DstPort             1
2165        }
2166        Line {
2167          SrcBlock            "OPB_seqAddr"
2168          SrcPort             1
2169          DstBlock            "Register5"
2170          DstPort             1
2171        }
2172        Line {
2173          SrcBlock            "Register1"
2174          SrcPort             1
[572]2175          Points              [0, 0]
[307]2176          DstBlock            "OPB_BE_Reg"
2177          DstPort             1
2178        }
2179        Line {
2180          SrcBlock            "Register2"
2181          SrcPort             1
[572]2182          Points              [0, 0]
[307]2183          DstBlock            "OPB_DBus_Reg"
2184          DstPort             1
2185        }
2186        Line {
2187          SrcBlock            "Register3"
2188          SrcPort             1
[572]2189          Points              [0, 0]
[307]2190          DstBlock            "OPB_RNW_Reg"
2191          DstPort             1
2192        }
2193        Line {
2194          SrcBlock            "Register5"
2195          SrcPort             1
[572]2196          Points              [0, 0]
[307]2197          DstBlock            "OPB_seqAddr_Reg"
2198          DstPort             1
2199        }
2200        Line {
2201          SrcBlock            "OPB_rst"
2202          SrcPort             1
2203          Points              [10, 0]
2204          Branch {
2205        DstBlock        "OPB_Reset"
2206        DstPort         1
2207          }
2208          Branch {
2209        Points          [0, -30]
2210        Branch {
2211          DstBlock        "Register5"
2212          DstPort         2
2213        }
2214        Branch {
2215          Points          [0, -60]
2216          Branch {
2217            Points          [0, -60]
2218            Branch {
2219            DstBlock            "Register3"
2220            DstPort         2
2221            }
2222            Branch {
2223            Points          [0, -60]
2224            Branch {
2225            DstBlock            "Register2"
2226            DstPort         2
2227            }
2228            Branch {
2229            Points          [0, -60]
2230            Branch {
2231            Points          [0, -60]
2232            DstBlock            "Register"
2233            DstPort         2
2234            }
2235            Branch {
2236            DstBlock            "Register1"
2237            DstPort         2
2238            }
2239            }
2240            }
2241          }
2242          Branch {
2243            DstBlock            "Register4"
2244            DstPort         2
2245          }
2246        }
2247          }
2248        }
2249        Line {
2250          SrcBlock            "Register"
2251          SrcPort             1
[572]2252          Points              [0, 0]
[307]2253          DstBlock            "OPB_ABus_Reg"
2254          DstPort             1
2255        }
2256        Line {
2257          SrcBlock            "From2"
2258          SrcPort             1
2259          DstBlock            "OPB_ABus"
2260          DstPort             1
2261        }
2262        Line {
2263          SrcBlock            "From3"
2264          SrcPort             1
2265          DstBlock            "OPB_BE"
2266          DstPort             1
2267        }
2268        Line {
2269          SrcBlock            "From4"
2270          SrcPort             1
2271          DstBlock            "OPB_DBus"
2272          DstPort             1
2273        }
2274        Line {
2275          SrcBlock            "From5"
2276          SrcPort             1
2277          DstBlock            "OPB_RNW"
2278          DstPort             1
2279        }
2280        Line {
2281          SrcBlock            "From8"
2282          SrcPort             1
2283          DstBlock            "OPB_rst"
2284          DstPort             1
2285        }
2286        Line {
2287          SrcBlock            "From7"
2288          SrcPort             1
2289          DstBlock            "OPB_seqAddr"
2290          DstPort             1
2291        }
2292        Line {
2293          SrcBlock            "From6"
2294          SrcPort             1
2295          DstBlock            "OPB_Select"
2296          DstPort             1
2297        }
2298        Line {
2299          SrcBlock            "OPB_ABus"
2300          SrcPort             1
2301          DstBlock            "Register"
2302          DstPort             1
2303        }
2304        Line {
2305          SrcBlock            "OPB_BE"
2306          SrcPort             1
2307          DstBlock            "Register1"
2308          DstPort             1
2309        }
2310        Line {
2311          SrcBlock            "OPB_Select"
2312          SrcPort             1
2313          DstBlock            "Register4"
2314          DstPort             1
2315        }
2316        Line {
2317          SrcBlock            "Register4"
2318          SrcPort             1
[572]2319          Points              [0, 0]
[307]2320          DstBlock            "OPB_Select_Reg"
2321          DstPort             1
2322        }
2323      }
2324    }
2325    Block {
2326      BlockType       Terminator
2327      Name            "Terminator"
2328      Position        [205, 351, 220, 369]
2329      ShowName        off
2330    }
2331    Block {
2332      BlockType       Terminator
2333      Name            "Terminator2"
2334      Position        [205, 391, 220, 409]
2335      ShowName        off
2336    }
2337    Block {
2338      BlockType       SubSystem
2339      Name            "ack_gen"
2340      Ports           [2, 1]
2341      Position        [425, 335, 475, 375]
2342      MinAlgLoopOccurrences   off
2343      RTWSystemCode       "Auto"
[598]2344      FunctionWithSeparateData off
[307]2345      MaskHideContents    off
2346      System {
2347        Name            "ack_gen"
2348        Location            [361, 442, 713, 649]
2349        Open            off
2350        ModelBrowserVisibility  off
2351        ModelBrowserWidth       200
2352        ScreenColor         "white"
2353        PaperOrientation        "landscape"
2354        PaperPositionMode       "auto"
2355        PaperType           "usletter"
2356        PaperUnits          "inches"
[598]2357        TiledPaperMargins       [0.500000, 0.500000, 0.500000, 0.500000]
2358        TiledPageScale      1
2359        ShowPageBoundaries      off
[307]2360        ZoomFactor          "100"
2361        Block {
2362          BlockType           Inport
2363          Name            "ps"
2364          Position            [15, 53, 45, 67]
2365          IconDisplay         "Port number"
2366        }
2367        Block {
2368          BlockType           Inport
2369          Name            "rst"
2370          Position            [15, 133, 45, 147]
2371          Port            "2"
2372          IconDisplay         "Port number"
2373        }
2374        Block {
2375          BlockType           Reference
2376          Name            "Inverter1"
2377          Ports           [1, 1]
2378          Position            [135, 132, 165, 148]
2379          ShowName            off
2380          SourceBlock         "xbsIndex_r4/Inverter"
2381          SourceType          "Xilinx Inverter Block"
2382          infoedit            "Bitwise logical negation (one's complem"
2383"ent) operator."
[598]2384          en              off
[307]2385          latency             "0"
[598]2386          dbl_ovrd            off
2387          xl_use_area         off
[307]2388          xl_area             "[0, 0, 0, 0, 0, 0, 0]"
2389          has_advanced_control    "0"
2390          sggui_pos           "-1,-1,-1,-1"
2391          block_type          "inv"
[598]2392          block_version       "VER_STRING_GOES_HERE"
[307]2393          sg_icon_stat        "30,16,1,1,white,blue,0,1ab4a85f"
2394          sg_mask_display         "fprintf('','COMMENT: begin icon graphic"
2395"s ');\npatch([0 30 30 0 ],[0 0 16 16 ],[0.77 0.82 0.91]);\npatch([11 8 12 8 1"
2396"1 15 16 17 22 18 15 13 17 13 15 18 22 17 16 15 11 ],[2 5 9 13 16 16 15 16 16 "
2397"12 15 13 9 5 3 6 2 2 3 2 2 ],[0.98 0.96 0.92]);\nplot([0 0 30 30 0 ],[0 16 16"
2398" 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\n\nfprintf('','COMMENT: b"
2399"egin icon text ');\ncolor('black');disp('not');\nfprintf('','COMMENT: end ico"
2400"n text');\n"
2401        }
2402        Block {
2403          BlockType           Reference
2404          Name            "Inverter2"
2405          Ports           [1, 1]
2406          Position            [135, 92, 165, 108]
2407          ShowName            off
2408          SourceBlock         "xbsIndex_r4/Inverter"
2409          SourceType          "Xilinx Inverter Block"
2410          infoedit            "Bitwise logical negation (one's complem"
2411"ent) operator."
[598]2412          en              off
[307]2413          latency             "0"
[598]2414          dbl_ovrd            off
2415          xl_use_area         off
[307]2416          xl_area             "[0, 0, 0, 0, 0, 0, 0]"
2417          has_advanced_control    "0"
2418          sggui_pos           "-1,-1,-1,-1"
2419          block_type          "inv"
[598]2420          block_version       "VER_STRING_GOES_HERE"
[307]2421          sg_icon_stat        "30,16,1,1,white,blue,0,1ab4a85f"
2422          sg_mask_display         "fprintf('','COMMENT: begin icon graphic"
2423"s ');\npatch([0 30 30 0 ],[0 0 16 16 ],[0.77 0.82 0.91]);\npatch([11 8 12 8 1"
2424"1 15 16 17 22 18 15 13 17 13 15 18 22 17 16 15 11 ],[2 5 9 13 16 16 15 16 16 "
2425"12 15 13 9 5 3 6 2 2 3 2 2 ],[0.98 0.96 0.92]);\nplot([0 0 30 30 0 ],[0 16 16"
2426" 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\n\nfprintf('','COMMENT: b"
2427"egin icon text ');\ncolor('black');disp('not');\nfprintf('','COMMENT: end ico"
2428"n text');\n"
2429        }
2430        Block {
2431          BlockType           Reference
2432          Name            "Logical"
2433          Ports           [3, 1]
2434          Position            [195, 40, 245, 160]
2435          ShowName            off
2436          SourceBlock         "xbsIndex_r4/Logical"
2437          SourceType          "Xilinx Logical Block Block"
2438          logical_function        "AND"
2439          inputs              "3"
[598]2440          en              off
[307]2441          latency             "0"
2442          precision           "Full"
2443          arith_type          "Unsigned"
2444          n_bits              "8"
2445          bin_pt              "2"
[598]2446          align_bp            on
2447          dbl_ovrd            off
2448          xl_use_area         off
[307]2449          xl_area             "[0, 0, 0, 0, 0, 0, 0]"
2450          has_advanced_control    "0"
2451          sggui_pos           "-1,-1,-1,-1"
2452          block_type          "logical"
[598]2453          block_version       "VER_STRING_GOES_HERE"
[307]2454          sg_icon_stat        "50,120,3,1,white,blue,0,5c2bfaa2"
2455          sg_mask_display         "fprintf('','COMMENT: begin icon graphic"
2456"s ');\npatch([0 50 50 0 ],[0 0 120 120 ],[0.77 0.82 0.91]);\npatch([11 3 15 3"
2457" 11 24 28 32 46 35 24 16 28 16 24 35 46 32 28 24 11 ],[40 48 60 72 80 80 76 8"
2458"0 80 69 80 72 60 48 40 51 40 40 44 40 40 ],[0.98 0.96 0.92]);\nplot([0 0 50 5"
2459"0 0 ],[0 120 120 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\n\nfprint"
2460"f('','COMMENT: begin icon text ');\ncolor('black');disp('\\newlineand\\newlin"
2461"ez^{-0}','texmode','on');\nfprintf('','COMMENT: end icon text');\n"
2462        }
2463        Block {
2464          BlockType           Reference
2465          Name            "Register"
2466          Ports           [1, 1]
2467          Position            [80, 85, 115, 115]
2468          ShowName            off
2469          SourceBlock         "xbsIndex_r4/Register"
2470          SourceType          "Xilinx Register Block"
2471          init            "0"
[598]2472          rst             off
2473          en              off
2474          dbl_ovrd            off
2475          xl_use_area         off
[307]2476          xl_area             "[0, 0, 0, 0, 0, 0, 0]"
2477          has_advanced_control    "0"
2478          sggui_pos           "-1,-1,-1,-1"
2479          block_type          "register"
[598]2480          block_version       "VER_STRING_GOES_HERE"
[307]2481          sg_icon_stat        "35,30,1,1,white,blue,0,ac6b57db"
2482          sg_mask_display         "fprintf('','COMMENT: begin icon graphic"
2483"s ');\npatch([0 35 35 0 ],[0 0 30 30 ],[0.77 0.82 0.91]);\npatch([10 5 12 5 1"
2484"0 18 20 22 30 23 17 12 18 12 17 23 30 22 20 18 10 ],[3 8 15 22 27 27 25 27 27"
2485" 20 26 21 15 9 4 10 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 0 35 35 0 ],[0 30"
2486" 30 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\n\nfprintf('','COMMENT"
2487": begin icon text ');\ncolor('black');port_label('input',1,'d');\ncolor('blac"
2488"k');port_label('output',1,'q');\ncolor('black');disp('\\bf{z^{-1}}','texmode'"
2489",'on');\nfprintf('','COMMENT: end icon text');\n"
2490        }
2491        Block {
2492          BlockType           Outport
2493          Name            "ack"
2494          Position            [280, 93, 310, 107]
2495          IconDisplay         "Port number"
2496          BusOutputAsStruct       off
2497        }
2498        Line {
2499          SrcBlock            "ps"
2500          SrcPort             1
2501          Points              [5, 0]
2502          Branch {
2503        DstBlock        "Logical"
2504        DstPort         1
2505          }
2506          Branch {
2507        Points          [0, 40]
2508        DstBlock        "Register"
2509        DstPort         1
2510          }
2511        }
2512        Line {
2513          SrcBlock            "Inverter2"
2514          SrcPort             1
2515          DstBlock            "Logical"
2516          DstPort             2
2517        }
2518        Line {
2519          SrcBlock            "Register"
2520          SrcPort             1
2521          DstBlock            "Inverter2"
2522          DstPort             1
2523        }
2524        Line {
2525          SrcBlock            "Inverter1"
2526          SrcPort             1
2527          DstBlock            "Logical"
2528          DstPort             3
2529        }
2530        Line {
2531          SrcBlock            "rst"
2532          SrcPort             1
2533          DstBlock            "Inverter1"
2534          DstPort             1
2535        }
2536        Line {
2537          SrcBlock            "Logical"
2538          SrcPort             1
2539          DstBlock            "ack"
2540          DstPort             1
2541        }
2542      }
2543    }
2544    Block {
2545      BlockType       SubSystem
2546      Name            "en_gen"
2547      Ports           [3, 2]
2548      Position        [500, 329, 580, 481]
2549      MinAlgLoopOccurrences   off
2550      RTWSystemCode       "Auto"
[598]2551      FunctionWithSeparateData off
[307]2552      MaskHideContents    off
2553      System {
2554        Name            "en_gen"
2555        Location            [594, 227, 1218, 922]
2556        Open            off
2557        ModelBrowserVisibility  off
2558        ModelBrowserWidth       200
2559        ScreenColor         "white"
2560        PaperOrientation        "landscape"
2561        PaperPositionMode       "auto"
2562        PaperType           "usletter"
2563        PaperUnits          "inches"
[598]2564        TiledPaperMargins       [0.500000, 0.500000, 0.500000, 0.500000]
2565        TiledPageScale      1
2566        ShowPageBoundaries      off
[307]2567        ZoomFactor          "100"
2568        Block {
2569          BlockType           Inport
2570          Name            "ack_in"
2571          Position            [155, 48, 185, 62]
2572          IconDisplay         "Port number"
2573        }
2574        Block {
2575          BlockType           Inport
2576          Name            "addr"
2577          Position            [75, 178, 105, 192]
2578          Port            "2"
2579          IconDisplay         "Port number"
2580        }
2581        Block {
2582          BlockType           Inport
2583          Name            "rnw"
2584          Position            [75, 133, 105, 147]
2585          Port            "3"
2586          IconDisplay         "Port number"
2587        }
2588        Block {
2589          BlockType           Reference
2590          Name            "Concat"
2591          Ports           [3, 1]
2592          Position            [230, 131, 270, 199]
2593          SourceBlock         "xbsIndex_r4/Concat"
2594          SourceType          "Xilinx Bus Concatenator Block"
2595          infoedit            "Concatenates two or more inputs.  Outpu"
2596"t will be cast to an unsigned value with the binary point at zero."
2597          num_inputs          "3"
[598]2598          dbl_ovrd            off
[307]2599          has_advanced_control    "0"
2600          sggui_pos           "-1,-1,-1,-1"
2601          block_type          "concat"
[598]2602          block_version       "VER_STRING_GOES_HERE"
[307]2603          sg_icon_stat        "40,68,3,1,white,blue,0,25d2176d"
2604          sg_mask_display         "fprintf('','COMMENT: begin icon graphic"
2605"s ');\npatch([0 40 40 0 ],[0 0 68 68 ],[0.77 0.82 0.91]);\npatch([10 3 12 3 1"
2606"0 21 24 27 38 29 20 14 24 14 20 29 38 27 24 21 10 ],[18 25 34 43 50 50 47 50 "
2607"50 41 50 44 34 24 18 27 18 18 21 18 18 ],[0.98 0.96 0.92]);\nplot([0 0 40 40 "
2608"0 ],[0 68 68 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\n\nfprintf(''"
2609",'COMMENT: begin icon text ');\ncolor('black');port_label('input',1,'hi');\nc"
2610"olor('black');port_label('input',3,'lo');\nfprintf('','COMMENT: end icon text"
2611"');\n"
2612        }
2613        Block {
2614          BlockType           Reference
2615          Name            "Slice"
2616          Ports           [1, 1]
2617          Position            [140, 173, 185, 197]
2618          SourceBlock         "xbsIndex_r4/Slice"
2619          SourceType          "Xilinx Bit Slice Extractor Block"
2620          infoedit            "Extracts a given range of bits from eac"
2621"h input sample and presents it at the output.  The output type is ordinarily "
2622"unsigned with binary point at zero, but can be Boolean when the slice is one "
2623"bit wide.<P><P>Hardware notes: In hardware this block costs nothing."
2624          nbits           "2"
[598]2625          boolean_output          off
[307]2626          mode            "Lower Bit Location + Width"
2627          bit1            "0"
2628          base1           "MSB of Input"
2629          bit0            "2"
2630          base0           "LSB of Input"
[598]2631          dbl_ovrd            off
[307]2632          has_advanced_control    "0"
2633          sggui_pos           "20,20,516,446"
2634          block_type          "slice"
[598]2635          block_version       "VER_STRING_GOES_HERE"
[307]2636          sg_icon_stat        "45,24,1,1,white,blue,0,fe24a11e"
2637          sg_mask_display         "fprintf('','COMMENT: begin icon graphic"
2638"s ');\npatch([0 45 45 0 ],[0 0 24 24 ],[0.77 0.82 0.91]);\npatch([16 12 18 12"
2639" 16 22 24 26 33 28 23 19 25 19 23 28 33 26 24 22 16 ],[3 7 13 19 23 23 21 23 "
2640"23 18 23 19 13 7 3 8 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 0 45 45 0 ],[0 2"
2641"4 24 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\n\nfprintf('','COMMEN"
2642"T: begin icon text ');\ncolor('black');port_label('output',1,'[a:b]');\nfprin"
2643"tf('','COMMENT: end icon text');\n"
2644        }
2645        Block {
2646          BlockType           Reference
2647          Name            "given_constant"
2648          Ports           [0, 1]
2649          Position            [215, 314, 260, 346]
2650          SourceBlock         "xbsIndex_r4/Constant"
2651          SourceType          "Xilinx Constant Block Block"
2652          arith_type          "Unsigned"
2653          const           "12"
2654          n_bits              "1"
2655          bin_pt              "0"
[598]2656          explicit_period         off
[307]2657          period              "1"
[598]2658          dsp48_infoedit          "The use of this block for DSP48 instruc"
2659"tions is deprecated.  Please use the Opmode block."
[307]2660          equ             "P=C"
2661          opselect            "C"
2662          inp2            "PCIN>>17"
2663          opr             "+"
2664          inp1            "P"
2665          carry           "CIN"
[598]2666          dbl_ovrd            off
[307]2667          has_advanced_control    "0"
2668          sggui_pos           "-1,-1,-1,-1"
2669          block_type          "constant"
[598]2670          block_version       "VER_STRING_GOES_HERE"
[307]2671          sg_icon_stat        "45,32,0,1,white,blue,0,85613821"
2672          sg_mask_display         "fprintf('','COMMENT: begin icon graphic"
2673"s ');\npatch([0 45 45 0 ],[0 0 32 32 ],[0.77 0.82 0.91]);\npatch([14 9 16 9 1"
2674"4 22 24 26 35 28 21 16 23 16 21 28 35 26 24 22 14 ],[3 8 15 22 27 27 25 27 27"
2675" 20 27 22 15 8 3 10 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 0 45 45 0 ],[0 32"
2676" 32 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\n\nfprintf('','COMMENT"
2677": begin icon text ');\ncolor('black');port_label('output',1,'1');\nfprintf(''"
2678",'COMMENT: end icon text');\nfprintf('','COMMENT: Make no changes above this "
2679"line -- machine generated code. ');\n"
2680        }
2681        Block {
2682          BlockType           Reference
2683          Name            "given_inverter"
2684          Ports           [1, 1]
2685          Position            [215, 538, 265, 572]
2686          SourceBlock         "xbsIndex_r4/Inverter"
2687          SourceType          "Xilinx Inverter Block"
2688          infoedit            "Bitwise logical negation (one's complem"
2689"ent) operator."
[598]2690          en              off
[307]2691          latency             "0"
[598]2692          dbl_ovrd            off
2693          xl_use_area         off
[307]2694          xl_area             "[0,0,0,0,0,0,0]"
2695          has_advanced_control    "0"
2696          sggui_pos           "20,20,432,222"
2697          block_type          "inv"
[598]2698          block_version       "VER_STRING_GOES_HERE"
[307]2699          sg_icon_stat        "50,34,1,1,white,blue,0,1ab4a85f"
2700          sg_mask_display         "fprintf('','COMMENT: begin icon graphic"
2701"s ');\npatch([0 50 50 0 ],[0 0 34 34 ],[0.77 0.82 0.91]);\npatch([16 10 18 10"
2702" 16 25 27 29 39 31 24 19 27 19 24 31 39 29 27 25 16 ],[4 10 18 26 32 32 30 32"
2703" 32 24 31 26 18 10 5 12 4 4 6 4 4 ],[0.98 0.96 0.92]);\nplot([0 0 50 50 0 ],["
2704"0 34 34 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\n\nfprintf('','COM"
2705"MENT: begin icon text ');\ncolor('black');disp('not');\nfprintf('','COMMENT: "
2706"end icon text');\n"
2707        }
2708        Block {
2709          BlockType           Reference
2710          Name            "given_logical"
2711          Ports           [3, 1]
2712          Position            [215, 463, 260, 507]
2713          SourceBlock         "xbsIndex_r4/Logical"
2714          SourceType          "Xilinx Logical Block Block"
2715          logical_function        "AND"
2716          inputs              "3"
[598]2717          en              off
[307]2718          latency             "0"
2719          precision           "Full"
2720          arith_type          "Unsigned"
2721          n_bits              "16"
2722          bin_pt              "0"
[598]2723          align_bp            on
2724          dbl_ovrd            off
2725          xl_use_area         off
[307]2726          xl_area             "[0,0,0,0,0,0,0]"
2727          has_advanced_control    "0"
2728          sggui_pos           "-1,-1,-1,-1"
2729          block_type          "logical"
[598]2730          block_version       "VER_STRING_GOES_HERE"
[307]2731          sg_icon_stat        "45,44,3,1,white,blue,0,5c2bfaa2"
2732          sg_mask_display         "fprintf('','COMMENT: begin icon graphic"
2733"s ');\npatch([0 45 45 0 ],[0 0 44 44 ],[0.77 0.82 0.91]);\npatch([10 3 13 3 1"
2734"0 22 25 28 40 30 21 14 23 14 21 30 40 28 25 22 10 ],[5 12 22 32 39 39 36 39 3"
2735"9 29 38 31 22 13 6 15 5 5 8 5 5 ],[0.98 0.96 0.92]);\nplot([0 0 45 45 0 ],[0 "
2736"44 44 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\n\nfprintf('','COMME"
2737"NT: begin icon text ');\ncolor('black');disp('\\newlineand\\newlinez^{-0}','t"
2738"exmode','on');\nfprintf('','COMMENT: end icon text');\n"
2739        }
2740        Block {
2741          BlockType           Reference
2742          Name            "given_relational"
2743          Ports           [2, 1]
2744          Position            [215, 378, 260, 422]
2745          SourceBlock         "xbsIndex_r4/Relational"
2746          SourceType          "Xilinx Arithmetic Relational Operator B"
2747"lock"
2748          mode            "a=b"
[598]2749          en              off
[307]2750          latency             "0"
[598]2751          dbl_ovrd            off
2752          xl_use_area         off
[307]2753          xl_area             "[0, 0, 0, 0, 0, 0, 0]"
2754          has_advanced_control    "0"
2755          sggui_pos           "-1,-1,-1,-1"
2756          block_type          "relational"
[598]2757          block_version       "VER_STRING_GOES_HERE"
[307]2758          sg_icon_stat        "45,44,2,1,white,blue,0,1b68ef8e"
2759          sg_mask_display         "fprintf('','COMMENT: begin icon graphic"
2760"s ');\npatch([0 45 45 0 ],[0 0 44 44 ],[0.77 0.82 0.91]);\npatch([10 3 13 3 1"
2761"0 22 25 28 40 30 21 14 23 14 21 30 40 28 25 22 10 ],[5 12 22 32 39 39 36 39 3"
2762"9 29 38 31 22 13 6 15 5 5 8 5 5 ],[0.98 0.96 0.92]);\nplot([0 0 45 45 0 ],[0 "
2763"44 44 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\n\nfprintf('','COMME"
2764"NT: begin icon text ');\ncolor('black');port_label('input',1,'a');\ncolor('bl"
2765"ack');port_label('input',2,'b');\ncolor('black');disp('\\newline\\bf{a=b}\\ne"
2766"wlinez^{-0}','texmode','on');\nfprintf('','COMMENT: end icon text');\n"
2767        }
2768        Block {
2769          BlockType           Reference
2770          Name            "given_sub"
2771          Ports           [2, 1]
2772          Position            [215, 607, 265, 658]
2773          SourceBlock         "xbsIndex_r4/AddSub"
2774          SourceType          "Xilinx Adder/Subtractor Block"
2775          mode            "Subtraction"
[598]2776          use_carryin         off
2777          use_carryout        off
2778          en              off
[307]2779          latency             "0"
2780          precision           "User Defined"
2781          arith_type          "Unsigned"
2782          n_bits              "4"
2783          bin_pt              "0"
2784          quantization        "Truncate"
2785          overflow            "Wrap"
[598]2786          dbl_ovrd            off
2787          use_behavioral_HDL      off
2788          pipelined           off
2789          use_rpm             on
2790          xl_use_area         off
[307]2791          xl_area             "[0,0,0,0,0,0,0]"
2792          has_advanced_control    "0"
2793          sggui_pos           "-1,-1,-1,-1"
2794          block_type          "addsub"
[598]2795          block_version       "VER_STRING_GOES_HERE"
[307]2796          sg_icon_stat        "50,51,2,1,white,blue,0,f28631c4"
2797          sg_mask_display         "fprintf('','COMMENT: begin icon graphic"
2798"s ');\npatch([0 50 50 0 ],[0 0 51 51 ],[0.77 0.82 0.91]);\npatch([11 3 15 3 1"
2799"1 24 28 32 46 35 24 16 28 16 24 35 46 32 28 24 11 ],[6 14 26 38 46 46 42 46 4"
2800"6 35 46 38 26 14 6 17 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 0 50 50 0 ],[0"
2801" 51 51 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\n\nfprintf('','COMM"
2802"ENT: begin icon text ');\ncolor('black');port_label('input',1,'a');\ncolor('b"
2803"lack');port_label('input',2,'b');\ncolor('black');port_label('output',1,'\\bf"
2804"{a - b}','texmode','on');\nfprintf('','COMMENT: end icon text');\n"
2805        }
2806        Block {
2807          BlockType           Outport
2808          Name            "ack_out"
2809          Position            [300, 48, 330, 62]
2810          IconDisplay         "Port number"
2811          BusOutputAsStruct       off
2812        }
2813        Block {
2814          BlockType           Outport
2815          Name            "addr_out"
2816          Position            [130, 253, 160, 267]
2817          Port            "2"
2818          IconDisplay         "Port number"
2819          BusOutputAsStruct       off
2820        }
2821        Line {
2822          SrcBlock            "addr"
2823          SrcPort             1
2824          Points              [5, 0]
2825          Branch {
2826        DstBlock        "Slice"
2827        DstPort         1
2828          }
2829          Branch {
2830        DstBlock        "addr_out"
2831        DstPort         1
2832          }
2833        }
2834        Line {
2835          SrcBlock            "ack_in"
2836          SrcPort             1
2837          Points              [0, 0; 10, 0]
2838          Branch {
2839        Points          [0, 90]
2840        DstBlock        "Concat"
2841        DstPort         1
2842          }
2843          Branch {
2844        DstBlock        "ack_out"
2845        DstPort         1
2846          }
2847        }
2848        Line {
2849          SrcBlock            "rnw"
2850          SrcPort             1
2851          Points              [15, 0; 0, 25]
2852          DstBlock            "Concat"
2853          DstPort             2
2854        }
2855        Line {
2856          SrcBlock            "Slice"
2857          SrcPort             1
2858          DstBlock            "Concat"
2859          DstPort             3
2860        }
2861      }
2862    }
2863    Block {
2864      BlockType       SubSystem
2865      Name            "mem_if_in"
2866      Ports           [1]
2867      Position        [695, 209, 815, 301]
2868      MinAlgLoopOccurrences   off
2869      RTWSystemCode       "Auto"
[598]2870      FunctionWithSeparateData off
[307]2871      MaskHideContents    off
2872      System {
2873        Name            "mem_if_in"
2874        Location            [18, 508, 742, 972]
2875        Open            off
2876        ModelBrowserVisibility  off
2877        ModelBrowserWidth       200
2878        ScreenColor         "white"
2879        PaperOrientation        "landscape"
2880        PaperPositionMode       "auto"
2881        PaperType           "usletter"
2882        PaperUnits          "inches"
[598]2883        TiledPaperMargins       [0.500000, 0.500000, 0.500000, 0.500000]
2884        TiledPageScale      1
2885        ShowPageBoundaries      off
[307]2886        ZoomFactor          "100"
2887        Block {
2888          BlockType           Inport
2889          Name            "opb_dbus"
2890          Position            [110, 48, 140, 62]
2891          IconDisplay         "Port number"
2892        }
2893        Block {
2894          BlockType           Reference
2895          Name            "given_convert"
2896          Ports           [1, 1]
2897          Position            [565, 254, 595, 286]
2898          SourceBlock         "xbsIndex_r4/Convert"
2899          SourceType          "Xilinx Type Converter Block"
2900          infoedit            "Hardware notes: rounding and saturating"
2901" require hardware resources; truncating and wrapping do not."
2902          arith_type          "Signed  (2's comp)"
2903          n_bits              "8"
2904          bin_pt              "6"
2905          quantization        "Truncate"
2906          overflow            "Wrap"
2907          latency             "0"
[598]2908          dbl_ovrd            off
2909          pipeline            off
2910          xl_use_area         off
[307]2911          xl_area             "[0, 0, 0, 0, 0, 0, 0]"
2912          has_advanced_control    "0"
2913          sggui_pos           "-1,-1,-1,-1"
2914          block_type          "convert"
[598]2915          block_version       "VER_STRING_GOES_HERE"
[307]2916          sg_icon_stat        "30,32,1,1,white,blue,0,0eb6e735"
2917          sg_mask_display         "fprintf('','COMMENT: begin icon graphic"
2918"s ');\npatch([0 30 30 0 ],[0 0 32 32 ],[0.77 0.82 0.91]);\npatch([7 2 9 2 7 1"
2919"5 17 19 27 20 14 9 15 9 14 20 27 19 17 15 7 ],[4 9 16 23 28 28 26 28 28 21 27"
2920" 22 16 10 5 11 4 4 6 4 4 ],[0.98 0.96 0.92]);\nplot([0 0 30 30 0 ],[0 32 32 0"
2921" 0 ]);\nfprintf('','COMMENT: end icon graphics');\n\nfprintf('','COMMENT: beg"
2922"in icon text ');\ncolor('black');port_label('output',1,'cast');\nfprintf('','"
2923"COMMENT: end icon text');\n"
2924        }
2925        Block {
2926          BlockType           Reference
2927          Name            "given_register"
2928          Ports           [2, 1]
2929          Position            [555, 110, 600, 205]
2930          SourceBlock         "xbsIndex_r4/Register"
2931          SourceType          "Xilinx Register Block"
2932          init            "0"
[598]2933          rst             off
2934          en              on
2935          dbl_ovrd            off
2936          xl_use_area         off
[307]2937          xl_area             "[0, 0, 0, 0, 0, 0, 0]"
2938          has_advanced_control    "0"
2939          sggui_pos           "-1,-1,-1,-1"
2940          block_type          "register"
[598]2941          block_version       "VER_STRING_GOES_HERE"
[307]2942          sg_icon_stat        "45,95,2,1,white,blue,0,cc3303a0"
2943          sg_mask_display         "fprintf('','COMMENT: begin icon graphic"
2944"s ');\npatch([0 45 45 0 ],[0 0 95 95 ],[0.77 0.82 0.91]);\npatch([10 3 14 3 1"
2945"0 22 25 28 41 31 21 14 25 14 21 31 41 28 25 22 10 ],[30 37 48 59 66 66 63 66 "
2946"66 56 66 59 48 37 30 40 30 30 33 30 30 ],[0.98 0.96 0.92]);\nplot([0 0 45 45 "
2947"0 ],[0 95 95 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\n\nfprintf(''"
2948",'COMMENT: begin icon text ');\ncolor('black');port_label('input',1,'d');\nco"
2949"lor('black');port_label('input',2,'en');\ncolor('black');port_label('output',"
2950"1,'q');\ncolor('black');disp('\\bf{z^{-1}}','texmode','on');\nfprintf('','COM"
2951"MENT: end icon text');\n"
2952        }
2953        Block {
2954          BlockType           Reference
2955          Name            "given_reinterpret"
2956          Ports           [1, 1]
2957          Position            [560, 319, 600, 351]
2958          SourceBlock         "xbsIndex_r4/Reinterpret"
2959          SourceType          "Xilinx Type Reinterpreter Block"
2960          infoedit            "Changes type of samples without alterin"
2961"g their binary representation.<P><P>Hardware notes: In hardware this block co"
2962"sts nothing.<P><P>Example:  Suppose the input is 6 bits wide, signed, with 2 "
2963"fractional bits, and the output is forced to unsigned with 0 fractional bits."
2964"  Then an input of -2.0 (1110.00 in binary 2's complement) becomes an output "
2965"of 56 (111000 in binary)."
[598]2966          force_arith_type        on
[307]2967          arith_type          "Unsigned"
[598]2968          force_bin_pt        on
[307]2969          bin_pt              "0"
2970          has_advanced_control    "0"
2971          sggui_pos           "-1,-1,-1,-1"
2972          block_type          "cast"
[598]2973          block_version       "VER_STRING_GOES_HERE"
2974          sg_icon_stat        "40,32,1,1,white,blue,0,8982c1db"
[307]2975          sg_mask_display         "fprintf('','COMMENT: begin icon graphic"
[598]2976"s');\npatch([0 50 50 0 ],[0 0 32 32 ],[0.77 0.82 0.91]);\npatch([16 11 18 11 "
2977"16 24 26 28 37 30 23 18 25 18 23 30 37 28 26 24 16 ],[3 8 15 22 27 27 25 27 2"
2978"7 20 27 22 15 8 3 10 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 0 50 50 0 ],[0 3"
2979"2 32 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT:"
2980" begin icon text');\ncolor('black');disp('reinterpret');\nfprintf('','COMMENT"
2981": end icon text');\n"
[307]2982        }
2983      }
2984    }
2985    Block {
2986      BlockType       SubSystem
2987      Name            "mem_if_out"
2988      Ports           [2, 2]
2989      Position        [705, 341, 800, 494]
2990      MinAlgLoopOccurrences   off
2991      RTWSystemCode       "Auto"
[598]2992      FunctionWithSeparateData off
[307]2993      MaskHideContents    off
2994      System {
2995        Name            "mem_if_out"
2996        Location            [2, 84, 1138, 971]
2997        Open            off
2998        ModelBrowserVisibility  off
2999        ModelBrowserWidth       200
3000        ScreenColor         "white"
3001        PaperOrientation        "landscape"
3002        PaperPositionMode       "auto"
3003        PaperType           "usletter"
3004        PaperUnits          "inches"
[598]3005        TiledPaperMargins       [0.500000, 0.500000, 0.500000, 0.500000]
3006        TiledPageScale      1
3007        ShowPageBoundaries      off
[307]3008        ZoomFactor          "100"
3009        Block {
3010          BlockType           Inport
3011          Name            "ack_in"
3012          Position            [440, 243, 470, 257]
3013          IconDisplay         "Port number"
3014        }
3015        Block {
3016          BlockType           Inport
3017          Name            "addr"
3018          Position            [570, 173, 600, 187]
3019          Port            "2"
3020          IconDisplay         "Port number"
3021        }
3022        Block {
3023          BlockType           Reference
3024          Name            "Delay"
3025          Ports           [1, 1]
3026          Position            [490, 227, 535, 273]
3027          SourceBlock         "xbsIndex_r4/Delay"
3028          SourceType          "Xilinx Delay Block"
3029          infoedit            "Hardware notes: A delay line is a chain"
3030", each link of which is an SRL16 followed by a flip-flop. If register retimin"
3031"g is enabled, the delay line is a chain of flip-flops."
[598]3032          en              off
[307]3033          latency             "0"
[598]3034          dbl_ovrd            off
3035          reg_retiming        off
3036          xl_use_area         off
[307]3037          xl_area             "[0, 0, 0, 0, 0, 0, 0]"
3038          has_advanced_control    "0"
3039          sggui_pos           "-1,-1,-1,-1"
3040          block_type          "delay"
[598]3041          block_version       "VER_STRING_GOES_HERE"
[307]3042          sg_icon_stat        "45,46,1,1,white,blue,0,d74ee26d"
3043          sg_mask_display         "fprintf('','COMMENT: begin icon graphic"
3044"s ');\npatch([0 45 45 0 ],[0 0 46 46 ],[0.77 0.82 0.91]);\npatch([10 3 14 3 1"
3045"0 22 25 28 41 31 21 14 25 14 21 31 41 28 25 22 10 ],[5 12 23 34 41 41 38 41 4"
3046"1 31 41 34 23 12 5 15 5 5 8 5 5 ],[0.98 0.96 0.92]);\nplot([0 0 45 45 0 ],[0 "
3047"46 46 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\n\nfprintf('','COMME"
3048"NT: begin icon text ');\ncolor('black');disp('z^{-0}','texmode','on');\nfprin"
3049"tf('','COMMENT: end icon text');\n"
3050        }
3051        Block {
3052          BlockType           Reference
3053          Name            "Slice"
3054          Ports           [1, 1]
3055          Position            [665, 168, 710, 192]
3056          SourceBlock         "xbsIndex_r4/Slice"
3057          SourceType          "Xilinx Bit Slice Extractor Block"
3058          infoedit            "Extracts a given range of bits from eac"
3059"h input sample and presents it at the output.  The output type is ordinarily "
3060"unsigned with binary point at zero, but can be Boolean when the slice is one "
3061"bit wide.<P><P>Hardware notes: In hardware this block costs nothing."
3062          nbits           "2"
[598]3063          boolean_output          off
[307]3064          mode            "Lower Bit Location + Width"
3065          bit1            "0"
3066          base1           "MSB of Input"
3067          bit0            "2"
3068          base0           "LSB of Input"
[598]3069          dbl_ovrd            off
[307]3070          has_advanced_control    "0"
3071          sggui_pos           "-1,-1,-1,-1"
3072          block_type          "slice"
[598]3073          block_version       "VER_STRING_GOES_HERE"
[307]3074          sg_icon_stat        "45,24,1,1,white,blue,0,fe24a11e"
3075          sg_mask_display         "fprintf('','COMMENT: begin icon graphic"
3076"s ');\npatch([0 45 45 0 ],[0 0 24 24 ],[0.77 0.82 0.91]);\npatch([16 12 18 12"
3077" 16 22 24 26 33 28 23 19 25 19 23 28 33 26 24 22 16 ],[3 7 13 19 23 23 21 23 "
3078"23 18 23 19 13 7 3 8 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 0 45 45 0 ],[0 2"
3079"4 24 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\n\nfprintf('','COMMEN"
3080"T: begin icon text ');\ncolor('black');port_label('output',1,'[a:b]');\nfprin"
3081"tf('','COMMENT: end icon text');\n"
3082        }
3083        Block {
3084          BlockType           Reference
3085          Name            "addr_conv"
3086          Ports           [1, 1]
3087          Position            [640, 674, 690, 726]
3088          SourceBlock         "xbsIndex_r4/ROM"
3089          SourceType          "Xilinx Single Port Read-Only Memory Blo"
3090"ck"
3091          depth           "1024"
3092          initVector          "floor((0:1023)/32)"
3093          distributed_mem         "Block RAM"
[598]3094          rst             off
[307]3095          init_reg            "0"
[598]3096          en              off
[307]3097          latency             "1"
3098          arith_type          "Unsigned"
3099          n_bits              "5"
3100          bin_pt              "0"
[598]3101          dbl_ovrd            off
3102          optimize            "Area"
3103          use_rpm             off
3104          xl_use_area         off
[307]3105          xl_area             "[0, 0, 0, 0, 0, 0, 0]"
3106          has_advanced_control    "0"
3107          sggui_pos           "-1,-1,-1,-1"
3108          block_type          "sprom"
[598]3109          block_version       "VER_STRING_GOES_HERE"
[307]3110          sg_icon_stat        "50,52,1,1,white,blue,0,a8b86474"
3111          sg_mask_display         "fprintf('','COMMENT: begin icon graphic"
3112"s ');\npatch([0 50 50 0 ],[0 0 52 52 ],[0.77 0.82 0.91]);\npatch([11 3 15 3 1"
3113"1 24 28 32 46 35 24 16 28 16 24 35 46 32 28 24 11 ],[6 14 26 38 46 46 42 46 4"
3114"6 35 46 38 26 14 6 17 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 0 50 50 0 ],[0"
3115" 52 52 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\n\nfprintf('','COMM"
3116"ENT: begin icon text ');\ncolor('black');port_label('input',1,'addr');\ncolor"
3117"('black');disp('z^{-1}','texmode','on');\nfprintf('','COMMENT: end icon text'"
3118");\n"
3119        }
3120        Block {
3121          BlockType           Reference
3122          Name            "given_addsub"
3123          Ports           [2, 1]
3124          Position            [640, 582, 690, 633]
3125          SourceBlock         "xbsIndex_r4/AddSub"
3126          SourceType          "Xilinx Adder/Subtractor Block"
3127          mode            "Subtraction"
[598]3128          use_carryin         off
3129          use_carryout        off
3130          en              off
[307]3131          latency             "0"
3132          precision           "User Defined"
3133          arith_type          "Unsigned"
3134          n_bits              "8"
3135          bin_pt              "0"
3136          quantization        "Truncate"
3137          overflow            "Wrap"
[598]3138          dbl_ovrd            off
3139          use_behavioral_HDL      on
3140          pipelined           off
3141          use_rpm             on
3142          xl_use_area         off
[307]3143          xl_area             "[0, 0, 0, 0, 0, 0, 0]"
3144          has_advanced_control    "0"
3145          sggui_pos           "-1,-1,-1,-1"
3146          block_type          "addsub"
[598]3147          block_version       "VER_STRING_GOES_HERE"
[307]3148          sg_icon_stat        "50,51,2,1,white,blue,0,f28631c4"
3149          sg_mask_display         "fprintf('','COMMENT: begin icon graphic"
3150"s ');\npatch([0 50 50 0 ],[0 0 51 51 ],[0.77 0.82 0.91]);\npatch([11 3 15 3 1"
3151"1 24 28 32 46 35 24 16 28 16 24 35 46 32 28 24 11 ],[6 14 26 38 46 46 42 46 4"
3152"6 35 46 38 26 14 6 17 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 0 50 50 0 ],[0"
3153" 51 51 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\n\nfprintf('','COMM"
3154"ENT: begin icon text ');\ncolor('black');port_label('input',1,'a');\ncolor('b"
3155"lack');port_label('input',2,'b');\ncolor('black');port_label('output',1,'\\bf"
3156"{a - b}','texmode','on');\nfprintf('','COMMENT: end icon text');\n"
3157        }
3158        Block {
3159          BlockType           Reference
3160          Name            "given_constant"
3161          Ports           [0, 1]
3162          Position            [640, 505, 685, 535]
3163          SourceBlock         "xbsIndex_r4/Constant"
3164          SourceType          "Xilinx Constant Block Block"
3165          arith_type          "Unsigned"
3166          const           "1"
3167          n_bits              "1"
3168          bin_pt              "0"
[598]3169          explicit_period         off
[307]3170          period              "1"
[598]3171          dsp48_infoedit          "The use of this block for DSP48 instruc"
3172"tions is deprecated.  Please use the Opmode block."
[307]3173          equ             "P=C"
3174          opselect            "C"
3175          inp2            "PCIN>>17"
3176          opr             "+"
3177          inp1            "P"
3178          carry           "CIN"
[598]3179          dbl_ovrd            off
[307]3180          has_advanced_control    "0"
3181          sggui_pos           "-1,-1,-1,-1"
3182          block_type          "constant"
[598]3183          block_version       "VER_STRING_GOES_HERE"
[307]3184          sg_icon_stat        "45,30,0,1,white,blue,0,85613821"
3185          sg_mask_display         "fprintf('','COMMENT: begin icon graphic"
3186"s ');\npatch([0 45 45 0 ],[0 0 30 30 ],[0.77 0.82 0.91]);\npatch([15 10 17 10"
3187" 15 23 25 27 35 28 22 17 23 17 22 28 35 27 25 23 15 ],[3 8 15 22 27 27 25 27 "
3188"27 20 26 21 15 9 4 10 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 0 45 45 0 ],[0 "
3189"30 30 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\n\nfprintf('','COMME"
3190"NT: begin icon text ');\ncolor('black');port_label('output',1,'1');\nfprintf("
3191"'','COMMENT: end icon text');\nfprintf('','COMMENT: Make no changes above thi"
3192"s line -- machine generated code. ');\n"
3193        }
3194        Block {
3195          BlockType           Reference
3196          Name            "given_convert"
3197          Ports           [1, 1]
3198          Position            [645, 447, 685, 473]
3199          SourceBlock         "xbsIndex_r4/Convert"
3200          SourceType          "Xilinx Type Converter Block"
3201          infoedit            "Hardware notes: rounding and saturating"
3202" require hardware resources; truncating and wrapping do not."
3203          arith_type          "Unsigned"
3204          n_bits              "32"
3205          bin_pt              "0"
3206          quantization        "Truncate"
3207          overflow            "Wrap"
3208          latency             "0"
[598]3209          dbl_ovrd            off
3210          pipeline            off
3211          xl_use_area         off
[307]3212          xl_area             "[0, 0, 0, 0, 0, 0, 0]"
3213          has_advanced_control    "0"
3214          sggui_pos           "-1,-1,-1,-1"
3215          block_type          "convert"
[598]3216          block_version       "VER_STRING_GOES_HERE"
[307]3217          sg_icon_stat        "40,26,1,1,white,blue,0,0eb6e735"
3218          sg_mask_display         "fprintf('','COMMENT: begin icon graphic"
3219"s ');\npatch([0 40 40 0 ],[0 0 26 26 ],[0.77 0.82 0.91]);\npatch([13 9 15 9 1"
3220"3 20 22 24 31 25 19 15 21 15 19 25 31 24 22 20 13 ],[3 7 13 19 23 23 21 23 23"
3221" 17 23 19 13 7 3 9 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 0 40 40 0 ],[0 26 "
3222"26 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\n\nfprintf('','COMMENT:"
3223" begin icon text ');\ncolor('black');port_label('output',1,'cast');\nfprintf("
3224"'','COMMENT: end icon text');\n"
3225        }
3226        Block {
3227          BlockType           Reference
3228          Name            "given_mux"
3229          Ports           [3, 1]
3230          Position            [660, 762, 685, 828]
3231          SourceBlock         "xbsIndex_r4/Mux"
3232          SourceType          "Xilinx Bus Multiplexer Block"
3233          inputs              "2"
[598]3234          en              off
[307]3235          latency             "0"
3236          precision           "Full"
3237          arith_type          "Signed  (2's comp)"
3238          n_bits              "8"
3239          bin_pt              "2"
3240          quantization        "Truncate"
3241          overflow            "Wrap"
[598]3242          dbl_ovrd            off
3243          xl_use_area         off
[307]3244          xl_area             "[0, 0, 0, 0, 0, 0, 0]"
3245          has_advanced_control    "0"
3246          sggui_pos           "-1,-1,-1,-1"
3247          block_type          "mux"
[598]3248          block_version       "VER_STRING_GOES_HERE"
[307]3249          sg_icon_stat        "25,66,3,1,white,blue,3,613f58e1"
3250          sg_mask_display         "fprintf('','COMMENT: begin icon graphic"
3251"s ');\npatch([0 25 25 0 ],[0 9.42857 56.5714 66 ],[0.77 0.82 0.91]);\npatch(["
3252"6 2 8 2 6 13 15 17 24 18 13 9 14 9 13 18 24 17 15 13 6 ],[23 27 33 39 43 43 4"
3253"1 43 43 37 42 38 33 28 24 29 23 23 25 23 23 ],[0.98 0.96 0.92]);\nplot([0 25 "
3254"25 0 0 ],[0 9.42857 56.5714 66 0 ]);\nfprintf('','COMMENT: end icon graphics'"
3255");\n\nfprintf('','COMMENT: begin icon text ');\ncolor('black');port_label('in"
3256"put',1,'sel');\ncolor('black');port_label('input',2,'d0');\ncolor('black');po"
3257"rt_label('input',3,'d1');\ncolor('black');disp('\\bf{}','texmode','on');\nfpr"
3258"intf('','COMMENT: end icon text');\n"
3259        }
3260        Block {
3261          BlockType           Reference
3262          Name            "given_register"
3263          Ports           [1, 1]
3264          Position            [640, 361, 685, 409]
3265          SourceBlock         "xbsIndex_r4/Register"
3266          SourceType          "Xilinx Register Block"
3267          init            "0"
[598]3268          rst             off
3269          en              off
3270          dbl_ovrd            off
3271          xl_use_area         off
[307]3272          xl_area             "[0, 0, 0, 0, 0, 0, 0]"
3273          has_advanced_control    "0"
3274          sggui_pos           "-1,-1,-1,-1"
3275          block_type          "register"
[598]3276          block_version       "VER_STRING_GOES_HERE"
[307]3277          sg_icon_stat        "45,48,1,1,white,blue,0,ac6b57db"
3278          sg_mask_display         "fprintf('','COMMENT: begin icon graphic"
3279"s ');\npatch([0 45 45 0 ],[0 0 48 48 ],[0.77 0.82 0.91]);\npatch([10 3 14 3 1"
3280"0 22 25 28 41 31 21 14 25 14 21 31 41 28 25 22 10 ],[6 13 24 35 42 42 39 42 4"
3281"2 32 42 35 24 13 6 16 6 6 9 6 6 ],[0.98 0.96 0.92]);\nplot([0 0 45 45 0 ],[0 "
3282"48 48 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\n\nfprintf('','COMME"
3283"NT: begin icon text ');\ncolor('black');port_label('input',1,'d');\ncolor('bl"
3284"ack');port_label('output',1,'q');\ncolor('black');disp('\\bf{z^{-1}}','texmod"
3285"e','on');\nfprintf('','COMMENT: end icon text');\n"
3286        }
3287        Block {
3288          BlockType           Reference
3289          Name            "given_reinterpret"
3290          Ports           [1, 1]
3291          Position            [650, 869, 690, 901]
3292          SourceBlock         "xbsIndex_r4/Reinterpret"
3293          SourceType          "Xilinx Type Reinterpreter Block"
3294          infoedit            "Changes type of samples without alterin"
3295"g their binary representation.<P><P>Hardware notes: In hardware this block co"
3296"sts nothing.<P><P>Example:  Suppose the input is 6 bits wide, signed, with 2 "
3297"fractional bits, and the output is forced to unsigned with 0 fractional bits."
3298"  Then an input of -2.0 (1110.00 in binary 2's complement) becomes an output "
3299"of 56 (111000 in binary)."
[598]3300          force_arith_type        on
[307]3301          arith_type          "Unsigned"
[598]3302          force_bin_pt        on
[307]3303          bin_pt              "0"
3304          has_advanced_control    "0"
3305          sggui_pos           "-1,-1,-1,-1"
3306          block_type          "cast"
[598]3307          block_version       "VER_STRING_GOES_HERE"
3308          sg_icon_stat        "40,32,1,1,white,blue,0,8982c1db"
[307]3309          sg_mask_display         "fprintf('','COMMENT: begin icon graphic"
[598]3310"s');\npatch([0 50 50 0 ],[0 0 32 32 ],[0.77 0.82 0.91]);\npatch([16 11 18 11 "
3311"16 24 26 28 37 30 23 18 25 18 23 30 37 28 26 24 16 ],[3 8 15 22 27 27 25 27 2"
3312"7 20 27 22 15 8 3 10 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 0 50 50 0 ],[0 3"
3313"2 32 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT:"
3314" begin icon text');\ncolor('black');disp('reinterpret');\nfprintf('','COMMENT"
3315": end icon text');\n"
[307]3316        }
3317        Block {
3318          BlockType           SubSystem
3319          Name            "repeater"
3320          Ports           [1, 1]
3321          Position            [565, 234, 670, 266]
3322          MinAlgLoopOccurrences   off
3323          RTWSystemCode       "Auto"
[598]3324          FunctionWithSeparateData off
[307]3325          MaskHideContents        off
3326          System {
3327        Name            "repeater"
3328        Location        [378, 232, 793, 758]
3329        Open            off
3330        ModelBrowserVisibility  off
3331        ModelBrowserWidth   200
3332        ScreenColor     "white"
3333        PaperOrientation    "landscape"
3334        PaperPositionMode   "auto"
3335        PaperType       "usletter"
3336        PaperUnits      "inches"
[598]3337        TiledPaperMargins   "[0.500000, 0.500000, 0.500000, 0.5000"
3338"00]"
3339        TiledPageScale      1
3340        ShowPageBoundaries  off
[307]3341        ZoomFactor      "100"
3342        Block {
3343          BlockType       Inport
3344          Name            "1 bit in"
3345          Position        [25, 275, 45, 295]
3346          IconDisplay         "Port number"
3347        }
3348        Block {
3349          BlockType       Reference
3350          Name            "Concat"
3351          Ports           [16, 1]
3352          Position        [125, 275, 175, 500]
3353          SourceBlock         "xbsIndex_r4/Concat"
3354          SourceType          "Xilinx Bus Concatenator Block"
3355          infoedit        "Concatenates two or more inputs.  O"
3356"utput will be cast to an unsigned value with the binary point at zero."
3357          num_inputs          "16"
[598]3358          dbl_ovrd        off
[307]3359          has_advanced_control    "0"
3360          sggui_pos       "-1,-1,-1,-1"
3361          block_type          "concat"
[598]3362          block_version       "VER_STRING_GOES_HERE"
[307]3363          sg_icon_stat        "50,225,16,1,white,blue,0,aea984b7"
3364          sg_mask_display     "fprintf('','COMMENT: begin icon gra"
3365"phics ');\npatch([0 50 50 0 ],[0 0 225 225 ],[0.77 0.82 0.91]);\npatch([11 3 "
3366"15 3 11 24 28 32 46 35 24 16 28 16 24 35 46 32 28 24 11 ],[93 101 113 125 133"
3367" 133 129 133 133 122 133 125 113 101 93 104 93 93 97 93 93 ],[0.98 0.96 0.92]"
3368");\nplot([0 0 50 50 0 ],[0 225 225 0 0 ]);\nfprintf('','COMMENT: end icon gra"
3369"phics');\n\nfprintf('','COMMENT: begin icon text ');\ncolor('black');port_lab"
3370"el('input',1,'hi');\ncolor('black');port_label('input',16,'lo');\nfprintf('',"
3371"'COMMENT: end icon text');\n"
3372        }
3373        Block {
3374          BlockType       Reference
3375          Name            "Concat1"
3376          Ports           [16, 1]
3377          Position        [125, 30, 175, 255]
3378          SourceBlock         "xbsIndex_r4/Concat"
3379          SourceType          "Xilinx Bus Concatenator Block"
3380          infoedit        "Concatenates two or more inputs.  O"
3381"utput will be cast to an unsigned value with the binary point at zero."
3382          num_inputs          "16"
[598]3383          dbl_ovrd        off
[307]3384          has_advanced_control    "0"
3385          sggui_pos       "-1,-1,-1,-1"
3386          block_type          "concat"
[598]3387          block_version       "VER_STRING_GOES_HERE"
[307]3388          sg_icon_stat        "50,225,16,1,white,blue,0,aea984b7"
3389          sg_mask_display     "fprintf('','COMMENT: begin icon gra"
3390"phics ');\npatch([0 50 50 0 ],[0 0 225 225 ],[0.77 0.82 0.91]);\npatch([11 3 "
3391"15 3 11 24 28 32 46 35 24 16 28 16 24 35 46 32 28 24 11 ],[93 101 113 125 133"
3392" 133 129 133 133 122 133 125 113 101 93 104 93 93 97 93 93 ],[0.98 0.96 0.92]"
3393");\nplot([0 0 50 50 0 ],[0 225 225 0 0 ]);\nfprintf('','COMMENT: end icon gra"
3394"phics');\n\nfprintf('','COMMENT: begin icon text ');\ncolor('black');port_lab"
3395"el('input',1,'hi');\ncolor('black');port_label('input',16,'lo');\nfprintf('',"
3396"'COMMENT: end icon text');\n"
3397        }
3398        Block {
3399          BlockType       Reference
3400          Name            "Concat2"
3401          Ports           [2, 1]
3402          Position        [260, 139, 310, 366]
3403          SourceBlock         "xbsIndex_r4/Concat"
3404          SourceType          "Xilinx Bus Concatenator Block"
3405          infoedit        "Concatenates two or more inputs.  O"
3406"utput will be cast to an unsigned value with the binary point at zero."
3407          num_inputs          "2"
[598]3408          dbl_ovrd        off
[307]3409          has_advanced_control    "0"
3410          sggui_pos       "-1,-1,-1,-1"
3411          block_type          "concat"
[598]3412          block_version       "VER_STRING_GOES_HERE"
[307]3413          sg_icon_stat        "50,227,2,1,white,blue,0,59d62d82"
3414          sg_mask_display     "fprintf('','COMMENT: begin icon gra"
3415"phics ');\npatch([0 50 50 0 ],[0 0 227 227 ],[0.77 0.82 0.91]);\npatch([11 3 "
3416"15 3 11 24 28 32 46 35 24 16 28 16 24 35 46 32 28 24 11 ],[94 102 114 126 134"
3417" 134 130 134 134 123 134 126 114 102 94 105 94 94 98 94 94 ],[0.98 0.96 0.92]"
3418");\nplot([0 0 50 50 0 ],[0 227 227 0 0 ]);\nfprintf('','COMMENT: end icon gra"
3419"phics');\n\nfprintf('','COMMENT: begin icon text ');\ncolor('black');port_lab"
3420"el('input',1,'hi');\ncolor('black');port_label('input',2,'lo');\nfprintf('','"
3421"COMMENT: end icon text');\n"
3422        }
3423        Block {
3424          BlockType       Outport
3425          Name            "32 bits out"
3426          Position        [370, 245, 390, 265]
3427          IconDisplay         "Port number"
3428          BusOutputAsStruct   off
3429        }
3430        Line {
3431          SrcBlock        "1 bit in"
3432          SrcPort         1
3433          Points          [0, 0; 60, 0]
3434          Branch {
3435            Points          [0, 0]
3436            Branch {
3437            Points          [0, -75]
3438            Branch {
3439            Points          [0, -15]
3440            Branch {
3441            Points          [0, -10]
3442            Branch {
3443            Points          [0, -10]
3444            Branch {
3445            Points          [0, -10]
3446            Branch {
3447            Points          [0, -10]
3448            Branch {
3449            Points          [0, -10]
3450            Branch {
3451            Points          [0, -10]
3452            Branch {
3453            Points          [0, -10]
3454            Branch {
3455            Points          [0, -10]
3456            Branch {
3457            Points          [0, -5]
3458            Branch {
3459            Points          [0, -10]
3460            Branch {
3461            Points          [0, -15]
3462            Branch {
3463            Points          [0, -10]
3464            Branch {
3465            Points          [0, -10]
3466            Branch {
3467            DstBlock            "Concat1"
3468            DstPort         1
3469            }
3470            Branch {
3471            DstBlock            "Concat1"
3472            DstPort         2
3473            }
3474            }
3475            Branch {
3476            DstBlock            "Concat1"
3477            DstPort         3
3478            }
3479            }
3480            Branch {
3481            DstBlock            "Concat1"
3482            DstPort         4
3483            }
3484            }
3485            Branch {
3486            DstBlock            "Concat1"
3487            DstPort         5
3488            }
3489            }
3490            Branch {
3491            DstBlock            "Concat1"
3492            DstPort         6
3493            }
3494            }
3495            Branch {
3496            DstBlock            "Concat1"
3497            DstPort         7
3498            }
3499            }
3500            Branch {
3501            DstBlock            "Concat1"
3502            DstPort         8
3503            }
3504            }
3505            Branch {
3506            DstBlock            "Concat1"
3507            DstPort         9
3508            }
3509            }
3510            Branch {
3511            DstBlock            "Concat1"
3512            DstPort         10
3513            }
3514            }
3515            Branch {
3516            DstBlock            "Concat1"
3517            DstPort         11
3518            }
3519            }
3520            Branch {
3521            DstBlock            "Concat1"
3522            DstPort         12
3523            }
3524            }
3525            Branch {
3526            DstBlock            "Concat1"
3527            DstPort         13
3528            }
3529            }
3530            Branch {
3531            DstBlock            "Concat1"
3532            DstPort         14
3533            }
3534            }
3535            Branch {
3536            DstBlock            "Concat1"
3537            DstPort         15
3538            }
3539            }
3540            Branch {
3541            DstBlock            "Concat1"
3542            DstPort         16
3543            }
3544            }
3545            Branch {
3546            DstBlock            "Concat"
3547            DstPort         1
3548            }
3549          }
3550          Branch {
3551            Points          [0, 10]
3552            Branch {
3553            Points          [0, 10]
3554            Branch {
3555            Points          [0, 10]
3556            Branch {
3557            Points          [0, 5]
3558            Branch {
3559            Points          [0, 15]
3560            Branch {
3561            Points          [0, 10]
3562            Branch {
3563            Points          [0, 5]
3564            Branch {
3565            Points          [0, 15]
3566            Branch {
3567            Points          [0, 10]
3568            Branch {
3569            Points          [0, 5]
3570            Branch {
3571            Points          [0, 10]
3572            Branch {
3573            Points          [0, 10]
3574            Branch {
3575            Points          [0, 5]
3576            Branch {
3577            Points          [0, 15]
3578            Branch {
3579            DstBlock            "Concat"
3580            DstPort         16
3581            }
3582            Branch {
3583            DstBlock            "Concat"
3584            DstPort         15
3585            }
3586            }
3587            Branch {
3588            DstBlock            "Concat"
3589            DstPort         13
3590            }
3591            }
3592            Branch {
3593            DstBlock            "Concat"
3594            DstPort         14
3595            }
3596            }
3597            Branch {
3598            DstBlock            "Concat"
3599            DstPort         12
3600            }
3601            }
3602            Branch {
3603            DstBlock            "Concat"
3604            DstPort         11
3605            }
3606            }
3607            Branch {
3608            DstBlock            "Concat"
3609            DstPort         10
3610            }
3611            }
3612            Branch {
3613            DstBlock            "Concat"
3614            DstPort         9
3615            }
3616            }
3617            Branch {
3618            DstBlock            "Concat"
3619            DstPort         8
3620            }
3621            }
3622            Branch {
3623            DstBlock            "Concat"
3624            DstPort         7
3625            }
3626            }
3627            Branch {
3628            DstBlock            "Concat"
3629            DstPort         6
3630            }
3631            }
3632            Branch {
3633            DstBlock            "Concat"
3634            DstPort         5
3635            }
3636            }
3637            Branch {
3638            DstBlock            "Concat"
3639            DstPort         4
3640            }
3641            }
3642            Branch {
3643            DstBlock            "Concat"
3644            DstPort         3
3645            }
3646            }
3647            Branch {
3648            DstBlock            "Concat"
3649            DstPort         2
3650            }
3651          }
3652        }
3653        Line {
3654          SrcBlock        "Concat1"
3655          SrcPort         1
3656          Points          [30, 0; 0, 50]
3657          DstBlock        "Concat2"
3658          DstPort         1
3659        }
3660        Line {
3661          SrcBlock        "Concat"
3662          SrcPort         1
3663          Points          [45, 0; 0, -80]
3664          DstBlock        "Concat2"
3665          DstPort         2
3666        }
3667        Line {
3668          SrcBlock        "Concat2"
3669          SrcPort         1
3670          DstBlock        "32 bits out"
3671          DstPort         1
3672        }
3673          }
3674        }
3675        Block {
3676          BlockType           Reference
3677          Name            "valid_data"
3678          Ports           [2, 1]
3679          Position            [730, 218, 775, 262]
3680          SourceBlock         "xbsIndex_r4/Logical"
3681          SourceType          "Xilinx Logical Block Block"
3682          logical_function        "AND"
3683          inputs              "2"
[598]3684          en              off
[307]3685          latency             "0"
3686          precision           "Full"
3687          arith_type          "Unsigned"
3688          n_bits              "8"
3689          bin_pt              "2"
[598]3690          align_bp            on
3691          dbl_ovrd            off
3692          xl_use_area         off
[307]3693          xl_area             "[0, 0, 0, 0, 0, 0, 0]"
3694          has_advanced_control    "0"
3695          sggui_pos           "-1,-1,-1,-1"
3696          block_type          "logical"
[598]3697          block_version       "VER_STRING_GOES_HERE"
[307]3698          sg_icon_stat        "45,44,2,1,white,blue,0,087b5522"
3699          sg_mask_display         "fprintf('','COMMENT: begin icon graphic"
3700"s ');\npatch([0 45 45 0 ],[0 0 44 44 ],[0.77 0.82 0.91]);\npatch([10 3 13 3 1"
3701"0 22 25 28 40 30 21 14 23 14 21 30 40 28 25 22 10 ],[5 12 22 32 39 39 36 39 3"
3702"9 29 38 31 22 13 6 15 5 5 8 5 5 ],[0.98 0.96 0.92]);\nplot([0 0 45 45 0 ],[0 "
3703"44 44 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\n\nfprintf('','COMME"
3704"NT: begin icon text ');\ncolor('black');disp('\\newlineand\\newlinez^{-0}','t"
3705"exmode','on');\nfprintf('','COMMENT: end icon text');\n"
3706        }
3707        Block {
3708          BlockType           Outport
3709          Name            "sgp_DBus"
3710          Position            [815, 233, 845, 247]
3711          IconDisplay         "Port number"
3712          BusOutputAsStruct       off
3713        }
3714        Block {
3715          BlockType           Outport
3716          Name            "ack_out"
3717          Position            [705, 308, 735, 322]
3718          Port            "2"
3719          IconDisplay         "Port number"
3720          BusOutputAsStruct       off
3721        }
3722        Line {
3723          SrcBlock            "addr"
3724          SrcPort             1
3725          DstBlock            "Slice"
3726          DstPort             1
3727        }
3728        Line {
3729          SrcBlock            "repeater"
3730          SrcPort             1
3731          DstBlock            "valid_data"
3732          DstPort             2
3733        }
3734        Line {
3735          SrcBlock            "valid_data"
3736          SrcPort             1
3737          DstBlock            "sgp_DBus"
3738          DstPort             1
3739        }
3740        Line {
3741          SrcBlock            "ack_in"
3742          SrcPort             1
3743          DstBlock            "Delay"
3744          DstPort             1
3745        }
3746        Line {
3747          SrcBlock            "Delay"
3748          SrcPort             1
3749          Points              [5, 0]
3750          Branch {
3751        DstBlock        "repeater"
3752        DstPort         1
3753          }
3754          Branch {
3755        Points          [0, 65]
3756        DstBlock        "ack_out"
3757        DstPort         1
3758          }
3759        }
3760      }
3761    }
3762    Line {
3763      SrcBlock        "OPB2IP_IF"
3764      SrcPort         3
3765      DstBlock        "Terminator"
3766      DstPort         1
3767    }
3768    Line {
3769      Name            "opb read"
3770      Labels          [0, 0]
3771      SrcBlock        "OPB2IP_IF"
3772      SrcPort         7
3773      Points          [300, 0]
3774      DstBlock        "en_gen"
3775      DstPort         3
3776    }
3777    Line {
3778      SrcBlock        "OPB2IP_IF"
3779      SrcPort         5
3780      DstBlock        "Terminator2"
3781      DstPort         1
3782    }
3783    Line {
3784      Name            "opb reset"
3785      Labels          [0, 0]
3786      SrcBlock        "OPB2IP_IF"
3787      SrcPort         6
3788      Points          [225, 0]
3789      DstBlock        "ack_gen"
3790      DstPort         2
3791    }
3792    Line {
3793      Name            "decode"
3794      Labels          [0, 0]
3795      SrcBlock        "From"
3796      SrcPort         1
3797      DstBlock        "ack_gen"
3798      DstPort         1
3799    }
3800    Line {
3801      SrcBlock        "ack_gen"
3802      SrcPort         1
3803      DstBlock        "en_gen"
3804      DstPort         1
3805    }
3806    Line {
3807      SrcBlock        "Constant2"
3808      SrcPort         1
3809      Points          [0, 0; 15, 0]
3810      Branch {
3811        DstBlock            "IP2OPB_IF"
3812        DstPort         3
3813      }
3814      Branch {
3815        Points          [0, 35]
3816        Branch {
3817          DstBlock            "IP2OPB_IF"
3818          DstPort             4
3819        }
3820        Branch {
3821          Points              [0, 35]
3822          DstBlock            "IP2OPB_IF"
3823          DstPort             5
3824        }
3825      }
3826    }
3827    Line {
3828      Name            "opb addr"
3829      Labels          [0, 0]
3830      SrcBlock        "OPB2IP_IF"
3831      SrcPort         1
3832      Points          [0, 0; 60, 0]
3833      Branch {
3834        Points          [0, 85]
3835        DstBlock            "en_gen"
3836        DstPort         2
3837      }
3838      Branch {
3839        DstBlock            "Goto"
3840        DstPort         1
3841      }
3842    }
3843    Line {
3844      Name            "opb select"
3845      Labels          [0, 0]
3846      SrcBlock        "OPB2IP_IF"
3847      SrcPort         2
3848      Points          [70, 0]
3849      DstBlock        "Goto1"
3850      DstPort         1
3851    }
3852    Line {
3853      Name            "opb data"
3854      Labels          [0, 0]
3855      SrcBlock        "OPB2IP_IF"
3856      SrcPort         4
3857      Points          [160, 0; 0, -125]
3858      DstBlock        "mem_if_in"
3859      DstPort         1
3860    }
3861    Line {
3862      SrcBlock        "en_gen"
3863      SrcPort         1
3864      Points          [105, 0]
3865      DstBlock        "mem_if_out"
3866      DstPort         1
3867    }
3868    Line {
3869      SrcBlock        "en_gen"
3870      SrcPort         2
3871      Points          [105, 0]
3872      DstBlock        "mem_if_out"
3873      DstPort         2
3874    }
3875    Line {
3876      SrcBlock        "mem_if_out"
3877      SrcPort         1
3878      Points          [60, 0; 0, 40]
3879      DstBlock        "IP2OPB_IF"
3880      DstPort         1
3881    }
3882    Line {
3883      SrcBlock        "mem_if_out"
3884      SrcPort         2
3885      DstBlock        "IP2OPB_IF"
3886      DstPort         2
3887    }
3888      }
3889    }
3890    Block {
3891      BlockType           SubSystem
3892      Name            "p_select8"
3893      Ports           [2, 1]
3894      Position            [95, 326, 155, 364]
3895      BackgroundColor         "[0.713725, 0.733333, 0.862745]"
3896      UserDataPersistent      on
[572]3897      UserData            "DataTag0"
[307]3898      MinAlgLoopOccurrences   off
3899      RTWSystemCode       "Auto"
[598]3900      FunctionWithSeparateData off
[307]3901      MaskHideContents        off
3902      MaskType            "pselect"
3903      MaskDescription         "Address Decoding Logic"
3904      MaskPromptString        "Base Address|High Address|Address Bus Bit Width"
3905"|Data Bus Bit Width"
3906      MaskStyleString         "edit,edit,edit,edit"
3907      MaskTunableValueString  "off,off,off,off"
3908      MaskCallbackString      "|||"
3909      MaskEnableString        "on,on,on,on"
3910      MaskVisibilityString    "on,on,on,on"
3911      MaskToolTipString       "on,on,on,on"
3912      MaskVarAliasString      ",,,"
3913      MaskVariables       "C_BASE=@1;C_HIGH=@2;C_OPB_AWIDTH=@3;C_OPB_DWIDT"
3914"H=@4;"
3915      MaskInitialization      "mdlGlobal = xlglobal(bdroot(gcbh));\nif iskey(m"
3916"dlGlobal,'mdlHandle')\n  put(mdlGlobal,'opb_export_tool_v2_c_base', C_BASE);"
3917"\n  put(mdlGlobal,'opb_export_tool_v2_c_high', C_HIGH);\n  put(mdlGlobal,'opb"
3918"_export_tool_v2_c_opb_awidth', C_OPB_AWIDTH);\n  put(mdlGlobal,'opb_export_to"
3919"ol_v2_c_opb_dwidth', C_OPB_DWIDTH);\nend"
3920      MaskIconFrame       on
3921      MaskIconOpaque          on
3922      MaskIconRotate          "none"
3923      MaskIconUnits       "autoscale"
3924      MaskValueString         "hex2dec('FFFE0100')|hex2dec('FFFE01FF')|32|32"
3925      MaskTabNameString       ",,,"
3926      System {
3927    Name            "p_select8"
[598]3928    Location        [327, 162, 1062, 721]
[307]3929    Open            off
3930    ModelBrowserVisibility  off
3931    ModelBrowserWidth   200
3932    ScreenColor     "white"
3933    PaperOrientation    "landscape"
3934    PaperPositionMode   "auto"
3935    PaperType       "usletter"
3936    PaperUnits      "inches"
[598]3937    TiledPaperMargins   [0.500000, 0.500000, 0.500000, 0.500000]
3938    TiledPageScale      1
3939    ShowPageBoundaries  off
[307]3940    ZoomFactor      "100"
3941    Block {
3942      BlockType       Inport
3943      Name            "addr"
3944      Position        [20, 53, 50, 67]
3945      IconDisplay         "Port number"
3946    }
3947    Block {
3948      BlockType       Inport
3949      Name            "a_valid"
3950      Position        [20, 168, 50, 182]
3951      Port            "2"
3952      IconDisplay         "Port number"
3953    }
3954    Block {
3955      BlockType       Reference
3956      Name            "Constant"
3957      Ports           [0, 1]
3958      Position        [55, 102, 125, 118]
3959      SourceBlock         "xbsIndex_r4/Constant"
3960      SourceType          "Xilinx Constant Block Block"
3961      arith_type          "Unsigned"
3962      const           "C_BASE"
3963      n_bits          "32"
3964      bin_pt          "0"
[598]3965      explicit_period     off
[307]3966      period          "1"
[598]3967      dsp48_infoedit      "The use of this block for DSP48 instruction"
3968"s is deprecated.  Please use the Opmode block."
[307]3969      equ             "P=C"
3970      opselect        "C"
3971      inp2            "PCIN>>17"
3972      opr             "+"
3973      inp1            "P"
3974      carry           "CIN"
[598]3975      dbl_ovrd        off
[307]3976      has_advanced_control    "0"
3977      sggui_pos       "-1,-1,-1,-1"
3978      block_type          "constant"
[598]3979      block_version       "VER_STRING_GOES_HERE"
[307]3980      sg_icon_stat        "70,16,0,1,white,blue,0,043fcfb0"
3981      sg_mask_display     "fprintf('','COMMENT: begin icon graphics ')"
3982";\npatch([0 70 70 0 ],[0 0 16 16 ],[0.77 0.82 0.91]);\npatch([31 28 32 28 31 "
3983"35 36 37 42 38 35 33 37 33 35 38 42 37 36 35 31 ],[2 5 9 13 16 16 15 16 16 12"
3984" 15 13 9 5 3 6 2 2 3 2 2 ],[0.98 0.96 0.92]);\nplot([0 0 70 70 0 ],[0 16 16 0"
3985" 0 ]);\nfprintf('','COMMENT: end icon graphics');\n\nfprintf('','COMMENT: beg"
3986"in icon text ');\ncolor('black');port_label('output',1,'4294836480');\nfprint"
3987"f('','COMMENT: end icon text');\nfprintf('','COMMENT: Make no changes above t"
3988"his line -- machine generated code. ');\n"
3989    }
3990    Block {
3991      BlockType       Reference
3992      Name            "Logical"
3993      Ports           [2, 1]
3994      Position        [325, 144, 370, 186]
3995      ShowName        off
3996      SourceBlock         "xbsIndex_r4/Logical"
3997      SourceType          "Xilinx Logical Block Block"
3998      logical_function    "AND"
3999      inputs          "2"
[598]4000      en              off
[307]4001      latency         "0"
4002      precision       "Full"
4003      arith_type          "Unsigned"
4004      n_bits          "8"
4005      bin_pt          "2"
[598]4006      align_bp        on
4007      dbl_ovrd        off
4008      xl_use_area         off
[307]4009      xl_area         "[0, 0, 0, 0, 0, 0, 0]"
4010      has_advanced_control    "0"
4011      sggui_pos       "-1,-1,-1,-1"
4012      block_type          "logical"
[598]4013      block_version       "VER_STRING_GOES_HERE"
[307]4014      sg_icon_stat        "45,42,2,1,white,blue,0,087b5522"
4015      sg_mask_display     "fprintf('','COMMENT: begin icon graphics ')"
4016";\npatch([0 45 45 0 ],[0 0 42 42 ],[0.77 0.82 0.91]);\npatch([11 4 14 4 11 22"
4017" 25 28 40 31 22 15 25 15 22 31 40 28 25 22 11 ],[5 12 22 32 39 39 36 39 39 30"
4018" 39 32 22 12 5 14 5 5 8 5 5 ],[0.98 0.96 0.92]);\nplot([0 0 45 45 0 ],[0 42 4"
4019"2 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\n\nfprintf('','COMMENT: "
4020"begin icon text ');\ncolor('black');disp('\\newlineand\\newlinez^{-0}','texmo"
4021"de','on');\nfprintf('','COMMENT: end icon text');\n"
4022    }
4023    Block {
4024      BlockType       Reference
4025      Name            "Relational"
4026      Ports           [2, 1]
4027      Position        [235, 37, 280, 133]
4028      SourceBlock         "xbsIndex_r4/Relational"
4029      SourceType          "Xilinx Arithmetic Relational Operator Block"
4030      mode            "a=b"
[598]4031      en              off
[307]4032      latency         "0"
[598]4033      dbl_ovrd        off
4034      xl_use_area         off
[307]4035      xl_area         "[0, 0, 0, 0, 0, 0, 0]"
4036      has_advanced_control    "0"
4037      sggui_pos       "-1,-1,-1,-1"
4038      block_type          "relational"
[598]4039      block_version       "VER_STRING_GOES_HERE"
[307]4040      sg_icon_stat        "45,96,2,1,white,blue,0,1b68ef8e"
4041      sg_mask_display     "fprintf('','COMMENT: begin icon graphics ')"
4042";\npatch([0 45 45 0 ],[0 0 96 96 ],[0.77 0.82 0.91]);\npatch([10 3 14 3 10 22"
4043" 25 28 41 31 21 14 25 14 21 31 41 28 25 22 10 ],[30 37 48 59 66 66 63 66 66 5"
4044"6 66 59 48 37 30 40 30 30 33 30 30 ],[0.98 0.96 0.92]);\nplot([0 0 45 45 0 ],"
4045"[0 96 96 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\n\nfprintf('','CO"
4046"MMENT: begin icon text ');\ncolor('black');port_label('input',1,'a');\ncolor("
4047"'black');port_label('input',2,'b');\ncolor('black');disp('\\newline\\bf{a=b}"
4048"\\newlinez^{-0}','texmode','on');\nfprintf('','COMMENT: end icon text');\n"
4049    }
4050    Block {
4051      BlockType       Reference
4052      Name            "Slice_C"
4053      Ports           [1, 1]
4054      Position        [150, 48, 200, 72]
4055      SourceBlock         "xbsIndex_r4/Slice"
4056      SourceType          "Xilinx Bit Slice Extractor Block"
4057      infoedit        "Extracts a given range of bits from each in"
4058"put sample and presents it at the output.  The output type is ordinarily unsi"
4059"gned with binary point at zero, but can be Boolean when the slice is one bit "
4060"wide.<P><P>Hardware notes: In hardware this block costs nothing."
4061      nbits           "32-ceil(log2(C_HIGH-C_BASE))"
[598]4062      boolean_output      off
[307]4063      mode            "Upper Bit Location + Width"
4064      bit1            "0"
4065      base1           "MSB of Input"
4066      bit0            "0"
4067      base0           "LSB of Input"
[598]4068      dbl_ovrd        off
[307]4069      has_advanced_control    "0"
4070      sggui_pos       "-1,-1,-1,-1"
4071      block_type          "slice"
[598]4072      block_version       "VER_STRING_GOES_HERE"
[307]4073      sg_icon_stat        "50,24,1,1,white,blue,0,fe24a11e"
4074      sg_mask_display     "fprintf('','COMMENT: begin icon graphics ')"
4075";\npatch([0 50 50 0 ],[0 0 24 24 ],[0.77 0.82 0.91]);\npatch([19 15 21 15 19 "
4076"25 27 29 36 31 26 22 28 22 26 31 36 29 27 25 19 ],[3 7 13 19 23 23 21 23 23 1"
4077"8 23 19 13 7 3 8 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 0 50 50 0 ],[0 24 24"
4078" 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\n\nfprintf('','COMMENT: b"
4079"egin icon text ');\ncolor('black');port_label('output',1,'[a:b]');\nfprintf('"
4080"','COMMENT: end icon text');\n"
4081    }
4082    Block {
4083      BlockType       Reference
4084      Name            "Slice_D"
4085      Ports           [1, 1]
4086      Position        [150, 98, 200, 122]
4087      SourceBlock         "xbsIndex_r4/Slice"
4088      SourceType          "Xilinx Bit Slice Extractor Block"
4089      infoedit        "Extracts a given range of bits from each in"
4090"put sample and presents it at the output.  The output type is ordinarily unsi"
4091"gned with binary point at zero, but can be Boolean when the slice is one bit "
4092"wide.<P><P>Hardware notes: In hardware this block costs nothing."
4093      nbits           "32-ceil(log2(C_HIGH-C_BASE))"
[598]4094      boolean_output      off
[307]4095      mode            "Upper Bit Location + Width"
4096      bit1            "0"
4097      base1           "MSB of Input"
4098      bit0            "0"
4099      base0           "LSB of Input"
[598]4100      dbl_ovrd        off
[307]4101      has_advanced_control    "0"
4102      sggui_pos       "-1,-1,-1,-1"
4103      block_type          "slice"
[598]4104      block_version       "VER_STRING_GOES_HERE"
[307]4105      sg_icon_stat        "50,24,1,1,white,blue,0,fe24a11e"
4106      sg_mask_display     "fprintf('','COMMENT: begin icon graphics ')"
4107";\npatch([0 50 50 0 ],[0 0 24 24 ],[0.77 0.82 0.91]);\npatch([19 15 21 15 19 "
4108"25 27 29 36 31 26 22 28 22 26 31 36 29 27 25 19 ],[3 7 13 19 23 23 21 23 23 1"
4109"8 23 19 13 7 3 8 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 0 50 50 0 ],[0 24 24"
4110" 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\n\nfprintf('','COMMENT: b"
4111"egin icon text ');\ncolor('black');port_label('output',1,'[a:b]');\nfprintf('"
4112"','COMMENT: end icon text');\n"
4113    }
4114    Block {
4115      BlockType       Outport
4116      Name            "ps"
4117      Position        [395, 158, 425, 172]
4118      IconDisplay         "Port number"
4119      BusOutputAsStruct   off
4120    }
4121    Line {
4122      SrcBlock        "Slice_C"
4123      SrcPort         1
4124      DstBlock        "Relational"
4125      DstPort         1
4126    }
4127    Line {
4128      SrcBlock        "addr"
4129      SrcPort         1
4130      DstBlock        "Slice_C"
4131      DstPort         1
4132    }
4133    Line {
4134      SrcBlock        "Constant"
4135      SrcPort         1
4136      DstBlock        "Slice_D"
4137      DstPort         1
4138    }
4139    Line {
4140      SrcBlock        "Relational"
4141      SrcPort         1
4142      Points          [10, 0; 0, 70]
4143      DstBlock        "Logical"
4144      DstPort         1
4145    }
4146    Line {
4147      SrcBlock        "a_valid"
4148      SrcPort         1
4149      DstBlock        "Logical"
4150      DstPort         2
4151    }
4152    Line {
4153      SrcBlock        "Logical"
4154      SrcPort         1
4155      DstBlock        "ps"
4156      DstPort         1
4157    }
4158    Line {
4159      SrcBlock        "Slice_D"
4160      SrcPort         1
4161      DstBlock        "Relational"
4162      DstPort         2
4163    }
4164      }
4165    }
4166    Block {
4167      BlockType           Goto
4168      Name            "ps_goto"
4169      Position            [185, 240, 225, 270]
4170      GotoTag             "ps"
4171      TagVisibility       "global"
4172    }
4173  }
4174}
4175MatData {
[572]4176  NumRecords          1
[307]4177  DataRecord {
[572]4178    Tag             DataTag0
[307]4179    Data            "  %)30     .    \" (   8    (     @         %    "
4180"\"     $    !     0         %  0 $     $    0    <WES9V5N:6YT97)F86-E  X   \""
4181"X 0  !@    @    \"          4    (     0    $    !          4 !  -     0   $$"
4182"   !P<F]C97-S;W(     8U]B87-E         &-?:&EG:         !C7V]P8E]A=VED=&@ 8U]O"
4183"<&)?9'=I9'1H           .    ,     8    (    !          %    \"     $    #    "
4184" 0         0  , <'!C  X    X    !@    @    $          4    (     0    @    ! "
4185"        !     (    1D9&13 Q,# .    .     8    (    !          %    \"     $  "
4186"  (     0         0    \"    $9&1D4P,49&#@   #@    &    \"     8         !0  "
4187"  @    !     0    $         \"0    @           ! 0 X    X    !@    @    &    "
4188"      4    (     0    $    !          D    (            0$ "
4189  }
4190}
Note: See TracBrowser for help on using the repository browser.