source: ResearchApps/PHY/TIMER/SG10/warp_timer.mdl

Last change on this file was 1722, checked in by murphpo, 12 years ago
File size: 988.0 KB
Line 
1Model {
2  Name            "warp_timer"
3  Version         7.1
4  MdlSubVersion       0
5  GraphicalInterface {
6    NumRootInports      0
7    NumRootOutports     0
8    ParameterArgumentNames  ""
9    ComputedModelVersion    "1.243"
10    NumModelReferences      0
11    NumTestPointedSignals   0
12  }
13  SavedCharacterEncoding  "windows-1252"
14  SaveDefaultBlockParams  on
15  SampleTimeColors    on
16  LibraryLinkDisplay      "none"
17  WideLines       off
18  ShowLineDimensions      off
19  ShowPortDataTypes   off
20  ShowLoopsOnError    on
21  IgnoreBidirectionalLines off
22  ShowStorageClass    off
23  ShowTestPointIcons      on
24  ShowSignalResolutionIcons on
25  ShowViewerIcons     on
26  SortedOrder         off
27  ExecutionContextIcon    off
28  ShowLinearizationAnnotations on
29  ScopeRefreshTime    0.035000
30  OverrideScopeRefreshTime on
31  DisableAllScopes    off
32  DataTypeOverride    "UseLocalSettings"
33  MinMaxOverflowLogging   "UseLocalSettings"
34  MinMaxOverflowArchiveMode "Overwrite"
35  BlockNameDataTip    off
36  BlockParametersDataTip  off
37  BlockDescriptionStringDataTip off
38  ToolBar         on
39  StatusBar       on
40  BrowserShowLibraryLinks off
41  BrowserLookUnderMasks   off
42  Created         "Sun Feb 18 17:49:04 2007"
43  Creator         "CMC"
44  UpdateHistory       "UpdateHistoryNever"
45  ModifiedByFormat    "%<Auto>"
46  LastModifiedBy      "murphpo"
47  ModifiedDateFormat      "%<Auto>"
48  LastModifiedDate    "Thu Jun 25 18:09:54 2009"
49  RTWModifiedTimeStamp    0
50  ModelVersionFormat      "1.%<AutoIncrement:243>"
51  ConfigurationManager    "None"
52  SimulationMode      "normal"
53  LinearizationMsg    "none"
54  Profile         off
55  ParamWorkspaceSource    "MATLABWorkspace"
56  AccelSystemTargetFile   "accel.tlc"
57  AccelTemplateMakefile   "accel_default_tmf"
58  AccelMakeCommand    "make_rtw"
59  TryForcingSFcnDF    off
60  RecordCoverage      off
61  CovPath         "/"
62  CovSaveName         "covdata"
63  CovMetricSettings   "dw"
64  CovNameIncrementing     off
65  CovHtmlReporting    on
66  covSaveCumulativeToWorkspaceVar on
67  CovSaveSingleToWorkspaceVar on
68  CovCumulativeVarName    "covCumulativeData"
69  CovCumulativeReport     off
70  CovReportOnPause    on
71  CovModelRefEnable   "Off"
72  ExtModeBatchMode    off
73  ExtModeEnableFloating   on
74  ExtModeTrigType     "manual"
75  ExtModeTrigMode     "normal"
76  ExtModeTrigPort     "1"
77  ExtModeTrigElement      "any"
78  ExtModeTrigDuration     1000
79  ExtModeTrigDurationFloating "auto"
80  ExtModeTrigHoldOff      0
81  ExtModeTrigDelay    0
82  ExtModeTrigDirection    "rising"
83  ExtModeTrigLevel    0
84  ExtModeArchiveMode      "off"
85  ExtModeAutoIncOneShot   off
86  ExtModeIncDirWhenArm    off
87  ExtModeAddSuffixToVar   off
88  ExtModeWriteAllDataToWs off
89  ExtModeArmWhenConnect   on
90  ExtModeSkipDownloadWhenConnect off
91  ExtModeLogAll       on
92  ExtModeAutoUpdateStatusClock on
93  BufferReuse         on
94  ShowModelReferenceBlockVersion off
95  ShowModelReferenceBlockIO off
96  Array {
97    Type            "Handle"
98    Dimension           1
99    Simulink.ConfigSet {
100      $ObjectID           1
101      Version             "1.4.0"
102      Array {
103    Type            "Handle"
104    Dimension       7
105    Simulink.SolverCC {
106      $ObjectID       2
107      Version         "1.4.0"
108      StartTime       "0.0"
109      StopTime        "1000"
110      AbsTol          "auto"
111      FixedStep       "auto"
112      InitialStep         "auto"
113      MaxNumMinSteps      "-1"
114      MaxOrder        5
115      ZcThreshold         "auto"
116      ConsecutiveZCsStepRelTol "10*128*eps"
117      MaxConsecutiveZCs   "1000"
118      ExtrapolationOrder      4
119      NumberNewtonIterations  1
120      MaxStep         "auto"
121      MinStep         "auto"
122      MaxConsecutiveMinStep   "1"
123      RelTol          "1e-3"
124      SolverMode          "Auto"
125      Solver          "ode45"
126      SolverName          "ode45"
127      ShapePreserveControl    "DisableAll"
128      ZeroCrossControl    "UseLocalSettings"
129      ZeroCrossAlgorithm      "Non-adaptive"
130      AlgebraicLoopSolver     "TrustRegion"
131      SolverResetMethod   "Fast"
132      PositivePriorityOrder   off
133      AutoInsertRateTranBlk   off
134      SampleTimeConstraint    "Unconstrained"
135      InsertRTBMode       "Whenever possible"
136    }
137    Simulink.DataIOCC {
138      $ObjectID       3
139      Version         "1.4.0"
140      Decimation          "1"
141      ExternalInput       "[t, u]"
142      FinalStateName      "xFinal"
143      InitialState        "xInitial"
144      LimitDataPoints     on
145      MaxDataPoints       "1000"
146      LoadExternalInput   off
147      LoadInitialState    off
148      SaveFinalState      off
149      SaveFormat          "Array"
150      SaveOutput          on
151      SaveState       off
152      SignalLogging       on
153      InspectSignalLogs   off
154      SaveTime        on
155      StateSaveName       "xout"
156      TimeSaveName        "tout"
157      OutputSaveName      "yout"
158      SignalLoggingName   "logsout"
159      OutputOption        "RefineOutputTimes"
160      OutputTimes         "[]"
161      Refine          "1"
162    }
163    Simulink.OptimizationCC {
164      $ObjectID       4
165      Array {
166        Type            "Cell"
167        Dimension           5
168        Cell            "ZeroExternalMemoryAtStartup"
169        Cell            "ZeroInternalMemoryAtStartup"
170        Cell            "InitFltsAndDblsToZero"
171        Cell            "OptimizeModelRefInitCode"
172        Cell            "NoFixptDivByZeroProtection"
173        PropName            "DisabledProps"
174      }
175      Version         "1.4.0"
176      BlockReduction      on
177      BooleanDataType     on
178      ConditionallyExecuteInputs on
179      InlineParams        off
180      InlineInvariantSignals  off
181      OptimizeBlockIOStorage  on
182      BufferReuse         on
183      EnhancedBackFolding     off
184      EnforceIntegerDowncast  on
185      ExpressionFolding   on
186      ExpressionDepthLimit    2147483647
187      FoldNonRolledExpr   on
188      LocalBlockOutputs   on
189      RollThreshold       5
190      SystemCodeInlineAuto    off
191      StateBitsets        off
192      DataBitsets         off
193      UseTempVars         off
194      ZeroExternalMemoryAtStartup on
195      ZeroInternalMemoryAtStartup on
196      InitFltsAndDblsToZero   on
197      NoFixptDivByZeroProtection off
198      EfficientFloat2IntCast  off
199      OptimizeModelRefInitCode off
200      LifeSpan        "inf"
201      BufferReusableBoundary  on
202      SimCompilerOptimization "Off"
203      AccelVerboseBuild   off
204    }
205    Simulink.DebuggingCC {
206      $ObjectID       5
207      Version         "1.4.0"
208      RTPrefix        "error"
209      ConsistencyChecking     "none"
210      ArrayBoundsChecking     "none"
211      SignalInfNanChecking    "none"
212      SignalRangeChecking     "none"
213      ReadBeforeWriteMsg      "UseLocalSettings"
214      WriteAfterWriteMsg      "UseLocalSettings"
215      WriteAfterReadMsg   "UseLocalSettings"
216      AlgebraicLoopMsg    "warning"
217      ArtificialAlgebraicLoopMsg "warning"
218      SaveWithDisabledLinksMsg "warning"
219      SaveWithParameterizedLinksMsg "none"
220      CheckSSInitialOutputMsg on
221      CheckExecutionContextPreStartOutputMsg off
222      CheckExecutionContextRuntimeOutputMsg off
223      SignalResolutionControl "TryResolveAllWithWarning"
224      BlockPriorityViolationMsg "warning"
225      MinStepSizeMsg      "warning"
226      TimeAdjustmentMsg   "none"
227      MaxConsecutiveZCsMsg    "error"
228      SolverPrmCheckMsg   "warning"
229      InheritedTsInSrcMsg     "warning"
230      DiscreteInheritContinuousMsg "warning"
231      MultiTaskDSMMsg     "warning"
232      MultiTaskCondExecSysMsg "none"
233      MultiTaskRateTransMsg   "error"
234      SingleTaskRateTransMsg  "none"
235      TasksWithSamePriorityMsg "warning"
236      SigSpecEnsureSampleTimeMsg "warning"
237      CheckMatrixSingularityMsg "none"
238      IntegerOverflowMsg      "warning"
239      Int32ToFloatConvMsg     "warning"
240      ParameterDowncastMsg    "error"
241      ParameterOverflowMsg    "error"
242      ParameterUnderflowMsg   "none"
243      ParameterPrecisionLossMsg "warning"
244      ParameterTunabilityLossMsg "warning"
245      UnderSpecifiedDataTypeMsg "none"
246      UnnecessaryDatatypeConvMsg "none"
247      VectorMatrixConversionMsg "none"
248      InvalidFcnCallConnMsg   "error"
249      FcnCallInpInsideContextMsg "Use local settings"
250      SignalLabelMismatchMsg  "none"
251      UnconnectedInputMsg     "warning"
252      UnconnectedOutputMsg    "warning"
253      UnconnectedLineMsg      "warning"
254      SFcnCompatibilityMsg    "none"
255      UniqueDataStoreMsg      "none"
256      BusObjectLabelMismatch  "warning"
257      RootOutportRequireBusObject "warning"
258      AssertControl       "UseLocalSettings"
259      EnableOverflowDetection off
260      ModelReferenceIOMsg     "none"
261      ModelReferenceVersionMismatchMessage "none"
262      ModelReferenceIOMismatchMessage "none"
263      ModelReferenceCSMismatchMessage "none"
264      ModelReferenceSimTargetVerbose off
265      UnknownTsInhSupMsg      "warning"
266      ModelReferenceDataLoggingMessage "warning"
267      ModelReferenceSymbolNameMessage "warning"
268      ModelReferenceExtraNoncontSigs "error"
269      StateNameClashWarn      "warning"
270      StrictBusMsg        "Warning"
271      LoggingUnavailableSignals "error"
272      BlockIODiagnostic   "none"
273    }
274    Simulink.HardwareCC {
275      $ObjectID       6
276      Version         "1.4.0"
277      ProdBitPerChar      8
278      ProdBitPerShort     16
279      ProdBitPerInt       32
280      ProdBitPerLong      32
281      ProdIntDivRoundTo   "Undefined"
282      ProdEndianess       "Unspecified"
283      ProdWordSize        32
284      ProdShiftRightIntArith  on
285      ProdHWDeviceType    "32-bit Generic"
286      TargetBitPerChar    8
287      TargetBitPerShort   16
288      TargetBitPerInt     32
289      TargetBitPerLong    32
290      TargetShiftRightIntArith on
291      TargetIntDivRoundTo     "Undefined"
292      TargetEndianess     "Unspecified"
293      TargetWordSize      32
294      TargetTypeEmulationWarnSuppressLevel 0
295      TargetPreprocMaxBitsSint 32
296      TargetPreprocMaxBitsUint 32
297      TargetHWDeviceType      "Specified"
298      TargetUnknown       off
299      ProdEqTarget        on
300    }
301    Simulink.ModelReferenceCC {
302      $ObjectID       7
303      Version         "1.4.0"
304      UpdateModelReferenceTargets "IfOutOfDateOrStructuralChange"
305      CheckModelReferenceTargetMessage "error"
306      ModelReferenceNumInstancesAllowed "Multi"
307      ModelReferenceSigSizeVariationType "Always allowed"
308      ModelReferencePassRootInputsByReference on
309      ModelReferenceMinAlgLoopOccurrences off
310    }
311    Simulink.RTWCC {
312      $BackupClass        "Simulink.RTWCC"
313      $ObjectID       8
314      Array {
315        Type            "Cell"
316        Dimension           1
317        Cell            "IncludeHyperlinkInReport"
318        PropName            "DisabledProps"
319      }
320      Version         "1.4.0"
321      SystemTargetFile    "grt.tlc"
322      GenCodeOnly         off
323      MakeCommand         "make_rtw"
324      GenerateMakefile    on
325      TemplateMakefile    "grt_default_tmf"
326      GenerateReport      off
327      SaveLog         off
328      RTWVerbose          on
329      RetainRTWFile       off
330      ProfileTLC          off
331      TLCDebug        off
332      TLCCoverage         off
333      TLCAssert       off
334      ProcessScriptMode   "Default"
335      ConfigurationMode   "Optimized"
336      ConfigAtBuild       off
337      IncludeHyperlinkInReport off
338      LaunchReport        off
339      TargetLang          "C"
340      IncludeBusHierarchyInRTWFileBlockHierarchyMap off
341      IncludeERTFirstTime     on
342      GenerateTraceInfo   off
343      GenerateTraceReport     off
344      GenerateTraceReportSl   off
345      GenerateTraceReportSf   off
346      GenerateTraceReportEml  off
347      GenerateCodeInfo    off
348      RTWCompilerOptimization "Off"
349      Array {
350        Type            "Handle"
351        Dimension           2
352        Simulink.CodeAppCC {
353          $ObjectID           9
354          Array {
355        Type            "Cell"
356        Dimension       9
357        Cell            "IgnoreCustomStorageClasses"
358        Cell            "InsertBlockDesc"
359        Cell            "SFDataObjDesc"
360        Cell            "SimulinkDataObjDesc"
361        Cell            "DefineNamingRule"
362        Cell            "SignalNamingRule"
363        Cell            "ParamNamingRule"
364        Cell            "InlinedPrmAccess"
365        Cell            "CustomSymbolStr"
366        PropName        "DisabledProps"
367          }
368          Version             "1.4.0"
369          ForceParamTrailComments off
370          GenerateComments        on
371          IgnoreCustomStorageClasses on
372          IncHierarchyInIds       off
373          MaxIdLength         31
374          PreserveName        off
375          PreserveNameWithParent  off
376          ShowEliminatedStatement off
377          IncAutoGenComments      off
378          SimulinkDataObjDesc     off
379          SFDataObjDesc       off
380          IncDataTypeInIds        off
381          MangleLength        1
382          CustomSymbolStrGlobalVar "$R$N$M"
383          CustomSymbolStrType     "$N$R$M"
384          CustomSymbolStrField    "$N$M"
385          CustomSymbolStrFcn      "$R$N$M$F"
386          CustomSymbolStrBlkIO    "rtb_$N$M"
387          CustomSymbolStrTmpVar   "$N$M"
388          CustomSymbolStrMacro    "$R$N$M"
389          DefineNamingRule        "None"
390          ParamNamingRule         "None"
391          SignalNamingRule        "None"
392          InsertBlockDesc         off
393          SimulinkBlockComments   on
394          EnableCustomComments    off
395          InlinedPrmAccess        "Literals"
396          ReqsInCode          off
397        }
398        Simulink.GRTTargetCC {
399          $BackupClass        "Simulink.TargetCC"
400          $ObjectID           10
401          Array {
402        Type            "Cell"
403        Dimension       12
404        Cell            "IncludeMdlTerminateFcn"
405        Cell            "CombineOutputUpdateFcns"
406        Cell            "SuppressErrorStatus"
407        Cell            "ERTCustomFileBanners"
408        Cell            "GenerateSampleERTMain"
409        Cell            "MultiInstanceERTCode"
410        Cell            "PurelyIntegerCode"
411        Cell            "SupportNonFinite"
412        Cell            "SupportComplex"
413        Cell            "SupportAbsoluteTime"
414        Cell            "SupportContinuousTime"
415        Cell            "SupportNonInlinedSFcns"
416        PropName        "DisabledProps"
417          }
418          Version             "1.4.0"
419          TargetFcnLib        "ansi_tfl_tmw.mat"
420          TargetLibSuffix         ""
421          TargetPreCompLibLocation ""
422          TargetFunctionLibrary   "ANSI_C"
423          UtilityFuncGeneration   "Auto"
424          GenerateFullHeader      on
425          GenerateSampleERTMain   off
426          GenerateTestInterfaces  off
427          IsPILTarget         off
428          ModelReferenceCompliant on
429          CompOptLevelCompliant   on
430          IncludeMdlTerminateFcn  on
431          CombineOutputUpdateFcns off
432          SuppressErrorStatus     off
433          ERTFirstTimeCompliant   off
434          IncludeFileDelimiter    "Auto"
435          ERTCustomFileBanners    off
436          SupportAbsoluteTime     on
437          LogVarNameModifier      "rt_"
438          MatFileLogging          on
439          MultiInstanceERTCode    off
440          SupportNonFinite        on
441          SupportComplex          on
442          PurelyIntegerCode       off
443          SupportContinuousTime   on
444          SupportNonInlinedSFcns  on
445          EnableShiftOperators    on
446          ParenthesesLevel        "Nominal"
447          PortableWordSizes       off
448          ModelStepFunctionPrototypeControlCompliant off
449          AutosarCompliant        off
450          ExtMode             off
451          ExtModeStaticAlloc      off
452          ExtModeTesting          off
453          ExtModeStaticAllocSize  1000000
454          ExtModeTransport        0
455          ExtModeMexFile          "ext_comm"
456          ExtModeIntrfLevel       "Level1"
457          RTWCAPISignals          off
458          RTWCAPIParams       off
459          RTWCAPIStates       off
460          GenerateASAP2       off
461        }
462        PropName            "Components"
463      }
464    }
465    PropName        "Components"
466      }
467      Name            "Configuration"
468      CurrentDlgPage          "Solver"
469    }
470    PropName            "ConfigurationSets"
471  }
472  Simulink.ConfigSet {
473    $PropName           "ActiveConfigurationSet"
474    $ObjectID           1
475  }
476  BlockDefaults {
477    Orientation         "right"
478    ForegroundColor     "black"
479    BackgroundColor     "white"
480    DropShadow          off
481    NamePlacement       "normal"
482    FontName            "Arial"
483    FontSize            10
484    FontWeight          "normal"
485    FontAngle           "normal"
486    ShowName            on
487  }
488  BlockParameterDefaults {
489    Block {
490      BlockType           From
491      IconDisplay         "Tag"
492      TagVisibility       "local"
493    }
494    Block {
495      BlockType           Goto
496      IconDisplay         "Tag"
497    }
498    Block {
499      BlockType           Inport
500      Port            "1"
501      UseBusObject        off
502      BusObject           "BusObject"
503      BusOutputAsStruct       off
504      PortDimensions          "-1"
505      SampleTime          "-1"
506      OutMin              "[]"
507      OutMax              "[]"
508      DataType            "auto"
509      OutDataType         "fixdt(1,16,0)"
510      OutScaling          "[]"
511      OutDataTypeStr          "Inherit: auto"
512      SignalType          "auto"
513      SamplingMode        "auto"
514      LatchByDelayingOutsideSignal off
515      LatchByCopyingInsideSignal off
516      Interpolate         on
517    }
518    Block {
519      BlockType           Outport
520      Port            "1"
521      UseBusObject        off
522      BusObject           "BusObject"
523      BusOutputAsStruct       off
524      PortDimensions          "-1"
525      SampleTime          "-1"
526      OutMin              "[]"
527      OutMax              "[]"
528      DataType            "auto"
529      OutDataType         "fixdt(1,16,0)"
530      OutScaling          "[]"
531      OutDataTypeStr          "Inherit: auto"
532      SignalType          "auto"
533      SamplingMode        "auto"
534      OutputWhenDisabled      "held"
535      InitialOutput       "[]"
536    }
537    Block {
538      BlockType           Reference
539    }
540    Block {
541      BlockType           Scope
542      ModelBased          off
543      TickLabels          "OneTimeTick"
544      ZoomMode            "on"
545      Grid            "on"
546      TimeRange           "auto"
547      YMin            "-5"
548      YMax            "5"
549      SaveToWorkspace         off
550      SaveName            "ScopeData"
551      LimitDataPoints         on
552      MaxDataPoints       "5000"
553      Decimation          "1"
554      SampleInput         off
555      SampleTime          "-1"
556    }
557    Block {
558      BlockType           "S-Function"
559      FunctionName        "system"
560      SFunctionModules        "''"
561      PortCounts          "[]"
562      SFunctionDeploymentMode off
563    }
564    Block {
565      BlockType           SubSystem
566      ShowPortLabels          "FromPortIcon"
567      Permissions         "ReadWrite"
568      PermitHierarchicalResolution "All"
569      TreatAsAtomicUnit       off
570      CheckFcnCallInpInsideContextMsg off
571      SystemSampleTime        "-1"
572      RTWFcnNameOpts          "Auto"
573      RTWFileNameOpts         "Auto"
574      RTWMemSecFuncInitTerm   "Inherit from model"
575      RTWMemSecFuncExecute    "Inherit from model"
576      RTWMemSecDataConstants  "Inherit from model"
577      RTWMemSecDataInternal   "Inherit from model"
578      RTWMemSecDataParameters "Inherit from model"
579      SimViewingDevice        off
580      DataTypeOverride        "UseLocalSettings"
581      MinMaxOverflowLogging   "UseLocalSettings"
582    }
583    Block {
584      BlockType           Terminator
585    }
586    Block {
587      BlockType           Constant
588      Value           "1"
589      VectorParams1D          on
590      SamplingMode        "Sample based"
591      OutMin              "[]"
592      OutMax              "[]"
593      OutDataTypeMode         "Inherit from 'Constant value'"
594      OutDataType         "fixdt(1,16,0)"
595      ConRadixGroup       "Use specified scaling"
596      OutScaling          "[]"
597      OutDataTypeStr          "Inherit: Inherit from 'Constant value'"
598      SampleTime          "inf"
599      FramePeriod         "inf"
600    }
601    Block {
602      BlockType           DiscretePulseGenerator
603      PulseType           "Sample based"
604      TimeSource          "Use simulation time"
605      Amplitude           "1"
606      Period              "2"
607      PulseWidth          "1"
608      PhaseDelay          "0"
609      SampleTime          "1"
610      VectorParams1D          on
611    }
612  }
613  AnnotationDefaults {
614    HorizontalAlignment     "center"
615    VerticalAlignment       "middle"
616    ForegroundColor     "black"
617    BackgroundColor     "white"
618    DropShadow          off
619    FontName            "Arial"
620    FontSize            10
621    FontWeight          "normal"
622    FontAngle           "normal"
623    UseDisplayTextAsClickCallback off
624  }
625  LineDefaults {
626    FontName            "Arial"
627    FontSize            9
628    FontWeight          "normal"
629    FontAngle           "normal"
630  }
631  System {
632    Name            "warp_timer"
633    Location            [214, 74, 1910, 1156]
634    Open            on
635    ModelBrowserVisibility  on
636    ModelBrowserWidth       212
637    ScreenColor         "white"
638    PaperOrientation        "landscape"
639    PaperPositionMode       "auto"
640    PaperType           "usletter"
641    PaperUnits          "inches"
642    TiledPaperMargins       [0.500000, 0.500000, 0.500000, 0.500000]
643    TiledPageScale      1
644    ShowPageBoundaries      off
645    ZoomFactor          "100"
646    ReportName          "simulink-default.rpt"
647    Block {
648      BlockType           Reference
649      Name            " System Generator"
650      Tag             "genX"
651      Ports           []
652      Position            [517, 687, 568, 737]
653      ShowName            off
654      AttributesFormatString  "System\\nGenerator"
655      UserDataPersistent      on
656      UserData            "DataTag0"
657      SourceBlock         "xbsIndex_r4/ System Generator"
658      SourceType          "Xilinx System Generator Block"
659      ShowPortLabels          "FromPortIcon"
660      SystemSampleTime        "-1"
661      FunctionWithSeparateData off
662      RTWMemSecFuncInitTerm   "Inherit from model"
663      RTWMemSecFuncExecute    "Inherit from model"
664      RTWMemSecDataConstants  "Inherit from model"
665      RTWMemSecDataInternal   "Inherit from model"
666      RTWMemSecDataParameters "Inherit from model"
667      infoedit            " System Generator"
668      xilinxfamily        "virtex2p"
669      part            "xc2vp70"
670      speed           "-6"
671      package             "ff1517"
672      synthesis_tool          "XST"
673      clock_wrapper       "Clock Enables"
674      directory           "./pcore_v03"
675      testbench           off
676      simulink_period         "1"
677      sysclk_period       "10"
678      dcm_input_clock_period  "100"
679      incr_netlist        off
680      trim_vbits          "Everywhere in SubSystem"
681      dbl_ovrd            "According to Block Masks"
682      core_generation         "According to Block Masks"
683      run_coregen         off
684      deprecated_control      off
685      eval_field          "0"
686      has_advanced_control    "0"
687      sggui_pos           "-1,-1,-1,-1"
688      block_type          "sysgen"
689      block_version       "8.2"
690      sg_icon_stat        "51,50,-1,-1,red,beige,0,07734"
691      sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npatch([0 51 51 0 ],[0 0 50 50 ],[0.93 0.92 0.86]);\npatch([12 4 16 4 12 25 29 33 47 36 25 17 29 17 25 36 47 33 29 25 12 ],[5 13 25 37 45 45 41 45 45 34 45 37 25 13 5 16 5 5 9 5 5 ],[0.6 0.2 0.25]);\nplot([0 0 51 51 0 ],[0 50 50 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\nfprintf('','COMMENT: end icon text');\n"
692      sg_blockgui_xml         "<!--  *  Copyright (c) 2005, Xilinx, Inc.  All Rights Reserved.            --><!--  *  Reproduction or reuse, in any form, without the explicit written  --><!--  *  consent of Xilinx, Inc., is strictly prohibited.                  --><sysgenblock has_userdata=\"true\" tag=\"genX\" block_type=\"sysgen\" simulinkname=\" System Generator\" >\n <icon width=\"51\" bg_color=\"beige\" height=\"50\" caption_format=\"System\\nGenerator\" wmark_color=\"red\" />\n <callbacks DeleteFcn=\"xlSysgenGUI('delete', gcs, gcbh);\" OpenFcn=\"xlSysgenGUI('startup',gcs,gcbh)\" ModelCloseFcn=\"xlSysgenGUI('Close',gcs,gcbh)\" PostSaveFcn=\"xlSysgenGUI('Save')\" />\n <libraries>\n  <library name=\"xbsIndex\" />\n  <library name=\"xbsBasic\" />\n  <library name=\"xbsTools\" />\n </libraries>\n <subsystem_model file=\"system_generator_subsystem.mdl\" />\n <blockgui label=\"Xilinx System Generator\" >\n  <editbox evaluate=\"false\" multi_line=\"true\" name=\"infoedit\" read_only=\"true\" default=\" System Generator\" />\n  <editbox evaluate=\"false\" name=\"xilinxfamily\" default=\"Virtex4\" label=\"Xilinx family\" />\n  <editbox evaluate=\"false\" name=\"part\" default=\"xc4vsx35\" label=\"Part\" />\n  <editbox evaluate=\"false\" name=\"speed\" default=\"-10\" label=\"Speed\" />\n  <editbox evaluate=\"false\" name=\"package\" default=\"ff668\" label=\"Package\" />\n  <listbox evaluate=\"true\" name=\"synthesis_tool\" default=\"XST\" label=\"Synthesis tool\" >\n   <item value=\"Spectrum\" />\n   <item value=\"Synplify\" />\n   <item value=\"Synplify Pro\" />\n   <item value=\"XST\" />\n   <item value=\"Precision\" />\n  </listbox>\n  <editbox evaluate=\"false\" name=\"directory\" default=\"./netlist\" label=\"Target directory\" />\n  <checkbox evaluate=\"true\" name=\"testbench\" default=\"off\" label=\"Testbench\" />\n  <editbox evaluate=\"true\" name=\"simulink_period\" default=\"1\" label=\"Simulink period\" />\n  <editbox evaluate=\"true\" name=\"sysclk_period\" default=\"10\" label=\"System clock period\" />\n  <checkbox evaluate=\"true\" name=\"incr_netlist\" default=\"off\" label=\"Incremental netlisting\" />\n  <listbox evaluate=\"true\" name=\"trim_vbits\" default=\"Everywhere in SubSystem\" label=\"Trim valid bits\" >\n   <item value=\"According to Block Masks\" />\n   <item value=\"Everywhere in SubSystem\" />\n   <item value=\"No Where in SubSystem\" />\n  </listbox>\n  <listbox evaluate=\"true\" name=\"dbl_ovrd\" default=\"According to Block Masks\" label=\"Override with doubles\" >\n   <item value=\"According to Block Masks\" />\n   <item value=\"Everywhere in SubSystem\" />\n   <item value=\"No Where in SubSystem\" />\n  </listbox>\n  <listbox evaluate=\"true\" name=\"core_generation\" default=\"According to Block Masks\" label=\"Generate cores\" >\n   <item value=\"According to Block Masks\" />\n   <item value=\"Everywhere Available\" />\n   <item value=\"Not Needed - Already Generated\" />\n  </listbox>\n  <checkbox evaluate=\"true\" name=\"run_coregen\" default=\"off\" label=\"Run CoreGen\" />\n  <checkbox evaluate=\"true\" name=\"deprecated_control\" default=\"off\" label=\"Show deprecated controls\" />\n  <hiddenvar evaluate=\"true\" name=\"eval_field\" default=\"0\" />\n </blockgui>\n</sysgenblock>\n"
693    }
694    Block {
695      BlockType           Reference
696      Name            "BitBasher"
697      Ports           [3, 1]
698      Position            [480, 77, 515, 173]
699      SourceBlock         "xbsIndex_r4/BitBasher"
700      SourceType          "Xilinx BitBasher Block"
701      infoedit            "Allows extraction, concatenation and augmentation of bits"
702      bitexpr             "<html><head><meta name=\"qrichtext\" content=\"1\" /><style type=\"text/css\">\np, li { white-space: pre-wrap; }\n</style></head><body style=\" font-family:'MS Shell Dlg 2'; font-size:8.25pt; font-weight:400; font-style:normal;\">\n<p style=\" margin-top:12px; margin-bottom:12px; margin-left:0px; margin-right:0px; -qt-block-indent:0; text-indent:0px; font-family:'MS Shell Dlg'; font-size:8pt;\">Q={1'b0,a,b,c}<br /></p></body></html>"
703      display_expr        off
704      sr_1            "1"
705      arith_type1         "Unsigned"
706      bin_pt1             "0"
707      sr_2            "2"
708      arith_type2         "Unsigned"
709      bin_pt2             "0"
710      sr_3            "3"
711      arith_type3         "Unsigned"
712      bin_pt3             "0"
713      sr_4            "4"
714      arith_type4         "Unsigned"
715      bin_pt4             "0"
716      dbl_ovrd            off
717      has_advanced_control    "0"
718      sggui_pos           "20,20,336,351"
719      block_type          "bitbasher"
720      block_version       "10.1.3"
721      sg_icon_stat        "35,96,3,1,white,blue,0,4b085ed4,right"
722      sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npatch([0 65 65 0 ],[0 0 60 60 ],[0.77 0.82 0.91]);\npatch([17 7 21 7 17 33 37 41 58 45 32 23 38 23 32 45 58 41 37 33 17 ],[6 16 30 44 54 54 50 54 54 41 54 45 30 15 6 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 65 65 0 0 ],[0 0 60 60 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1,'a');\ncolor('black');port_label('input',2,'b');\ncolor('black');port_label('input',3,'c');\ncolor('black');port_label('output',1,'Q');\nfprintf('','COMMENT: end icon text');\n"
723    }
724    Block {
725      BlockType           Reference
726      Name            "BitBasher1"
727      Ports           [3, 1]
728      Position            [480, 212, 515, 308]
729      SourceBlock         "xbsIndex_r4/BitBasher"
730      SourceType          "Xilinx BitBasher Block"
731      infoedit            "Allows extraction, concatenation and augmentation of bits"
732      bitexpr             "<html><head><meta name=\"qrichtext\" content=\"1\" /><style type=\"text/css\">\np, li { white-space: pre-wrap; }\n</style></head><body style=\" font-family:'MS Shell Dlg 2'; font-size:8.25pt; font-weight:400; font-style:normal;\">\n<p style=\" margin-top:12px; margin-bottom:12px; margin-left:0px; margin-right:0px; -qt-block-indent:0; text-indent:0px; font-family:'MS Shell Dlg'; font-size:8pt;\">Q={1'b0,a,b,c}<br /></p></body></html>"
733      display_expr        off
734      sr_1            "1"
735      arith_type1         "Unsigned"
736      bin_pt1             "0"
737      sr_2            "2"
738      arith_type2         "Unsigned"
739      bin_pt2             "0"
740      sr_3            "3"
741      arith_type3         "Unsigned"
742      bin_pt3             "0"
743      sr_4            "4"
744      arith_type4         "Unsigned"
745      bin_pt4             "0"
746      dbl_ovrd            off
747      has_advanced_control    "0"
748      sggui_pos           "20,20,336,351"
749      block_type          "bitbasher"
750      block_version       "10.1.3"
751      sg_icon_stat        "35,96,3,1,white,blue,0,4b085ed4,right"
752      sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npatch([0 65 65 0 ],[0 0 60 60 ],[0.77 0.82 0.91]);\npatch([17 7 21 7 17 33 37 41 58 45 32 23 38 23 32 45 58 41 37 33 17 ],[6 16 30 44 54 54 50 54 54 41 54 45 30 15 6 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 65 65 0 0 ],[0 0 60 60 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1,'a');\ncolor('black');port_label('input',2,'b');\ncolor('black');port_label('input',3,'c');\ncolor('black');port_label('output',1,'Q');\nfprintf('','COMMENT: end icon text');\n"
753    }
754    Block {
755      BlockType           Reference
756      Name            "BitBasher2"
757      Ports           [3, 1]
758      Position            [480, 347, 515, 443]
759      SourceBlock         "xbsIndex_r4/BitBasher"
760      SourceType          "Xilinx BitBasher Block"
761      infoedit            "Allows extraction, concatenation and augmentation of bits"
762      bitexpr             "<html><head><meta name=\"qrichtext\" content=\"1\" /><style type=\"text/css\">\np, li { white-space: pre-wrap; }\n</style></head><body style=\" font-family:'MS Shell Dlg 2'; font-size:8.25pt; font-weight:400; font-style:normal;\">\n<p style=\" margin-top:12px; margin-bottom:12px; margin-left:0px; margin-right:0px; -qt-block-indent:0; text-indent:0px; font-family:'MS Shell Dlg'; font-size:8pt;\">Q={1'b0,a,b,c}<br /></p></body></html>"
763      display_expr        off
764      sr_1            "1"
765      arith_type1         "Unsigned"
766      bin_pt1             "0"
767      sr_2            "2"
768      arith_type2         "Unsigned"
769      bin_pt2             "0"
770      sr_3            "3"
771      arith_type3         "Unsigned"
772      bin_pt3             "0"
773      sr_4            "4"
774      arith_type4         "Unsigned"
775      bin_pt4             "0"
776      dbl_ovrd            off
777      has_advanced_control    "0"
778      sggui_pos           "20,20,336,351"
779      block_type          "bitbasher"
780      block_version       "10.1.3"
781      sg_icon_stat        "35,96,3,1,white,blue,0,4b085ed4,right"
782      sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npatch([0 65 65 0 ],[0 0 60 60 ],[0.77 0.82 0.91]);\npatch([17 7 21 7 17 33 37 41 58 45 32 23 38 23 32 45 58 41 37 33 17 ],[6 16 30 44 54 54 50 54 54 41 54 45 30 15 6 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 65 65 0 0 ],[0 0 60 60 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1,'a');\ncolor('black');port_label('input',2,'b');\ncolor('black');port_label('input',3,'c');\ncolor('black');port_label('output',1,'Q');\nfprintf('','COMMENT: end icon text');\n"
783    }
784    Block {
785      BlockType           Reference
786      Name            "BitBasher3"
787      Ports           [3, 1]
788      Position            [480, 487, 515, 583]
789      SourceBlock         "xbsIndex_r4/BitBasher"
790      SourceType          "Xilinx BitBasher Block"
791      infoedit            "Allows extraction, concatenation and augmentation of bits"
792      bitexpr             "<html><head><meta name=\"qrichtext\" content=\"1\" /><style type=\"text/css\">\np, li { white-space: pre-wrap; }\n</style></head><body style=\" font-family:'MS Shell Dlg 2'; font-size:8.25pt; font-weight:400; font-style:normal;\">\n<p style=\" margin-top:12px; margin-bottom:12px; margin-left:0px; margin-right:0px; -qt-block-indent:0; text-indent:0px; font-family:'MS Shell Dlg'; font-size:8pt;\">Q={1'b0,a,b,c}<br /></p></body></html>"
793      display_expr        off
794      sr_1            "1"
795      arith_type1         "Unsigned"
796      bin_pt1             "0"
797      sr_2            "2"
798      arith_type2         "Unsigned"
799      bin_pt2             "0"
800      sr_3            "3"
801      arith_type3         "Unsigned"
802      bin_pt3             "0"
803      sr_4            "4"
804      arith_type4         "Unsigned"
805      bin_pt4             "0"
806      dbl_ovrd            off
807      has_advanced_control    "0"
808      sggui_pos           "20,20,336,351"
809      block_type          "bitbasher"
810      block_version       "10.1.3"
811      sg_icon_stat        "35,96,3,1,white,blue,0,4b085ed4,right"
812      sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npatch([0 65 65 0 ],[0 0 60 60 ],[0.77 0.82 0.91]);\npatch([17 7 21 7 17 33 37 41 58 45 32 23 38 23 32 45 58 41 37 33 17 ],[6 16 30 44 54 54 50 54 54 41 54 45 30 15 6 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 65 65 0 0 ],[0 0 60 60 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1,'a');\ncolor('black');port_label('input',2,'b');\ncolor('black');port_label('input',3,'c');\ncolor('black');port_label('output',1,'Q');\nfprintf('','COMMENT: end icon text');\n"
813    }
814    Block {
815      BlockType           Reference
816      Name            "BitBasher4"
817      Ports           [3, 1]
818      Position            [1010, 67, 1045, 163]
819      SourceBlock         "xbsIndex_r4/BitBasher"
820      SourceType          "Xilinx BitBasher Block"
821      infoedit            "Allows extraction, concatenation and augmentation of bits"
822      bitexpr             "<html><head><meta name=\"qrichtext\" content=\"1\" /><style type=\"text/css\">\np, li { white-space: pre-wrap; }\n</style></head><body style=\" font-family:'MS Shell Dlg 2'; font-size:8.25pt; font-weight:400; font-style:normal;\">\n<p style=\" margin-top:12px; margin-bottom:12px; margin-left:0px; margin-right:0px; -qt-block-indent:0; text-indent:0px; font-family:'MS Shell Dlg'; font-size:8pt;\">Q={1'b0,a,b,c}<br /></p></body></html>"
823      display_expr        off
824      sr_1            "1"
825      arith_type1         "Unsigned"
826      bin_pt1             "0"
827      sr_2            "2"
828      arith_type2         "Unsigned"
829      bin_pt2             "0"
830      sr_3            "3"
831      arith_type3         "Unsigned"
832      bin_pt3             "0"
833      sr_4            "4"
834      arith_type4         "Unsigned"
835      bin_pt4             "0"
836      dbl_ovrd            off
837      has_advanced_control    "0"
838      sggui_pos           "20,20,336,351"
839      block_type          "bitbasher"
840      block_version       "10.1.3"
841      sg_icon_stat        "35,96,3,1,white,blue,0,4b085ed4,right"
842      sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npatch([0 65 65 0 ],[0 0 60 60 ],[0.77 0.82 0.91]);\npatch([17 7 21 7 17 33 37 41 58 45 32 23 38 23 32 45 58 41 37 33 17 ],[6 16 30 44 54 54 50 54 54 41 54 45 30 15 6 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 65 65 0 0 ],[0 0 60 60 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1,'a');\ncolor('black');port_label('input',2,'b');\ncolor('black');port_label('input',3,'c');\ncolor('black');port_label('output',1,'Q');\nfprintf('','COMMENT: end icon text');\n"
843    }
844    Block {
845      BlockType           Reference
846      Name            "BitBasher5"
847      Ports           [3, 1]
848      Position            [1010, 202, 1045, 298]
849      SourceBlock         "xbsIndex_r4/BitBasher"
850      SourceType          "Xilinx BitBasher Block"
851      infoedit            "Allows extraction, concatenation and augmentation of bits"
852      bitexpr             "<html><head><meta name=\"qrichtext\" content=\"1\" /><style type=\"text/css\">\np, li { white-space: pre-wrap; }\n</style></head><body style=\" font-family:'MS Shell Dlg 2'; font-size:8.25pt; font-weight:400; font-style:normal;\">\n<p style=\" margin-top:12px; margin-bottom:12px; margin-left:0px; margin-right:0px; -qt-block-indent:0; text-indent:0px; font-family:'MS Shell Dlg'; font-size:8pt;\">Q={1'b0,a,b,c}<br /></p></body></html>"
853      display_expr        off
854      sr_1            "1"
855      arith_type1         "Unsigned"
856      bin_pt1             "0"
857      sr_2            "2"
858      arith_type2         "Unsigned"
859      bin_pt2             "0"
860      sr_3            "3"
861      arith_type3         "Unsigned"
862      bin_pt3             "0"
863      sr_4            "4"
864      arith_type4         "Unsigned"
865      bin_pt4             "0"
866      dbl_ovrd            off
867      has_advanced_control    "0"
868      sggui_pos           "20,20,336,351"
869      block_type          "bitbasher"
870      block_version       "10.1.3"
871      sg_icon_stat        "35,96,3,1,white,blue,0,4b085ed4,right"
872      sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npatch([0 65 65 0 ],[0 0 60 60 ],[0.77 0.82 0.91]);\npatch([17 7 21 7 17 33 37 41 58 45 32 23 38 23 32 45 58 41 37 33 17 ],[6 16 30 44 54 54 50 54 54 41 54 45 30 15 6 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 65 65 0 0 ],[0 0 60 60 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1,'a');\ncolor('black');port_label('input',2,'b');\ncolor('black');port_label('input',3,'c');\ncolor('black');port_label('output',1,'Q');\nfprintf('','COMMENT: end icon text');\n"
873    }
874    Block {
875      BlockType           Reference
876      Name            "BitBasher6"
877      Ports           [3, 1]
878      Position            [1010, 337, 1045, 433]
879      SourceBlock         "xbsIndex_r4/BitBasher"
880      SourceType          "Xilinx BitBasher Block"
881      infoedit            "Allows extraction, concatenation and augmentation of bits"
882      bitexpr             "<html><head><meta name=\"qrichtext\" content=\"1\" /><style type=\"text/css\">\np, li { white-space: pre-wrap; }\n</style></head><body style=\" font-family:'MS Shell Dlg 2'; font-size:8.25pt; font-weight:400; font-style:normal;\">\n<p style=\" margin-top:12px; margin-bottom:12px; margin-left:0px; margin-right:0px; -qt-block-indent:0; text-indent:0px; font-family:'MS Shell Dlg'; font-size:8pt;\">Q={1'b0,a,b,c}<br /></p></body></html>"
883      display_expr        off
884      sr_1            "1"
885      arith_type1         "Unsigned"
886      bin_pt1             "0"
887      sr_2            "2"
888      arith_type2         "Unsigned"
889      bin_pt2             "0"
890      sr_3            "3"
891      arith_type3         "Unsigned"
892      bin_pt3             "0"
893      sr_4            "4"
894      arith_type4         "Unsigned"
895      bin_pt4             "0"
896      dbl_ovrd            off
897      has_advanced_control    "0"
898      sggui_pos           "20,20,336,351"
899      block_type          "bitbasher"
900      block_version       "10.1.3"
901      sg_icon_stat        "35,96,3,1,white,blue,0,4b085ed4,right"
902      sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npatch([0 65 65 0 ],[0 0 60 60 ],[0.77 0.82 0.91]);\npatch([17 7 21 7 17 33 37 41 58 45 32 23 38 23 32 45 58 41 37 33 17 ],[6 16 30 44 54 54 50 54 54 41 54 45 30 15 6 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 65 65 0 0 ],[0 0 60 60 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1,'a');\ncolor('black');port_label('input',2,'b');\ncolor('black');port_label('input',3,'c');\ncolor('black');port_label('output',1,'Q');\nfprintf('','COMMENT: end icon text');\n"
903    }
904    Block {
905      BlockType           Reference
906      Name            "BitBasher7"
907      Ports           [3, 1]
908      Position            [1010, 477, 1045, 573]
909      SourceBlock         "xbsIndex_r4/BitBasher"
910      SourceType          "Xilinx BitBasher Block"
911      infoedit            "Allows extraction, concatenation and augmentation of bits"
912      bitexpr             "<html><head><meta name=\"qrichtext\" content=\"1\" /><style type=\"text/css\">\np, li { white-space: pre-wrap; }\n</style></head><body style=\" font-family:'MS Shell Dlg 2'; font-size:8.25pt; font-weight:400; font-style:normal;\">\n<p style=\" margin-top:12px; margin-bottom:12px; margin-left:0px; margin-right:0px; -qt-block-indent:0; text-indent:0px; font-family:'MS Shell Dlg'; font-size:8pt;\">Q={1'b0,a,b,c}<br /></p></body></html>"
913      display_expr        off
914      sr_1            "1"
915      arith_type1         "Unsigned"
916      bin_pt1             "0"
917      sr_2            "2"
918      arith_type2         "Unsigned"
919      bin_pt2             "0"
920      sr_3            "3"
921      arith_type3         "Unsigned"
922      bin_pt3             "0"
923      sr_4            "4"
924      arith_type4         "Unsigned"
925      bin_pt4             "0"
926      dbl_ovrd            off
927      has_advanced_control    "0"
928      sggui_pos           "20,20,336,351"
929      block_type          "bitbasher"
930      block_version       "10.1.3"
931      sg_icon_stat        "35,96,3,1,white,blue,0,4b085ed4,right"
932      sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npatch([0 65 65 0 ],[0 0 60 60 ],[0.77 0.82 0.91]);\npatch([17 7 21 7 17 33 37 41 58 45 32 23 38 23 32 45 58 41 37 33 17 ],[6 16 30 44 54 54 50 54 54 41 54 45 30 15 6 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 65 65 0 0 ],[0 0 60 60 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1,'a');\ncolor('black');port_label('input',2,'b');\ncolor('black');port_label('input',3,'c');\ncolor('black');port_label('output',1,'Q');\nfprintf('','COMMENT: end icon text');\n"
933    }
934    Block {
935      BlockType           Constant
936      Name            "Constant"
937      Position            [25, 176, 45, 194]
938      ShowName            off
939      OutDataType         "sfix(16)"
940      OutScaling          "2^0"
941    }
942    Block {
943      BlockType           SubSystem
944      Name            "EDK Processor"
945      Ports           []
946      Position            [449, 687, 500, 737]
947      CopyFcn             "xlProcBlockCopyCallback(gcbh);xlBlockMoveCallback(gcbh);"
948      DeleteFcn           "xlDestroyGui(gcbh);"
949      LoadFcn             "xlBlockLoadCallback(gcbh);"
950      ModelCloseFcn       "xlDestroyGui(gcbh);"
951      PreSaveFcn          "xlBlockPreSaveCallback(gcbh);"
952      PostSaveFcn         "xlBlockPostSaveCallback(gcbh);"
953      DestroyFcn          "xlDestroyGui(gcbh);"
954      OpenFcn             "bh=gcbh;xlProcBlockCallbacks('populatesharedmemorylistbox',bh);xlOpenGui(bh, 'edkprocessor_gui.xml', @xlProcBlockEnablement, @xlProcBlockAction)"
955      CloseFcn            "xlDestroyGui(gcbh);"
956      MoveFcn             "xlBlockMoveCallback(gcbh);"
957      MinAlgLoopOccurrences   off
958      PropExecContextOutsideSubsystem off
959      RTWSystemCode       "Auto"
960      FunctionWithSeparateData off
961      Opaque              off
962      RequestExecContextInheritance off
963      MaskHideContents        off
964      MaskType            "Xilinx EDK Processor Block"
965      MaskDescription         "Xilinx EDK Processor"
966      MaskHelp            "eval('');xlDoc('-book','sysgen','-topic','EDK_Processor');"
967      MaskPromptString        "Configure Processor for|EDK Project| |Available Memories| | |Bus Type|Base Address| |Lock| |Dual Clocks| |Register Read-Back|Constraint file| |Inherit Device Type| | | | | | | | | | | | | | | | "
968      MaskStyleString         "popup(EDK pcore generation|HDL netlisting),edit,edit,popup(<empty>),edit,edit,popup(PLB|FSL),edit,edit,checkbox,edit,checkbox,edit,checkbox,edit,edit,checkbox,edit,edit,edit,edit,edit,edit,edit,edit,edit,edit,edit,edit,edit,edit,edit,edit"
969      MaskTunableValueString  "on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on"
970      MaskCallbackString      "||||||||||||||||||||||||||||||||"
971      MaskEnableString        "on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on"
972      MaskVisibilityString    "on,on,off,on,on,off,on,on,off,on,off,on,off,on,on,off,on,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off"
973      MaskToolTipString       "on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on"
974      MaskVarAliasString      ",,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,"
975      MaskVariables       "mode=&1;xmp=&2;MemVisToProc=&3;AvailableMemories=&4;portInterfaceTable=&5;bus_type_sgadvanced=&6;bus_type=@7;baseaddr=&8;baseaddr_lock_sgadvanced=&9;baseaddr_lock=@10;dual_clock_sgadvanced=&11;dual_clock=@12;reg_readback_sgadvanced=&13;reg_readback=@14;ucf_file=&15;inheritDeviceType_sgadvanced=&16;inheritDeviceType=@17;clock_name=&18;internalPortList=&19;resetPolarity=&20;memxtable=&21;procinfo=&22;memmapdirty=&23;blockname=&24;xpsintstyle=&25;has_advanced_control=@26;sggui_pos=&27;block_type=&28;block_version=&29;sg_icon_stat=&30;sg_mask_display=&31;sg_list_contents=&32;sg_blockgui_xml=&33;"
976      MaskInitialization      "try\n  tmp_gcb = gcb;\n  tmp_gcbh = gcbh;\n  if (strcmp('SysGenIndex',get_param(bdroot(tmp_gcbh),'tag')) && ~isempty(regexp(bdroot(tmp_gcb), '^xbs', 'once')))\n    return;\n  end;\n  xlMungeMaskParams;\n\nblock_type='edkprocessor';\n  serialized_declarations = '{,''block_type''=>''String''}';\n  xledkprocessor_init();\n  ptable_ = xlblockprep(get_param(tmp_gcb, 'MaskWSVariables'));\ncatch\n  global dbgsysgen;\n  if(~isempty(dbgsysgen) && dbgsysgen)\n    e = regexprep(lasterr, '\\n', '\\nError: ');\n    disp(['Error: While running MaskInit code on block ' tmp_gcb ': ' e]);\n    error(e);\n  end\nend\n"
977      MaskDisplay         "fprintf('','COMMENT: begin icon graphics');\npatch([0 51 51 0 ],[0 0 50 50 ],[0.77 0.82 0.91]);\npatch([12 4 16 4 12 25 29 33 47 36 25 17 29 17 25 36 47 33 29 25 12 ],[5 13 25 37 45 45 41 45 45 34 45 37 25 13 5 16 5 5 9 5 5 ],[0.98 0.96 0.92]);\nplot([0 51 51 0 0 ],[0 0 50 50 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ndisp('');\n\nfprintf('','COMMENT: end icon text');\n"
978      MaskSelfModifiable      on
979      MaskIconFrame       off
980      MaskIconOpaque          on
981      MaskIconRotate          "none"
982      MaskIconUnits       "autoscale"
983      MaskValueString         "EDK pcore generation||<qt bgcolor=\"#FFFFFF\"><div><img src=\"C:\\Xilinx\\10.1\\DSP_Tools\\common\\bin\\..\\..\\sysgen\\data\\images\\registerplus.gif\"> &lt;&lt;timer0_slotCount&gt;&gt;<br></div><div><img src=\"C:\\Xilinx\\10.1\\DSP_Tools\\common\\bin\\..\\..\\sysgen\\data\\images\\registerplus.gif\"> &lt;&lt;timer1_slotCount&gt;&gt;<br></div><div><img src=\"C:\\Xilinx\\10.1\\DSP_Tools\\common\\bin\\..\\..\\sysgen\\data\\images\\registerplus.gif\"> &lt;&lt;timer2_slotCount&gt;&gt;<br></div><div><img src=\"C:\\Xilinx\\10.1\\DSP_Tools\\common\\bin\\..\\..\\sysgen\\data\\images\\registerplus.gif\"> &lt;&lt;timer3_slotCount&gt;&gt;<br></div><div><img src=\"C:\\Xilinx\\10.1\\DSP_Tools\\common\\bin\\..\\..\\sysgen\\data\\images\\registerplus.gif\"> &lt;&lt;timer4_slotCount&gt;&gt;<br></div><div><img src=\"C:\\Xilinx\\10.1\\DSP_Tools\\common\\bin\\..\\..\\sysgen\\data\\images\\registerplus.gif\"> &lt;&lt;timer5_slotCount&gt;&gt;<br></div><div><img src=\"C:\\Xilinx\\10.1\\DSP_Tools\\common\\bin\\..\\..\\sysgen\\data\\images\\registerplus.gif\"> &lt;&lt;timer6_slotCount&gt;&gt;<br></div><div><img src=\"C:\\Xilinx\\10.1\\DSP_Tools\\common\\bin\\..\\..\\sysgen\\data\\images\\registerplus.gif\"> &lt;&lt;timer7_slotCount&gt;&gt;<br></div><div><img src=\"C:\\Xilinx\\10.1\\DSP_Tools\\common\\bin\\..\\..\\sysgen\\data\\images\\registerplus.gif\"> &lt;&lt;timer_control&gt;&gt;<br></div><div><img src=\"C:\\Xilinx\\10.1\\DSP_Tools\\common\\bin\\..\\..\\sysgen\\data\\images\\registerplus.gif\"> &lt;&lt;timer_status&gt;&gt;<br></div><div><img src=\"C:\\Xilinx\\10.1\\DSP_Tools\\common\\bin\\..\\..\\sysgen\\data\\images\\registerplus.gif\"> &lt;&lt;timers01_slotTime&gt;&gt;<br></div><div><img src=\"C:\\Xilinx\\10.1\\DSP_Tools\\common\\bin\\..\\..\\sysgen\\data\\images\\registerplus.gif\"> &lt;&lt;timers23_slotTime&gt;&gt;<br></div><div><img src=\"C:\\Xilinx\\10.1\\DSP_Tools\\common\\bin\\..\\..\\sysgen\\data\\images\\registerplus.gif\"> &lt;&lt;timers45_slotTime&gt;&gt;<br></div><div><img src=\"C:\\Xilinx\\10.1\\DSP_Tools\\common\\bin\\..\\..\\sysgen\\data\\images\\registerplus.gif\"> &lt;&lt;timers67_slotTime&gt;&gt;<br></div></qt>|<empty>|{'exposed'=>[],'portdir'=>[],'portname'=>[],'shortname'=>[]}||PLB|0x80000000||off||off||on|||off|plb|{}|0|{'mlist'=>['warp_timer/Registers/From Register9','warp_timer/Registers/From Register1','warp_timer/Registers/From Register3','warp_timer/Registers/From Register2','warp_timer/Registers/From Register7','warp_timer/Registers/From Register4','warp_timer/Registers/From Register6','warp_timer/Registers/From Register5','warp_timer/Registers/From Register8','warp_timer/Registers/To Register4','warp_timer/Registers/From Register11','warp_timer/Registers/From Register12','warp_timer/Registers/From Register13','warp_timer/Registers/From Register14'],'mlname'=>['\\'timer0_slotCount\\'','\\'timer1_slotCount\\'','\\'timer2_slotCount\\'','\\'timer3_slotCount\\'','\\'timer4_slotCount\\'','\\'timer5_slotCount\\'','\\'timer6_slotCount\\'','\\'timer7_slotCount\\'','\\'timer_control\\'','\\'timer_status\\'','\\'timers01_slotTime\\'','\\'timers23_slotTime\\'','\\'timers45_slotTime\\'','\\'timers67_slotTime\\''],'mlstate'=>[0.00000000000000000,0.00000000000000000,0.00000000000000000,0.00000000000000000,0.00000000000000000,0.00000000000000000,0.00000000000000000,0.00000000000000000,0.00000000000000000,0.00000000000000000,0.00000000000000000,0.00000000000000000,0.00000000000000000,0.00000000000000000]}|{'xmliface'=>'Xilinx//microblaze//iface.xml'}|off||default|0|20,20,383,441|edkprocessor|2.5|51,50,-1,-1,white,blue,0,07734,right|fprintf('','COMMENT: begin icon graphics');\npatch([0 51 51 0 ],[0 0 50 50 ],[0.77 0.82 0.91]);\npatch([12 4 16 4 12 25 29 33 47 36 25 17 29 17 25 36 47 33 29 25 12 ],[5 13 25 37 45 45 41 45 45 34 45 37 25 13 5 16 5 5 9 5 5 ],[0.98 0.96 0.92]);\nplot([0 51 51 0 0 ],[0 0 50 50 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\nfprintf('','COMMENT: end icon text');\n|{'table'=>{'AvailableMemories'=>'popup(<empty>)','userSelections'=>{'AvailableMemories'=>'<empty>'}}}|"
984      MaskTabNameString       ",,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,"
985      System {
986    Name            "EDK Processor"
987    Location        [514, 91, 900, 269]
988    Open            off
989    ModelBrowserVisibility  on
990    ModelBrowserWidth   200
991    ScreenColor     "white"
992    PaperOrientation    "landscape"
993    PaperPositionMode   "auto"
994    PaperType       "usletter"
995    PaperUnits      "inches"
996    TiledPaperMargins   [0.500000, 0.500000, 0.500000, 0.500000]
997    TiledPageScale      1
998    ShowPageBoundaries  off
999    ZoomFactor      "100"
1000    Block {
1001      BlockType       Constant
1002      Name            "Constant"
1003      Position        [40, 485, 60, 505]
1004    }
1005    Block {
1006      BlockType       Constant
1007      Name            "Constant1"
1008      Position        [40, 555, 60, 575]
1009    }
1010    Block {
1011      BlockType       Constant
1012      Name            "Constant2"
1013      Position        [40, 625, 60, 645]
1014    }
1015    Block {
1016      BlockType       Constant
1017      Name            "Constant3"
1018      Position        [40, 690, 60, 710]
1019    }
1020    Block {
1021      BlockType       Constant
1022      Name            "Constant4"
1023      Position        [40, 760, 60, 780]
1024    }
1025    Block {
1026      BlockType       Reference
1027      Name            "Constant5"
1028      Ports           [0, 1]
1029      Position        [20, 412, 75, 438]
1030      ShowName        off
1031      SourceBlock         "xbsIndex_r4/Constant"
1032      SourceType          "Xilinx Constant Block Block"
1033      arith_type          "Unsigned"
1034      const           "0"
1035      n_bits          "1"
1036      bin_pt          "0"
1037      explicit_period     "on"
1038      period          "xlGetSimulinkPeriod(gcb)"
1039      dsp48_infoedit      "The use of this block for DSP48 instructions is deprecated.  Please use the Opmode block."
1040      equ             "P=C"
1041      opselect        "C"
1042      inp2            "PCIN>>17"
1043      opr             "+"
1044      inp1            "P"
1045      carry           "CIN"
1046      dbl_ovrd        "off"
1047      has_advanced_control    "0"
1048      sggui_pos       "-1,-1,-1,-1"
1049      block_type          "constant"
1050      block_version       "10.1.3"
1051      sg_icon_stat        "55,26,1,1,white,blue,0,72d575a1,right"
1052      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 ],[0 0 26 26 ],[0.77 0.82 0.91]);\npatch([20 16 22 16 20 27 29 31 38 32 26 22 28 22 26 32 38 31 29 27 20 ],[3 7 13 19 23 23 21 23 23 17 23 19 13 7 3 9 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 55 55 0 0 ],[0 0 26 26 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('output',1,'0');\nfprintf('','COMMENT: end icon text');\n"
1053      Port {
1054        PortNumber          1
1055        Name            "Sl_wait"
1056        RTWStorageClass     "Auto"
1057        DataLoggingNameMode     "SignalName"
1058      }
1059    }
1060    Block {
1061      BlockType       Constant
1062      Name            "Constant6"
1063      Position        [40, 855, 60, 875]
1064    }
1065    Block {
1066      BlockType       Reference
1067      Name            "From Register"
1068      Ports           [0, 1]
1069      Position        [400, 947, 460, 1003]
1070      AttributesFormatString  "<< %<shared_memory_name> >>"
1071      SourceBlock         "xbsIndex_r4/From Register"
1072      SourceType          "Xilinx Shared Memory Based From Register Block"
1073      infoedit        "Register block that reads data to a shared memory register.  Delay of one sample period."
1074      shared_memory_name      "'timer_status'"
1075      init            "0"
1076      period          "xlGetSimulinkPeriod(gcb)"
1077      ownership       "Owned and initialized elsewhere"
1078      arith_type          "Unsigned"
1079      n_bits          "32"
1080      bin_pt          "0"
1081      dbl_ovrd        "off"
1082      xl_use_area         "off"
1083      xl_area         "[0,0,0,0,0,0,0]"
1084      has_advanced_control    "0"
1085      sggui_pos       "-1,-1,-1,-1"
1086      block_type          "fromreg"
1087      block_version       "10.1.3"
1088      sg_icon_stat        "60,56,1,1,white,blue,0,4b212927,right"
1089      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 ],[0 0 56 56 ],[0.77 0.82 0.91]);\npatch([15 6 19 6 15 30 34 38 54 41 29 20 32 20 29 41 54 38 34 30 15 ],[6 15 28 41 50 50 46 50 50 37 49 40 28 16 7 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 56 56 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('output',1,'dout');\nfprintf('','COMMENT: end icon text');\n"
1090      Port {
1091        PortNumber          1
1092        Name            "timer_status_dout"
1093        RTWStorageClass     "Auto"
1094        DataLoggingNameMode     "SignalName"
1095      }
1096    }
1097    Block {
1098      BlockType       Reference
1099      Name            "PLB_ABus"
1100      Ports           [1, 1]
1101      Position        [175, 555, 245, 575]
1102      SourceBlock         "xbsIndex_r4/Gateway In"
1103      SourceType          "Xilinx Gateway In Block"
1104      infoedit        "Gateway in block.  Converts inputs of type Simulink integer, double and fixed point to  Xilinx fixed point type.<P><P>Hardware notes:  In hardware these blocks become top level input ports."
1105      arith_type          "Unsigned"
1106      n_bits          "32"
1107      bin_pt          "0"
1108      quantization        "Round  (unbiased: +/- Inf)"
1109      overflow        "Saturate"
1110      period          "xlGetSimulinkPeriod(gcb)"
1111      dbl_ovrd        "off"
1112      timing_constraint   "None"
1113      locs_specified      "off"
1114      LOCs            "{}"
1115      xl_use_area         "off"
1116      xl_area         "[0,0,0,0,0,0,0]"
1117      sginterface         "{'Xilinx'=>{'jtaghwcosim'=>{'non_memory_mapped_port'=>'PLB_ABus'}},'iopad'=>{'constraint'=>'#'}}"
1118      has_advanced_control    "0"
1119      sggui_pos       "-1,-1,-1,-1"
1120      block_type          "gatewayin"
1121      block_version       "10.1.3"
1122      sg_icon_stat        "70,20,1,1,white,yellow,0,bc55d28f,right"
1123      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 65 65 0 ],[0 0 20 20 ],[0.95 0.93 0.65]);\npatch([27 24 29 24 27 32 33 34 40 36 32 29 34 29 32 36 40 34 33 32 27 ],[2 5 10 15 18 18 17 18 18 14 18 15 10 5 2 6 2 2 3 2 2 ],[0.98 0.96 0.92]);\nplot([0 65 65 0 0 ],[0 0 20 20 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1,'\\fontsize{11pt}\\bf In ','texmode','on');\ncolor('black');port_label('output',1,' ');\nfprintf('','COMMENT: end icon text');\n"
1124      Port {
1125        PortNumber          1
1126        Name            "PLB_ABus"
1127        RTWStorageClass     "Auto"
1128        DataLoggingNameMode     "SignalName"
1129      }
1130    }
1131    Block {
1132      BlockType       Reference
1133      Name            "PLB_PAValid"
1134      Ports           [1, 1]
1135      Position        [175, 625, 245, 645]
1136      SourceBlock         "xbsIndex_r4/Gateway In"
1137      SourceType          "Xilinx Gateway In Block"
1138      infoedit        "Gateway in block.  Converts inputs of type Simulink integer, double and fixed point to  Xilinx fixed point type.<P><P>Hardware notes:  In hardware these blocks become top level input ports."
1139      arith_type          "Unsigned"
1140      n_bits          "1"
1141      bin_pt          "0"
1142      quantization        "Round  (unbiased: +/- Inf)"
1143      overflow        "Saturate"
1144      period          "xlGetSimulinkPeriod(gcb)"
1145      dbl_ovrd        "off"
1146      timing_constraint   "None"
1147      locs_specified      "off"
1148      LOCs            "{}"
1149      xl_use_area         "off"
1150      xl_area         "[0,0,0,0,0,0,0]"
1151      sginterface         "{'Xilinx'=>{'jtaghwcosim'=>{'non_memory_mapped_port'=>'PLB_PAValid'}},'iopad'=>{'constraint'=>'#'}}"
1152      has_advanced_control    "0"
1153      sggui_pos       "-1,-1,-1,-1"
1154      block_type          "gatewayin"
1155      block_version       "10.1.3"
1156      sg_icon_stat        "70,20,1,1,white,yellow,0,bc55d28f,right"
1157      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 65 65 0 ],[0 0 20 20 ],[0.95 0.93 0.65]);\npatch([27 24 29 24 27 32 33 34 40 36 32 29 34 29 32 36 40 34 33 32 27 ],[2 5 10 15 18 18 17 18 18 14 18 15 10 5 2 6 2 2 3 2 2 ],[0.98 0.96 0.92]);\nplot([0 65 65 0 0 ],[0 0 20 20 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1,'\\fontsize{11pt}\\bf In ','texmode','on');\ncolor('black');port_label('output',1,' ');\nfprintf('','COMMENT: end icon text');\n"
1158      Port {
1159        PortNumber          1
1160        Name            "PLB_PAValid"
1161        RTWStorageClass     "Auto"
1162        DataLoggingNameMode     "SignalName"
1163      }
1164    }
1165    Block {
1166      BlockType       Reference
1167      Name            "PLB_RNW"
1168      Ports           [1, 1]
1169      Position        [175, 690, 245, 710]
1170      SourceBlock         "xbsIndex_r4/Gateway In"
1171      SourceType          "Xilinx Gateway In Block"
1172      infoedit        "Gateway in block.  Converts inputs of type Simulink integer, double and fixed point to  Xilinx fixed point type.<P><P>Hardware notes:  In hardware these blocks become top level input ports."
1173      arith_type          "Unsigned"
1174      n_bits          "1"
1175      bin_pt          "0"
1176      quantization        "Round  (unbiased: +/- Inf)"
1177      overflow        "Saturate"
1178      period          "xlGetSimulinkPeriod(gcb)"
1179      dbl_ovrd        "off"
1180      timing_constraint   "None"
1181      locs_specified      "off"
1182      LOCs            "{}"
1183      xl_use_area         "off"
1184      xl_area         "[0,0,0,0,0,0,0]"
1185      sginterface         "{'Xilinx'=>{'jtaghwcosim'=>{'non_memory_mapped_port'=>'PLB_RNW'}},'iopad'=>{'constraint'=>'#'}}"
1186      has_advanced_control    "0"
1187      sggui_pos       "-1,-1,-1,-1"
1188      block_type          "gatewayin"
1189      block_version       "10.1.3"
1190      sg_icon_stat        "70,20,1,1,white,yellow,0,bc55d28f,right"
1191      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 65 65 0 ],[0 0 20 20 ],[0.95 0.93 0.65]);\npatch([27 24 29 24 27 32 33 34 40 36 32 29 34 29 32 36 40 34 33 32 27 ],[2 5 10 15 18 18 17 18 18 14 18 15 10 5 2 6 2 2 3 2 2 ],[0.98 0.96 0.92]);\nplot([0 65 65 0 0 ],[0 0 20 20 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1,'\\fontsize{11pt}\\bf In ','texmode','on');\ncolor('black');port_label('output',1,' ');\nfprintf('','COMMENT: end icon text');\n"
1192      Port {
1193        PortNumber          1
1194        Name            "PLB_RNW"
1195        RTWStorageClass     "Auto"
1196        DataLoggingNameMode     "SignalName"
1197      }
1198    }
1199    Block {
1200      BlockType       Reference
1201      Name            "PLB_wrDBus"
1202      Ports           [1, 1]
1203      Position        [175, 760, 245, 780]
1204      SourceBlock         "xbsIndex_r4/Gateway In"
1205      SourceType          "Xilinx Gateway In Block"
1206      infoedit        "Gateway in block.  Converts inputs of type Simulink integer, double and fixed point to  Xilinx fixed point type.<P><P>Hardware notes:  In hardware these blocks become top level input ports."
1207      arith_type          "Unsigned"
1208      n_bits          "32"
1209      bin_pt          "0"
1210      quantization        "Round  (unbiased: +/- Inf)"
1211      overflow        "Saturate"
1212      period          "xlGetSimulinkPeriod(gcb)"
1213      dbl_ovrd        "off"
1214      timing_constraint   "None"
1215      locs_specified      "off"
1216      LOCs            "{}"
1217      xl_use_area         "off"
1218      xl_area         "[0,0,0,0,0,0,0]"
1219      sginterface         "{'Xilinx'=>{'jtaghwcosim'=>{'non_memory_mapped_port'=>'PLB_wrDBus'}},'iopad'=>{'constraint'=>'#'}}"
1220      has_advanced_control    "0"
1221      sggui_pos       "-1,-1,-1,-1"
1222      block_type          "gatewayin"
1223      block_version       "10.1.3"
1224      sg_icon_stat        "70,20,1,1,white,yellow,0,bc55d28f,right"
1225      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 65 65 0 ],[0 0 20 20 ],[0.95 0.93 0.65]);\npatch([27 24 29 24 27 32 33 34 40 36 32 29 34 29 32 36 40 34 33 32 27 ],[2 5 10 15 18 18 17 18 18 14 18 15 10 5 2 6 2 2 3 2 2 ],[0.98 0.96 0.92]);\nplot([0 65 65 0 0 ],[0 0 20 20 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1,'\\fontsize{11pt}\\bf In ','texmode','on');\ncolor('black');port_label('output',1,' ');\nfprintf('','COMMENT: end icon text');\n"
1226      Port {
1227        PortNumber          1
1228        Name            "PLB_wrDBus"
1229        RTWStorageClass     "Auto"
1230        DataLoggingNameMode     "SignalName"
1231      }
1232    }
1233    Block {
1234      BlockType       Reference
1235      Name            "SPLB_Rst"
1236      Ports           [1, 1]
1237      Position        [175, 485, 245, 505]
1238      SourceBlock         "xbsIndex_r4/Gateway In"
1239      SourceType          "Xilinx Gateway In Block"
1240      infoedit        "Gateway in block.  Converts inputs of type Simulink integer, double and fixed point to  Xilinx fixed point type.<P><P>Hardware notes:  In hardware these blocks become top level input ports."
1241      arith_type          "Unsigned"
1242      n_bits          "1"
1243      bin_pt          "0"
1244      quantization        "Round  (unbiased: +/- Inf)"
1245      overflow        "Saturate"
1246      period          "xlGetSimulinkPeriod(gcb)"
1247      dbl_ovrd        "off"
1248      timing_constraint   "None"
1249      locs_specified      "off"
1250      LOCs            "{}"
1251      xl_use_area         "off"
1252      xl_area         "[0,0,0,0,0,0,0]"
1253      sginterface         "{'Xilinx'=>{'jtaghwcosim'=>{'non_memory_mapped_port'=>'SPLB_Rst'}},'iopad'=>{'constraint'=>'#'}}"
1254      has_advanced_control    "0"
1255      sggui_pos       "-1,-1,-1,-1"
1256      block_type          "gatewayin"
1257      block_version       "10.1.3"
1258      sg_icon_stat        "70,20,1,1,white,yellow,0,bc55d28f,right"
1259      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 65 65 0 ],[0 0 20 20 ],[0.95 0.93 0.65]);\npatch([27 24 29 24 27 32 33 34 40 36 32 29 34 29 32 36 40 34 33 32 27 ],[2 5 10 15 18 18 17 18 18 14 18 15 10 5 2 6 2 2 3 2 2 ],[0.98 0.96 0.92]);\nplot([0 65 65 0 0 ],[0 0 20 20 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1,'\\fontsize{11pt}\\bf In ','texmode','on');\ncolor('black');port_label('output',1,' ');\nfprintf('','COMMENT: end icon text');\n"
1260      Port {
1261        PortNumber          1
1262        Name            "SPLB_Rst"
1263        RTWStorageClass     "Auto"
1264        DataLoggingNameMode     "SignalName"
1265      }
1266    }
1267    Block {
1268      BlockType       Reference
1269      Name            "Sl_addrAck"
1270      Ports           [1, 1]
1271      Position        [670, 75, 730, 95]
1272      SourceBlock         "xbsIndex_r4/Gateway Out"
1273      SourceType          "Xilinx Gateway Out Block"
1274      infoedit        "Gateway out block.  Converts Xilinx fixed point inputs into ouputs of type Simulink integer, double, or fixed point.<P><P>Hardware notes:  In hardware these blocks become top level output ports or are discarded, depending on how they are configured."
1275      hdl_port        "on"
1276      timing_constraint   "None"
1277      locs_specified      "off"
1278      LOCs            "{}"
1279      xl_use_area         "off"
1280      xl_area         "[0,0,0,0,0,0,0]"
1281      sginterface         "{'Xilinx'=>{'jtaghwcosim'=>{'non_memory_mapped_port'=>'Sl_addrAck'}},'iopad'=>{'constraint'=>'#'}}"
1282      has_advanced_control    "0"
1283      sggui_pos       "-1,-1,-1,-1"
1284      block_type          "gatewayout"
1285      block_version       "10.1.3"
1286      sg_icon_stat        "60,20,1,1,white,yellow,0,38220381,right"
1287      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 ],[0 0 20 20 ],[0.95 0.93 0.65]);\npatch([24 21 26 21 24 29 30 31 37 33 29 26 31 26 29 33 37 31 30 29 24 ],[2 5 10 15 18 18 17 18 18 14 18 15 10 5 2 6 2 2 3 2 2 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 20 20 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1,' ');\ncolor('black');port_label('output',1,'\\fontsize{11pt}\\bf Out ','texmode','on');\nfprintf('','COMMENT: end icon text');\n"
1288    }
1289    Block {
1290      BlockType       Reference
1291      Name            "Sl_rdComp"
1292      Ports           [1, 1]
1293      Position        [670, 165, 730, 185]
1294      SourceBlock         "xbsIndex_r4/Gateway Out"
1295      SourceType          "Xilinx Gateway Out Block"
1296      infoedit        "Gateway out block.  Converts Xilinx fixed point inputs into ouputs of type Simulink integer, double, or fixed point.<P><P>Hardware notes:  In hardware these blocks become top level output ports or are discarded, depending on how they are configured."
1297      hdl_port        "on"
1298      timing_constraint   "None"
1299      locs_specified      "off"
1300      LOCs            "{}"
1301      xl_use_area         "off"
1302      xl_area         "[0,0,0,0,0,0,0]"
1303      sginterface         "{'Xilinx'=>{'jtaghwcosim'=>{'non_memory_mapped_port'=>'Sl_rdComp'}},'iopad'=>{'constraint'=>'#'}}"
1304      has_advanced_control    "0"
1305      sggui_pos       "-1,-1,-1,-1"
1306      block_type          "gatewayout"
1307      block_version       "10.1.3"
1308      sg_icon_stat        "60,20,1,1,white,yellow,0,38220381,right"
1309      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 ],[0 0 20 20 ],[0.95 0.93 0.65]);\npatch([24 21 26 21 24 29 30 31 37 33 29 26 31 26 29 33 37 31 30 29 24 ],[2 5 10 15 18 18 17 18 18 14 18 15 10 5 2 6 2 2 3 2 2 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 20 20 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1,' ');\ncolor('black');port_label('output',1,'\\fontsize{11pt}\\bf Out ','texmode','on');\nfprintf('','COMMENT: end icon text');\n"
1310    }
1311    Block {
1312      BlockType       Reference
1313      Name            "Sl_rdDAck"
1314      Ports           [1, 1]
1315      Position        [670, 1265, 730, 1285]
1316      SourceBlock         "xbsIndex_r4/Gateway Out"
1317      SourceType          "Xilinx Gateway Out Block"
1318      infoedit        "Gateway out block.  Converts Xilinx fixed point inputs into ouputs of type Simulink integer, double, or fixed point.<P><P>Hardware notes:  In hardware these blocks become top level output ports or are discarded, depending on how they are configured."
1319      hdl_port        "on"
1320      timing_constraint   "None"
1321      locs_specified      "off"
1322      LOCs            "{}"
1323      xl_use_area         "off"
1324      xl_area         "[0,0,0,0,0,0,0]"
1325      sginterface         "{'Xilinx'=>{'jtaghwcosim'=>{'non_memory_mapped_port'=>'Sl_rdDAck'}},'iopad'=>{'constraint'=>'#'}}"
1326      has_advanced_control    "0"
1327      sggui_pos       "-1,-1,-1,-1"
1328      block_type          "gatewayout"
1329      block_version       "10.1.3"
1330      sg_icon_stat        "60,20,1,1,white,yellow,0,38220381,right"
1331      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 ],[0 0 20 20 ],[0.95 0.93 0.65]);\npatch([24 21 26 21 24 29 30 31 37 33 29 26 31 26 29 33 37 31 30 29 24 ],[2 5 10 15 18 18 17 18 18 14 18 15 10 5 2 6 2 2 3 2 2 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 20 20 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1,' ');\ncolor('black');port_label('output',1,'\\fontsize{11pt}\\bf Out ','texmode','on');\nfprintf('','COMMENT: end icon text');\n"
1332    }
1333    Block {
1334      BlockType       Reference
1335      Name            "Sl_rdDBus"
1336      Ports           [1, 1]
1337      Position        [670, 1615, 730, 1635]
1338      SourceBlock         "xbsIndex_r4/Gateway Out"
1339      SourceType          "Xilinx Gateway Out Block"
1340      infoedit        "Gateway out block.  Converts Xilinx fixed point inputs into ouputs of type Simulink integer, double, or fixed point.<P><P>Hardware notes:  In hardware these blocks become top level output ports or are discarded, depending on how they are configured."
1341      hdl_port        "on"
1342      timing_constraint   "None"
1343      locs_specified      "off"
1344      LOCs            "{}"
1345      xl_use_area         "off"
1346      xl_area         "[0,0,0,0,0,0,0]"
1347      sginterface         "{'Xilinx'=>{'jtaghwcosim'=>{'non_memory_mapped_port'=>'Sl_rdDBus'}},'iopad'=>{'constraint'=>'#'}}"
1348      has_advanced_control    "0"
1349      sggui_pos       "-1,-1,-1,-1"
1350      block_type          "gatewayout"
1351      block_version       "10.1.3"
1352      sg_icon_stat        "60,20,1,1,white,yellow,0,38220381,right"
1353      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 ],[0 0 20 20 ],[0.95 0.93 0.65]);\npatch([24 21 26 21 24 29 30 31 37 33 29 26 31 26 29 33 37 31 30 29 24 ],[2 5 10 15 18 18 17 18 18 14 18 15 10 5 2 6 2 2 3 2 2 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 20 20 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1,' ');\ncolor('black');port_label('output',1,'\\fontsize{11pt}\\bf Out ','texmode','on');\nfprintf('','COMMENT: end icon text');\n"
1354    }
1355    Block {
1356      BlockType       Reference
1357      Name            "Sl_wait"
1358      Ports           [1, 1]
1359      Position        [180, 415, 240, 435]
1360      SourceBlock         "xbsIndex_r4/Gateway Out"
1361      SourceType          "Xilinx Gateway Out Block"
1362      infoedit        "Gateway out block.  Converts Xilinx fixed point inputs into ouputs of type Simulink integer, double, or fixed point.<P><P>Hardware notes:  In hardware these blocks become top level output ports or are discarded, depending on how they are configured."
1363      hdl_port        "on"
1364      timing_constraint   "None"
1365      locs_specified      "off"
1366      LOCs            "{}"
1367      xl_use_area         "off"
1368      xl_area         "[0,0,0,0,0,0,0]"
1369      sginterface         "{'Xilinx'=>{'jtaghwcosim'=>{'non_memory_mapped_port'=>'Sl_wait'}},'iopad'=>{'constraint'=>'#'}}"
1370      has_advanced_control    "0"
1371      sggui_pos       "-1,-1,-1,-1"
1372      block_type          "gatewayout"
1373      block_version       "10.1.3"
1374      sg_icon_stat        "60,20,1,1,white,yellow,0,38220381,right"
1375      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 ],[0 0 20 20 ],[0.95 0.93 0.65]);\npatch([24 21 26 21 24 29 30 31 37 33 29 26 31 26 29 33 37 31 30 29 24 ],[2 5 10 15 18 18 17 18 18 14 18 15 10 5 2 6 2 2 3 2 2 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 20 20 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1,' ');\ncolor('black');port_label('output',1,'\\fontsize{11pt}\\bf Out ','texmode','on');\nfprintf('','COMMENT: end icon text');\n"
1376    }
1377    Block {
1378      BlockType       Reference
1379      Name            "Sl_wrComp"
1380      Ports           [1, 1]
1381      Position        [670, 445, 730, 465]
1382      SourceBlock         "xbsIndex_r4/Gateway Out"
1383      SourceType          "Xilinx Gateway Out Block"
1384      infoedit        "Gateway out block.  Converts Xilinx fixed point inputs into ouputs of type Simulink integer, double, or fixed point.<P><P>Hardware notes:  In hardware these blocks become top level output ports or are discarded, depending on how they are configured."
1385      hdl_port        "on"
1386      timing_constraint   "None"
1387      locs_specified      "off"
1388      LOCs            "{}"
1389      xl_use_area         "off"
1390      xl_area         "[0,0,0,0,0,0,0]"
1391      sginterface         "{'Xilinx'=>{'jtaghwcosim'=>{'non_memory_mapped_port'=>'Sl_wrComp'}},'iopad'=>{'constraint'=>'#'}}"
1392      has_advanced_control    "0"
1393      sggui_pos       "-1,-1,-1,-1"
1394      block_type          "gatewayout"
1395      block_version       "10.1.3"
1396      sg_icon_stat        "60,20,1,1,white,yellow,0,38220381,right"
1397      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 ],[0 0 20 20 ],[0.95 0.93 0.65]);\npatch([24 21 26 21 24 29 30 31 37 33 29 26 31 26 29 33 37 31 30 29 24 ],[2 5 10 15 18 18 17 18 18 14 18 15 10 5 2 6 2 2 3 2 2 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 20 20 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1,' ');\ncolor('black');port_label('output',1,'\\fontsize{11pt}\\bf Out ','texmode','on');\nfprintf('','COMMENT: end icon text');\n"
1398    }
1399    Block {
1400      BlockType       Reference
1401      Name            "Sl_wrDAck"
1402      Ports           [1, 1]
1403      Position        [670, 280, 730, 300]
1404      SourceBlock         "xbsIndex_r4/Gateway Out"
1405      SourceType          "Xilinx Gateway Out Block"
1406      infoedit        "Gateway out block.  Converts Xilinx fixed point inputs into ouputs of type Simulink integer, double, or fixed point.<P><P>Hardware notes:  In hardware these blocks become top level output ports or are discarded, depending on how they are configured."
1407      hdl_port        "on"
1408      timing_constraint   "None"
1409      locs_specified      "off"
1410      LOCs            "{}"
1411      xl_use_area         "off"
1412      xl_area         "[0,0,0,0,0,0,0]"
1413      sginterface         "{'Xilinx'=>{'jtaghwcosim'=>{'non_memory_mapped_port'=>'Sl_wrDAck'}},'iopad'=>{'constraint'=>'#'}}"
1414      has_advanced_control    "0"
1415      sggui_pos       "-1,-1,-1,-1"
1416      block_type          "gatewayout"
1417      block_version       "10.1.3"
1418      sg_icon_stat        "60,20,1,1,white,yellow,0,38220381,right"
1419      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 ],[0 0 20 20 ],[0.95 0.93 0.65]);\npatch([24 21 26 21 24 29 30 31 37 33 29 26 31 26 29 33 37 31 30 29 24 ],[2 5 10 15 18 18 17 18 18 14 18 15 10 5 2 6 2 2 3 2 2 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 20 20 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1,' ');\ncolor('black');port_label('output',1,'\\fontsize{11pt}\\bf Out ','texmode','on');\nfprintf('','COMMENT: end icon text');\n"
1420    }
1421    Block {
1422      BlockType       Terminator
1423      Name            "Terminator"
1424      Position        [905, 50, 925, 70]
1425      ShowName        off
1426    }
1427    Block {
1428      BlockType       Terminator
1429      Name            "Terminator1"
1430      Position        [905, 115, 925, 135]
1431      ShowName        off
1432    }
1433    Block {
1434      BlockType       Terminator
1435      Name            "Terminator2"
1436      Position        [905, 1690, 925, 1710]
1437      ShowName        off
1438    }
1439    Block {
1440      BlockType       Terminator
1441      Name            "Terminator3"
1442      Position        [905, 1760, 925, 1780]
1443      ShowName        off
1444    }
1445    Block {
1446      BlockType       Terminator
1447      Name            "Terminator4"
1448      Position        [420, 415, 440, 435]
1449      ShowName        off
1450    }
1451    Block {
1452      BlockType       Terminator
1453      Name            "Terminator5"
1454      Position        [905, 185, 925, 205]
1455      ShowName        off
1456    }
1457    Block {
1458      BlockType       Terminator
1459      Name            "Terminator6"
1460      Position        [905, 255, 925, 275]
1461      ShowName        off
1462    }
1463    Block {
1464      BlockType       Reference
1465      Name            "To Register"
1466      Ports           [2, 1]
1467      Position        [885, 322, 945, 378]
1468      AttributesFormatString  "<< %<shared_memory_name> >>"
1469      SourceBlock         "xbsIndex_r4/To Register"
1470      SourceType          "Xilinx Shared Memory Based To Register Block"
1471      infoedit        "Register block that writes data to a shared memory register.  Delay of one sample period."
1472      shared_memory_name      "'timer0_slotCount'"
1473      init            "0"
1474      ownership       "Owned and initialized elsewhere"
1475      explicit_data_type      "on"
1476      arith_type          "Unsigned"
1477      n_bits          "32"
1478      bin_pt          "0"
1479      dbl_ovrd        "off"
1480      xl_use_area         "off"
1481      xl_area         "[0,0,0,0,0,0,0]"
1482      has_advanced_control    "0"
1483      sggui_pos       "-1,-1,-1,-1"
1484      block_type          "toreg"
1485      block_version       "10.1.3"
1486      sg_icon_stat        "60,56,1,1,white,blue,0,77cabcdf,right"
1487      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 ],[0 0 56 56 ],[0.77 0.82 0.91]);\npatch([15 6 19 6 15 30 34 38 54 41 29 20 32 20 29 41 54 38 34 30 15 ],[6 15 28 41 50 50 46 50 50 37 49 40 28 16 7 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 56 56 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1,'din');\ncolor('black');port_label('input',2,'en');\ncolor('black');port_label('output',1,'dout');\nfprintf('','COMMENT: end icon text');\n"
1488      Port {
1489        PortNumber          1
1490        Name            "timer0_slotCount_dout"
1491        RTWStorageClass     "Auto"
1492        DataLoggingNameMode     "SignalName"
1493      }
1494    }
1495    Block {
1496      BlockType       Reference
1497      Name            "To Register1"
1498      Ports           [2, 1]
1499      Position        [885, 427, 945, 483]
1500      AttributesFormatString  "<< %<shared_memory_name> >>"
1501      SourceBlock         "xbsIndex_r4/To Register"
1502      SourceType          "Xilinx Shared Memory Based To Register Block"
1503      infoedit        "Register block that writes data to a shared memory register.  Delay of one sample period."
1504      shared_memory_name      "'timer1_slotCount'"
1505      init            "0"
1506      ownership       "Owned and initialized elsewhere"
1507      explicit_data_type      "on"
1508      arith_type          "Unsigned"
1509      n_bits          "32"
1510      bin_pt          "0"
1511      dbl_ovrd        "off"
1512      xl_use_area         "off"
1513      xl_area         "[0,0,0,0,0,0,0]"
1514      has_advanced_control    "0"
1515      sggui_pos       "-1,-1,-1,-1"
1516      block_type          "toreg"
1517      block_version       "10.1.3"
1518      sg_icon_stat        "60,56,1,1,white,blue,0,77cabcdf,right"
1519      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 ],[0 0 56 56 ],[0.77 0.82 0.91]);\npatch([15 6 19 6 15 30 34 38 54 41 29 20 32 20 29 41 54 38 34 30 15 ],[6 15 28 41 50 50 46 50 50 37 49 40 28 16 7 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 56 56 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1,'din');\ncolor('black');port_label('input',2,'en');\ncolor('black');port_label('output',1,'dout');\nfprintf('','COMMENT: end icon text');\n"
1520      Port {
1521        PortNumber          1
1522        Name            "timer1_slotCount_dout"
1523        RTWStorageClass     "Auto"
1524        DataLoggingNameMode     "SignalName"
1525      }
1526    }
1527    Block {
1528      BlockType       Reference
1529      Name            "To Register10"
1530      Ports           [2, 1]
1531      Position        [885, 1377, 945, 1433]
1532      AttributesFormatString  "<< %<shared_memory_name> >>"
1533      SourceBlock         "xbsIndex_r4/To Register"
1534      SourceType          "Xilinx Shared Memory Based To Register Block"
1535      infoedit        "Register block that writes data to a shared memory register.  Delay of one sample period."
1536      shared_memory_name      "'timers23_slotTime'"
1537      init            "0"
1538      ownership       "Owned and initialized elsewhere"
1539      explicit_data_type      "on"
1540      arith_type          "Unsigned"
1541      n_bits          "32"
1542      bin_pt          "0"
1543      dbl_ovrd        "off"
1544      xl_use_area         "off"
1545      xl_area         "[0,0,0,0,0,0,0]"
1546      has_advanced_control    "0"
1547      sggui_pos       "-1,-1,-1,-1"
1548      block_type          "toreg"
1549      block_version       "10.1.3"
1550      sg_icon_stat        "60,56,1,1,white,blue,0,77cabcdf,right"
1551      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 ],[0 0 56 56 ],[0.77 0.82 0.91]);\npatch([15 6 19 6 15 30 34 38 54 41 29 20 32 20 29 41 54 38 34 30 15 ],[6 15 28 41 50 50 46 50 50 37 49 40 28 16 7 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 56 56 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1,'din');\ncolor('black');port_label('input',2,'en');\ncolor('black');port_label('output',1,'dout');\nfprintf('','COMMENT: end icon text');\n"
1552      Port {
1553        PortNumber          1
1554        Name            "timers23_slotTime_dout"
1555        RTWStorageClass     "Auto"
1556        DataLoggingNameMode     "SignalName"
1557      }
1558    }
1559    Block {
1560      BlockType       Reference
1561      Name            "To Register11"
1562      Ports           [2, 1]
1563      Position        [885, 1482, 945, 1538]
1564      AttributesFormatString  "<< %<shared_memory_name> >>"
1565      SourceBlock         "xbsIndex_r4/To Register"
1566      SourceType          "Xilinx Shared Memory Based To Register Block"
1567      infoedit        "Register block that writes data to a shared memory register.  Delay of one sample period."
1568      shared_memory_name      "'timers45_slotTime'"
1569      init            "0"
1570      ownership       "Owned and initialized elsewhere"
1571      explicit_data_type      "on"
1572      arith_type          "Unsigned"
1573      n_bits          "32"
1574      bin_pt          "0"
1575      dbl_ovrd        "off"
1576      xl_use_area         "off"
1577      xl_area         "[0,0,0,0,0,0,0]"
1578      has_advanced_control    "0"
1579      sggui_pos       "-1,-1,-1,-1"
1580      block_type          "toreg"
1581      block_version       "10.1.3"
1582      sg_icon_stat        "60,56,1,1,white,blue,0,77cabcdf,right"
1583      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 ],[0 0 56 56 ],[0.77 0.82 0.91]);\npatch([15 6 19 6 15 30 34 38 54 41 29 20 32 20 29 41 54 38 34 30 15 ],[6 15 28 41 50 50 46 50 50 37 49 40 28 16 7 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 56 56 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1,'din');\ncolor('black');port_label('input',2,'en');\ncolor('black');port_label('output',1,'dout');\nfprintf('','COMMENT: end icon text');\n"
1584      Port {
1585        PortNumber          1
1586        Name            "timers45_slotTime_dout"
1587        RTWStorageClass     "Auto"
1588        DataLoggingNameMode     "SignalName"
1589      }
1590    }
1591    Block {
1592      BlockType       Reference
1593      Name            "To Register12"
1594      Ports           [2, 1]
1595      Position        [885, 1587, 945, 1643]
1596      AttributesFormatString  "<< %<shared_memory_name> >>"
1597      SourceBlock         "xbsIndex_r4/To Register"
1598      SourceType          "Xilinx Shared Memory Based To Register Block"
1599      infoedit        "Register block that writes data to a shared memory register.  Delay of one sample period."
1600      shared_memory_name      "'timers67_slotTime'"
1601      init            "0"
1602      ownership       "Owned and initialized elsewhere"
1603      explicit_data_type      "on"
1604      arith_type          "Unsigned"
1605      n_bits          "32"
1606      bin_pt          "0"
1607      dbl_ovrd        "off"
1608      xl_use_area         "off"
1609      xl_area         "[0,0,0,0,0,0,0]"
1610      has_advanced_control    "0"
1611      sggui_pos       "-1,-1,-1,-1"
1612      block_type          "toreg"
1613      block_version       "10.1.3"
1614      sg_icon_stat        "60,56,1,1,white,blue,0,77cabcdf,right"
1615      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 ],[0 0 56 56 ],[0.77 0.82 0.91]);\npatch([15 6 19 6 15 30 34 38 54 41 29 20 32 20 29 41 54 38 34 30 15 ],[6 15 28 41 50 50 46 50 50 37 49 40 28 16 7 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 56 56 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1,'din');\ncolor('black');port_label('input',2,'en');\ncolor('black');port_label('output',1,'dout');\nfprintf('','COMMENT: end icon text');\n"
1616      Port {
1617        PortNumber          1
1618        Name            "timers67_slotTime_dout"
1619        RTWStorageClass     "Auto"
1620        DataLoggingNameMode     "SignalName"
1621      }
1622    }
1623    Block {
1624      BlockType       Reference
1625      Name            "To Register2"
1626      Ports           [2, 1]
1627      Position        [885, 532, 945, 588]
1628      AttributesFormatString  "<< %<shared_memory_name> >>"
1629      SourceBlock         "xbsIndex_r4/To Register"
1630      SourceType          "Xilinx Shared Memory Based To Register Block"
1631      infoedit        "Register block that writes data to a shared memory register.  Delay of one sample period."
1632      shared_memory_name      "'timer2_slotCount'"
1633      init            "0"
1634      ownership       "Owned and initialized elsewhere"
1635      explicit_data_type      "on"
1636      arith_type          "Unsigned"
1637      n_bits          "32"
1638      bin_pt          "0"
1639      dbl_ovrd        "off"
1640      xl_use_area         "off"
1641      xl_area         "[0,0,0,0,0,0,0]"
1642      has_advanced_control    "0"
1643      sggui_pos       "-1,-1,-1,-1"
1644      block_type          "toreg"
1645      block_version       "10.1.3"
1646      sg_icon_stat        "60,56,1,1,white,blue,0,77cabcdf,right"
1647      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 ],[0 0 56 56 ],[0.77 0.82 0.91]);\npatch([15 6 19 6 15 30 34 38 54 41 29 20 32 20 29 41 54 38 34 30 15 ],[6 15 28 41 50 50 46 50 50 37 49 40 28 16 7 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 56 56 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1,'din');\ncolor('black');port_label('input',2,'en');\ncolor('black');port_label('output',1,'dout');\nfprintf('','COMMENT: end icon text');\n"
1648      Port {
1649        PortNumber          1
1650        Name            "timer2_slotCount_dout"
1651        RTWStorageClass     "Auto"
1652        DataLoggingNameMode     "SignalName"
1653      }
1654    }
1655    Block {
1656      BlockType       Reference
1657      Name            "To Register3"
1658      Ports           [2, 1]
1659      Position        [885, 637, 945, 693]
1660      AttributesFormatString  "<< %<shared_memory_name> >>"
1661      SourceBlock         "xbsIndex_r4/To Register"
1662      SourceType          "Xilinx Shared Memory Based To Register Block"
1663      infoedit        "Register block that writes data to a shared memory register.  Delay of one sample period."
1664      shared_memory_name      "'timer3_slotCount'"
1665      init            "0"
1666      ownership       "Owned and initialized elsewhere"
1667      explicit_data_type      "on"
1668      arith_type          "Unsigned"
1669      n_bits          "32"
1670      bin_pt          "0"
1671      dbl_ovrd        "off"
1672      xl_use_area         "off"
1673      xl_area         "[0,0,0,0,0,0,0]"
1674      has_advanced_control    "0"
1675      sggui_pos       "-1,-1,-1,-1"
1676      block_type          "toreg"
1677      block_version       "10.1.3"
1678      sg_icon_stat        "60,56,1,1,white,blue,0,77cabcdf,right"
1679      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 ],[0 0 56 56 ],[0.77 0.82 0.91]);\npatch([15 6 19 6 15 30 34 38 54 41 29 20 32 20 29 41 54 38 34 30 15 ],[6 15 28 41 50 50 46 50 50 37 49 40 28 16 7 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 56 56 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1,'din');\ncolor('black');port_label('input',2,'en');\ncolor('black');port_label('output',1,'dout');\nfprintf('','COMMENT: end icon text');\n"
1680      Port {
1681        PortNumber          1
1682        Name            "timer3_slotCount_dout"
1683        RTWStorageClass     "Auto"
1684        DataLoggingNameMode     "SignalName"
1685      }
1686    }
1687    Block {
1688      BlockType       Reference
1689      Name            "To Register4"
1690      Ports           [2, 1]
1691      Position        [885, 742, 945, 798]
1692      AttributesFormatString  "<< %<shared_memory_name> >>"
1693      SourceBlock         "xbsIndex_r4/To Register"
1694      SourceType          "Xilinx Shared Memory Based To Register Block"
1695      infoedit        "Register block that writes data to a shared memory register.  Delay of one sample period."
1696      shared_memory_name      "'timer4_slotCount'"
1697      init            "0"
1698      ownership       "Owned and initialized elsewhere"
1699      explicit_data_type      "on"
1700      arith_type          "Unsigned"
1701      n_bits          "32"
1702      bin_pt          "0"
1703      dbl_ovrd        "off"
1704      xl_use_area         "off"
1705      xl_area         "[0,0,0,0,0,0,0]"
1706      has_advanced_control    "0"
1707      sggui_pos       "-1,-1,-1,-1"
1708      block_type          "toreg"
1709      block_version       "10.1.3"
1710      sg_icon_stat        "60,56,1,1,white,blue,0,77cabcdf,right"
1711      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 ],[0 0 56 56 ],[0.77 0.82 0.91]);\npatch([15 6 19 6 15 30 34 38 54 41 29 20 32 20 29 41 54 38 34 30 15 ],[6 15 28 41 50 50 46 50 50 37 49 40 28 16 7 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 56 56 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1,'din');\ncolor('black');port_label('input',2,'en');\ncolor('black');port_label('output',1,'dout');\nfprintf('','COMMENT: end icon text');\n"
1712      Port {
1713        PortNumber          1
1714        Name            "timer4_slotCount_dout"
1715        RTWStorageClass     "Auto"
1716        DataLoggingNameMode     "SignalName"
1717      }
1718    }
1719    Block {
1720      BlockType       Reference
1721      Name            "To Register5"
1722      Ports           [2, 1]
1723      Position        [885, 847, 945, 903]
1724      AttributesFormatString  "<< %<shared_memory_name> >>"
1725      SourceBlock         "xbsIndex_r4/To Register"
1726      SourceType          "Xilinx Shared Memory Based To Register Block"
1727      infoedit        "Register block that writes data to a shared memory register.  Delay of one sample period."
1728      shared_memory_name      "'timer5_slotCount'"
1729      init            "0"
1730      ownership       "Owned and initialized elsewhere"
1731      explicit_data_type      "on"
1732      arith_type          "Unsigned"
1733      n_bits          "32"
1734      bin_pt          "0"
1735      dbl_ovrd        "off"
1736      xl_use_area         "off"
1737      xl_area         "[0,0,0,0,0,0,0]"
1738      has_advanced_control    "0"
1739      sggui_pos       "-1,-1,-1,-1"
1740      block_type          "toreg"
1741      block_version       "10.1.3"
1742      sg_icon_stat        "60,56,1,1,white,blue,0,77cabcdf,right"
1743      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 ],[0 0 56 56 ],[0.77 0.82 0.91]);\npatch([15 6 19 6 15 30 34 38 54 41 29 20 32 20 29 41 54 38 34 30 15 ],[6 15 28 41 50 50 46 50 50 37 49 40 28 16 7 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 56 56 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1,'din');\ncolor('black');port_label('input',2,'en');\ncolor('black');port_label('output',1,'dout');\nfprintf('','COMMENT: end icon text');\n"
1744      Port {
1745        PortNumber          1
1746        Name            "timer5_slotCount_dout"
1747        RTWStorageClass     "Auto"
1748        DataLoggingNameMode     "SignalName"
1749      }
1750    }
1751    Block {
1752      BlockType       Reference
1753      Name            "To Register6"
1754      Ports           [2, 1]
1755      Position        [885, 952, 945, 1008]
1756      AttributesFormatString  "<< %<shared_memory_name> >>"
1757      SourceBlock         "xbsIndex_r4/To Register"
1758      SourceType          "Xilinx Shared Memory Based To Register Block"
1759      infoedit        "Register block that writes data to a shared memory register.  Delay of one sample period."
1760      shared_memory_name      "'timer6_slotCount'"
1761      init            "0"
1762      ownership       "Owned and initialized elsewhere"
1763      explicit_data_type      "on"
1764      arith_type          "Unsigned"
1765      n_bits          "32"
1766      bin_pt          "0"
1767      dbl_ovrd        "off"
1768      xl_use_area         "off"
1769      xl_area         "[0,0,0,0,0,0,0]"
1770      has_advanced_control    "0"
1771      sggui_pos       "-1,-1,-1,-1"
1772      block_type          "toreg"
1773      block_version       "10.1.3"
1774      sg_icon_stat        "60,56,1,1,white,blue,0,77cabcdf,right"
1775      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 ],[0 0 56 56 ],[0.77 0.82 0.91]);\npatch([15 6 19 6 15 30 34 38 54 41 29 20 32 20 29 41 54 38 34 30 15 ],[6 15 28 41 50 50 46 50 50 37 49 40 28 16 7 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 56 56 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1,'din');\ncolor('black');port_label('input',2,'en');\ncolor('black');port_label('output',1,'dout');\nfprintf('','COMMENT: end icon text');\n"
1776      Port {
1777        PortNumber          1
1778        Name            "timer6_slotCount_dout"
1779        RTWStorageClass     "Auto"
1780        DataLoggingNameMode     "SignalName"
1781      }
1782    }
1783    Block {
1784      BlockType       Reference
1785      Name            "To Register7"
1786      Ports           [2, 1]
1787      Position        [885, 1057, 945, 1113]
1788      AttributesFormatString  "<< %<shared_memory_name> >>"
1789      SourceBlock         "xbsIndex_r4/To Register"
1790      SourceType          "Xilinx Shared Memory Based To Register Block"
1791      infoedit        "Register block that writes data to a shared memory register.  Delay of one sample period."
1792      shared_memory_name      "'timer7_slotCount'"
1793      init            "0"
1794      ownership       "Owned and initialized elsewhere"
1795      explicit_data_type      "on"
1796      arith_type          "Unsigned"
1797      n_bits          "32"
1798      bin_pt          "0"
1799      dbl_ovrd        "off"
1800      xl_use_area         "off"
1801      xl_area         "[0,0,0,0,0,0,0]"
1802      has_advanced_control    "0"
1803      sggui_pos       "-1,-1,-1,-1"
1804      block_type          "toreg"
1805      block_version       "10.1.3"
1806      sg_icon_stat        "60,56,1,1,white,blue,0,77cabcdf,right"
1807      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 ],[0 0 56 56 ],[0.77 0.82 0.91]);\npatch([15 6 19 6 15 30 34 38 54 41 29 20 32 20 29 41 54 38 34 30 15 ],[6 15 28 41 50 50 46 50 50 37 49 40 28 16 7 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 56 56 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1,'din');\ncolor('black');port_label('input',2,'en');\ncolor('black');port_label('output',1,'dout');\nfprintf('','COMMENT: end icon text');\n"
1808      Port {
1809        PortNumber          1
1810        Name            "timer7_slotCount_dout"
1811        RTWStorageClass     "Auto"
1812        DataLoggingNameMode     "SignalName"
1813      }
1814    }
1815    Block {
1816      BlockType       Reference
1817      Name            "To Register8"
1818      Ports           [2, 1]
1819      Position        [885, 1167, 945, 1223]
1820      AttributesFormatString  "<< %<shared_memory_name> >>"
1821      SourceBlock         "xbsIndex_r4/To Register"
1822      SourceType          "Xilinx Shared Memory Based To Register Block"
1823      infoedit        "Register block that writes data to a shared memory register.  Delay of one sample period."
1824      shared_memory_name      "'timer_control'"
1825      init            "0"
1826      ownership       "Owned and initialized elsewhere"
1827      explicit_data_type      "on"
1828      arith_type          "Unsigned"
1829      n_bits          "32"
1830      bin_pt          "0"
1831      dbl_ovrd        "off"
1832      xl_use_area         "off"
1833      xl_area         "[0,0,0,0,0,0,0]"
1834      has_advanced_control    "0"
1835      sggui_pos       "-1,-1,-1,-1"
1836      block_type          "toreg"
1837      block_version       "10.1.3"
1838      sg_icon_stat        "60,56,1,1,white,blue,0,77cabcdf,right"
1839      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 ],[0 0 56 56 ],[0.77 0.82 0.91]);\npatch([15 6 19 6 15 30 34 38 54 41 29 20 32 20 29 41 54 38 34 30 15 ],[6 15 28 41 50 50 46 50 50 37 49 40 28 16 7 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 56 56 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1,'din');\ncolor('black');port_label('input',2,'en');\ncolor('black');port_label('output',1,'dout');\nfprintf('','COMMENT: end icon text');\n"
1840      Port {
1841        PortNumber          1
1842        Name            "timer_control_dout"
1843        RTWStorageClass     "Auto"
1844        DataLoggingNameMode     "SignalName"
1845      }
1846    }
1847    Block {
1848      BlockType       Reference
1849      Name            "To Register9"
1850      Ports           [2, 1]
1851      Position        [885, 1272, 945, 1328]
1852      AttributesFormatString  "<< %<shared_memory_name> >>"
1853      SourceBlock         "xbsIndex_r4/To Register"
1854      SourceType          "Xilinx Shared Memory Based To Register Block"
1855      infoedit        "Register block that writes data to a shared memory register.  Delay of one sample period."
1856      shared_memory_name      "'timers01_slotTime'"
1857      init            "0"
1858      ownership       "Owned and initialized elsewhere"
1859      explicit_data_type      "on"
1860      arith_type          "Unsigned"
1861      n_bits          "32"
1862      bin_pt          "0"
1863      dbl_ovrd        "off"
1864      xl_use_area         "off"
1865      xl_area         "[0,0,0,0,0,0,0]"
1866      has_advanced_control    "0"
1867      sggui_pos       "-1,-1,-1,-1"
1868      block_type          "toreg"
1869      block_version       "10.1.3"
1870      sg_icon_stat        "60,56,1,1,white,blue,0,77cabcdf,right"
1871      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 ],[0 0 56 56 ],[0.77 0.82 0.91]);\npatch([15 6 19 6 15 30 34 38 54 41 29 20 32 20 29 41 54 38 34 30 15 ],[6 15 28 41 50 50 46 50 50 37 49 40 28 16 7 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 56 56 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1,'din');\ncolor('black');port_label('input',2,'en');\ncolor('black');port_label('output',1,'dout');\nfprintf('','COMMENT: end icon text');\n"
1872      Port {
1873        PortNumber          1
1874        Name            "timers01_slotTime_dout"
1875        RTWStorageClass     "Auto"
1876        DataLoggingNameMode     "SignalName"
1877      }
1878    }
1879    Block {
1880      BlockType       Reference
1881      Name            "plb_decode"
1882      Ports           [7, 9]
1883      Position        [345, 484, 515, 896]
1884      SourceBlock         "xbsIndex_r4/MCode"
1885      SourceType          "Xilinx MCode Block Block"
1886      infoedit        "Pass input values to a MATLAB function for evaluation in Xilinx fixed-point type. The input ports of the block are input arguments of the function. The output ports of the block are output arguments of the function."
1887      mfname          "xlmax"
1888      explicit_period     "off"
1889      period          "1"
1890      dbl_ovrd        "off"
1891      enable_stdout       "off"
1892      enable_debug        "off"
1893      xl_use_area         "off"
1894      xl_area         "[0,0,0,0,0,0,0]"
1895      mfilecontent        "function [wrDBusReg, addrAck, rdComp, wrDAck, bankAddr, RNWReg, rdDAck, rdDBus, linearAddr] = ...\n    plb_bus_decode(plbRst, plbABus, plbPAValid, plbRNW, plbWrDBus, rdData, addrPref)\n\n% constant variables (TODO: should pass from outside)\nADDRPREF_LEN = 20;\nBANKADDR_LEN = 2;\nLINEARADDR_LEN = 8;\nABUS_LEN = 32;\nDBUS_LEN = 32;\n\n% declare and initialize persistent variables\n% register input bus signals\npersistent plbRstReg_, plbRstReg_ = xl_state(0, {xlBoolean});\npersistent plbABusReg_, plbABusReg_ = xl_state(0, {xlUnsigned, ABUS_LEN, 0});\npersistent plbPAValidReg_, plbPAValidReg_ = xl_state(0, {xlBoolean});\npersistent plbRNWReg_, plbRNWReg_ = xl_state(0, {xlUnsigned, 1, 0});\npersistent plbWrDBusReg_, plbWrDBusReg_ = xl_state(0, {xlUnsigned, DBUS_LEN, 0});\n\n% ===== rest of the outputs =====\n\nbankAddr   = xl_slice(plbABusReg_, 2+BANKADDR_LEN+LINEARADDR_LEN-1, 2+LINEARADDR_LEN);\nlinearAddr = xl_slice(plbABusReg_, 2+LINEARADDR_LEN-1, 2);\nRNWReg = plbRNWReg_;\nwrDBusReg = plbWrDBusReg_;\n\n% ===== p_select =====\n\n% register PAValid\npersistent aValidReg, aValidReg = xl_state(0, {xlBoolean});\naValidReg = plbPAValidReg_;\n\n% extract and register the address prefix\naddrPref_in = xl_slice(plbABusReg_, xl_nbits(plbABusReg_)-1, xl_nbits(plbABusReg_)-ADDRPREF_LEN);\nif addrPref_in == addrPref\n    ps1 = true;\nelse \n    ps1 = false;\nend \n\npersistent ps1Reg, ps1Reg = xl_state(0, ps1);\nps1Reg = ps1;\n\nps = xl_and(ps1Reg, aValidReg);\n\n% ===== addrAck =====\n\n% register ps\npersistent psReg, psReg = xl_state(0, ps);\n\naddrAck = xfix({xlUnsigned, 1, 0}, xl_and(xl_not(plbRstReg_), ps, xl_not(psReg)));\n\npsReg = ps;\n\n% ===== rdComp, rd/wr DAck =====\n \nrdComp1 = xfix({xlUnsigned, 1, 0}, xl_and(addrAck, RNWReg));\n\nNUM_rdCompDelay = 3;\npersistent rdCompDelay, rdCompDelay = xl_state(zeros(1, NUM_rdCompDelay), rdComp1, NUM_rdCompDelay);\nrdComp2 = rdCompDelay.back;\nrdCompDelay.push_front_pop_back(rdComp1);\n\npersistent rdCompReg, rdCompReg = xl_state(0, rdComp1);\nrdComp = rdCompReg;\nrdCompReg = rdComp2;\n\npersistent rdDAckReg, rdDAckReg = xl_state(0, rdComp1);\nrdDAck = rdDAckReg;\nrdDAckReg = rdComp;\n\npersistent wrDAckReg, wrDAckReg = xl_state(0, addrAck);\nwrDAck = wrDAckReg;\nwrDAckReg = xl_and(addrAck, xl_not(RNWReg));\n\n% ===== rdDBus =====\n\nrdSel = xl_or(rdComp2, rdComp);\n\nif rdSel == 1\n    rdDBus1 = rdData;\nelse\n    rdDBus1 = 0;\nend % if\n\npersistent rdDBusReg, rdDBusReg = xl_state(0, rdDBus1);\nrdDBus = rdDBusReg;\nrdDBusReg = rdDBus1;\n\n% rdDBus = xl_concat(rdDBus32, rdDBus32);\n% rdDBus = rdDBus32;\n\n% ===== update the persistent variables =====\n\nplbRstReg_ = plbRst;\nplbABusReg_ = plbABus;\nplbPAValidReg_ = plbPAValid;\nplbRNWReg_ = plbRNW;\nplbWrDBusReg_ = xl_slice(plbWrDBus, DBUS_LEN-1, 0);\n"
1896      suppress_output     "1"
1897      defparams       "{}"
1898      hide_port_list      "{}"
1899      has_advanced_control    "0"
1900      sggui_pos       "-1,-1,-1,-1"
1901      block_type          "mcode"
1902      block_version       "10.1.3"
1903      sg_icon_stat        "170,412,1,1,white,blue,0,8b15b975,right"
1904      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 170 170 0 ],[0 0 412 412 ],[0.77 0.82 0.91]);\npatch([40 12 52 12 40 85 97 109 157 119 83 57 97 57 83 119 157 109 97 85 40 ],[139 167 207 247 275 275 263 275 275 237 273 247 207 167 141 177 139 139 151 139 139 ],[0.98 0.96 0.92]);\nplot([0 170 170 0 0 ],[0 0 412 412 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1,'plbRst');\ncolor('black');port_label('input',2,'plbABus');\ncolor('black');port_label('input',3,'plbPAValid');\ncolor('black');port_label('input',4,'plbRNW');\ncolor('black');port_label('input',5,'plbWrDBus');\ncolor('black');port_label('input',6,'rdData');\ncolor('black');port_label('input',7,'addrPref');\ncolor('black');port_label('output',1,'wrDBusReg');\ncolor('black');port_label('output',2,'addrAck');\ncolor('black');port_label('output',3,'rdComp');\ncolor('black');port_label('output',4,'wrDAck');\ncolor('black');port_label('output',5,'bankAddr');\ncolor('black');port_label('output',6,'RNWReg');\ncolor('black');port_label('output',7,'rdDAck');\ncolor('black');port_label('output',8,'rdDBus');\ncolor('black');port_label('output',9,'linearAddr');\ncolor('black');disp('\\bf{xlmax}','texmode','on');\nfprintf('','COMMENT: end icon text');\n"
1905      Port {
1906        PortNumber          1
1907        Name            "wrDBusReg"
1908        RTWStorageClass     "Auto"
1909        DataLoggingNameMode     "SignalName"
1910      }
1911      Port {
1912        PortNumber          2
1913        Name            "Sl_addrAck"
1914        RTWStorageClass     "Auto"
1915        DataLoggingNameMode     "SignalName"
1916      }
1917      Port {
1918        PortNumber          3
1919        Name            "Sl_rdComp"
1920        RTWStorageClass     "Auto"
1921        DataLoggingNameMode     "SignalName"
1922      }
1923      Port {
1924        PortNumber          4
1925        Name            "Sl_wrDAck"
1926        RTWStorageClass     "Auto"
1927        DataLoggingNameMode     "SignalName"
1928      }
1929      Port {
1930        PortNumber          5
1931        Name            "bankAddr"
1932        RTWStorageClass     "Auto"
1933        DataLoggingNameMode     "SignalName"
1934      }
1935      Port {
1936        PortNumber          6
1937        Name            "RNWReg"
1938        RTWStorageClass     "Auto"
1939        DataLoggingNameMode     "SignalName"
1940      }
1941      Port {
1942        PortNumber          7
1943        Name            "Sl_rdDAck"
1944        RTWStorageClass     "Auto"
1945        DataLoggingNameMode     "SignalName"
1946      }
1947      Port {
1948        PortNumber          8
1949        Name            "Sl_rdDBus"
1950        RTWStorageClass     "Auto"
1951        DataLoggingNameMode     "SignalName"
1952      }
1953      Port {
1954        PortNumber          9
1955        Name            "linearAddr"
1956        RTWStorageClass     "Auto"
1957        DataLoggingNameMode     "SignalName"
1958      }
1959    }
1960    Block {
1961      BlockType       Reference
1962      Name            "plb_memmap"
1963      Ports           [19, 27]
1964      Position        [615, 805, 785, 1075]
1965      SourceBlock         "xbsIndex_r4/MCode"
1966      SourceType          "Xilinx MCode Block Block"
1967      infoedit        "Pass input values to a MATLAB function for evaluation in Xilinx fixed-point type. The input ports of the block are input arguments of the function. The output ports of the block are output arguments of the function."
1968      mfname          "xlmax"
1969      explicit_period     "off"
1970      period          "1"
1971      dbl_ovrd        "off"
1972      enable_stdout       "off"
1973      enable_debug        "off"
1974      xl_use_area         "off"
1975      xl_area         "[0,0,0,0,0,0,0]"
1976      mfilecontent        "function [read_bank_out, sm_timer0_slotCount_din, sm_timer0_slotCount_en, sm_timer1_slotCount_din, sm_timer1_slotCount_en, sm_timer2_slotCount_din, sm_timer2_slotCount_en, sm_timer3_slotCount_din, sm_timer3_slotCount_en, sm_timer4_slotCount_din, sm_timer4_slotCount_en, sm_timer5_slotCount_din, sm_timer5_slotCount_en, sm_timer6_slotCount_din, sm_timer6_slotCount_en, sm_timer7_slotCount_din, sm_timer7_slotCount_en, sm_timer_control_din, sm_timer_control_en, sm_timers01_slotTime_din, sm_timers01_slotTime_en, sm_timers23_slotTime_din, sm_timers23_slotTime_en, sm_timers45_slotTime_din, sm_timers45_slotTime_en, sm_timers67_slotTime_din, sm_timers67_slotTime_en] = plb_memmap(wrDBus, bankAddr, linearAddr, RNWReg, addrAck, sm_timer_status, sm_timer0_slotCount, sm_timer1_slotCount, sm_timer2_slotCount, sm_timer3_slotCount, sm_timer4_slotCount, sm_timer5_slotCount, sm_timer6_slotCount, sm_timer7_slotCount, sm_timer_control, sm_timers01_slotTime, sm_timers23_slotTime, sm_timers45_slotTime, sm_timers67_slotTime)\n\n\n% connvert the input data to UFix_32_0 (the bus data type)\n% 'From Register' blocks\n% sm_timer_status_bus = xfix({xlUnsigned, 32, 0}, 0);\nsm_timer_status_bus = xl_force(sm_timer_status, xlUnsigned, 0);\n\n% 'To Register' blocks\n\n% sm_timer0_slotCount_dout = xfix({xlUnsigned, 32, 0}, 0);\nsm_timer0_slotCount_dout = xl_force(sm_timer0_slotCount, xlUnsigned, 0);\n\n% sm_timer1_slotCount_dout = xfix({xlUnsigned, 32, 0}, 0);\nsm_timer1_slotCount_dout = xl_force(sm_timer1_slotCount, xlUnsigned, 0);\n\n% sm_timer2_slotCount_dout = xfix({xlUnsigned, 32, 0}, 0);\nsm_timer2_slotCount_dout = xl_force(sm_timer2_slotCount, xlUnsigned, 0);\n\n% sm_timer3_slotCount_dout = xfix({xlUnsigned, 32, 0}, 0);\nsm_timer3_slotCount_dout = xl_force(sm_timer3_slotCount, xlUnsigned, 0);\n\n% sm_timer4_slotCount_dout = xfix({xlUnsigned, 32, 0}, 0);\nsm_timer4_slotCount_dout = xl_force(sm_timer4_slotCount, xlUnsigned, 0);\n\n% sm_timer5_slotCount_dout = xfix({xlUnsigned, 32, 0}, 0);\nsm_timer5_slotCount_dout = xl_force(sm_timer5_slotCount, xlUnsigned, 0);\n\n% sm_timer6_slotCount_dout = xfix({xlUnsigned, 32, 0}, 0);\nsm_timer6_slotCount_dout = xl_force(sm_timer6_slotCount, xlUnsigned, 0);\n\n% sm_timer7_slotCount_dout = xfix({xlUnsigned, 32, 0}, 0);\nsm_timer7_slotCount_dout = xl_force(sm_timer7_slotCount, xlUnsigned, 0);\n\n% sm_timer_control_dout = xfix({xlUnsigned, 32, 0}, 0);\nsm_timer_control_dout = xl_force(sm_timer_control, xlUnsigned, 0);\n\n% sm_timers01_slotTime_dout = xfix({xlUnsigned, 32, 0}, 0);\nsm_timers01_slotTime_dout = xl_force(sm_timers01_slotTime, xlUnsigned, 0);\n\n% sm_timers23_slotTime_dout = xfix({xlUnsigned, 32, 0}, 0);\nsm_timers23_slotTime_dout = xl_force(sm_timers23_slotTime, xlUnsigned, 0);\n\n% sm_timers45_slotTime_dout = xfix({xlUnsigned, 32, 0}, 0);\nsm_timers45_slotTime_dout = xl_force(sm_timers45_slotTime, xlUnsigned, 0);\n\n% sm_timers67_slotTime_dout = xfix({xlUnsigned, 32, 0}, 0);\nsm_timers67_slotTime_dout = xl_force(sm_timers67_slotTime, xlUnsigned, 0);\n\n\n% 'From FIFO' blocks\n% 'To FIFO' blocks\n% 'Shared Memory' blocks\n\n% 'dout' ports of 'From Register' blocks\n\n% registered register mux output\npersistent reg_bank_out_reg; reg_bank_out_reg = xl_state(0, {xlUnsigned, 32, 0});\nreg_bank_out = reg_bank_out_reg;\n\nif linearAddr == 13\n    reg_bank_out_reg = sm_timer_status_bus;\nelseif linearAddr == 0\n    reg_bank_out_reg = sm_timer0_slotCount_dout;\nelseif linearAddr == 1\n    reg_bank_out_reg = sm_timer1_slotCount_dout;\nelseif linearAddr == 2\n    reg_bank_out_reg = sm_timer2_slotCount_dout;\nelseif linearAddr == 3\n    reg_bank_out_reg = sm_timer3_slotCount_dout;\nelseif linearAddr == 4\n    reg_bank_out_reg = sm_timer4_slotCount_dout;\nelseif linearAddr == 5\n    reg_bank_out_reg = sm_timer5_slotCount_dout;\nelseif linearAddr == 6\n    reg_bank_out_reg = sm_timer6_slotCount_dout;\nelseif linearAddr == 7\n    reg_bank_out_reg = sm_timer7_slotCount_dout;\nelseif linearAddr == 8\n    reg_bank_out_reg = sm_timer_control_dout;\nelseif linearAddr == 9\n    reg_bank_out_reg = sm_timers01_slotTime_dout;\nelseif linearAddr == 10\n    reg_bank_out_reg = sm_timers23_slotTime_dout;\nelseif linearAddr == 11\n    reg_bank_out_reg = sm_timers45_slotTime_dout;\nelseif linearAddr == 12\n    reg_bank_out_reg = sm_timers67_slotTime_dout;\n\nend\n\n\n% 'From FIFO' and 'To FIFO' blocks\n\n\n\n\n\nopCode = xl_concat(addrAck, RNWReg, bankAddr, linearAddr);\n\n% 'Shared Memory' blocks\n\n\n\n\n\n% 'din' ports of 'Shared Memory' blocks\n\n\n% 'we' ports of 'Shared Memory' blocks\n\n\n% 'addr' ports of 'Shared Memory' blocks\n\n\n% 're' ports of 'From FIFO' blocks\n\n\n% 'en' ports of 'To Register' blocks\nif opCode == xl_concat(xfix({xlUnsigned, 4, 0}, 10), ...\n                       xfix({xlUnsigned, xl_nbits(linearAddr), 0}, 0))\n    sm_timer0_slotCount_en = true;\nelse\n    sm_timer0_slotCount_en = false;\nend\nif opCode == xl_concat(xfix({xlUnsigned, 4, 0}, 10), ...\n                       xfix({xlUnsigned, xl_nbits(linearAddr), 0}, 1))\n    sm_timer1_slotCount_en = true;\nelse\n    sm_timer1_slotCount_en = false;\nend\nif opCode == xl_concat(xfix({xlUnsigned, 4, 0}, 10), ...\n                       xfix({xlUnsigned, xl_nbits(linearAddr), 0}, 2))\n    sm_timer2_slotCount_en = true;\nelse\n    sm_timer2_slotCount_en = false;\nend\nif opCode == xl_concat(xfix({xlUnsigned, 4, 0}, 10), ...\n                       xfix({xlUnsigned, xl_nbits(linearAddr), 0}, 3))\n    sm_timer3_slotCount_en = true;\nelse\n    sm_timer3_slotCount_en = false;\nend\nif opCode == xl_concat(xfix({xlUnsigned, 4, 0}, 10), ...\n                       xfix({xlUnsigned, xl_nbits(linearAddr), 0}, 4))\n    sm_timer4_slotCount_en = true;\nelse\n    sm_timer4_slotCount_en = false;\nend\nif opCode == xl_concat(xfix({xlUnsigned, 4, 0}, 10), ...\n                       xfix({xlUnsigned, xl_nbits(linearAddr), 0}, 5))\n    sm_timer5_slotCount_en = true;\nelse\n    sm_timer5_slotCount_en = false;\nend\nif opCode == xl_concat(xfix({xlUnsigned, 4, 0}, 10), ...\n                       xfix({xlUnsigned, xl_nbits(linearAddr), 0}, 6))\n    sm_timer6_slotCount_en = true;\nelse\n    sm_timer6_slotCount_en = false;\nend\nif opCode == xl_concat(xfix({xlUnsigned, 4, 0}, 10), ...\n                       xfix({xlUnsigned, xl_nbits(linearAddr), 0}, 7))\n    sm_timer7_slotCount_en = true;\nelse\n    sm_timer7_slotCount_en = false;\nend\nif opCode == xl_concat(xfix({xlUnsigned, 4, 0}, 10), ...\n                       xfix({xlUnsigned, xl_nbits(linearAddr), 0}, 8))\n    sm_timer_control_en = true;\nelse\n    sm_timer_control_en = false;\nend\nif opCode == xl_concat(xfix({xlUnsigned, 4, 0}, 10), ...\n                       xfix({xlUnsigned, xl_nbits(linearAddr), 0}, 9))\n    sm_timers01_slotTime_en = true;\nelse\n    sm_timers01_slotTime_en = false;\nend\nif opCode == xl_concat(xfix({xlUnsigned, 4, 0}, 10), ...\n                       xfix({xlUnsigned, xl_nbits(linearAddr), 0}, 10))\n    sm_timers23_slotTime_en = true;\nelse\n    sm_timers23_slotTime_en = false;\nend\nif opCode == xl_concat(xfix({xlUnsigned, 4, 0}, 10), ...\n                       xfix({xlUnsigned, xl_nbits(linearAddr), 0}, 11))\n    sm_timers45_slotTime_en = true;\nelse\n    sm_timers45_slotTime_en = false;\nend\nif opCode == xl_concat(xfix({xlUnsigned, 4, 0}, 10), ...\n                       xfix({xlUnsigned, xl_nbits(linearAddr), 0}, 12))\n    sm_timers67_slotTime_en = true;\nelse\n    sm_timers67_slotTime_en = false;\nend\n\n\n% 'din' ports of 'To FIFO' blocks\n\n\n% 'we' ports of 'To FIFO' blocks\n\n\n% 'din' ports of 'To Register' blocks\nsm_timer0_slotCount_din = xl_force(xl_slice(wrDBus, 32 - 1, 0), ...\n                                 xlUnsigned, ...\n                                 0);\nsm_timer1_slotCount_din = xl_force(xl_slice(wrDBus, 32 - 1, 0), ...\n                                 xlUnsigned, ...\n                                 0);\nsm_timer2_slotCount_din = xl_force(xl_slice(wrDBus, 32 - 1, 0), ...\n                                 xlUnsigned, ...\n                                 0);\nsm_timer3_slotCount_din = xl_force(xl_slice(wrDBus, 32 - 1, 0), ...\n                                 xlUnsigned, ...\n                                 0);\nsm_timer4_slotCount_din = xl_force(xl_slice(wrDBus, 32 - 1, 0), ...\n                                 xlUnsigned, ...\n                                 0);\nsm_timer5_slotCount_din = xl_force(xl_slice(wrDBus, 32 - 1, 0), ...\n                                 xlUnsigned, ...\n                                 0);\nsm_timer6_slotCount_din = xl_force(xl_slice(wrDBus, 32 - 1, 0), ...\n                                 xlUnsigned, ...\n                                 0);\nsm_timer7_slotCount_din = xl_force(xl_slice(wrDBus, 32 - 1, 0), ...\n                                 xlUnsigned, ...\n                                 0);\nsm_timer_control_din = xl_force(xl_slice(wrDBus, 32 - 1, 0), ...\n                                 xlUnsigned, ...\n                                 0);\nsm_timers01_slotTime_din = xl_force(xl_slice(wrDBus, 32 - 1, 0), ...\n                                 xlUnsigned, ...\n                                 0);\nsm_timers23_slotTime_din = xl_force(xl_slice(wrDBus, 32 - 1, 0), ...\n                                 xlUnsigned, ...\n                                 0);\nsm_timers45_slotTime_din = xl_force(xl_slice(wrDBus, 32 - 1, 0), ...\n                                 xlUnsigned, ...\n                                 0);\nsm_timers67_slotTime_din = xl_force(xl_slice(wrDBus, 32 - 1, 0), ...\n                                 xlUnsigned, ...\n                                 0);\n\n\npersistent read_bank_out_reg; read_bank_out_reg = xl_state(0, {xlUnsigned, 32, 0});\nread_bank_out = read_bank_out_reg;\n\npersistent bankAddr_reg; bankAddr_reg = xl_state(0, bankAddr);\n\nif bankAddr_reg == 0\n    % Bank 0: Shared Memories\n    read_bank_out_reg = 0;\nelseif bankAddr_reg == 1\n    % Bank 1: From/To FIFOs\n    read_bank_out_reg =  0;\nelseif bankAddr_reg == 2\n    % Bank 2: From/To Registers\n    read_bank_out_reg = reg_bank_out;\nelseif bankAddr_reg == 3\n    % Bank 3: Configuration Registers\n    read_bank_out_reg = 0;\nend\n\nbankAddr_reg = bankAddr;\n"
1977      suppress_output     "1"
1978      defparams       "{}"
1979      hide_port_list      "{}"
1980      has_advanced_control    "0"
1981      sggui_pos       "-1,-1,-1,-1"
1982      block_type          "mcode"
1983      block_version       "10.1.3"
1984      sg_icon_stat        "170,270,1,1,white,blue,0,56f4a8ff,right"
1985      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 170 170 0 ],[0 0 270 270 ],[0.77 0.82 0.91]);\npatch([40 12 52 12 40 85 97 109 157 119 83 57 97 57 83 119 157 109 97 85 40 ],[68 96 136 176 204 204 192 204 204 166 202 176 136 96 70 106 68 68 80 68 68 ],[0.98 0.96 0.92]);\nplot([0 170 170 0 0 ],[0 0 270 270 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1,'wrDBus');\ncolor('black');port_label('input',2,'bankAddr');\ncolor('black');port_label('input',3,'linearAddr');\ncolor('black');port_label('input',4,'RNWReg');\ncolor('black');port_label('input',5,'addrAck');\ncolor('black');port_label('input',6,'sm_timer_status');\ncolor('black');port_label('input',7,'sm_timer0_slotCount');\ncolor('black');port_label('input',8,'sm_timer1_slotCount');\ncolor('black');port_label('input',9,'sm_timer2_slotCount');\ncolor('black');port_label('input',10,'sm_timer3_slotCount');\ncolor('black');port_label('input',11,'sm_timer4_slotCount');\ncolor('black');port_label('input',12,'sm_timer5_slotCount');\ncolor('black');port_label('input',13,'sm_timer6_slotCount');\ncolor('black');port_label('input',14,'sm_timer7_slotCount');\ncolor('black');port_label('input',15,'sm_timer_control');\ncolor('black');port_label('input',16,'sm_timers01_slotTime');\ncolor('black');port_label('input',17,'sm_timers23_slotTime');\ncolor('black');port_label('input',18,'sm_timers45_slotTime');\ncolor('black');port_label('input',19,'sm_timers67_slotTime');\ncolor('black');port_label('output',1,'read_bank_out');\ncolor('black');port_label('output',2,'sm_timer0_slotCount_din');\ncolor('black');port_label('output',3,'sm_timer0_slotCount_en');\ncolor('black');port_label('output',4,'sm_timer1_slotCount_din');\ncolor('black');port_label('output',5,'sm_timer1_slotCount_en');\ncolor('black');port_label('output',6,'sm_timer2_slotCount_din');\ncolor('black');port_label('output',7,'sm_timer2_slotCount_en');\ncolor('black');port_label('output',8,'sm_timer3_slotCount_din');\ncolor('black');port_label('output',9,'sm_timer3_slotCount_en');\ncolor('black');port_label('output',10,'sm_timer4_slotCount_din');\ncolor('black');port_label('output',11,'sm_timer4_slotCount_en');\ncolor('black');port_label('output',12,'sm_timer5_slotCount_din');\ncolor('black');port_label('output',13,'sm_timer5_slotCount_en');\ncolor('black');port_label('output',14,'sm_timer6_slotCount_din');\ncolor('black');port_label('output',15,'sm_timer6_slotCount_en');\ncolor('black');port_label('output',16,'sm_timer7_slotCount_din');\ncolor('black');port_label('output',17,'sm_timer7_slotCount_en');\ncolor('black');port_label('output',18,'sm_timer_control_din');\ncolor('black');port_label('output',19,'sm_timer_control_en');\ncolor('black');port_label('output',20,'sm_timers01_slotTime_din');\ncolor('black');port_label('output',21,'sm_timers01_slotTime_en');\ncolor('black');port_label('output',22,'sm_timers23_slotTime_din');\ncolor('black');port_label('output',23,'sm_timers23_slotTime_en');\ncolor('black');port_label('output',24,'sm_timers45_slotTime_din');\ncolor('black');port_label('output',25,'sm_timers45_slotTime_en');\ncolor('black');port_label('output',26,'sm_timers67_slotTime_din');\ncolor('black');port_label('output',27,'sm_timers67_slotTime_en');\ncolor('black');disp('\\bf{xlmax}','texmode','on');\nfprintf('','COMMENT: end icon text');\n"
1986      Port {
1987        PortNumber          1
1988        Name            "rdData"
1989        RTWStorageClass     "Auto"
1990        DataLoggingNameMode     "SignalName"
1991      }
1992      Port {
1993        PortNumber          2
1994        Name            "timer0_slotCount_din"
1995        RTWStorageClass     "Auto"
1996        DataLoggingNameMode     "SignalName"
1997      }
1998      Port {
1999        PortNumber          3
2000        Name            "timer0_slotCount_en"
2001        RTWStorageClass     "Auto"
2002        DataLoggingNameMode     "SignalName"
2003      }
2004      Port {
2005        PortNumber          4
2006        Name            "timer1_slotCount_din"
2007        RTWStorageClass     "Auto"
2008        DataLoggingNameMode     "SignalName"
2009      }
2010      Port {
2011        PortNumber          5
2012        Name            "timer1_slotCount_en"
2013        RTWStorageClass     "Auto"
2014        DataLoggingNameMode     "SignalName"
2015      }
2016      Port {
2017        PortNumber          6
2018        Name            "timer2_slotCount_din"
2019        RTWStorageClass     "Auto"
2020        DataLoggingNameMode     "SignalName"
2021      }
2022      Port {
2023        PortNumber          7
2024        Name            "timer2_slotCount_en"
2025        RTWStorageClass     "Auto"
2026        DataLoggingNameMode     "SignalName"
2027      }
2028      Port {
2029        PortNumber          8
2030        Name            "timer3_slotCount_din"
2031        RTWStorageClass     "Auto"
2032        DataLoggingNameMode     "SignalName"
2033      }
2034      Port {
2035        PortNumber          9
2036        Name            "timer3_slotCount_en"
2037        RTWStorageClass     "Auto"
2038        DataLoggingNameMode     "SignalName"
2039      }
2040      Port {
2041        PortNumber          10
2042        Name            "timer4_slotCount_din"
2043        RTWStorageClass     "Auto"
2044        DataLoggingNameMode     "SignalName"
2045      }
2046      Port {
2047        PortNumber          11
2048        Name            "timer4_slotCount_en"
2049        RTWStorageClass     "Auto"
2050        DataLoggingNameMode     "SignalName"
2051      }
2052      Port {
2053        PortNumber          12
2054        Name            "timer5_slotCount_din"
2055        RTWStorageClass     "Auto"
2056        DataLoggingNameMode     "SignalName"
2057      }
2058      Port {
2059        PortNumber          13
2060        Name            "timer5_slotCount_en"
2061        RTWStorageClass     "Auto"
2062        DataLoggingNameMode     "SignalName"
2063      }
2064      Port {
2065        PortNumber          14
2066        Name            "timer6_slotCount_din"
2067        RTWStorageClass     "Auto"
2068        DataLoggingNameMode     "SignalName"
2069      }
2070      Port {
2071        PortNumber          15
2072        Name            "timer6_slotCount_en"
2073        RTWStorageClass     "Auto"
2074        DataLoggingNameMode     "SignalName"
2075      }
2076      Port {
2077        PortNumber          16
2078        Name            "timer7_slotCount_din"
2079        RTWStorageClass     "Auto"
2080        DataLoggingNameMode     "SignalName"
2081      }
2082      Port {
2083        PortNumber          17
2084        Name            "timer7_slotCount_en"
2085        RTWStorageClass     "Auto"
2086        DataLoggingNameMode     "SignalName"
2087      }
2088      Port {
2089        PortNumber          18
2090        Name            "timer_control_din"
2091        RTWStorageClass     "Auto"
2092        DataLoggingNameMode     "SignalName"
2093      }
2094      Port {
2095        PortNumber          19
2096        Name            "timer_control_en"
2097        RTWStorageClass     "Auto"
2098        DataLoggingNameMode     "SignalName"
2099      }
2100      Port {
2101        PortNumber          20
2102        Name            "timers01_slotTime_din"
2103        RTWStorageClass     "Auto"
2104        DataLoggingNameMode     "SignalName"
2105      }
2106      Port {
2107        PortNumber          21
2108        Name            "timers01_slotTime_en"
2109        RTWStorageClass     "Auto"
2110        DataLoggingNameMode     "SignalName"
2111      }
2112      Port {
2113        PortNumber          22
2114        Name            "timers23_slotTime_din"
2115        RTWStorageClass     "Auto"
2116        DataLoggingNameMode     "SignalName"
2117      }
2118      Port {
2119        PortNumber          23
2120        Name            "timers23_slotTime_en"
2121        RTWStorageClass     "Auto"
2122        DataLoggingNameMode     "SignalName"
2123      }
2124      Port {
2125        PortNumber          24
2126        Name            "timers45_slotTime_din"
2127        RTWStorageClass     "Auto"
2128        DataLoggingNameMode     "SignalName"
2129      }
2130      Port {
2131        PortNumber          25
2132        Name            "timers45_slotTime_en"
2133        RTWStorageClass     "Auto"
2134        DataLoggingNameMode     "SignalName"
2135      }
2136      Port {
2137        PortNumber          26
2138        Name            "timers67_slotTime_din"
2139        RTWStorageClass     "Auto"
2140        DataLoggingNameMode     "SignalName"
2141      }
2142      Port {
2143        PortNumber          27
2144        Name            "timers67_slotTime_en"
2145        RTWStorageClass     "Auto"
2146        DataLoggingNameMode     "SignalName"
2147      }
2148    }
2149    Block {
2150      BlockType       Reference
2151      Name            "sg_plb_addrpref"
2152      Ports           [1, 1]
2153      Position        [175, 855, 245, 875]
2154      SourceBlock         "xbsIndex_r4/Gateway In"
2155      SourceType          "Xilinx Gateway In Block"
2156      infoedit        "Gateway in block.  Converts inputs of type Simulink integer, double and fixed point to  Xilinx fixed point type.<P><P>Hardware notes:  In hardware these blocks become top level input ports."
2157      arith_type          "Unsigned"
2158      n_bits          "20"
2159      bin_pt          "0"
2160      quantization        "Round  (unbiased: +/- Inf)"
2161      overflow        "Saturate"
2162      period          "xlGetSimulinkPeriod(gcb)"
2163      dbl_ovrd        "off"
2164      timing_constraint   "None"
2165      locs_specified      "off"
2166      LOCs            "{}"
2167      xl_use_area         "off"
2168      xl_area         "[0,0,0,0,0,0,0]"
2169      sginterface         "{'Xilinx'=>{'jtaghwcosim'=>{'non_memory_mapped_port'=>'sg_plb_addrpref'}},'iopad'=>{'constraint'=>'#'}}"
2170      has_advanced_control    "0"
2171      sggui_pos       "-1,-1,-1,-1"
2172      block_type          "gatewayin"
2173      block_version       "10.1.3"
2174      sg_icon_stat        "70,20,1,1,white,yellow,0,bc55d28f,right"
2175      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 65 65 0 ],[0 0 20 20 ],[0.95 0.93 0.65]);\npatch([27 24 29 24 27 32 33 34 40 36 32 29 34 29 32 36 40 34 33 32 27 ],[2 5 10 15 18 18 17 18 18 14 18 15 10 5 2 6 2 2 3 2 2 ],[0.98 0.96 0.92]);\nplot([0 65 65 0 0 ],[0 0 20 20 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1,'\\fontsize{11pt}\\bf In ','texmode','on');\ncolor('black');port_label('output',1,' ');\nfprintf('','COMMENT: end icon text');\n"
2176      Port {
2177        PortNumber          1
2178        Name            "addrPref"
2179        RTWStorageClass     "Auto"
2180        DataLoggingNameMode     "SignalName"
2181      }
2182    }
2183    Line {
2184      Name            "Sl_addrAck"
2185      SrcBlock        "plb_decode"
2186      SrcPort         2
2187      Points          [0, 0]
2188      Branch {
2189        Labels          [1, 0]
2190        DstBlock            "plb_memmap"
2191        DstPort         5
2192      }
2193      Branch {
2194        Labels          [0, 0]
2195        DstBlock            "Sl_addrAck"
2196        DstPort         1
2197      }
2198    }
2199    Line {
2200      Name            "Sl_wrDAck"
2201      SrcBlock        "plb_decode"
2202      SrcPort         4
2203      Points          [0, 0]
2204      Branch {
2205        Labels          [1, 0]
2206        DstBlock            "Sl_wrDAck"
2207        DstPort         1
2208      }
2209      Branch {
2210        Labels          [0, 0]
2211        DstBlock            "Sl_wrComp"
2212        DstPort         1
2213      }
2214    }
2215    Line {
2216      Name            "timers67_slotTime_en"
2217      Labels          [0, 0]
2218      SrcBlock        "plb_memmap"
2219      SrcPort         27
2220      DstBlock        "To Register12"
2221      DstPort         2
2222    }
2223    Line {
2224      Name            "timers67_slotTime_din"
2225      Labels          [0, 0]
2226      SrcBlock        "plb_memmap"
2227      SrcPort         26
2228      DstBlock        "To Register12"
2229      DstPort         1
2230    }
2231    Line {
2232      Name            "timers45_slotTime_en"
2233      Labels          [0, 0]
2234      SrcBlock        "plb_memmap"
2235      SrcPort         25
2236      DstBlock        "To Register11"
2237      DstPort         2
2238    }
2239    Line {
2240      Name            "timers45_slotTime_din"
2241      Labels          [0, 0]
2242      SrcBlock        "plb_memmap"
2243      SrcPort         24
2244      DstBlock        "To Register11"
2245      DstPort         1
2246    }
2247    Line {
2248      Name            "timers23_slotTime_en"
2249      Labels          [0, 0]
2250      SrcBlock        "plb_memmap"
2251      SrcPort         23
2252      DstBlock        "To Register10"
2253      DstPort         2
2254    }
2255    Line {
2256      Name            "timers23_slotTime_din"
2257      Labels          [0, 0]
2258      SrcBlock        "plb_memmap"
2259      SrcPort         22
2260      DstBlock        "To Register10"
2261      DstPort         1
2262    }
2263    Line {
2264      Name            "timers01_slotTime_en"
2265      Labels          [0, 0]
2266      SrcBlock        "plb_memmap"
2267      SrcPort         21
2268      DstBlock        "To Register9"
2269      DstPort         2
2270    }
2271    Line {
2272      Name            "timers01_slotTime_din"
2273      Labels          [0, 0]
2274      SrcBlock        "plb_memmap"
2275      SrcPort         20
2276      DstBlock        "To Register9"
2277      DstPort         1
2278    }
2279    Line {
2280      Name            "timer_control_en"
2281      Labels          [0, 0]
2282      SrcBlock        "plb_memmap"
2283      SrcPort         19
2284      DstBlock        "To Register8"
2285      DstPort         2
2286    }
2287    Line {
2288      Name            "timer_control_din"
2289      Labels          [0, 0]
2290      SrcBlock        "plb_memmap"
2291      SrcPort         18
2292      DstBlock        "To Register8"
2293      DstPort         1
2294    }
2295    Line {
2296      Name            "timer7_slotCount_en"
2297      Labels          [0, 0]
2298      SrcBlock        "plb_memmap"
2299      SrcPort         17
2300      DstBlock        "To Register7"
2301      DstPort         2
2302    }
2303    Line {
2304      Name            "timer7_slotCount_din"
2305      Labels          [0, 0]
2306      SrcBlock        "plb_memmap"
2307      SrcPort         16
2308      DstBlock        "To Register7"
2309      DstPort         1
2310    }
2311    Line {
2312      Name            "timer6_slotCount_en"
2313      Labels          [0, 0]
2314      SrcBlock        "plb_memmap"
2315      SrcPort         15
2316      DstBlock        "To Register6"
2317      DstPort         2
2318    }
2319    Line {
2320      Name            "timer6_slotCount_din"
2321      Labels          [0, 0]
2322      SrcBlock        "plb_memmap"
2323      SrcPort         14
2324      DstBlock        "To Register6"
2325      DstPort         1
2326    }
2327    Line {
2328      Name            "timer5_slotCount_en"
2329      Labels          [0, 0]
2330      SrcBlock        "plb_memmap"
2331      SrcPort         13
2332      DstBlock        "To Register5"
2333      DstPort         2
2334    }
2335    Line {
2336      Name            "timer5_slotCount_din"
2337      Labels          [0, 0]
2338      SrcBlock        "plb_memmap"
2339      SrcPort         12
2340      DstBlock        "To Register5"
2341      DstPort         1
2342    }
2343    Line {
2344      Name            "timer4_slotCount_en"
2345      Labels          [0, 0]
2346      SrcBlock        "plb_memmap"
2347      SrcPort         11
2348      DstBlock        "To Register4"
2349      DstPort         2
2350    }
2351    Line {
2352      Name            "timer4_slotCount_din"
2353      Labels          [0, 0]
2354      SrcBlock        "plb_memmap"
2355      SrcPort         10
2356      DstBlock        "To Register4"
2357      DstPort         1
2358    }
2359    Line {
2360      Name            "timer3_slotCount_en"
2361      Labels          [0, 0]
2362      SrcBlock        "plb_memmap"
2363      SrcPort         9
2364      DstBlock        "To Register3"
2365      DstPort         2
2366    }
2367    Line {
2368      Name            "timer3_slotCount_din"
2369      Labels          [0, 0]
2370      SrcBlock        "plb_memmap"
2371      SrcPort         8
2372      DstBlock        "To Register3"
2373      DstPort         1
2374    }
2375    Line {
2376      Name            "timer2_slotCount_en"
2377      Labels          [0, 0]
2378      SrcBlock        "plb_memmap"
2379      SrcPort         7
2380      DstBlock        "To Register2"
2381      DstPort         2
2382    }
2383    Line {
2384      Name            "timer2_slotCount_din"
2385      Labels          [0, 0]
2386      SrcBlock        "plb_memmap"
2387      SrcPort         6
2388      DstBlock        "To Register2"
2389      DstPort         1
2390    }
2391    Line {
2392      Name            "timer1_slotCount_en"
2393      Labels          [0, 0]
2394      SrcBlock        "plb_memmap"
2395      SrcPort         5
2396      DstBlock        "To Register1"
2397      DstPort         2
2398    }
2399    Line {
2400      Name            "timer1_slotCount_din"
2401      Labels          [0, 0]
2402      SrcBlock        "plb_memmap"
2403      SrcPort         4
2404      DstBlock        "To Register1"
2405      DstPort         1
2406    }
2407    Line {
2408      Name            "timer0_slotCount_en"
2409      Labels          [0, 0]
2410      SrcBlock        "plb_memmap"
2411      SrcPort         3
2412      DstBlock        "To Register"
2413      DstPort         2
2414    }
2415    Line {
2416      Name            "timer0_slotCount_din"
2417      Labels          [0, 0]
2418      SrcBlock        "plb_memmap"
2419      SrcPort         2
2420      DstBlock        "To Register"
2421      DstPort         1
2422    }
2423    Line {
2424      Name            "rdData"
2425      Labels          [0, 0]
2426      SrcBlock        "plb_memmap"
2427      SrcPort         1
2428      DstBlock        "plb_decode"
2429      DstPort         6
2430    }
2431    Line {
2432      Name            "timers67_slotTime_dout"
2433      Labels          [0, 0]
2434      SrcBlock        "To Register12"
2435      SrcPort         1
2436      DstBlock        "plb_memmap"
2437      DstPort         19
2438    }
2439    Line {
2440      Name            "timers45_slotTime_dout"
2441      Labels          [0, 0]
2442      SrcBlock        "To Register11"
2443      SrcPort         1
2444      DstBlock        "plb_memmap"
2445      DstPort         18
2446    }
2447    Line {
2448      Name            "timers23_slotTime_dout"
2449      Labels          [0, 0]
2450      SrcBlock        "To Register10"
2451      SrcPort         1
2452      DstBlock        "plb_memmap"
2453      DstPort         17
2454    }
2455    Line {
2456      Name            "timers01_slotTime_dout"
2457      Labels          [0, 0]
2458      SrcBlock        "To Register9"
2459      SrcPort         1
2460      DstBlock        "plb_memmap"
2461      DstPort         16
2462    }
2463    Line {
2464      Name            "timer_control_dout"
2465      Labels          [0, 0]
2466      SrcBlock        "To Register8"
2467      SrcPort         1
2468      DstBlock        "plb_memmap"
2469      DstPort         15
2470    }
2471    Line {
2472      Name            "timer7_slotCount_dout"
2473      Labels          [0, 0]
2474      SrcBlock        "To Register7"
2475      SrcPort         1
2476      DstBlock        "plb_memmap"
2477      DstPort         14
2478    }
2479    Line {
2480      Name            "timer6_slotCount_dout"
2481      Labels          [0, 0]
2482      SrcBlock        "To Register6"
2483      SrcPort         1
2484      DstBlock        "plb_memmap"
2485      DstPort         13
2486    }
2487    Line {
2488      Name            "timer5_slotCount_dout"
2489      Labels          [0, 0]
2490      SrcBlock        "To Register5"
2491      SrcPort         1
2492      DstBlock        "plb_memmap"
2493      DstPort         12
2494    }
2495    Line {
2496      Name            "timer4_slotCount_dout"
2497      Labels          [0, 0]
2498      SrcBlock        "To Register4"
2499      SrcPort         1
2500      DstBlock        "plb_memmap"
2501      DstPort         11
2502    }
2503    Line {
2504      Name            "timer3_slotCount_dout"
2505      Labels          [0, 0]
2506      SrcBlock        "To Register3"
2507      SrcPort         1
2508      DstBlock        "plb_memmap"
2509      DstPort         10
2510    }
2511    Line {
2512      Name            "timer2_slotCount_dout"
2513      Labels          [0, 0]
2514      SrcBlock        "To Register2"
2515      SrcPort         1
2516      DstBlock        "plb_memmap"
2517      DstPort         9
2518    }
2519    Line {
2520      Name            "timer1_slotCount_dout"
2521      Labels          [0, 0]
2522      SrcBlock        "To Register1"
2523      SrcPort         1
2524      DstBlock        "plb_memmap"
2525      DstPort         8
2526    }
2527    Line {
2528      Name            "timer0_slotCount_dout"
2529      Labels          [0, 0]
2530      SrcBlock        "To Register"
2531      SrcPort         1
2532      DstBlock        "plb_memmap"
2533      DstPort         7
2534    }
2535    Line {
2536      Name            "timer_status_dout"
2537      Labels          [0, 0]
2538      SrcBlock        "From Register"
2539      SrcPort         1
2540      DstBlock        "plb_memmap"
2541      DstPort         6
2542    }
2543    Line {
2544      Name            "RNWReg"
2545      Labels          [0, 0]
2546      SrcBlock        "plb_decode"
2547      SrcPort         6
2548      DstBlock        "plb_memmap"
2549      DstPort         4
2550    }
2551    Line {
2552      Name            "linearAddr"
2553      Labels          [0, 0]
2554      SrcBlock        "plb_decode"
2555      SrcPort         9
2556      DstBlock        "plb_memmap"
2557      DstPort         3
2558    }
2559    Line {
2560      Name            "bankAddr"
2561      Labels          [0, 0]
2562      SrcBlock        "plb_decode"
2563      SrcPort         5
2564      DstBlock        "plb_memmap"
2565      DstPort         2
2566    }
2567    Line {
2568      Name            "wrDBusReg"
2569      Labels          [0, 0]
2570      SrcBlock        "plb_decode"
2571      SrcPort         1
2572      DstBlock        "plb_memmap"
2573      DstPort         1
2574    }
2575    Line {
2576      Name            "Sl_rdDBus"
2577      Labels          [0, 0]
2578      SrcBlock        "plb_decode"
2579      SrcPort         8
2580      DstBlock        "Sl_rdDBus"
2581      DstPort         1
2582    }
2583    Line {
2584      Name            "Sl_rdDAck"
2585      Labels          [0, 0]
2586      SrcBlock        "plb_decode"
2587      SrcPort         7
2588      DstBlock        "Sl_rdDAck"
2589      DstPort         1
2590    }
2591    Line {
2592      Name            "Sl_rdComp"
2593      Labels          [0, 0]
2594      SrcBlock        "plb_decode"
2595      SrcPort         3
2596      DstBlock        "Sl_rdComp"
2597      DstPort         1
2598    }
2599    Line {
2600      Name            "addrPref"
2601      Labels          [0, 0]
2602      SrcBlock        "sg_plb_addrpref"
2603      SrcPort         1
2604      DstBlock        "plb_decode"
2605      DstPort         7
2606    }
2607    Line {
2608      Name            "PLB_wrDBus"
2609      Labels          [0, 0]
2610      SrcBlock        "PLB_wrDBus"
2611      SrcPort         1
2612      DstBlock        "plb_decode"
2613      DstPort         5
2614    }
2615    Line {
2616      Name            "PLB_RNW"
2617      Labels          [0, 0]
2618      SrcBlock        "PLB_RNW"
2619      SrcPort         1
2620      DstBlock        "plb_decode"
2621      DstPort         4
2622    }
2623    Line {
2624      Name            "PLB_PAValid"
2625      Labels          [0, 0]
2626      SrcBlock        "PLB_PAValid"
2627      SrcPort         1
2628      DstBlock        "plb_decode"
2629      DstPort         3
2630    }
2631    Line {
2632      Name            "PLB_ABus"
2633      Labels          [0, 0]
2634      SrcBlock        "PLB_ABus"
2635      SrcPort         1
2636      DstBlock        "plb_decode"
2637      DstPort         2
2638    }
2639    Line {
2640      Name            "SPLB_Rst"
2641      Labels          [0, 0]
2642      SrcBlock        "SPLB_Rst"
2643      SrcPort         1
2644      DstBlock        "plb_decode"
2645      DstPort         1
2646    }
2647    Line {
2648      SrcBlock        "Constant6"
2649      SrcPort         1
2650      DstBlock        "sg_plb_addrpref"
2651      DstPort         1
2652    }
2653    Line {
2654      Name            "Sl_wait"
2655      Labels          [0, 0]
2656      SrcBlock        "Constant5"
2657      SrcPort         1
2658      DstBlock        "Sl_wait"
2659      DstPort         1
2660    }
2661    Line {
2662      SrcBlock        "Constant4"
2663      SrcPort         1
2664      DstBlock        "PLB_wrDBus"
2665      DstPort         1
2666    }
2667    Line {
2668      SrcBlock        "Constant3"
2669      SrcPort         1
2670      DstBlock        "PLB_RNW"
2671      DstPort         1
2672    }
2673    Line {
2674      SrcBlock        "Constant2"
2675      SrcPort         1
2676      DstBlock        "PLB_PAValid"
2677      DstPort         1
2678    }
2679    Line {
2680      SrcBlock        "Constant1"
2681      SrcPort         1
2682      DstBlock        "PLB_ABus"
2683      DstPort         1
2684    }
2685    Line {
2686      SrcBlock        "Constant"
2687      SrcPort         1
2688      DstBlock        "SPLB_Rst"
2689      DstPort         1
2690    }
2691    Line {
2692      SrcBlock        "Sl_wrComp"
2693      SrcPort         1
2694      DstBlock        "Terminator6"
2695      DstPort         1
2696    }
2697    Line {
2698      SrcBlock        "Sl_wrDAck"
2699      SrcPort         1
2700      DstBlock        "Terminator5"
2701      DstPort         1
2702    }
2703    Line {
2704      SrcBlock        "Sl_wait"
2705      SrcPort         1
2706      DstBlock        "Terminator4"
2707      DstPort         1
2708    }
2709    Line {
2710      SrcBlock        "Sl_rdDBus"
2711      SrcPort         1
2712      DstBlock        "Terminator3"
2713      DstPort         1
2714    }
2715    Line {
2716      SrcBlock        "Sl_rdDAck"
2717      SrcPort         1
2718      DstBlock        "Terminator2"
2719      DstPort         1
2720    }
2721    Line {
2722      SrcBlock        "Sl_rdComp"
2723      SrcPort         1
2724      DstBlock        "Terminator1"
2725      DstPort         1
2726    }
2727    Line {
2728      SrcBlock        "Sl_addrAck"
2729      SrcPort         1
2730      DstBlock        "Terminator"
2731      DstPort         1
2732    }
2733      }
2734    }
2735    Block {
2736      BlockType           From
2737      Name            "From"
2738      Position            [150, 131, 265, 149]
2739      ShowName            off
2740      CloseFcn            "tagdialog Close"
2741      GotoTag             "timer0_doneReset"
2742      TagVisibility       "global"
2743    }
2744    Block {
2745      BlockType           From
2746      Name            "From1"
2747      Position            [150, 116, 265, 134]
2748      ShowName            off
2749      CloseFcn            "tagdialog Close"
2750      GotoTag             "timer0_mode"
2751      TagVisibility       "global"
2752    }
2753    Block {
2754      BlockType           From
2755      Name            "From10"
2756      Position            [150, 281, 265, 299]
2757      ShowName            off
2758      CloseFcn            "tagdialog Close"
2759      GotoTag             "timer1_slotCount"
2760      TagVisibility       "global"
2761    }
2762    Block {
2763      BlockType           From
2764      Name            "From11"
2765      Position            [150, 296, 265, 314]
2766      ShowName            off
2767      CloseFcn            "tagdialog Close"
2768      GotoTag             "timer1_slotTime"
2769      TagVisibility       "global"
2770    }
2771    Block {
2772      BlockType           From
2773      Name            "From12"
2774      Position            [150, 416, 265, 434]
2775      ShowName            off
2776      CloseFcn            "tagdialog Close"
2777      GotoTag             "timer2_slotCount"
2778      TagVisibility       "global"
2779    }
2780    Block {
2781      BlockType           From
2782      Name            "From13"
2783      Position            [150, 431, 265, 449]
2784      ShowName            off
2785      CloseFcn            "tagdialog Close"
2786      GotoTag             "timer2_slotTime"
2787      TagVisibility       "global"
2788    }
2789    Block {
2790      BlockType           From
2791      Name            "From14"
2792      Position            [150, 401, 265, 419]
2793      ShowName            off
2794      CloseFcn            "tagdialog Close"
2795      GotoTag             "timer2_doneReset"
2796      TagVisibility       "global"
2797    }
2798    Block {
2799      BlockType           From
2800      Name            "From15"
2801      Position            [150, 386, 265, 404]
2802      ShowName            off
2803      CloseFcn            "tagdialog Close"
2804      GotoTag             "timer2_mode"
2805      TagVisibility       "global"
2806    }
2807    Block {
2808      BlockType           From
2809      Name            "From16"
2810      Position            [150, 371, 265, 389]
2811      ShowName            off
2812      CloseFcn            "tagdialog Close"
2813      GotoTag             "timer2_pause"
2814      TagVisibility       "global"
2815    }
2816    Block {
2817      BlockType           From
2818      Name            "From17"
2819      Position            [150, 356, 265, 374]
2820      ShowName            off
2821      CloseFcn            "tagdialog Close"
2822      GotoTag             "timer2_start"
2823      TagVisibility       "global"
2824    }
2825    Block {
2826      BlockType           From
2827      Name            "From18"
2828      Position            [150, 556, 265, 574]
2829      ShowName            off
2830      CloseFcn            "tagdialog Close"
2831      GotoTag             "timer3_slotCount"
2832      TagVisibility       "global"
2833    }
2834    Block {
2835      BlockType           From
2836      Name            "From19"
2837      Position            [150, 571, 265, 589]
2838      ShowName            off
2839      CloseFcn            "tagdialog Close"
2840      GotoTag             "timer3_slotTime"
2841      TagVisibility       "global"
2842    }
2843    Block {
2844      BlockType           From
2845      Name            "From2"
2846      Position            [150, 101, 265, 119]
2847      ShowName            off
2848      CloseFcn            "tagdialog Close"
2849      GotoTag             "timer0_pause"
2850      TagVisibility       "global"
2851    }
2852    Block {
2853      BlockType           From
2854      Name            "From20"
2855      Position            [150, 541, 265, 559]
2856      ShowName            off
2857      CloseFcn            "tagdialog Close"
2858      GotoTag             "timer3_doneReset"
2859      TagVisibility       "global"
2860    }
2861    Block {
2862      BlockType           From
2863      Name            "From21"
2864      Position            [150, 526, 265, 544]
2865      ShowName            off
2866      CloseFcn            "tagdialog Close"
2867      GotoTag             "timer3_mode"
2868      TagVisibility       "global"
2869    }
2870    Block {
2871      BlockType           From
2872      Name            "From22"
2873      Position            [150, 511, 265, 529]
2874      ShowName            off
2875      CloseFcn            "tagdialog Close"
2876      GotoTag             "timer3_pause"
2877      TagVisibility       "global"
2878    }
2879    Block {
2880      BlockType           From
2881      Name            "From23"
2882      Position            [150, 496, 265, 514]
2883      ShowName            off
2884      CloseFcn            "tagdialog Close"
2885      GotoTag             "timer3_start"
2886      TagVisibility       "global"
2887    }
2888    Block {
2889      BlockType           From
2890      Name            "From24"
2891      Position            [665, 121, 780, 139]
2892      ShowName            off
2893      CloseFcn            "tagdialog Close"
2894      GotoTag             "timer4_doneReset"
2895      TagVisibility       "global"
2896    }
2897    Block {
2898      BlockType           From
2899      Name            "From25"
2900      Position            [665, 106, 780, 124]
2901      ShowName            off
2902      CloseFcn            "tagdialog Close"
2903      GotoTag             "timer4_mode"
2904      TagVisibility       "global"
2905    }
2906    Block {
2907      BlockType           From
2908      Name            "From26"
2909      Position            [665, 271, 780, 289]
2910      ShowName            off
2911      CloseFcn            "tagdialog Close"
2912      GotoTag             "timer5_slotCount"
2913      TagVisibility       "global"
2914    }
2915    Block {
2916      BlockType           From
2917      Name            "From27"
2918      Position            [665, 286, 780, 304]
2919      ShowName            off
2920      CloseFcn            "tagdialog Close"
2921      GotoTag             "timer5_slotTime"
2922      TagVisibility       "global"
2923    }
2924    Block {
2925      BlockType           From
2926      Name            "From28"
2927      Position            [665, 406, 780, 424]
2928      ShowName            off
2929      CloseFcn            "tagdialog Close"
2930      GotoTag             "timer6_slotCount"
2931      TagVisibility       "global"
2932    }
2933    Block {
2934      BlockType           From
2935      Name            "From29"
2936      Position            [665, 421, 780, 439]
2937      ShowName            off
2938      CloseFcn            "tagdialog Close"
2939      GotoTag             "timer6_slotTime"
2940      TagVisibility       "global"
2941    }
2942    Block {
2943      BlockType           From
2944      Name            "From3"
2945      Position            [150, 86, 265, 104]
2946      ShowName            off
2947      CloseFcn            "tagdialog Close"
2948      GotoTag             "timer0_start"
2949      TagVisibility       "global"
2950    }
2951    Block {
2952      BlockType           From
2953      Name            "From30"
2954      Position            [665, 391, 780, 409]
2955      ShowName            off
2956      CloseFcn            "tagdialog Close"
2957      GotoTag             "timer6_doneReset"
2958      TagVisibility       "global"
2959    }
2960    Block {
2961      BlockType           From
2962      Name            "From31"
2963      Position            [665, 376, 780, 394]
2964      ShowName            off
2965      CloseFcn            "tagdialog Close"
2966      GotoTag             "timer6_mode"
2967      TagVisibility       "global"
2968    }
2969    Block {
2970      BlockType           From
2971      Name            "From32"
2972      Position            [665, 361, 780, 379]
2973      ShowName            off
2974      CloseFcn            "tagdialog Close"
2975      GotoTag             "timer6_pause"
2976      TagVisibility       "global"
2977    }
2978    Block {
2979      BlockType           From
2980      Name            "From33"
2981      Position            [665, 346, 780, 364]
2982      ShowName            off
2983      CloseFcn            "tagdialog Close"
2984      GotoTag             "timer6_start"
2985      TagVisibility       "global"
2986    }
2987    Block {
2988      BlockType           From
2989      Name            "From34"
2990      Position            [665, 546, 780, 564]
2991      ShowName            off
2992      CloseFcn            "tagdialog Close"
2993      GotoTag             "timer7_slotCount"
2994      TagVisibility       "global"
2995    }
2996    Block {
2997      BlockType           From
2998      Name            "From35"
2999      Position            [665, 561, 780, 579]
3000      ShowName            off
3001      CloseFcn            "tagdialog Close"
3002      GotoTag             "timer7_slotTime"
3003      TagVisibility       "global"
3004    }
3005    Block {
3006      BlockType           From
3007      Name            "From36"
3008      Position            [665, 91, 780, 109]
3009      ShowName            off
3010      CloseFcn            "tagdialog Close"
3011      GotoTag             "timer4_pause"
3012      TagVisibility       "global"
3013    }
3014    Block {
3015      BlockType           From
3016      Name            "From37"
3017      Position            [665, 531, 780, 549]
3018      ShowName            off
3019      CloseFcn            "tagdialog Close"
3020      GotoTag             "timer7_doneReset"
3021      TagVisibility       "global"
3022    }
3023    Block {
3024      BlockType           From
3025      Name            "From38"
3026      Position            [665, 516, 780, 534]
3027      ShowName            off
3028      CloseFcn            "tagdialog Close"
3029      GotoTag             "timer7_mode"
3030      TagVisibility       "global"
3031    }
3032    Block {
3033      BlockType           From
3034      Name            "From39"
3035      Position            [665, 501, 780, 519]
3036      ShowName            off
3037      CloseFcn            "tagdialog Close"
3038      GotoTag             "timer7_pause"
3039      TagVisibility       "global"
3040    }
3041    Block {
3042      BlockType           From
3043      Name            "From4"
3044      Position            [150, 146, 265, 164]
3045      ShowName            off
3046      CloseFcn            "tagdialog Close"
3047      GotoTag             "timer0_slotCount"
3048      TagVisibility       "global"
3049    }
3050    Block {
3051      BlockType           From
3052      Name            "From40"
3053      Position            [665, 486, 780, 504]
3054      ShowName            off
3055      CloseFcn            "tagdialog Close"
3056      GotoTag             "timer7_start"
3057      TagVisibility       "global"
3058    }
3059    Block {
3060      BlockType           From
3061      Name            "From41"
3062      Position            [665, 76, 780, 94]
3063      ShowName            off
3064      CloseFcn            "tagdialog Close"
3065      GotoTag             "timer4_start"
3066      TagVisibility       "global"
3067    }
3068    Block {
3069      BlockType           From
3070      Name            "From42"
3071      Position            [665, 136, 780, 154]
3072      ShowName            off
3073      CloseFcn            "tagdialog Close"
3074      GotoTag             "timer4_slotCount"
3075      TagVisibility       "global"
3076    }
3077    Block {
3078      BlockType           From
3079      Name            "From43"
3080      Position            [665, 151, 780, 169]
3081      ShowName            off
3082      CloseFcn            "tagdialog Close"
3083      GotoTag             "timer4_slotTime"
3084      TagVisibility       "global"
3085    }
3086    Block {
3087      BlockType           From
3088      Name            "From44"
3089      Position            [665, 256, 780, 274]
3090      ShowName            off
3091      CloseFcn            "tagdialog Close"
3092      GotoTag             "timer5_doneReset"
3093      TagVisibility       "global"
3094    }
3095    Block {
3096      BlockType           From
3097      Name            "From45"
3098      Position            [665, 241, 780, 259]
3099      ShowName            off
3100      CloseFcn            "tagdialog Close"
3101      GotoTag             "timer5_mode"
3102      TagVisibility       "global"
3103    }
3104    Block {
3105      BlockType           From
3106      Name            "From46"
3107      Position            [665, 226, 780, 244]
3108      ShowName            off
3109      CloseFcn            "tagdialog Close"
3110      GotoTag             "timer5_pause"
3111      TagVisibility       "global"
3112    }
3113    Block {
3114      BlockType           From
3115      Name            "From47"
3116      Position            [665, 211, 780, 229]
3117      ShowName            off
3118      CloseFcn            "tagdialog Close"
3119      GotoTag             "timer5_start"
3120      TagVisibility       "global"
3121    }
3122    Block {
3123      BlockType           From
3124      Name            "From5"
3125      Position            [150, 161, 265, 179]
3126      ShowName            off
3127      CloseFcn            "tagdialog Close"
3128      GotoTag             "timer0_slotTime"
3129      TagVisibility       "global"
3130    }
3131    Block {
3132      BlockType           From
3133      Name            "From6"
3134      Position            [150, 266, 265, 284]
3135      ShowName            off
3136      CloseFcn            "tagdialog Close"
3137      GotoTag             "timer1_doneReset"
3138      TagVisibility       "global"
3139    }
3140    Block {
3141      BlockType           From
3142      Name            "From7"
3143      Position            [150, 251, 265, 269]
3144      ShowName            off
3145      CloseFcn            "tagdialog Close"
3146      GotoTag             "timer1_mode"
3147      TagVisibility       "global"
3148    }
3149    Block {
3150      BlockType           From
3151      Name            "From8"
3152      Position            [150, 236, 265, 254]
3153      ShowName            off
3154      CloseFcn            "tagdialog Close"
3155      GotoTag             "timer1_pause"
3156      TagVisibility       "global"
3157    }
3158    Block {
3159      BlockType           From
3160      Name            "From9"
3161      Position            [150, 221, 265, 239]
3162      ShowName            off
3163      CloseFcn            "tagdialog Close"
3164      GotoTag             "timer1_start"
3165      TagVisibility       "global"
3166    }
3167    Block {
3168      BlockType           Reference
3169      Name            "IDLEFORDIFS"
3170      Ports           [1, 1]
3171      Position            [70, 177, 135, 193]
3172      SourceBlock         "xbsIndex_r4/Gateway In"
3173      SourceType          "Xilinx Gateway In Block"
3174      infoedit            "Gateway in block.  Converts inputs of type Simulink integer, double and fixed point to  Xilinx fixed point type.<P><P>Hardware notes:  In hardware these blocks become top level input ports."
3175      arith_type          "Unsigned"
3176      n_bits              "1"
3177      bin_pt              "0"
3178      quantization        "Round  (unbiased: +/- Inf)"
3179      overflow            "Saturate"
3180      period              "1"
3181      dbl_ovrd            off
3182      timing_constraint       "None"
3183      locs_specified          off
3184      LOCs            "{}"
3185      xl_use_area         off
3186      xl_area             "[0,0,0,0,0,0,0]"
3187      has_advanced_control    "0"
3188      sggui_pos           "20,20,356,432"
3189      block_type          "gatewayin"
3190      block_version       "8.2"
3191      sg_icon_stat        "65,16,1,1,white,yellow,0,bc55d28f,right"
3192      sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npatch([0 65 65 0 ],[0 0 20 20 ],[0.95 0.93 0.65]);\npatch([27 24 29 24 27 32 33 34 40 36 32 29 34 29 32 36 40 34 33 32 27 ],[2 5 10 15 18 18 17 18 18 14 18 15 10 5 2 6 2 2 3 2 2 ],[0.98 0.96 0.92]);\nplot([0 65 65 0 0 ],[0 0 20 20 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1,'\\fontsize{11pt}\\bf In ','texmode','on');\ncolor('black');port_label('output',1,' ');\nfprintf('','COMMENT: end icon text');\n"
3193    }
3194    Block {
3195      BlockType           SubSystem
3196      Name            "Registers"
3197      Ports           []
3198      Position            [585, 687, 636, 737]
3199      MinAlgLoopOccurrences   off
3200      PropExecContextOutsideSubsystem off
3201      RTWSystemCode       "Auto"
3202      FunctionWithSeparateData off
3203      Opaque              off
3204      RequestExecContextInheritance off
3205      MaskHideContents        off
3206      System {
3207    Name            "Registers"
3208    Location        [1101, 654, 1279, 882]
3209    Open            off
3210    ModelBrowserVisibility  on
3211    ModelBrowserWidth   200
3212    ScreenColor     "white"
3213    PaperOrientation    "landscape"
3214    PaperPositionMode   "auto"
3215    PaperType       "usletter"
3216    PaperUnits      "inches"
3217    TiledPaperMargins   [0.500000, 0.500000, 0.500000, 0.500000]
3218    TiledPageScale      1
3219    ShowPageBoundaries  off
3220    ZoomFactor      "100"
3221    Block {
3222      BlockType       Reference
3223      Name            "16LSB"
3224      Ports           [1, 1]
3225      Position        [580, 254, 605, 266]
3226      NamePlacement       "alternate"
3227      SourceBlock         "xbsIndex_r4/Slice"
3228      SourceType          "Xilinx Bit Slice Extractor Block"
3229      infoedit        "Extracts a given range of bits from each input sample and presents it at the output.  The output type is ordinarily unsigned with binary point at zero, but can be Boolean when the slice is one bit wide.<P><P>Hardware notes: In hardware this block costs nothing."
3230      nbits           "16"
3231      boolean_output      "off"
3232      mode            "Lower Bit Location + Width"
3233      bit1            "0"
3234      base1           "LSB of Input"
3235      bit0            "0"
3236      base0           "LSB of Input"
3237      dbl_ovrd        "off"
3238      has_advanced_control    "0"
3239      sggui_pos       "20,20,449,407"
3240      block_type          "slice"
3241      block_version       "9.1.01"
3242      sg_icon_stat        "25,12,1,1,white,blue,0,b1026674,right"
3243      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 ],[0 0 30 30 ],[0.77 0.82 0.91]);\npatch([22 17 24 17 22 30 32 34 42 35 29 24 30 24 29 35 42 34 32 30 22 ],[3 8 15 22 27 27 25 27 27 20 26 21 15 9 4 10 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 30 30 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('output',1,'[a:b]');\nfprintf('','COMMENT: end icon text');\n"
3244    }
3245    Block {
3246      BlockType       Reference
3247      Name            "16LSB1"
3248      Ports           [1, 1]
3249      Position        [580, 319, 605, 331]
3250      NamePlacement       "alternate"
3251      SourceBlock         "xbsIndex_r4/Slice"
3252      SourceType          "Xilinx Bit Slice Extractor Block"
3253      infoedit        "Extracts a given range of bits from each input sample and presents it at the output.  The output type is ordinarily unsigned with binary point at zero, but can be Boolean when the slice is one bit wide.<P><P>Hardware notes: In hardware this block costs nothing."
3254      nbits           "16"
3255      boolean_output      "off"
3256      mode            "Lower Bit Location + Width"
3257      bit1            "0"
3258      base1           "LSB of Input"
3259      bit0            "0"
3260      base0           "LSB of Input"
3261      dbl_ovrd        "off"
3262      has_advanced_control    "0"
3263      sggui_pos       "20,20,449,407"
3264      block_type          "slice"
3265      block_version       "9.1.01"
3266      sg_icon_stat        "25,12,1,1,white,blue,0,b1026674,right"
3267      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 ],[0 0 30 30 ],[0.77 0.82 0.91]);\npatch([22 17 24 17 22 30 32 34 42 35 29 24 30 24 29 35 42 34 32 30 22 ],[3 8 15 22 27 27 25 27 27 20 26 21 15 9 4 10 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 30 30 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('output',1,'[a:b]');\nfprintf('','COMMENT: end icon text');\n"
3268    }
3269    Block {
3270      BlockType       Reference
3271      Name            "16LSB2"
3272      Ports           [1, 1]
3273      Position        [580, 384, 605, 396]
3274      NamePlacement       "alternate"
3275      SourceBlock         "xbsIndex_r4/Slice"
3276      SourceType          "Xilinx Bit Slice Extractor Block"
3277      infoedit        "Extracts a given range of bits from each input sample and presents it at the output.  The output type is ordinarily unsigned with binary point at zero, but can be Boolean when the slice is one bit wide.<P><P>Hardware notes: In hardware this block costs nothing."
3278      nbits           "16"
3279      boolean_output      "off"
3280      mode            "Lower Bit Location + Width"
3281      bit1            "0"
3282      base1           "LSB of Input"
3283      bit0            "0"
3284      base0           "LSB of Input"
3285      dbl_ovrd        "off"
3286      has_advanced_control    "0"
3287      sggui_pos       "20,20,449,407"
3288      block_type          "slice"
3289      block_version       "9.1.01"
3290      sg_icon_stat        "25,12,1,1,white,blue,0,b1026674,right"
3291      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 ],[0 0 30 30 ],[0.77 0.82 0.91]);\npatch([22 17 24 17 22 30 32 34 42 35 29 24 30 24 29 35 42 34 32 30 22 ],[3 8 15 22 27 27 25 27 27 20 26 21 15 9 4 10 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 30 30 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('output',1,'[a:b]');\nfprintf('','COMMENT: end icon text');\n"
3292    }
3293    Block {
3294      BlockType       Reference
3295      Name            "16LSB3"
3296      Ports           [1, 1]
3297      Position        [580, 449, 605, 461]
3298      NamePlacement       "alternate"
3299      SourceBlock         "xbsIndex_r4/Slice"
3300      SourceType          "Xilinx Bit Slice Extractor Block"
3301      infoedit        "Extracts a given range of bits from each input sample and presents it at the output.  The output type is ordinarily unsigned with binary point at zero, but can be Boolean when the slice is one bit wide.<P><P>Hardware notes: In hardware this block costs nothing."
3302      nbits           "16"
3303      boolean_output      "off"
3304      mode            "Lower Bit Location + Width"
3305      bit1            "0"
3306      base1           "LSB of Input"
3307      bit0            "0"
3308      base0           "LSB of Input"
3309      dbl_ovrd        "off"
3310      has_advanced_control    "0"
3311      sggui_pos       "20,20,449,407"
3312      block_type          "slice"
3313      block_version       "9.1.01"
3314      sg_icon_stat        "25,12,1,1,white,blue,0,b1026674,right"
3315      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 ],[0 0 30 30 ],[0.77 0.82 0.91]);\npatch([22 17 24 17 22 30 32 34 42 35 29 24 30 24 29 35 42 34 32 30 22 ],[3 8 15 22 27 27 25 27 27 20 26 21 15 9 4 10 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 30 30 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('output',1,'[a:b]');\nfprintf('','COMMENT: end icon text');\n"
3316    }
3317    Block {
3318      BlockType       Reference
3319      Name            "16MSB"
3320      Ports           [1, 1]
3321      Position        [580, 269, 605, 281]
3322      SourceBlock         "xbsIndex_r4/Slice"
3323      SourceType          "Xilinx Bit Slice Extractor Block"
3324      infoedit        "Extracts a given range of bits from each input sample and presents it at the output.  The output type is ordinarily unsigned with binary point at zero, but can be Boolean when the slice is one bit wide.<P><P>Hardware notes: In hardware this block costs nothing."
3325      nbits           "16"
3326      boolean_output      "off"
3327      mode            "Lower Bit Location + Width"
3328      bit1            "0"
3329      base1           "LSB of Input"
3330      bit0            "16"
3331      base0           "LSB of Input"
3332      dbl_ovrd        "off"
3333      has_advanced_control    "0"
3334      sggui_pos       "20,20,449,407"
3335      block_type          "slice"
3336      block_version       "9.1.01"
3337      sg_icon_stat        "25,12,1,1,white,blue,0,b1026674,right"
3338      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 ],[0 0 30 30 ],[0.77 0.82 0.91]);\npatch([22 17 24 17 22 30 32 34 42 35 29 24 30 24 29 35 42 34 32 30 22 ],[3 8 15 22 27 27 25 27 27 20 26 21 15 9 4 10 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 30 30 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('output',1,'[a:b]');\nfprintf('','COMMENT: end icon text');\n"
3339    }
3340    Block {
3341      BlockType       Reference
3342      Name            "16MSB1"
3343      Ports           [1, 1]
3344      Position        [580, 334, 605, 346]
3345      SourceBlock         "xbsIndex_r4/Slice"
3346      SourceType          "Xilinx Bit Slice Extractor Block"
3347      infoedit        "Extracts a given range of bits from each input sample and presents it at the output.  The output type is ordinarily unsigned with binary point at zero, but can be Boolean when the slice is one bit wide.<P><P>Hardware notes: In hardware this block costs nothing."
3348      nbits           "16"
3349      boolean_output      "off"
3350      mode            "Lower Bit Location + Width"
3351      bit1            "0"
3352      base1           "LSB of Input"
3353      bit0            "16"
3354      base0           "LSB of Input"
3355      dbl_ovrd        "off"
3356      has_advanced_control    "0"
3357      sggui_pos       "20,20,449,407"
3358      block_type          "slice"
3359      block_version       "9.1.01"
3360      sg_icon_stat        "25,12,1,1,white,blue,0,b1026674,right"
3361      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 ],[0 0 30 30 ],[0.77 0.82 0.91]);\npatch([22 17 24 17 22 30 32 34 42 35 29 24 30 24 29 35 42 34 32 30 22 ],[3 8 15 22 27 27 25 27 27 20 26 21 15 9 4 10 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 30 30 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('output',1,'[a:b]');\nfprintf('','COMMENT: end icon text');\n"
3362    }
3363    Block {
3364      BlockType       Reference
3365      Name            "16MSB2"
3366      Ports           [1, 1]
3367      Position        [580, 399, 605, 411]
3368      SourceBlock         "xbsIndex_r4/Slice"
3369      SourceType          "Xilinx Bit Slice Extractor Block"
3370      infoedit        "Extracts a given range of bits from each input sample and presents it at the output.  The output type is ordinarily unsigned with binary point at zero, but can be Boolean when the slice is one bit wide.<P><P>Hardware notes: In hardware this block costs nothing."
3371      nbits           "16"
3372      boolean_output      "off"
3373      mode            "Lower Bit Location + Width"
3374      bit1            "0"
3375      base1           "LSB of Input"
3376      bit0            "16"
3377      base0           "LSB of Input"
3378      dbl_ovrd        "off"
3379      has_advanced_control    "0"
3380      sggui_pos       "20,20,449,407"
3381      block_type          "slice"
3382      block_version       "9.1.01"
3383      sg_icon_stat        "25,12,1,1,white,blue,0,b1026674,right"
3384      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 ],[0 0 30 30 ],[0.77 0.82 0.91]);\npatch([22 17 24 17 22 30 32 34 42 35 29 24 30 24 29 35 42 34 32 30 22 ],[3 8 15 22 27 27 25 27 27 20 26 21 15 9 4 10 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 30 30 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('output',1,'[a:b]');\nfprintf('','COMMENT: end icon text');\n"
3385    }
3386    Block {
3387      BlockType       Reference
3388      Name            "16MSB3"
3389      Ports           [1, 1]
3390      Position        [580, 464, 605, 476]
3391      SourceBlock         "xbsIndex_r4/Slice"
3392      SourceType          "Xilinx Bit Slice Extractor Block"
3393      infoedit        "Extracts a given range of bits from each input sample and presents it at the output.  The output type is ordinarily unsigned with binary point at zero, but can be Boolean when the slice is one bit wide.<P><P>Hardware notes: In hardware this block costs nothing."
3394      nbits           "16"
3395      boolean_output      "off"
3396      mode            "Lower Bit Location + Width"
3397      bit1            "0"
3398      base1           "LSB of Input"
3399      bit0            "16"
3400      base0           "LSB of Input"
3401      dbl_ovrd        "off"
3402      has_advanced_control    "0"
3403      sggui_pos       "20,20,449,407"
3404      block_type          "slice"
3405      block_version       "9.1.01"
3406      sg_icon_stat        "25,12,1,1,white,blue,0,b1026674,right"
3407      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 ],[0 0 30 30 ],[0.77 0.82 0.91]);\npatch([22 17 24 17 22 30 32 34 42 35 29 24 30 24 29 35 42 34 32 30 22 ],[3 8 15 22 27 27 25 27 27 20 26 21 15 9 4 10 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 30 30 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('output',1,'[a:b]');\nfprintf('','COMMENT: end icon text');\n"
3408    }
3409    Block {
3410      BlockType       Reference
3411      Name            "Constant5"
3412      Ports           [0, 1]
3413      Position        [560, 600, 595, 620]
3414      SourceBlock         "xbsIndex_r4/Constant"
3415      SourceType          "Xilinx Constant Block Block"
3416      arith_type          "Boolean"
3417      const           "1"
3418      n_bits          "1"
3419      bin_pt          "0"
3420      explicit_period     "off"
3421      period          "1"
3422      dsp48_infoedit      "The use of this block for DSP48 instructions is deprecated.  Please use the Opmode block."
3423      equ             "P=C"
3424      opselect        "C"
3425      inp2            "PCIN>>17"
3426      opr             "+"
3427      inp1            "P"
3428      carry           "CIN"
3429      dbl_ovrd        "off"
3430      has_advanced_control    "0"
3431      sggui_pos       "20,20,400,346"
3432      block_type          "constant"
3433      block_version       "8.2"
3434      sg_icon_stat        "35,20,1,1,white,blue,0,06094819,right"
3435      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 ],[0 0 26 26 ],[0.77 0.82 0.91]);\npatch([20 16 22 16 20 27 29 31 38 32 26 22 28 22 26 32 38 31 29 27 20 ],[3 7 13 19 23 23 21 23 23 17 23 19 13 7 3 9 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 55 55 0 0 ],[0 0 26 26 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('output',1,'1');\nfprintf('','COMMENT: end icon text');\n"
3436    }
3437    Block {
3438      BlockType       Reference
3439      Name            "From Register1"
3440      Ports           [0, 1]
3441      Position        [120, 302, 145, 328]
3442      ShowName        off
3443      AttributesFormatString  "From Register\\n<< %<shared_memory_name> >>"
3444      SourceBlock         "xbsIndex_r4/From Register"
3445      SourceType          "Xilinx Shared Memory Based From Register Block"
3446      infoedit        "Register block that reads data to a shared memory register.  Delay of one sample period."
3447      shared_memory_name      "'timer1_slotCount'"
3448      init            "500"
3449      period          "1"
3450      ownership       "Locally owned and initialized"
3451      arith_type          "Unsigned"
3452      n_bits          "32"
3453      bin_pt          "0"
3454      dbl_ovrd        off
3455      xl_use_area         off
3456      xl_area         "[0,0,0,0,0,0,0]"
3457      has_advanced_control    "0"
3458      sggui_pos       "514,418,383,246"
3459      block_type          "fromreg"
3460      block_version       "8.2"
3461      sg_icon_stat        "25,26,0,1,white,blue,0,4b212927,right"
3462      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 ],[0 0 56 56 ],[0.77 0.82 0.91]);\npatch([15 6 19 6 15 30 34 38 54 41 29 20 32 20 29 41 54 38 34 30 15 ],[6 15 28 41 50 50 46 50 50 37 49 40 28 16 7 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 56 56 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('output',1,'dout');\nfprintf('','COMMENT: end icon text');\n"
3463    }
3464    Block {
3465      BlockType       Reference
3466      Name            "From Register11"
3467      Ports           [0, 1]
3468      Position        [455, 262, 480, 288]
3469      ShowName        off
3470      AttributesFormatString  "From Register\\n<< %<shared_memory_name> >>"
3471      SourceBlock         "xbsIndex_r4/From Register"
3472      SourceType          "Xilinx Shared Memory Based From Register Block"
3473      infoedit        "Register block that reads data to a shared memory register.  Delay of one sample period."
3474      shared_memory_name      "'timers01_slotTime'"
3475      init            "0"
3476      period          "1"
3477      ownership       "Locally owned and initialized"
3478      arith_type          "Unsigned"
3479      n_bits          "32"
3480      bin_pt          "0"
3481      dbl_ovrd        off
3482      xl_use_area         off
3483      xl_area         "[0,0,0,0,0,0,0]"
3484      has_advanced_control    "0"
3485      sggui_pos       "514,418,383,246"
3486      block_type          "fromreg"
3487      block_version       "8.2"
3488      sg_icon_stat        "25,26,0,1,white,blue,0,4b212927,right"
3489      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 ],[0 0 56 56 ],[0.77 0.82 0.91]);\npatch([15 6 19 6 15 30 34 38 54 41 29 20 32 20 29 41 54 38 34 30 15 ],[6 15 28 41 50 50 46 50 50 37 49 40 28 16 7 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 56 56 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('output',1,'dout');\nfprintf('','COMMENT: end icon text');\n"
3490    }
3491    Block {
3492      BlockType       Reference
3493      Name            "From Register12"
3494      Ports           [0, 1]
3495      Position        [455, 327, 480, 353]
3496      ShowName        off
3497      AttributesFormatString  "From Register\\n<< %<shared_memory_name> >>"
3498      SourceBlock         "xbsIndex_r4/From Register"
3499      SourceType          "Xilinx Shared Memory Based From Register Block"
3500      infoedit        "Register block that reads data to a shared memory register.  Delay of one sample period."
3501      shared_memory_name      "'timers23_slotTime'"
3502      init            "0"
3503      period          "1"
3504      ownership       "Locally owned and initialized"
3505      arith_type          "Unsigned"
3506      n_bits          "32"
3507      bin_pt          "0"
3508      dbl_ovrd        off
3509      xl_use_area         off
3510      xl_area         "[0,0,0,0,0,0,0]"
3511      has_advanced_control    "0"
3512      sggui_pos       "514,418,383,246"
3513      block_type          "fromreg"
3514      block_version       "8.2"
3515      sg_icon_stat        "25,26,0,1,white,blue,0,4b212927,right"
3516      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 ],[0 0 56 56 ],[0.77 0.82 0.91]);\npatch([15 6 19 6 15 30 34 38 54 41 29 20 32 20 29 41 54 38 34 30 15 ],[6 15 28 41 50 50 46 50 50 37 49 40 28 16 7 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 56 56 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('output',1,'dout');\nfprintf('','COMMENT: end icon text');\n"
3517    }
3518    Block {
3519      BlockType       Reference
3520      Name            "From Register13"
3521      Ports           [0, 1]
3522      Position        [455, 392, 480, 418]
3523      ShowName        off
3524      AttributesFormatString  "From Register\\n<< %<shared_memory_name> >>"
3525      SourceBlock         "xbsIndex_r4/From Register"
3526      SourceType          "Xilinx Shared Memory Based From Register Block"
3527      infoedit        "Register block that reads data to a shared memory register.  Delay of one sample period."
3528      shared_memory_name      "'timers45_slotTime'"
3529      init            "0"
3530      period          "1"
3531      ownership       "Locally owned and initialized"
3532      arith_type          "Unsigned"
3533      n_bits          "32"
3534      bin_pt          "0"
3535      dbl_ovrd        off
3536      xl_use_area         off
3537      xl_area         "[0,0,0,0,0,0,0]"
3538      has_advanced_control    "0"
3539      sggui_pos       "514,418,383,246"
3540      block_type          "fromreg"
3541      block_version       "8.2"
3542      sg_icon_stat        "25,26,0,1,white,blue,0,4b212927,right"
3543      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 ],[0 0 56 56 ],[0.77 0.82 0.91]);\npatch([15 6 19 6 15 30 34 38 54 41 29 20 32 20 29 41 54 38 34 30 15 ],[6 15 28 41 50 50 46 50 50 37 49 40 28 16 7 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 56 56 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('output',1,'dout');\nfprintf('','COMMENT: end icon text');\n"
3544    }
3545    Block {
3546      BlockType       Reference
3547      Name            "From Register14"
3548      Ports           [0, 1]
3549      Position        [455, 457, 480, 483]
3550      ShowName        off
3551      AttributesFormatString  "From Register\\n<< %<shared_memory_name> >>"
3552      SourceBlock         "xbsIndex_r4/From Register"
3553      SourceType          "Xilinx Shared Memory Based From Register Block"
3554      infoedit        "Register block that reads data to a shared memory register.  Delay of one sample period."
3555      shared_memory_name      "'timers67_slotTime'"
3556      init            "0"
3557      period          "1"
3558      ownership       "Locally owned and initialized"
3559      arith_type          "Unsigned"
3560      n_bits          "32"
3561      bin_pt          "0"
3562      dbl_ovrd        off
3563      xl_use_area         off
3564      xl_area         "[0,0,0,0,0,0,0]"
3565      has_advanced_control    "0"
3566      sggui_pos       "514,418,383,246"
3567      block_type          "fromreg"
3568      block_version       "8.2"
3569      sg_icon_stat        "25,26,0,1,white,blue,0,4b212927,right"
3570      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 ],[0 0 56 56 ],[0.77 0.82 0.91]);\npatch([15 6 19 6 15 30 34 38 54 41 29 20 32 20 29 41 54 38 34 30 15 ],[6 15 28 41 50 50 46 50 50 37 49 40 28 16 7 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 56 56 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('output',1,'dout');\nfprintf('','COMMENT: end icon text');\n"
3571    }
3572    Block {
3573      BlockType       Reference
3574      Name            "From Register2"
3575      Ports           [0, 1]
3576      Position        [120, 427, 145, 453]
3577      ShowName        off
3578      AttributesFormatString  "From Register\\n<< %<shared_memory_name> >>"
3579      SourceBlock         "xbsIndex_r4/From Register"
3580      SourceType          "Xilinx Shared Memory Based From Register Block"
3581      infoedit        "Register block that reads data to a shared memory register.  Delay of one sample period."
3582      shared_memory_name      "'timer3_slotCount'"
3583      init            "500"
3584      period          "1"
3585      ownership       "Locally owned and initialized"
3586      arith_type          "Unsigned"
3587      n_bits          "32"
3588      bin_pt          "0"
3589      dbl_ovrd        off
3590      xl_use_area         off
3591      xl_area         "[0,0,0,0,0,0,0]"
3592      has_advanced_control    "0"
3593      sggui_pos       "514,418,383,246"
3594      block_type          "fromreg"
3595      block_version       "8.2"
3596      sg_icon_stat        "25,26,0,1,white,blue,0,4b212927,right"
3597      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 ],[0 0 56 56 ],[0.77 0.82 0.91]);\npatch([15 6 19 6 15 30 34 38 54 41 29 20 32 20 29 41 54 38 34 30 15 ],[6 15 28 41 50 50 46 50 50 37 49 40 28 16 7 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 56 56 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('output',1,'dout');\nfprintf('','COMMENT: end icon text');\n"
3598    }
3599    Block {
3600      BlockType       Reference
3601      Name            "From Register3"
3602      Ports           [0, 1]
3603      Position        [120, 362, 145, 388]
3604      ShowName        off
3605      AttributesFormatString  "From Register\\n<< %<shared_memory_name> >>"
3606      SourceBlock         "xbsIndex_r4/From Register"
3607      SourceType          "Xilinx Shared Memory Based From Register Block"
3608      infoedit        "Register block that reads data to a shared memory register.  Delay of one sample period."
3609      shared_memory_name      "'timer2_slotCount'"
3610      init            "500"
3611      period          "1"
3612      ownership       "Locally owned and initialized"
3613      arith_type          "Unsigned"
3614      n_bits          "32"
3615      bin_pt          "0"
3616      dbl_ovrd        off
3617      xl_use_area         off
3618      xl_area         "[0,0,0,0,0,0,0]"
3619      has_advanced_control    "0"
3620      sggui_pos       "514,418,383,246"
3621      block_type          "fromreg"
3622      block_version       "8.2"
3623      sg_icon_stat        "25,26,0,1,white,blue,0,4b212927,right"
3624      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 ],[0 0 56 56 ],[0.77 0.82 0.91]);\npatch([15 6 19 6 15 30 34 38 54 41 29 20 32 20 29 41 54 38 34 30 15 ],[6 15 28 41 50 50 46 50 50 37 49 40 28 16 7 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 56 56 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('output',1,'dout');\nfprintf('','COMMENT: end icon text');\n"
3625    }
3626    Block {
3627      BlockType       Reference
3628      Name            "From Register4"
3629      Ports           [0, 1]
3630      Position        [120, 557, 145, 583]
3631      ShowName        off
3632      AttributesFormatString  "From Register\\n<< %<shared_memory_name> >>"
3633      SourceBlock         "xbsIndex_r4/From Register"
3634      SourceType          "Xilinx Shared Memory Based From Register Block"
3635      infoedit        "Register block that reads data to a shared memory register.  Delay of one sample period."
3636      shared_memory_name      "'timer5_slotCount'"
3637      init            "500"
3638      period          "1"
3639      ownership       "Locally owned and initialized"
3640      arith_type          "Unsigned"
3641      n_bits          "32"
3642      bin_pt          "0"
3643      dbl_ovrd        off
3644      xl_use_area         off
3645      xl_area         "[0,0,0,0,0,0,0]"
3646      has_advanced_control    "0"
3647      sggui_pos       "514,418,383,246"
3648      block_type          "fromreg"
3649      block_version       "8.2"
3650      sg_icon_stat        "25,26,0,1,white,blue,0,4b212927,right"
3651      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 ],[0 0 56 56 ],[0.77 0.82 0.91]);\npatch([15 6 19 6 15 30 34 38 54 41 29 20 32 20 29 41 54 38 34 30 15 ],[6 15 28 41 50 50 46 50 50 37 49 40 28 16 7 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 56 56 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('output',1,'dout');\nfprintf('','COMMENT: end icon text');\n"
3652    }
3653    Block {
3654      BlockType       Reference
3655      Name            "From Register5"
3656      Ports           [0, 1]
3657      Position        [120, 682, 145, 708]
3658      ShowName        off
3659      AttributesFormatString  "From Register\\n<< %<shared_memory_name> >>"
3660      SourceBlock         "xbsIndex_r4/From Register"
3661      SourceType          "Xilinx Shared Memory Based From Register Block"
3662      infoedit        "Register block that reads data to a shared memory register.  Delay of one sample period."
3663      shared_memory_name      "'timer7_slotCount'"
3664      init            "500"
3665      period          "1"
3666      ownership       "Locally owned and initialized"
3667      arith_type          "Unsigned"
3668      n_bits          "32"
3669      bin_pt          "0"
3670      dbl_ovrd        off
3671      xl_use_area         off
3672      xl_area         "[0,0,0,0,0,0,0]"
3673      has_advanced_control    "0"
3674      sggui_pos       "514,418,383,246"
3675      block_type          "fromreg"
3676      block_version       "8.2"
3677      sg_icon_stat        "25,26,0,1,white,blue,0,4b212927,right"
3678      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 ],[0 0 56 56 ],[0.77 0.82 0.91]);\npatch([15 6 19 6 15 30 34 38 54 41 29 20 32 20 29 41 54 38 34 30 15 ],[6 15 28 41 50 50 46 50 50 37 49 40 28 16 7 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 56 56 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('output',1,'dout');\nfprintf('','COMMENT: end icon text');\n"
3679    }
3680    Block {
3681      BlockType       Reference
3682      Name            "From Register6"
3683      Ports           [0, 1]
3684      Position        [120, 617, 145, 643]
3685      ShowName        off
3686      AttributesFormatString  "From Register\\n<< %<shared_memory_name> >>"
3687      SourceBlock         "xbsIndex_r4/From Register"
3688      SourceType          "Xilinx Shared Memory Based From Register Block"
3689      infoedit        "Register block that reads data to a shared memory register.  Delay of one sample period."
3690      shared_memory_name      "'timer6_slotCount'"
3691      init            "500"
3692      period          "1"
3693      ownership       "Locally owned and initialized"
3694      arith_type          "Unsigned"
3695      n_bits          "32"
3696      bin_pt          "0"
3697      dbl_ovrd        off
3698      xl_use_area         off
3699      xl_area         "[0,0,0,0,0,0,0]"
3700      has_advanced_control    "0"
3701      sggui_pos       "514,418,383,246"
3702      block_type          "fromreg"
3703      block_version       "8.2"
3704      sg_icon_stat        "25,26,0,1,white,blue,0,4b212927,right"
3705      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 ],[0 0 56 56 ],[0.77 0.82 0.91]);\npatch([15 6 19 6 15 30 34 38 54 41 29 20 32 20 29 41 54 38 34 30 15 ],[6 15 28 41 50 50 46 50 50 37 49 40 28 16 7 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 56 56 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('output',1,'dout');\nfprintf('','COMMENT: end icon text');\n"
3706    }
3707    Block {
3708      BlockType       Reference
3709      Name            "From Register7"
3710      Ports           [0, 1]
3711      Position        [120, 492, 145, 518]
3712      ShowName        off
3713      AttributesFormatString  "From Register\\n<< %<shared_memory_name> >>"
3714      SourceBlock         "xbsIndex_r4/From Register"
3715      SourceType          "Xilinx Shared Memory Based From Register Block"
3716      infoedit        "Register block that reads data to a shared memory register.  Delay of one sample period."
3717      shared_memory_name      "'timer4_slotCount'"
3718      init            "500"
3719      period          "1"
3720      ownership       "Locally owned and initialized"
3721      arith_type          "Unsigned"
3722      n_bits          "32"
3723      bin_pt          "0"
3724      dbl_ovrd        off
3725      xl_use_area         off
3726      xl_area         "[0,0,0,0,0,0,0]"
3727      has_advanced_control    "0"
3728      sggui_pos       "514,418,383,246"
3729      block_type          "fromreg"
3730      block_version       "8.2"
3731      sg_icon_stat        "25,26,0,1,white,blue,0,4b212927,right"
3732      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 ],[0 0 56 56 ],[0.77 0.82 0.91]);\npatch([15 6 19 6 15 30 34 38 54 41 29 20 32 20 29 41 54 38 34 30 15 ],[6 15 28 41 50 50 46 50 50 37 49 40 28 16 7 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 56 56 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('output',1,'dout');\nfprintf('','COMMENT: end icon text');\n"
3733    }
3734    Block {
3735      BlockType       Reference
3736      Name            "From Register8"
3737      Ports           [0, 1]
3738      Position        [365, 132, 390, 158]
3739      ShowName        off
3740      AttributesFormatString  "From Register\\n<< %<shared_memory_name> >>"
3741      SourceBlock         "xbsIndex_r4/From Register"
3742      SourceType          "Xilinx Shared Memory Based From Register Block"
3743      infoedit        "Register block that reads data to a shared memory register.  Delay of one sample period."
3744      shared_memory_name      "'timer_control'"
3745      init            "0"
3746      period          "1"
3747      ownership       "Locally owned and initialized"
3748      arith_type          "Unsigned"
3749      n_bits          "32"
3750      bin_pt          "0"
3751      dbl_ovrd        off
3752      xl_use_area         off
3753      xl_area         "[0,0,0,0,0,0,0]"
3754      has_advanced_control    "0"
3755      sggui_pos       "412,24,383,246"
3756      block_type          "fromreg"
3757      block_version       "8.2"
3758      sg_icon_stat        "25,26,0,1,white,blue,0,4b212927,right"
3759      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 ],[0 0 56 56 ],[0.77 0.82 0.91]);\npatch([15 6 19 6 15 30 34 38 54 41 29 20 32 20 29 41 54 38 34 30 15 ],[6 15 28 41 50 50 46 50 50 37 49 40 28 16 7 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 56 56 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('output',1,'dout');\nfprintf('','COMMENT: end icon text');\n"
3760    }
3761    Block {
3762      BlockType       Reference
3763      Name            "From Register9"
3764      Ports           [0, 1]
3765      Position        [120, 237, 145, 263]
3766      ShowName        off
3767      AttributesFormatString  "From Register\\n<< %<shared_memory_name> >>"
3768      SourceBlock         "xbsIndex_r4/From Register"
3769      SourceType          "Xilinx Shared Memory Based From Register Block"
3770      infoedit        "Register block that reads data to a shared memory register.  Delay of one sample period."
3771      shared_memory_name      "'timer0_slotCount'"
3772      init            "500"
3773      period          "1"
3774      ownership       "Locally owned and initialized"
3775      arith_type          "Unsigned"
3776      n_bits          "32"
3777      bin_pt          "0"
3778      dbl_ovrd        off
3779      xl_use_area         off
3780      xl_area         "[0,0,0,0,0,0,0]"
3781      has_advanced_control    "0"
3782      sggui_pos       "514,418,383,246"
3783      block_type          "fromreg"
3784      block_version       "8.2"
3785      sg_icon_stat        "25,26,0,1,white,blue,0,4b212927,right"
3786      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 ],[0 0 56 56 ],[0.77 0.82 0.91]);\npatch([15 6 19 6 15 30 34 38 54 41 29 20 32 20 29 41 54 38 34 30 15 ],[6 15 28 41 50 50 46 50 50 37 49 40 28 16 7 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 56 56 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('output',1,'dout');\nfprintf('','COMMENT: end icon text');\n"
3787    }
3788    Block {
3789      BlockType       From
3790      Name            "From40"
3791      Position        [455, 576, 570, 594]
3792      ShowName        off
3793      CloseFcn        "tagdialog Close"
3794      GotoTag         "timers_status"
3795      TagVisibility       "global"
3796    }
3797    Block {
3798      BlockType       Goto
3799      Name            "Goto"
3800      Position        [200, 241, 335, 259]
3801      ShowName        off
3802      GotoTag         "timer0_slotCount"
3803      TagVisibility       "global"
3804    }
3805    Block {
3806      BlockType       Goto
3807      Name            "Goto1"
3808      Position        [200, 306, 335, 324]
3809      ShowName        off
3810      GotoTag         "timer1_slotCount"
3811      TagVisibility       "global"
3812    }
3813    Block {
3814      BlockType       Goto
3815      Name            "Goto10"
3816      Position        [670, 316, 805, 334]
3817      ShowName        off
3818      GotoTag         "timer2_slotTime"
3819      TagVisibility       "global"
3820    }
3821    Block {
3822      BlockType       Goto
3823      Name            "Goto11"
3824      Position        [670, 331, 805, 349]
3825      ShowName        off
3826      GotoTag         "timer3_slotTime"
3827      TagVisibility       "global"
3828    }
3829    Block {
3830      BlockType       Goto
3831      Name            "Goto12"
3832      Position        [670, 381, 805, 399]
3833      ShowName        off
3834      GotoTag         "timer4_slotTime"
3835      TagVisibility       "global"
3836    }
3837    Block {
3838      BlockType       Goto
3839      Name            "Goto13"
3840      Position        [670, 396, 805, 414]
3841      ShowName        off
3842      GotoTag         "timer5_slotTime"
3843      TagVisibility       "global"
3844    }
3845    Block {
3846      BlockType       Goto
3847      Name            "Goto14"
3848      Position        [670, 446, 805, 464]
3849      ShowName        off
3850      GotoTag         "timer6_slotTime"
3851      TagVisibility       "global"
3852    }
3853    Block {
3854      BlockType       Goto
3855      Name            "Goto15"
3856      Position        [670, 461, 805, 479]
3857      ShowName        off
3858      GotoTag         "timer7_slotTime"
3859      TagVisibility       "global"
3860    }
3861    Block {
3862      BlockType       Goto
3863      Name            "Goto2"
3864      Position        [200, 366, 335, 384]
3865      ShowName        off
3866      GotoTag         "timer2_slotCount"
3867      TagVisibility       "global"
3868    }
3869    Block {
3870      BlockType       Goto
3871      Name            "Goto3"
3872      Position        [200, 431, 335, 449]
3873      ShowName        off
3874      GotoTag         "timer3_slotCount"
3875      TagVisibility       "global"
3876    }
3877    Block {
3878      BlockType       Goto
3879      Name            "Goto4"
3880      Position        [200, 496, 335, 514]
3881      ShowName        off
3882      GotoTag         "timer4_slotCount"
3883      TagVisibility       "global"
3884    }
3885    Block {
3886      BlockType       Goto
3887      Name            "Goto5"
3888      Position        [200, 561, 335, 579]
3889      ShowName        off
3890      GotoTag         "timer5_slotCount"
3891      TagVisibility       "global"
3892    }
3893    Block {
3894      BlockType       Goto
3895      Name            "Goto6"
3896      Position        [200, 621, 335, 639]
3897      ShowName        off
3898      GotoTag         "timer6_slotCount"
3899      TagVisibility       "global"
3900    }
3901    Block {
3902      BlockType       Goto
3903      Name            "Goto7"
3904      Position        [200, 686, 335, 704]
3905      ShowName        off
3906      GotoTag         "timer7_slotCount"
3907      TagVisibility       "global"
3908    }
3909    Block {
3910      BlockType       Goto
3911      Name            "Goto8"
3912      Position        [670, 251, 805, 269]
3913      ShowName        off
3914      GotoTag         "timer0_slotTime"
3915      TagVisibility       "global"
3916    }
3917    Block {
3918      BlockType       Goto
3919      Name            "Goto9"
3920      Position        [670, 266, 805, 284]
3921      ShowName        off
3922      GotoTag         "timer1_slotTime"
3923      TagVisibility       "global"
3924    }
3925    Block {
3926      BlockType       SubSystem
3927      Name            "Slices & Gotos"
3928      Ports           [1]
3929      Position        [445, 134, 500, 156]
3930      MinAlgLoopOccurrences   off
3931      PropExecContextOutsideSubsystem off
3932      RTWSystemCode       "Auto"
3933      FunctionWithSeparateData off
3934      Opaque          off
3935      RequestExecContextInheritance off
3936      MaskHideContents    off
3937      System {
3938        Name            "Slices & Gotos"
3939        Location            [494, 176, 924, 786]
3940        Open            off
3941        ModelBrowserVisibility  on
3942        ModelBrowserWidth       200
3943        ScreenColor         "white"
3944        PaperOrientation        "landscape"
3945        PaperPositionMode       "auto"
3946        PaperType           "usletter"
3947        PaperUnits          "inches"
3948        TiledPaperMargins       [0.500000, 0.500000, 0.500000, 0.500000]
3949        TiledPageScale      1
3950        ShowPageBoundaries      off
3951        ZoomFactor          "100"
3952        Block {
3953          BlockType           Inport
3954          Name            "32b"
3955          Position            [25, 28, 55, 42]
3956          IconDisplay         "Port number"
3957        }
3958        Block {
3959          BlockType           Reference
3960          Name            "4LSB"
3961          Ports           [1, 1]
3962          Position            [115, 29, 140, 41]
3963          NamePlacement       "alternate"
3964          SourceBlock         "xbsIndex_r4/Slice"
3965          SourceType          "Xilinx Bit Slice Extractor Block"
3966          infoedit            "Extracts a given range of bits from each input sample and presents it at the output.  The output type is ordinarily unsigned with binary point at zero, but can be Boolean when the slice is one bit wide.<P><P>Hardware notes: In hardware this block costs nothing."
3967          nbits           "4"
3968          boolean_output          "off"
3969          mode            "Lower Bit Location + Width"
3970          bit1            "0"
3971          base1           "LSB of Input"
3972          bit0            "0"
3973          base0           "LSB of Input"
3974          dbl_ovrd            "off"
3975          has_advanced_control    "0"
3976          sggui_pos           "20,20,449,407"
3977          block_type          "slice"
3978          block_version       "9.1.01"
3979          sg_icon_stat        "25,12,1,1,white,blue,0,b1026674,right"
3980          sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 ],[0 0 30 30 ],[0.77 0.82 0.91]);\npatch([22 17 24 17 22 30 32 34 42 35 29 24 30 24 29 35 42 34 32 30 22 ],[3 8 15 22 27 27 25 27 27 20 26 21 15 9 4 10 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 30 30 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('output',1,'[a:b]');\nfprintf('','COMMENT: end icon text');\n"
3981        }
3982        Block {
3983          BlockType           Reference
3984          Name            "4LSB+12"
3985          Ports           [1, 1]
3986          Position            [115, 244, 140, 256]
3987          NamePlacement       "alternate"
3988          SourceBlock         "xbsIndex_r4/Slice"
3989          SourceType          "Xilinx Bit Slice Extractor Block"
3990          infoedit            "Extracts a given range of bits from each input sample and presents it at the output.  The output type is ordinarily unsigned with binary point at zero, but can be Boolean when the slice is one bit wide.<P><P>Hardware notes: In hardware this block costs nothing."
3991          nbits           "4"
3992          boolean_output          "off"
3993          mode            "Lower Bit Location + Width"
3994          bit1            "0"
3995          base1           "LSB of Input"
3996          bit0            "12"
3997          base0           "LSB of Input"
3998          dbl_ovrd            "off"
3999          has_advanced_control    "0"
4000          sggui_pos           "20,20,449,407"
4001          block_type          "slice"
4002          block_version       "9.1.01"
4003          sg_icon_stat        "25,12,1,1,white,blue,0,b1026674,right"
4004          sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 ],[0 0 30 30 ],[0.77 0.82 0.91]);\npatch([22 17 24 17 22 30 32 34 42 35 29 24 30 24 29 35 42 34 32 30 22 ],[3 8 15 22 27 27 25 27 27 20 26 21 15 9 4 10 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 30 30 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('output',1,'[a:b]');\nfprintf('','COMMENT: end icon text');\n"
4005        }
4006        Block {
4007          BlockType           Reference
4008          Name            "4LSB+16"
4009          Ports           [1, 1]
4010          Position            [115, 314, 140, 326]
4011          NamePlacement       "alternate"
4012          SourceBlock         "xbsIndex_r4/Slice"
4013          SourceType          "Xilinx Bit Slice Extractor Block"
4014          infoedit            "Extracts a given range of bits from each input sample and presents it at the output.  The output type is ordinarily unsigned with binary point at zero, but can be Boolean when the slice is one bit wide.<P><P>Hardware notes: In hardware this block costs nothing."
4015          nbits           "4"
4016          boolean_output          "off"
4017          mode            "Lower Bit Location + Width"
4018          bit1            "0"
4019          base1           "LSB of Input"
4020          bit0            "16"
4021          base0           "LSB of Input"
4022          dbl_ovrd            "off"
4023          has_advanced_control    "0"
4024          sggui_pos           "20,20,449,407"
4025          block_type          "slice"
4026          block_version       "9.1.01"
4027          sg_icon_stat        "25,12,1,1,white,blue,0,b1026674,right"
4028          sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 ],[0 0 30 30 ],[0.77 0.82 0.91]);\npatch([22 17 24 17 22 30 32 34 42 35 29 24 30 24 29 35 42 34 32 30 22 ],[3 8 15 22 27 27 25 27 27 20 26 21 15 9 4 10 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 30 30 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('output',1,'[a:b]');\nfprintf('','COMMENT: end icon text');\n"
4029        }
4030        Block {
4031          BlockType           Reference
4032          Name            "4LSB+20"
4033          Ports           [1, 1]
4034          Position            [115, 384, 140, 396]
4035          NamePlacement       "alternate"
4036          SourceBlock         "xbsIndex_r4/Slice"
4037          SourceType          "Xilinx Bit Slice Extractor Block"
4038          infoedit            "Extracts a given range of bits from each input sample and presents it at the output.  The output type is ordinarily unsigned with binary point at zero, but can be Boolean when the slice is one bit wide.<P><P>Hardware notes: In hardware this block costs nothing."
4039          nbits           "4"
4040          boolean_output          "off"
4041          mode            "Lower Bit Location + Width"
4042          bit1            "0"
4043          base1           "LSB of Input"
4044          bit0            "20"
4045          base0           "LSB of Input"
4046          dbl_ovrd            "off"
4047          has_advanced_control    "0"
4048          sggui_pos           "20,20,449,407"
4049          block_type          "slice"
4050          block_version       "9.1.01"
4051          sg_icon_stat        "25,12,1,1,white,blue,0,b1026674,right"
4052          sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 ],[0 0 30 30 ],[0.77 0.82 0.91]);\npatch([22 17 24 17 22 30 32 34 42 35 29 24 30 24 29 35 42 34 32 30 22 ],[3 8 15 22 27 27 25 27 27 20 26 21 15 9 4 10 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 30 30 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('output',1,'[a:b]');\nfprintf('','COMMENT: end icon text');\n"
4053        }
4054        Block {
4055          BlockType           Reference
4056          Name            "4LSB+24"
4057          Ports           [1, 1]
4058          Position            [115, 454, 140, 466]
4059          NamePlacement       "alternate"
4060          SourceBlock         "xbsIndex_r4/Slice"
4061          SourceType          "Xilinx Bit Slice Extractor Block"
4062          infoedit            "Extracts a given range of bits from each input sample and presents it at the output.  The output type is ordinarily unsigned with binary point at zero, but can be Boolean when the slice is one bit wide.<P><P>Hardware notes: In hardware this block costs nothing."
4063          nbits           "4"
4064          boolean_output          "off"
4065          mode            "Lower Bit Location + Width"
4066          bit1            "0"
4067          base1           "LSB of Input"
4068          bit0            "24"
4069          base0           "LSB of Input"
4070          dbl_ovrd            "off"
4071          has_advanced_control    "0"
4072          sggui_pos           "20,20,449,407"
4073          block_type          "slice"
4074          block_version       "9.1.01"
4075          sg_icon_stat        "25,12,1,1,white,blue,0,b1026674,right"
4076          sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 ],[0 0 30 30 ],[0.77 0.82 0.91]);\npatch([22 17 24 17 22 30 32 34 42 35 29 24 30 24 29 35 42 34 32 30 22 ],[3 8 15 22 27 27 25 27 27 20 26 21 15 9 4 10 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 30 30 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('output',1,'[a:b]');\nfprintf('','COMMENT: end icon text');\n"
4077        }
4078        Block {
4079          BlockType           Reference
4080          Name            "4LSB+28"
4081          Ports           [1, 1]
4082          Position            [115, 524, 140, 536]
4083          NamePlacement       "alternate"
4084          SourceBlock         "xbsIndex_r4/Slice"
4085          SourceType          "Xilinx Bit Slice Extractor Block"
4086          infoedit            "Extracts a given range of bits from each input sample and presents it at the output.  The output type is ordinarily unsigned with binary point at zero, but can be Boolean when the slice is one bit wide.<P><P>Hardware notes: In hardware this block costs nothing."
4087          nbits           "4"
4088          boolean_output          "off"
4089          mode            "Lower Bit Location + Width"
4090          bit1            "0"
4091          base1           "LSB of Input"
4092          bit0            "28"
4093          base0           "LSB of Input"
4094          dbl_ovrd            "off"
4095          has_advanced_control    "0"
4096          sggui_pos           "20,20,449,407"
4097          block_type          "slice"
4098          block_version       "9.1.01"
4099          sg_icon_stat        "25,12,1,1,white,blue,0,b1026674,right"
4100          sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 ],[0 0 30 30 ],[0.77 0.82 0.91]);\npatch([22 17 24 17 22 30 32 34 42 35 29 24 30 24 29 35 42 34 32 30 22 ],[3 8 15 22 27 27 25 27 27 20 26 21 15 9 4 10 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 30 30 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('output',1,'[a:b]');\nfprintf('','COMMENT: end icon text');\n"
4101        }
4102        Block {
4103          BlockType           Reference
4104          Name            "4LSB+4"
4105          Ports           [1, 1]
4106          Position            [115, 99, 140, 111]
4107          NamePlacement       "alternate"
4108          SourceBlock         "xbsIndex_r4/Slice"
4109          SourceType          "Xilinx Bit Slice Extractor Block"
4110          infoedit            "Extracts a given range of bits from each input sample and presents it at the output.  The output type is ordinarily unsigned with binary point at zero, but can be Boolean when the slice is one bit wide.<P><P>Hardware notes: In hardware this block costs nothing."
4111          nbits           "4"
4112          boolean_output          "off"
4113          mode            "Lower Bit Location + Width"
4114          bit1            "0"
4115          base1           "LSB of Input"
4116          bit0            "4"
4117          base0           "LSB of Input"
4118          dbl_ovrd            "off"
4119          has_advanced_control    "0"
4120          sggui_pos           "20,20,449,407"
4121          block_type          "slice"
4122          block_version       "9.1.01"
4123          sg_icon_stat        "25,12,1,1,white,blue,0,b1026674,right"
4124          sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 ],[0 0 30 30 ],[0.77 0.82 0.91]);\npatch([22 17 24 17 22 30 32 34 42 35 29 24 30 24 29 35 42 34 32 30 22 ],[3 8 15 22 27 27 25 27 27 20 26 21 15 9 4 10 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 30 30 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('output',1,'[a:b]');\nfprintf('','COMMENT: end icon text');\n"
4125        }
4126        Block {
4127          BlockType           Reference
4128          Name            "4LSB+8"
4129          Ports           [1, 1]
4130          Position            [115, 174, 140, 186]
4131          NamePlacement       "alternate"
4132          SourceBlock         "xbsIndex_r4/Slice"
4133          SourceType          "Xilinx Bit Slice Extractor Block"
4134          infoedit            "Extracts a given range of bits from each input sample and presents it at the output.  The output type is ordinarily unsigned with binary point at zero, but can be Boolean when the slice is one bit wide.<P><P>Hardware notes: In hardware this block costs nothing."
4135          nbits           "4"
4136          boolean_output          "off"
4137          mode            "Lower Bit Location + Width"
4138          bit1            "0"
4139          base1           "LSB of Input"
4140          bit0            "8"
4141          base0           "LSB of Input"
4142          dbl_ovrd            "off"
4143          has_advanced_control    "0"
4144          sggui_pos           "20,20,449,407"
4145          block_type          "slice"
4146          block_version       "9.1.01"
4147          sg_icon_stat        "25,12,1,1,white,blue,0,b1026674,right"
4148          sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 ],[0 0 30 30 ],[0.77 0.82 0.91]);\npatch([22 17 24 17 22 30 32 34 42 35 29 24 30 24 29 35 42 34 32 30 22 ],[3 8 15 22 27 27 25 27 27 20 26 21 15 9 4 10 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 30 30 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('output',1,'[a:b]');\nfprintf('','COMMENT: end icon text');\n"
4149        }
4150        Block {
4151          BlockType           Goto
4152          Name            "Goto"
4153          Position            [270, 26, 405, 44]
4154          ShowName            off
4155          GotoTag             "timer0_start"
4156          TagVisibility       "global"
4157        }
4158        Block {
4159          BlockType           Goto
4160          Name            "Goto1"
4161          Position            [270, 41, 405, 59]
4162          ShowName            off
4163          GotoTag             "timer0_pause"
4164          TagVisibility       "global"
4165        }
4166        Block {
4167          BlockType           Goto
4168          Name            "Goto10"
4169          Position            [270, 202, 405, 218]
4170          ShowName            off
4171          GotoTag             "timer2_mode"
4172          TagVisibility       "global"
4173        }
4174        Block {
4175          BlockType           Goto
4176          Name            "Goto11"
4177          Position            [270, 216, 405, 234]
4178          ShowName            off
4179          GotoTag             "timer2_doneReset"
4180          TagVisibility       "global"
4181        }
4182        Block {
4183          BlockType           Goto
4184          Name            "Goto12"
4185          Position            [270, 272, 405, 288]
4186          ShowName            off
4187          GotoTag             "timer3_mode"
4188          TagVisibility       "global"
4189        }
4190        Block {
4191          BlockType           Goto
4192          Name            "Goto13"
4193          Position            [270, 286, 405, 304]
4194          ShowName            off
4195          GotoTag             "timer3_doneReset"
4196          TagVisibility       "global"
4197        }
4198        Block {
4199          BlockType           Goto
4200          Name            "Goto14"
4201          Position            [270, 241, 405, 259]
4202          ShowName            off
4203          GotoTag             "timer3_start"
4204          TagVisibility       "global"
4205        }
4206        Block {
4207          BlockType           Goto
4208          Name            "Goto15"
4209          Position            [270, 256, 405, 274]
4210          ShowName            off
4211          GotoTag             "timer3_pause"
4212          TagVisibility       "global"
4213        }
4214        Block {
4215          BlockType           Goto
4216          Name            "Goto16"
4217          Position            [270, 311, 405, 329]
4218          ShowName            off
4219          GotoTag             "timer4_start"
4220          TagVisibility       "global"
4221        }
4222        Block {
4223          BlockType           Goto
4224          Name            "Goto17"
4225          Position            [270, 326, 405, 344]
4226          ShowName            off
4227          GotoTag             "timer4_pause"
4228          TagVisibility       "global"
4229        }
4230        Block {
4231          BlockType           Goto
4232          Name            "Goto18"
4233          Position            [270, 482, 405, 498]
4234          ShowName            off
4235          GotoTag             "timer6_mode"
4236          TagVisibility       "global"
4237        }
4238        Block {
4239          BlockType           Goto
4240          Name            "Goto19"
4241          Position            [270, 496, 405, 514]
4242          ShowName            off
4243          GotoTag             "timer6_doneReset"
4244          TagVisibility       "global"
4245        }
4246        Block {
4247          BlockType           Goto
4248          Name            "Goto2"
4249          Position            [270, 57, 405, 73]
4250          ShowName            off
4251          GotoTag             "timer0_mode"
4252          TagVisibility       "global"
4253        }
4254        Block {
4255          BlockType           Goto
4256          Name            "Goto20"
4257          Position            [270, 552, 405, 568]
4258          ShowName            off
4259          GotoTag             "timer7_mode"
4260          TagVisibility       "global"
4261        }
4262        Block {
4263          BlockType           Goto
4264          Name            "Goto21"
4265          Position            [270, 566, 405, 584]
4266          ShowName            off
4267          GotoTag             "timer7_doneReset"
4268          TagVisibility       "global"
4269        }
4270        Block {
4271          BlockType           Goto
4272          Name            "Goto22"
4273          Position            [270, 521, 405, 539]
4274          ShowName            off
4275          GotoTag             "timer7_start"
4276          TagVisibility       "global"
4277        }
4278        Block {
4279          BlockType           Goto
4280          Name            "Goto23"
4281          Position            [270, 536, 405, 554]
4282          ShowName            off
4283          GotoTag             "timer7_pause"
4284          TagVisibility       "global"
4285        }
4286        Block {
4287          BlockType           Goto
4288          Name            "Goto24"
4289          Position            [270, 342, 405, 358]
4290          ShowName            off
4291          GotoTag             "timer4_mode"
4292          TagVisibility       "global"
4293        }
4294        Block {
4295          BlockType           Goto
4296          Name            "Goto25"
4297          Position            [270, 356, 405, 374]
4298          ShowName            off
4299          GotoTag             "timer4_doneReset"
4300          TagVisibility       "global"
4301        }
4302        Block {
4303          BlockType           Goto
4304          Name            "Goto26"
4305          Position            [270, 381, 405, 399]
4306          ShowName            off
4307          GotoTag             "timer5_start"
4308          TagVisibility       "global"
4309        }
4310        Block {
4311          BlockType           Goto
4312          Name            "Goto27"
4313          Position            [270, 396, 405, 414]
4314          ShowName            off
4315          GotoTag             "timer5_pause"
4316          TagVisibility       "global"
4317        }
4318        Block {
4319          BlockType           Goto
4320          Name            "Goto28"
4321          Position            [270, 412, 405, 428]
4322          ShowName            off
4323          GotoTag             "timer5_mode"
4324          TagVisibility       "global"
4325        }
4326        Block {
4327          BlockType           Goto
4328          Name            "Goto29"
4329          Position            [270, 426, 405, 444]
4330          ShowName            off
4331          GotoTag             "timer5_doneReset"
4332          TagVisibility       "global"
4333        }
4334        Block {
4335          BlockType           Goto
4336          Name            "Goto3"
4337          Position            [270, 71, 405, 89]
4338          ShowName            off
4339          GotoTag             "timer0_doneReset"
4340          TagVisibility       "global"
4341        }
4342        Block {
4343          BlockType           Goto
4344          Name            "Goto30"
4345          Position            [270, 451, 405, 469]
4346          ShowName            off
4347          GotoTag             "timer6_start"
4348          TagVisibility       "global"
4349        }
4350        Block {
4351          BlockType           Goto
4352          Name            "Goto31"
4353          Position            [270, 466, 405, 484]
4354          ShowName            off
4355          GotoTag             "timer6_pause"
4356          TagVisibility       "global"
4357        }
4358        Block {
4359          BlockType           Goto
4360          Name            "Goto4"
4361          Position            [270, 96, 405, 114]
4362          ShowName            off
4363          GotoTag             "timer1_start"
4364          TagVisibility       "global"
4365        }
4366        Block {
4367          BlockType           Goto
4368          Name            "Goto5"
4369          Position            [270, 111, 405, 129]
4370          ShowName            off
4371          GotoTag             "timer1_pause"
4372          TagVisibility       "global"
4373        }
4374        Block {
4375          BlockType           Goto
4376          Name            "Goto6"
4377          Position            [270, 127, 405, 143]
4378          ShowName            off
4379          GotoTag             "timer1_mode"
4380          TagVisibility       "global"
4381        }
4382        Block {
4383          BlockType           Goto
4384          Name            "Goto7"
4385          Position            [270, 141, 405, 159]
4386          ShowName            off
4387          GotoTag             "timer1_doneReset"
4388          TagVisibility       "global"
4389        }
4390        Block {
4391          BlockType           Goto
4392          Name            "Goto8"
4393          Position            [270, 171, 405, 189]
4394          ShowName            off
4395          GotoTag             "timer2_start"
4396          TagVisibility       "global"
4397        }
4398        Block {
4399          BlockType           Goto
4400          Name            "Goto9"
4401          Position            [270, 186, 405, 204]
4402          ShowName            off
4403          GotoTag             "timer2_pause"
4404          TagVisibility       "global"
4405        }
4406        Block {
4407          BlockType           Reference
4408          Name            "Slice"
4409          Ports           [1, 1]
4410          Position            [190, 29, 215, 41]
4411          ShowName            off
4412          SourceBlock         "xbsIndex_r4/Slice"
4413          SourceType          "Xilinx Bit Slice Extractor Block"
4414          infoedit            "Extracts a given range of bits from each input sample and presents it at the output.  The output type is ordinarily unsigned with binary point at zero, but can be Boolean when the slice is one bit wide.<P><P>Hardware notes: In hardware this block costs nothing."
4415          nbits           "1"
4416          boolean_output          "on"
4417          mode            "Lower Bit Location + Width"
4418          bit1            "0"
4419          base1           "LSB of Input"
4420          bit0            "0"
4421          base0           "LSB of Input"
4422          dbl_ovrd            "off"
4423          has_advanced_control    "0"
4424          sggui_pos           "20,20,449,407"
4425          block_type          "slice"
4426          block_version       "9.1.01"
4427          sg_icon_stat        "25,12,1,1,white,blue,0,b1026674,right"
4428          sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 ],[0 0 30 30 ],[0.77 0.82 0.91]);\npatch([22 17 24 17 22 30 32 34 42 35 29 24 30 24 29 35 42 34 32 30 22 ],[3 8 15 22 27 27 25 27 27 20 26 21 15 9 4 10 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 30 30 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('output',1,'[a:b]');\nfprintf('','COMMENT: end icon text');\n"
4429        }
4430        Block {
4431          BlockType           Reference
4432          Name            "Slice1"
4433          Ports           [1, 1]
4434          Position            [190, 59, 215, 71]
4435          ShowName            off
4436          SourceBlock         "xbsIndex_r4/Slice"
4437          SourceType          "Xilinx Bit Slice Extractor Block"
4438          infoedit            "Extracts a given range of bits from each input sample and presents it at the output.  The output type is ordinarily unsigned with binary point at zero, but can be Boolean when the slice is one bit wide.<P><P>Hardware notes: In hardware this block costs nothing."
4439          nbits           "1"
4440          boolean_output          "on"
4441          mode            "Lower Bit Location + Width"
4442          bit1            "0"
4443          base1           "LSB of Input"
4444          bit0            "2"
4445          base0           "LSB of Input"
4446          dbl_ovrd            "off"
4447          has_advanced_control    "0"
4448          sggui_pos           "20,20,449,407"
4449          block_type          "slice"
4450          block_version       "9.1.01"
4451          sg_icon_stat        "25,12,1,1,white,blue,0,b1026674,right"
4452          sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 ],[0 0 30 30 ],[0.77 0.82 0.91]);\npatch([22 17 24 17 22 30 32 34 42 35 29 24 30 24 29 35 42 34 32 30 22 ],[3 8 15 22 27 27 25 27 27 20 26 21 15 9 4 10 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 30 30 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('output',1,'[a:b]');\nfprintf('','COMMENT: end icon text');\n"
4453        }
4454        Block {
4455          BlockType           Reference
4456          Name            "Slice10"
4457          Ports           [1, 1]
4458          Position            [190, 219, 215, 231]
4459          ShowName            off
4460          SourceBlock         "xbsIndex_r4/Slice"
4461          SourceType          "Xilinx Bit Slice Extractor Block"
4462          infoedit            "Extracts a given range of bits from each input sample and presents it at the output.  The output type is ordinarily unsigned with binary point at zero, but can be Boolean when the slice is one bit wide.<P><P>Hardware notes: In hardware this block costs nothing."
4463          nbits           "1"
4464          boolean_output          "on"
4465          mode            "Lower Bit Location + Width"
4466          bit1            "0"
4467          base1           "LSB of Input"
4468          bit0            "3"
4469          base0           "LSB of Input"
4470          dbl_ovrd            "off"
4471          has_advanced_control    "0"
4472          sggui_pos           "20,20,449,407"
4473          block_type          "slice"
4474          block_version       "9.1.01"
4475          sg_icon_stat        "25,12,1,1,white,blue,0,b1026674,right"
4476          sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 ],[0 0 30 30 ],[0.77 0.82 0.91]);\npatch([22 17 24 17 22 30 32 34 42 35 29 24 30 24 29 35 42 34 32 30 22 ],[3 8 15 22 27 27 25 27 27 20 26 21 15 9 4 10 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 30 30 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('output',1,'[a:b]');\nfprintf('','COMMENT: end icon text');\n"
4477        }
4478        Block {
4479          BlockType           Reference
4480          Name            "Slice11"
4481          Ports           [1, 1]
4482          Position            [190, 244, 215, 256]
4483          ShowName            off
4484          SourceBlock         "xbsIndex_r4/Slice"
4485          SourceType          "Xilinx Bit Slice Extractor Block"
4486          infoedit            "Extracts a given range of bits from each input sample and presents it at the output.  The output type is ordinarily unsigned with binary point at zero, but can be Boolean when the slice is one bit wide.<P><P>Hardware notes: In hardware this block costs nothing."
4487          nbits           "1"
4488          boolean_output          "on"
4489          mode            "Lower Bit Location + Width"
4490          bit1            "0"
4491          base1           "LSB of Input"
4492          bit0            "0"
4493          base0           "LSB of Input"
4494          dbl_ovrd            "off"
4495          has_advanced_control    "0"
4496          sggui_pos           "20,20,449,407"
4497          block_type          "slice"
4498          block_version       "9.1.01"
4499          sg_icon_stat        "25,12,1,1,white,blue,0,b1026674,right"
4500          sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 ],[0 0 30 30 ],[0.77 0.82 0.91]);\npatch([22 17 24 17 22 30 32 34 42 35 29 24 30 24 29 35 42 34 32 30 22 ],[3 8 15 22 27 27 25 27 27 20 26 21 15 9 4 10 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 30 30 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('output',1,'[a:b]');\nfprintf('','COMMENT: end icon text');\n"
4501        }
4502        Block {
4503          BlockType           Reference
4504          Name            "Slice12"
4505          Ports           [1, 1]
4506          Position            [190, 274, 215, 286]
4507          ShowName            off
4508          SourceBlock         "xbsIndex_r4/Slice"
4509          SourceType          "Xilinx Bit Slice Extractor Block"
4510          infoedit            "Extracts a given range of bits from each input sample and presents it at the output.  The output type is ordinarily unsigned with binary point at zero, but can be Boolean when the slice is one bit wide.<P><P>Hardware notes: In hardware this block costs nothing."
4511          nbits           "1"
4512          boolean_output          "on"
4513          mode            "Lower Bit Location + Width"
4514          bit1            "0"
4515          base1           "LSB of Input"
4516          bit0            "2"
4517          base0           "LSB of Input"
4518          dbl_ovrd            "off"
4519          has_advanced_control    "0"
4520          sggui_pos           "20,20,449,407"
4521          block_type          "slice"
4522          block_version       "9.1.01"
4523          sg_icon_stat        "25,12,1,1,white,blue,0,b1026674,right"
4524          sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 ],[0 0 30 30 ],[0.77 0.82 0.91]);\npatch([22 17 24 17 22 30 32 34 42 35 29 24 30 24 29 35 42 34 32 30 22 ],[3 8 15 22 27 27 25 27 27 20 26 21 15 9 4 10 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 30 30 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('output',1,'[a:b]');\nfprintf('','COMMENT: end icon text');\n"
4525        }
4526        Block {
4527          BlockType           Reference
4528          Name            "Slice13"
4529          Ports           [1, 1]
4530          Position            [190, 289, 215, 301]
4531          ShowName            off
4532          SourceBlock         "xbsIndex_r4/Slice"
4533          SourceType          "Xilinx Bit Slice Extractor Block"
4534          infoedit            "Extracts a given range of bits from each input sample and presents it at the output.  The output type is ordinarily unsigned with binary point at zero, but can be Boolean when the slice is one bit wide.<P><P>Hardware notes: In hardware this block costs nothing."
4535          nbits           "1"
4536          boolean_output          "on"
4537          mode            "Lower Bit Location + Width"
4538          bit1            "0"
4539          base1           "LSB of Input"
4540          bit0            "3"
4541          base0           "LSB of Input"
4542          dbl_ovrd            "off"
4543          has_advanced_control    "0"
4544          sggui_pos           "20,20,449,407"
4545          block_type          "slice"
4546          block_version       "9.1.01"
4547          sg_icon_stat        "25,12,1,1,white,blue,0,b1026674,right"
4548          sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 ],[0 0 30 30 ],[0.77 0.82 0.91]);\npatch([22 17 24 17 22 30 32 34 42 35 29 24 30 24 29 35 42 34 32 30 22 ],[3 8 15 22 27 27 25 27 27 20 26 21 15 9 4 10 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 30 30 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('output',1,'[a:b]');\nfprintf('','COMMENT: end icon text');\n"
4549        }
4550        Block {
4551          BlockType           Reference
4552          Name            "Slice14"
4553          Ports           [1, 1]
4554          Position            [190, 189, 215, 201]
4555          ShowName            off
4556          SourceBlock         "xbsIndex_r4/Slice"
4557          SourceType          "Xilinx Bit Slice Extractor Block"
4558          infoedit            "Extracts a given range of bits from each input sample and presents it at the output.  The output type is ordinarily unsigned with binary point at zero, but can be Boolean when the slice is one bit wide.<P><P>Hardware notes: In hardware this block costs nothing."
4559          nbits           "1"
4560          boolean_output          "on"
4561          mode            "Lower Bit Location + Width"
4562          bit1            "0"
4563          base1           "LSB of Input"
4564          bit0            "1"
4565          base0           "LSB of Input"
4566          dbl_ovrd            "off"
4567          has_advanced_control    "0"
4568          sggui_pos           "20,20,449,407"
4569          block_type          "slice"
4570          block_version       "9.1.01"
4571          sg_icon_stat        "25,12,1,1,white,blue,0,b1026674,right"
4572          sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 ],[0 0 30 30 ],[0.77 0.82 0.91]);\npatch([22 17 24 17 22 30 32 34 42 35 29 24 30 24 29 35 42 34 32 30 22 ],[3 8 15 22 27 27 25 27 27 20 26 21 15 9 4 10 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 30 30 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('output',1,'[a:b]');\nfprintf('','COMMENT: end icon text');\n"
4573        }
4574        Block {
4575          BlockType           Reference
4576          Name            "Slice15"
4577          Ports           [1, 1]
4578          Position            [190, 259, 215, 271]
4579          ShowName            off
4580          SourceBlock         "xbsIndex_r4/Slice"
4581          SourceType          "Xilinx Bit Slice Extractor Block"
4582          infoedit            "Extracts a given range of bits from each input sample and presents it at the output.  The output type is ordinarily unsigned with binary point at zero, but can be Boolean when the slice is one bit wide.<P><P>Hardware notes: In hardware this block costs nothing."
4583          nbits           "1"
4584          boolean_output          "on"
4585          mode            "Lower Bit Location + Width"
4586          bit1            "0"
4587          base1           "LSB of Input"
4588          bit0            "1"
4589          base0           "LSB of Input"
4590          dbl_ovrd            "off"
4591          has_advanced_control    "0"
4592          sggui_pos           "20,20,449,407"
4593          block_type          "slice"
4594          block_version       "9.1.01"
4595          sg_icon_stat        "25,12,1,1,white,blue,0,b1026674,right"
4596          sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 ],[0 0 30 30 ],[0.77 0.82 0.91]);\npatch([22 17 24 17 22 30 32 34 42 35 29 24 30 24 29 35 42 34 32 30 22 ],[3 8 15 22 27 27 25 27 27 20 26 21 15 9 4 10 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 30 30 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('output',1,'[a:b]');\nfprintf('','COMMENT: end icon text');\n"
4597        }
4598        Block {
4599          BlockType           Reference
4600          Name            "Slice16"
4601          Ports           [1, 1]
4602          Position            [190, 314, 215, 326]
4603          ShowName            off
4604          SourceBlock         "xbsIndex_r4/Slice"
4605          SourceType          "Xilinx Bit Slice Extractor Block"
4606          infoedit            "Extracts a given range of bits from each input sample and presents it at the output.  The output type is ordinarily unsigned with binary point at zero, but can be Boolean when the slice is one bit wide.<P><P>Hardware notes: In hardware this block costs nothing."
4607          nbits           "1"
4608          boolean_output          "on"
4609          mode            "Lower Bit Location + Width"
4610          bit1            "0"
4611          base1           "LSB of Input"
4612          bit0            "0"
4613          base0           "LSB of Input"
4614          dbl_ovrd            "off"
4615          has_advanced_control    "0"
4616          sggui_pos           "20,20,449,407"
4617          block_type          "slice"
4618          block_version       "9.1.01"
4619          sg_icon_stat        "25,12,1,1,white,blue,0,b1026674,right"
4620          sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 ],[0 0 30 30 ],[0.77 0.82 0.91]);\npatch([22 17 24 17 22 30 32 34 42 35 29 24 30 24 29 35 42 34 32 30 22 ],[3 8 15 22 27 27 25 27 27 20 26 21 15 9 4 10 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 30 30 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('output',1,'[a:b]');\nfprintf('','COMMENT: end icon text');\n"
4621        }
4622        Block {
4623          BlockType           Reference
4624          Name            "Slice17"
4625          Ports           [1, 1]
4626          Position            [190, 344, 215, 356]
4627          ShowName            off
4628          SourceBlock         "xbsIndex_r4/Slice"
4629          SourceType          "Xilinx Bit Slice Extractor Block"
4630          infoedit            "Extracts a given range of bits from each input sample and presents it at the output.  The output type is ordinarily unsigned with binary point at zero, but can be Boolean when the slice is one bit wide.<P><P>Hardware notes: In hardware this block costs nothing."
4631          nbits           "1"
4632          boolean_output          "on"
4633          mode            "Lower Bit Location + Width"
4634          bit1            "0"
4635          base1           "LSB of Input"
4636          bit0            "2"
4637          base0           "LSB of Input"
4638          dbl_ovrd            "off"
4639          has_advanced_control    "0"
4640          sggui_pos           "20,20,449,407"
4641          block_type          "slice"
4642          block_version       "9.1.01"
4643          sg_icon_stat        "25,12,1,1,white,blue,0,b1026674,right"
4644          sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 ],[0 0 30 30 ],[0.77 0.82 0.91]);\npatch([22 17 24 17 22 30 32 34 42 35 29 24 30 24 29 35 42 34 32 30 22 ],[3 8 15 22 27 27 25 27 27 20 26 21 15 9 4 10 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 30 30 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('output',1,'[a:b]');\nfprintf('','COMMENT: end icon text');\n"
4645        }
4646        Block {
4647          BlockType           Reference
4648          Name            "Slice18"
4649          Ports           [1, 1]
4650          Position            [190, 499, 215, 511]
4651          ShowName            off
4652          SourceBlock         "xbsIndex_r4/Slice"
4653          SourceType          "Xilinx Bit Slice Extractor Block"
4654          infoedit            "Extracts a given range of bits from each input sample and presents it at the output.  The output type is ordinarily unsigned with binary point at zero, but can be Boolean when the slice is one bit wide.<P><P>Hardware notes: In hardware this block costs nothing."
4655          nbits           "1"
4656          boolean_output          "on"
4657          mode            "Lower Bit Location + Width"
4658          bit1            "0"
4659          base1           "LSB of Input"
4660          bit0            "3"
4661          base0           "LSB of Input"
4662          dbl_ovrd            "off"
4663          has_advanced_control    "0"
4664          sggui_pos           "20,20,449,407"
4665          block_type          "slice"
4666          block_version       "9.1.01"
4667          sg_icon_stat        "25,12,1,1,white,blue,0,b1026674,right"
4668          sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 ],[0 0 30 30 ],[0.77 0.82 0.91]);\npatch([22 17 24 17 22 30 32 34 42 35 29 24 30 24 29 35 42 34 32 30 22 ],[3 8 15 22 27 27 25 27 27 20 26 21 15 9 4 10 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 30 30 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('output',1,'[a:b]');\nfprintf('','COMMENT: end icon text');\n"
4669        }
4670        Block {
4671          BlockType           Reference
4672          Name            "Slice19"
4673          Ports           [1, 1]
4674          Position            [190, 524, 215, 536]
4675          ShowName            off
4676          SourceBlock         "xbsIndex_r4/Slice"
4677          SourceType          "Xilinx Bit Slice Extractor Block"
4678          infoedit            "Extracts a given range of bits from each input sample and presents it at the output.  The output type is ordinarily unsigned with binary point at zero, but can be Boolean when the slice is one bit wide.<P><P>Hardware notes: In hardware this block costs nothing."
4679          nbits           "1"
4680          boolean_output          "on"
4681          mode            "Lower Bit Location + Width"
4682          bit1            "0"
4683          base1           "LSB of Input"
4684          bit0            "0"
4685          base0           "LSB of Input"
4686          dbl_ovrd            "off"
4687          has_advanced_control    "0"
4688          sggui_pos           "20,20,449,407"
4689          block_type          "slice"
4690          block_version       "9.1.01"
4691          sg_icon_stat        "25,12,1,1,white,blue,0,b1026674,right"
4692          sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 ],[0 0 30 30 ],[0.77 0.82 0.91]);\npatch([22 17 24 17 22 30 32 34 42 35 29 24 30 24 29 35 42 34 32 30 22 ],[3 8 15 22 27 27 25 27 27 20 26 21 15 9 4 10 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 30 30 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('output',1,'[a:b]');\nfprintf('','COMMENT: end icon text');\n"
4693        }
4694        Block {
4695          BlockType           Reference
4696          Name            "Slice2"
4697          Ports           [1, 1]
4698          Position            [190, 74, 215, 86]
4699          ShowName            off
4700          SourceBlock         "xbsIndex_r4/Slice"
4701          SourceType          "Xilinx Bit Slice Extractor Block"
4702          infoedit            "Extracts a given range of bits from each input sample and presents it at the output.  The output type is ordinarily unsigned with binary point at zero, but can be Boolean when the slice is one bit wide.<P><P>Hardware notes: In hardware this block costs nothing."
4703          nbits           "1"
4704          boolean_output          "on"
4705          mode            "Lower Bit Location + Width"
4706          bit1            "0"
4707          base1           "LSB of Input"
4708          bit0            "3"
4709          base0           "LSB of Input"
4710          dbl_ovrd            "off"
4711          has_advanced_control    "0"
4712          sggui_pos           "20,20,449,407"
4713          block_type          "slice"
4714          block_version       "9.1.01"
4715          sg_icon_stat        "25,12,1,1,white,blue,0,b1026674,right"
4716          sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 ],[0 0 30 30 ],[0.77 0.82 0.91]);\npatch([22 17 24 17 22 30 32 34 42 35 29 24 30 24 29 35 42 34 32 30 22 ],[3 8 15 22 27 27 25 27 27 20 26 21 15 9 4 10 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 30 30 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('output',1,'[a:b]');\nfprintf('','COMMENT: end icon text');\n"
4717        }
4718        Block {
4719          BlockType           Reference
4720          Name            "Slice20"
4721          Ports           [1, 1]
4722          Position            [190, 554, 215, 566]
4723          ShowName            off
4724          SourceBlock         "xbsIndex_r4/Slice"
4725          SourceType          "Xilinx Bit Slice Extractor Block"
4726          infoedit            "Extracts a given range of bits from each input sample and presents it at the output.  The output type is ordinarily unsigned with binary point at zero, but can be Boolean when the slice is one bit wide.<P><P>Hardware notes: In hardware this block costs nothing."
4727          nbits           "1"
4728          boolean_output          "on"
4729          mode            "Lower Bit Location + Width"
4730          bit1            "0"
4731          base1           "LSB of Input"
4732          bit0            "2"
4733          base0           "LSB of Input"
4734          dbl_ovrd            "off"
4735          has_advanced_control    "0"
4736          sggui_pos           "20,20,449,407"
4737          block_type          "slice"
4738          block_version       "9.1.01"
4739          sg_icon_stat        "25,12,1,1,white,blue,0,b1026674,right"
4740          sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 ],[0 0 30 30 ],[0.77 0.82 0.91]);\npatch([22 17 24 17 22 30 32 34 42 35 29 24 30 24 29 35 42 34 32 30 22 ],[3 8 15 22 27 27 25 27 27 20 26 21 15 9 4 10 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 30 30 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('output',1,'[a:b]');\nfprintf('','COMMENT: end icon text');\n"
4741        }
4742        Block {
4743          BlockType           Reference
4744          Name            "Slice21"
4745          Ports           [1, 1]
4746          Position            [190, 569, 215, 581]
4747          ShowName            off
4748          SourceBlock         "xbsIndex_r4/Slice"
4749          SourceType          "Xilinx Bit Slice Extractor Block"
4750          infoedit            "Extracts a given range of bits from each input sample and presents it at the output.  The output type is ordinarily unsigned with binary point at zero, but can be Boolean when the slice is one bit wide.<P><P>Hardware notes: In hardware this block costs nothing."
4751          nbits           "1"
4752          boolean_output          "on"
4753          mode            "Lower Bit Location + Width"
4754          bit1            "0"
4755          base1           "LSB of Input"
4756          bit0            "3"
4757          base0           "LSB of Input"
4758          dbl_ovrd            "off"
4759          has_advanced_control    "0"
4760          sggui_pos           "20,20,449,407"
4761          block_type          "slice"
4762          block_version       "9.1.01"
4763          sg_icon_stat        "25,12,1,1,white,blue,0,b1026674,right"
4764          sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 ],[0 0 30 30 ],[0.77 0.82 0.91]);\npatch([22 17 24 17 22 30 32 34 42 35 29 24 30 24 29 35 42 34 32 30 22 ],[3 8 15 22 27 27 25 27 27 20 26 21 15 9 4 10 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 30 30 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('output',1,'[a:b]');\nfprintf('','COMMENT: end icon text');\n"
4765        }
4766        Block {
4767          BlockType           Reference
4768          Name            "Slice22"
4769          Ports           [1, 1]
4770          Position            [190, 469, 215, 481]
4771          ShowName            off
4772          SourceBlock         "xbsIndex_r4/Slice"
4773          SourceType          "Xilinx Bit Slice Extractor Block"
4774          infoedit            "Extracts a given range of bits from each input sample and presents it at the output.  The output type is ordinarily unsigned with binary point at zero, but can be Boolean when the slice is one bit wide.<P><P>Hardware notes: In hardware this block costs nothing."
4775          nbits           "1"
4776          boolean_output          "on"
4777          mode            "Lower Bit Location + Width"
4778          bit1            "0"
4779          base1           "LSB of Input"
4780          bit0            "1"
4781          base0           "LSB of Input"
4782          dbl_ovrd            "off"
4783          has_advanced_control    "0"
4784          sggui_pos           "20,20,449,407"
4785          block_type          "slice"
4786          block_version       "9.1.01"
4787          sg_icon_stat        "25,12,1,1,white,blue,0,b1026674,right"
4788          sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 ],[0 0 30 30 ],[0.77 0.82 0.91]);\npatch([22 17 24 17 22 30 32 34 42 35 29 24 30 24 29 35 42 34 32 30 22 ],[3 8 15 22 27 27 25 27 27 20 26 21 15 9 4 10 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 30 30 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('output',1,'[a:b]');\nfprintf('','COMMENT: end icon text');\n"
4789        }
4790        Block {
4791          BlockType           Reference
4792          Name            "Slice23"
4793          Ports           [1, 1]
4794          Position            [190, 539, 215, 551]
4795          ShowName            off
4796          SourceBlock         "xbsIndex_r4/Slice"
4797          SourceType          "Xilinx Bit Slice Extractor Block"
4798          infoedit            "Extracts a given range of bits from each input sample and presents it at the output.  The output type is ordinarily unsigned with binary point at zero, but can be Boolean when the slice is one bit wide.<P><P>Hardware notes: In hardware this block costs nothing."
4799          nbits           "1"
4800          boolean_output          "on"
4801          mode            "Lower Bit Location + Width"
4802          bit1            "0"
4803          base1           "LSB of Input"
4804          bit0            "1"
4805          base0           "LSB of Input"
4806          dbl_ovrd            "off"
4807          has_advanced_control    "0"
4808          sggui_pos           "20,20,449,407"
4809          block_type          "slice"
4810          block_version       "9.1.01"
4811          sg_icon_stat        "25,12,1,1,white,blue,0,b1026674,right"
4812          sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 ],[0 0 30 30 ],[0.77 0.82 0.91]);\npatch([22 17 24 17 22 30 32 34 42 35 29 24 30 24 29 35 42 34 32 30 22 ],[3 8 15 22 27 27 25 27 27 20 26 21 15 9 4 10 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 30 30 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('output',1,'[a:b]');\nfprintf('','COMMENT: end icon text');\n"
4813        }
4814        Block {
4815          BlockType           Reference
4816          Name            "Slice24"
4817          Ports           [1, 1]
4818          Position            [190, 359, 215, 371]
4819          ShowName            off
4820          SourceBlock         "xbsIndex_r4/Slice"
4821          SourceType          "Xilinx Bit Slice Extractor Block"
4822          infoedit            "Extracts a given range of bits from each input sample and presents it at the output.  The output type is ordinarily unsigned with binary point at zero, but can be Boolean when the slice is one bit wide.<P><P>Hardware notes: In hardware this block costs nothing."
4823          nbits           "1"
4824          boolean_output          "on"
4825          mode            "Lower Bit Location + Width"
4826          bit1            "0"
4827          base1           "LSB of Input"
4828          bit0            "3"
4829          base0           "LSB of Input"
4830          dbl_ovrd            "off"
4831          has_advanced_control    "0"
4832          sggui_pos           "20,20,449,407"
4833          block_type          "slice"
4834          block_version       "9.1.01"
4835          sg_icon_stat        "25,12,1,1,white,blue,0,b1026674,right"
4836          sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 ],[0 0 30 30 ],[0.77 0.82 0.91]);\npatch([22 17 24 17 22 30 32 34 42 35 29 24 30 24 29 35 42 34 32 30 22 ],[3 8 15 22 27 27 25 27 27 20 26 21 15 9 4 10 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 30 30 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('output',1,'[a:b]');\nfprintf('','COMMENT: end icon text');\n"
4837        }
4838        Block {
4839          BlockType           Reference
4840          Name            "Slice25"
4841          Ports           [1, 1]
4842          Position            [190, 384, 215, 396]
4843          ShowName            off
4844          SourceBlock         "xbsIndex_r4/Slice"
4845          SourceType          "Xilinx Bit Slice Extractor Block"
4846          infoedit            "Extracts a given range of bits from each input sample and presents it at the output.  The output type is ordinarily unsigned with binary point at zero, but can be Boolean when the slice is one bit wide.<P><P>Hardware notes: In hardware this block costs nothing."
4847          nbits           "1"
4848          boolean_output          "on"
4849          mode            "Lower Bit Location + Width"
4850          bit1            "0"
4851          base1           "LSB of Input"
4852          bit0            "0"
4853          base0           "LSB of Input"
4854          dbl_ovrd            "off"
4855          has_advanced_control    "0"
4856          sggui_pos           "20,20,449,407"
4857          block_type          "slice"
4858          block_version       "9.1.01"
4859          sg_icon_stat        "25,12,1,1,white,blue,0,b1026674,right"
4860          sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 ],[0 0 30 30 ],[0.77 0.82 0.91]);\npatch([22 17 24 17 22 30 32 34 42 35 29 24 30 24 29 35 42 34 32 30 22 ],[3 8 15 22 27 27 25 27 27 20 26 21 15 9 4 10 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 30 30 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('output',1,'[a:b]');\nfprintf('','COMMENT: end icon text');\n"
4861        }
4862        Block {
4863          BlockType           Reference
4864          Name            "Slice26"
4865          Ports           [1, 1]
4866          Position            [190, 414, 215, 426]
4867          ShowName            off
4868          SourceBlock         "xbsIndex_r4/Slice"
4869          SourceType          "Xilinx Bit Slice Extractor Block"
4870          infoedit            "Extracts a given range of bits from each input sample and presents it at the output.  The output type is ordinarily unsigned with binary point at zero, but can be Boolean when the slice is one bit wide.<P><P>Hardware notes: In hardware this block costs nothing."
4871          nbits           "1"
4872          boolean_output          "on"
4873          mode            "Lower Bit Location + Width"
4874          bit1            "0"
4875          base1           "LSB of Input"
4876          bit0            "2"
4877          base0           "LSB of Input"
4878          dbl_ovrd            "off"
4879          has_advanced_control    "0"
4880          sggui_pos           "20,20,449,407"
4881          block_type          "slice"
4882          block_version       "9.1.01"
4883          sg_icon_stat        "25,12,1,1,white,blue,0,b1026674,right"
4884          sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 ],[0 0 30 30 ],[0.77 0.82 0.91]);\npatch([22 17 24 17 22 30 32 34 42 35 29 24 30 24 29 35 42 34 32 30 22 ],[3 8 15 22 27 27 25 27 27 20 26 21 15 9 4 10 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 30 30 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('output',1,'[a:b]');\nfprintf('','COMMENT: end icon text');\n"
4885        }
4886        Block {
4887          BlockType           Reference
4888          Name            "Slice27"
4889          Ports           [1, 1]
4890          Position            [190, 429, 215, 441]
4891          ShowName            off
4892          SourceBlock         "xbsIndex_r4/Slice"
4893          SourceType          "Xilinx Bit Slice Extractor Block"
4894          infoedit            "Extracts a given range of bits from each input sample and presents it at the output.  The output type is ordinarily unsigned with binary point at zero, but can be Boolean when the slice is one bit wide.<P><P>Hardware notes: In hardware this block costs nothing."
4895          nbits           "1"
4896          boolean_output          "on"
4897          mode            "Lower Bit Location + Width"
4898          bit1            "0"
4899          base1           "LSB of Input"
4900          bit0            "3"
4901          base0           "LSB of Input"
4902          dbl_ovrd            "off"
4903          has_advanced_control    "0"
4904          sggui_pos           "20,20,449,407"
4905          block_type          "slice"
4906          block_version       "9.1.01"
4907          sg_icon_stat        "25,12,1,1,white,blue,0,b1026674,right"
4908          sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 ],[0 0 30 30 ],[0.77 0.82 0.91]);\npatch([22 17 24 17 22 30 32 34 42 35 29 24 30 24 29 35 42 34 32 30 22 ],[3 8 15 22 27 27 25 27 27 20 26 21 15 9 4 10 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 30 30 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('output',1,'[a:b]');\nfprintf('','COMMENT: end icon text');\n"
4909        }
4910        Block {
4911          BlockType           Reference
4912          Name            "Slice28"
4913          Ports           [1, 1]
4914          Position            [190, 329, 215, 341]
4915          ShowName            off
4916          SourceBlock         "xbsIndex_r4/Slice"
4917          SourceType          "Xilinx Bit Slice Extractor Block"
4918          infoedit            "Extracts a given range of bits from each input sample and presents it at the output.  The output type is ordinarily unsigned with binary point at zero, but can be Boolean when the slice is one bit wide.<P><P>Hardware notes: In hardware this block costs nothing."
4919          nbits           "1"
4920          boolean_output          "on"
4921          mode            "Lower Bit Location + Width"
4922          bit1            "0"
4923          base1           "LSB of Input"
4924          bit0            "1"
4925          base0           "LSB of Input"
4926          dbl_ovrd            "off"
4927          has_advanced_control    "0"
4928          sggui_pos           "20,20,449,407"
4929          block_type          "slice"
4930          block_version       "9.1.01"
4931          sg_icon_stat        "25,12,1,1,white,blue,0,b1026674,right"
4932          sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 ],[0 0 30 30 ],[0.77 0.82 0.91]);\npatch([22 17 24 17 22 30 32 34 42 35 29 24 30 24 29 35 42 34 32 30 22 ],[3 8 15 22 27 27 25 27 27 20 26 21 15 9 4 10 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 30 30 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('output',1,'[a:b]');\nfprintf('','COMMENT: end icon text');\n"
4933        }
4934        Block {
4935          BlockType           Reference
4936          Name            "Slice29"
4937          Ports           [1, 1]
4938          Position            [190, 399, 215, 411]
4939          ShowName            off
4940          SourceBlock         "xbsIndex_r4/Slice"
4941          SourceType          "Xilinx Bit Slice Extractor Block"
4942          infoedit            "Extracts a given range of bits from each input sample and presents it at the output.  The output type is ordinarily unsigned with binary point at zero, but can be Boolean when the slice is one bit wide.<P><P>Hardware notes: In hardware this block costs nothing."
4943          nbits           "1"
4944          boolean_output          "on"
4945          mode            "Lower Bit Location + Width"
4946          bit1            "0"
4947          base1           "LSB of Input"
4948          bit0            "1"
4949          base0           "LSB of Input"
4950          dbl_ovrd            "off"
4951          has_advanced_control    "0"
4952          sggui_pos           "20,20,449,407"
4953          block_type          "slice"
4954          block_version       "9.1.01"
4955          sg_icon_stat        "25,12,1,1,white,blue,0,b1026674,right"
4956          sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 ],[0 0 30 30 ],[0.77 0.82 0.91]);\npatch([22 17 24 17 22 30 32 34 42 35 29 24 30 24 29 35 42 34 32 30 22 ],[3 8 15 22 27 27 25 27 27 20 26 21 15 9 4 10 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 30 30 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('output',1,'[a:b]');\nfprintf('','COMMENT: end icon text');\n"
4957        }
4958        Block {
4959          BlockType           Reference
4960          Name            "Slice3"
4961          Ports           [1, 1]
4962          Position            [190, 99, 215, 111]
4963          ShowName            off
4964          SourceBlock         "xbsIndex_r4/Slice"
4965          SourceType          "Xilinx Bit Slice Extractor Block"
4966          infoedit            "Extracts a given range of bits from each input sample and presents it at the output.  The output type is ordinarily unsigned with binary point at zero, but can be Boolean when the slice is one bit wide.<P><P>Hardware notes: In hardware this block costs nothing."
4967          nbits           "1"
4968          boolean_output          "on"
4969          mode            "Lower Bit Location + Width"
4970          bit1            "0"
4971          base1           "LSB of Input"
4972          bit0            "0"
4973          base0           "LSB of Input"
4974          dbl_ovrd            "off"
4975          has_advanced_control    "0"
4976          sggui_pos           "20,20,449,407"
4977          block_type          "slice"
4978          block_version       "9.1.01"
4979          sg_icon_stat        "25,12,1,1,white,blue,0,b1026674,right"
4980          sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 ],[0 0 30 30 ],[0.77 0.82 0.91]);\npatch([22 17 24 17 22 30 32 34 42 35 29 24 30 24 29 35 42 34 32 30 22 ],[3 8 15 22 27 27 25 27 27 20 26 21 15 9 4 10 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 30 30 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('output',1,'[a:b]');\nfprintf('','COMMENT: end icon text');\n"
4981        }
4982        Block {
4983          BlockType           Reference
4984          Name            "Slice30"
4985          Ports           [1, 1]
4986          Position            [190, 454, 215, 466]
4987          ShowName            off
4988          SourceBlock         "xbsIndex_r4/Slice"
4989          SourceType          "Xilinx Bit Slice Extractor Block"
4990          infoedit            "Extracts a given range of bits from each input sample and presents it at the output.  The output type is ordinarily unsigned with binary point at zero, but can be Boolean when the slice is one bit wide.<P><P>Hardware notes: In hardware this block costs nothing."
4991          nbits           "1"
4992          boolean_output          "on"
4993          mode            "Lower Bit Location + Width"
4994          bit1            "0"
4995          base1           "LSB of Input"
4996          bit0            "0"
4997          base0           "LSB of Input"
4998          dbl_ovrd            "off"
4999          has_advanced_control    "0"
5000          sggui_pos           "20,20,449,407"
5001          block_type          "slice"
5002          block_version       "9.1.01"
5003          sg_icon_stat        "25,12,1,1,white,blue,0,b1026674,right"
5004          sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 ],[0 0 30 30 ],[0.77 0.82 0.91]);\npatch([22 17 24 17 22 30 32 34 42 35 29 24 30 24 29 35 42 34 32 30 22 ],[3 8 15 22 27 27 25 27 27 20 26 21 15 9 4 10 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 30 30 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('output',1,'[a:b]');\nfprintf('','COMMENT: end icon text');\n"
5005        }
5006        Block {
5007          BlockType           Reference
5008          Name            "Slice31"
5009          Ports           [1, 1]
5010          Position            [190, 484, 215, 496]
5011          ShowName            off
5012          SourceBlock         "xbsIndex_r4/Slice"
5013          SourceType          "Xilinx Bit Slice Extractor Block"
5014          infoedit            "Extracts a given range of bits from each input sample and presents it at the output.  The output type is ordinarily unsigned with binary point at zero, but can be Boolean when the slice is one bit wide.<P><P>Hardware notes: In hardware this block costs nothing."
5015          nbits           "1"
5016          boolean_output          "on"
5017          mode            "Lower Bit Location + Width"
5018          bit1            "0"
5019          base1           "LSB of Input"
5020          bit0            "2"
5021          base0           "LSB of Input"
5022          dbl_ovrd            "off"
5023          has_advanced_control    "0"
5024          sggui_pos           "20,20,449,407"
5025          block_type          "slice"
5026          block_version       "9.1.01"
5027          sg_icon_stat        "25,12,1,1,white,blue,0,b1026674,right"
5028          sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 ],[0 0 30 30 ],[0.77 0.82 0.91]);\npatch([22 17 24 17 22 30 32 34 42 35 29 24 30 24 29 35 42 34 32 30 22 ],[3 8 15 22 27 27 25 27 27 20 26 21 15 9 4 10 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 30 30 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('output',1,'[a:b]');\nfprintf('','COMMENT: end icon text');\n"
5029        }
5030        Block {
5031          BlockType           Reference
5032          Name            "Slice4"
5033          Ports           [1, 1]
5034          Position            [190, 129, 215, 141]
5035          ShowName            off
5036          SourceBlock         "xbsIndex_r4/Slice"
5037          SourceType          "Xilinx Bit Slice Extractor Block"
5038          infoedit            "Extracts a given range of bits from each input sample and presents it at the output.  The output type is ordinarily unsigned with binary point at zero, but can be Boolean when the slice is one bit wide.<P><P>Hardware notes: In hardware this block costs nothing."
5039          nbits           "1"
5040          boolean_output          "on"
5041          mode            "Lower Bit Location + Width"
5042          bit1            "0"
5043          base1           "LSB of Input"
5044          bit0            "2"
5045          base0           "LSB of Input"
5046          dbl_ovrd            "off"
5047          has_advanced_control    "0"
5048          sggui_pos           "20,20,449,407"
5049          block_type          "slice"
5050          block_version       "9.1.01"
5051          sg_icon_stat        "25,12,1,1,white,blue,0,b1026674,right"
5052          sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 ],[0 0 30 30 ],[0.77 0.82 0.91]);\npatch([22 17 24 17 22 30 32 34 42 35 29 24 30 24 29 35 42 34 32 30 22 ],[3 8 15 22 27 27 25 27 27 20 26 21 15 9 4 10 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 30 30 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('output',1,'[a:b]');\nfprintf('','COMMENT: end icon text');\n"
5053        }
5054        Block {
5055          BlockType           Reference
5056          Name            "Slice5"
5057          Ports           [1, 1]
5058          Position            [190, 144, 215, 156]
5059          ShowName            off
5060          SourceBlock         "xbsIndex_r4/Slice"
5061          SourceType          "Xilinx Bit Slice Extractor Block"
5062          infoedit            "Extracts a given range of bits from each input sample and presents it at the output.  The output type is ordinarily unsigned with binary point at zero, but can be Boolean when the slice is one bit wide.<P><P>Hardware notes: In hardware this block costs nothing."
5063          nbits           "1"
5064          boolean_output          "on"
5065          mode            "Lower Bit Location + Width"
5066          bit1            "0"
5067          base1           "LSB of Input"
5068          bit0            "3"
5069          base0           "LSB of Input"
5070          dbl_ovrd            "off"
5071          has_advanced_control    "0"
5072          sggui_pos           "20,20,449,407"
5073          block_type          "slice"
5074          block_version       "9.1.01"
5075          sg_icon_stat        "25,12,1,1,white,blue,0,b1026674,right"
5076          sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 ],[0 0 30 30 ],[0.77 0.82 0.91]);\npatch([22 17 24 17 22 30 32 34 42 35 29 24 30 24 29 35 42 34 32 30 22 ],[3 8 15 22 27 27 25 27 27 20 26 21 15 9 4 10 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 30 30 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('output',1,'[a:b]');\nfprintf('','COMMENT: end icon text');\n"
5077        }
5078        Block {
5079          BlockType           Reference
5080          Name            "Slice6"
5081          Ports           [1, 1]
5082          Position            [190, 44, 215, 56]
5083          ShowName            off
5084          SourceBlock         "xbsIndex_r4/Slice"
5085          SourceType          "Xilinx Bit Slice Extractor Block"
5086          infoedit            "Extracts a given range of bits from each input sample and presents it at the output.  The output type is ordinarily unsigned with binary point at zero, but can be Boolean when the slice is one bit wide.<P><P>Hardware notes: In hardware this block costs nothing."
5087          nbits           "1"
5088          boolean_output          "on"
5089          mode            "Lower Bit Location + Width"
5090          bit1            "0"
5091          base1           "LSB of Input"
5092          bit0            "1"
5093          base0           "LSB of Input"
5094          dbl_ovrd            "off"
5095          has_advanced_control    "0"
5096          sggui_pos           "20,20,449,407"
5097          block_type          "slice"
5098          block_version       "9.1.01"
5099          sg_icon_stat        "25,12,1,1,white,blue,0,b1026674,right"
5100          sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 ],[0 0 30 30 ],[0.77 0.82 0.91]);\npatch([22 17 24 17 22 30 32 34 42 35 29 24 30 24 29 35 42 34 32 30 22 ],[3 8 15 22 27 27 25 27 27 20 26 21 15 9 4 10 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 30 30 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('output',1,'[a:b]');\nfprintf('','COMMENT: end icon text');\n"
5101        }
5102        Block {
5103          BlockType           Reference
5104          Name            "Slice7"
5105          Ports           [1, 1]
5106          Position            [190, 114, 215, 126]
5107          ShowName            off
5108          SourceBlock         "xbsIndex_r4/Slice"
5109          SourceType          "Xilinx Bit Slice Extractor Block"
5110          infoedit            "Extracts a given range of bits from each input sample and presents it at the output.  The output type is ordinarily unsigned with binary point at zero, but can be Boolean when the slice is one bit wide.<P><P>Hardware notes: In hardware this block costs nothing."
5111          nbits           "1"
5112          boolean_output          "on"
5113          mode            "Lower Bit Location + Width"
5114          bit1            "0"
5115          base1           "LSB of Input"
5116          bit0            "1"
5117          base0           "LSB of Input"
5118          dbl_ovrd            "off"
5119          has_advanced_control    "0"
5120          sggui_pos           "20,20,449,407"
5121          block_type          "slice"
5122          block_version       "9.1.01"
5123          sg_icon_stat        "25,12,1,1,white,blue,0,b1026674,right"
5124          sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 ],[0 0 30 30 ],[0.77 0.82 0.91]);\npatch([22 17 24 17 22 30 32 34 42 35 29 24 30 24 29 35 42 34 32 30 22 ],[3 8 15 22 27 27 25 27 27 20 26 21 15 9 4 10 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 30 30 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('output',1,'[a:b]');\nfprintf('','COMMENT: end icon text');\n"
5125        }
5126        Block {
5127          BlockType           Reference
5128          Name            "Slice8"
5129          Ports           [1, 1]
5130          Position            [190, 174, 215, 186]
5131          ShowName            off
5132          SourceBlock         "xbsIndex_r4/Slice"
5133          SourceType          "Xilinx Bit Slice Extractor Block"
5134          infoedit            "Extracts a given range of bits from each input sample and presents it at the output.  The output type is ordinarily unsigned with binary point at zero, but can be Boolean when the slice is one bit wide.<P><P>Hardware notes: In hardware this block costs nothing."
5135          nbits           "1"
5136          boolean_output          "on"
5137          mode            "Lower Bit Location + Width"
5138          bit1            "0"
5139          base1           "LSB of Input"
5140          bit0            "0"
5141          base0           "LSB of Input"
5142          dbl_ovrd            "off"
5143          has_advanced_control    "0"
5144          sggui_pos           "20,20,449,407"
5145          block_type          "slice"
5146          block_version       "9.1.01"
5147          sg_icon_stat        "25,12,1,1,white,blue,0,b1026674,right"
5148          sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 ],[0 0 30 30 ],[0.77 0.82 0.91]);\npatch([22 17 24 17 22 30 32 34 42 35 29 24 30 24 29 35 42 34 32 30 22 ],[3 8 15 22 27 27 25 27 27 20 26 21 15 9 4 10 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 30 30 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('output',1,'[a:b]');\nfprintf('','COMMENT: end icon text');\n"
5149        }
5150        Block {
5151          BlockType           Reference
5152          Name            "Slice9"
5153          Ports           [1, 1]
5154          Position            [190, 204, 215, 216]
5155          ShowName            off
5156          SourceBlock         "xbsIndex_r4/Slice"
5157          SourceType          "Xilinx Bit Slice Extractor Block"
5158          infoedit            "Extracts a given range of bits from each input sample and presents it at the output.  The output type is ordinarily unsigned with binary point at zero, but can be Boolean when the slice is one bit wide.<P><P>Hardware notes: In hardware this block costs nothing."
5159          nbits           "1"
5160          boolean_output          "on"
5161          mode            "Lower Bit Location + Width"
5162          bit1            "0"
5163          base1           "LSB of Input"
5164          bit0            "2"
5165          base0           "LSB of Input"
5166          dbl_ovrd            "off"
5167          has_advanced_control    "0"
5168          sggui_pos           "20,20,449,407"
5169          block_type          "slice"
5170          block_version       "9.1.01"
5171          sg_icon_stat        "25,12,1,1,white,blue,0,b1026674,right"
5172          sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 ],[0 0 30 30 ],[0.77 0.82 0.91]);\npatch([22 17 24 17 22 30 32 34 42 35 29 24 30 24 29 35 42 34 32 30 22 ],[3 8 15 22 27 27 25 27 27 20 26 21 15 9 4 10 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 30 30 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('output',1,'[a:b]');\nfprintf('','COMMENT: end icon text');\n"
5173        }
5174        Line {
5175          SrcBlock            "32b"
5176          SrcPort             1
5177          Points              [15, 0]
5178          Branch {
5179        Points          [0, 70]
5180        Branch {
5181          Points          [0, 75]
5182          Branch {
5183            Points          [0, 70]
5184            Branch {
5185            Points          [0, 70]
5186            Branch {
5187            Points          [0, 70]
5188            Branch {
5189            Points          [0, 70]
5190            Branch {
5191            Points          [0, 70]
5192            DstBlock            "4LSB+28"
5193            DstPort         1
5194            }
5195            Branch {
5196            DstBlock            "4LSB+24"
5197            DstPort         1
5198            }
5199            }
5200            Branch {
5201            DstBlock            "4LSB+20"
5202            DstPort         1
5203            }
5204            }
5205            Branch {
5206            DstBlock            "4LSB+16"
5207            DstPort         1
5208            }
5209            }
5210            Branch {
5211            DstBlock            "4LSB+12"
5212            DstPort         1
5213            }
5214          }
5215          Branch {
5216            DstBlock            "4LSB+8"
5217            DstPort         1
5218          }
5219        }
5220        Branch {
5221          DstBlock        "4LSB+4"
5222          DstPort         1
5223        }
5224          }
5225          Branch {
5226        DstBlock        "4LSB"
5227        DstPort         1
5228          }
5229        }
5230        Line {
5231          SrcBlock            "4LSB"
5232          SrcPort             1
5233          Points              [15, 0]
5234          Branch {
5235        DstBlock        "Slice"
5236        DstPort         1
5237          }
5238          Branch {
5239        Points          [0, 15]
5240        Branch {
5241          DstBlock        "Slice6"
5242          DstPort         1
5243        }
5244        Branch {
5245          Points          [0, 15]
5246          Branch {
5247            Points          [0, 15]
5248            DstBlock            "Slice2"
5249            DstPort         1
5250          }
5251          Branch {
5252            DstBlock            "Slice1"
5253            DstPort         1
5254          }
5255        }
5256          }
5257        }
5258        Line {
5259          SrcBlock            "4LSB+4"
5260          SrcPort             1
5261          Points              [15, 0]
5262          Branch {
5263        Points          [0, 15]
5264        Branch {
5265          Points          [0, 15]
5266          Branch {
5267            DstBlock            "Slice4"
5268            DstPort         1
5269          }
5270          Branch {
5271            Points          [0, 15]
5272            DstBlock            "Slice5"
5273            DstPort         1
5274          }
5275        }
5276        Branch {
5277          DstBlock        "Slice7"
5278          DstPort         1
5279        }
5280          }
5281          Branch {
5282        DstBlock        "Slice3"
5283        DstPort         1
5284          }
5285        }
5286        Line {
5287          SrcBlock            "Slice"
5288          SrcPort             1
5289          DstBlock            "Goto"
5290          DstPort             1
5291        }
5292        Line {
5293          SrcBlock            "Slice6"
5294          SrcPort             1
5295          DstBlock            "Goto1"
5296          DstPort             1
5297        }
5298        Line {
5299          SrcBlock            "Slice1"
5300          SrcPort             1
5301          DstBlock            "Goto2"
5302          DstPort             1
5303        }
5304        Line {
5305          SrcBlock            "Slice2"
5306          SrcPort             1
5307          DstBlock            "Goto3"
5308          DstPort             1
5309        }
5310        Line {
5311          SrcBlock            "Slice3"
5312          SrcPort             1
5313          DstBlock            "Goto4"
5314          DstPort             1
5315        }
5316        Line {
5317          SrcBlock            "Slice7"
5318          SrcPort             1
5319          DstBlock            "Goto5"
5320          DstPort             1
5321        }
5322        Line {
5323          SrcBlock            "Slice4"
5324          SrcPort             1
5325          DstBlock            "Goto6"
5326          DstPort             1
5327        }
5328        Line {
5329          SrcBlock            "Slice5"
5330          SrcPort             1
5331          DstBlock            "Goto7"
5332          DstPort             1
5333        }
5334        Line {
5335          SrcBlock            "4LSB+8"
5336          SrcPort             1
5337          Points              [15, 0]
5338          Branch {
5339        Points          [0, 15]
5340        Branch {
5341          Points          [0, 15]
5342          Branch {
5343            DstBlock            "Slice9"
5344            DstPort         1
5345          }
5346          Branch {
5347            Points          [0, 15]
5348            DstBlock            "Slice10"
5349            DstPort         1
5350          }
5351        }
5352        Branch {
5353          DstBlock        "Slice14"
5354          DstPort         1
5355        }
5356          }
5357          Branch {
5358        DstBlock        "Slice8"
5359        DstPort         1
5360          }
5361        }
5362        Line {
5363          SrcBlock            "4LSB+12"
5364          SrcPort             1
5365          Points              [15, 0]
5366          Branch {
5367        DstBlock        "Slice11"
5368        DstPort         1
5369          }
5370          Branch {
5371        Points          [0, 15]
5372        Branch {
5373          DstBlock        "Slice15"
5374          DstPort         1
5375        }
5376        Branch {
5377          Points          [0, 15]
5378          Branch {
5379            Points          [0, 15]
5380            DstBlock            "Slice13"
5381            DstPort         1
5382          }
5383          Branch {
5384            DstBlock            "Slice12"
5385            DstPort         1
5386          }
5387        }
5388          }
5389        }
5390        Line {
5391          SrcBlock            "Slice8"
5392          SrcPort             1
5393          DstBlock            "Goto8"
5394          DstPort             1
5395        }
5396        Line {
5397          SrcBlock            "Slice14"
5398          SrcPort             1
5399          DstBlock            "Goto9"
5400          DstPort             1
5401        }
5402        Line {
5403          SrcBlock            "Slice9"
5404          SrcPort             1
5405          DstBlock            "Goto10"
5406          DstPort             1
5407        }
5408        Line {
5409          SrcBlock            "Slice10"
5410          SrcPort             1
5411          DstBlock            "Goto11"
5412          DstPort             1
5413        }
5414        Line {
5415          SrcBlock            "Slice11"
5416          SrcPort             1
5417          DstBlock            "Goto14"
5418          DstPort             1
5419        }
5420        Line {
5421          SrcBlock            "Slice15"
5422          SrcPort             1
5423          DstBlock            "Goto15"
5424          DstPort             1
5425        }
5426        Line {
5427          SrcBlock            "Slice12"
5428          SrcPort             1
5429          DstBlock            "Goto12"
5430          DstPort             1
5431        }
5432        Line {
5433          SrcBlock            "Slice13"
5434          SrcPort             1
5435          DstBlock            "Goto13"
5436          DstPort             1
5437        }
5438        Line {
5439          SrcBlock            "4LSB+16"
5440          SrcPort             1
5441          Points              [15, 0]
5442          Branch {
5443        Points          [0, 15]
5444        Branch {
5445          Points          [0, 15]
5446          Branch {
5447            DstBlock            "Slice17"
5448            DstPort         1
5449          }
5450          Branch {
5451            Points          [0, 15]
5452            DstBlock            "Slice24"
5453            DstPort         1
5454          }
5455        }
5456        Branch {
5457          DstBlock        "Slice28"
5458          DstPort         1
5459        }
5460          }
5461          Branch {
5462        DstBlock        "Slice16"
5463        DstPort         1
5464          }
5465        }
5466        Line {
5467          SrcBlock            "4LSB+20"
5468          SrcPort             1
5469          Points              [15, 0]
5470          Branch {
5471        DstBlock        "Slice25"
5472        DstPort         1
5473          }
5474          Branch {
5475        Points          [0, 15]
5476        Branch {
5477          DstBlock        "Slice29"
5478          DstPort         1
5479        }
5480        Branch {
5481          Points          [0, 15]
5482          Branch {
5483            Points          [0, 15]
5484            DstBlock            "Slice27"
5485            DstPort         1
5486          }
5487          Branch {
5488            DstBlock            "Slice26"
5489            DstPort         1
5490          }
5491        }
5492          }
5493        }
5494        Line {
5495          SrcBlock            "Slice16"
5496          SrcPort             1
5497          DstBlock            "Goto16"
5498          DstPort             1
5499        }
5500        Line {
5501          SrcBlock            "Slice28"
5502          SrcPort             1
5503          DstBlock            "Goto17"
5504          DstPort             1
5505        }
5506        Line {
5507          SrcBlock            "Slice17"
5508          SrcPort             1
5509          DstBlock            "Goto24"
5510          DstPort             1
5511        }
5512        Line {
5513          SrcBlock            "Slice24"
5514          SrcPort             1
5515          DstBlock            "Goto25"
5516          DstPort             1
5517        }
5518        Line {
5519          SrcBlock            "Slice25"
5520          SrcPort             1
5521          DstBlock            "Goto26"
5522          DstPort             1
5523        }
5524        Line {
5525          SrcBlock            "Slice29"
5526          SrcPort             1
5527          DstBlock            "Goto27"
5528          DstPort             1
5529        }
5530        Line {
5531          SrcBlock            "Slice26"
5532          SrcPort             1
5533          DstBlock            "Goto28"
5534          DstPort             1
5535        }
5536        Line {
5537          SrcBlock            "Slice27"
5538          SrcPort             1
5539          DstBlock            "Goto29"
5540          DstPort             1
5541        }
5542        Line {
5543          SrcBlock            "4LSB+24"
5544          SrcPort             1
5545          Points              [15, 0]
5546          Branch {
5547        DstBlock        "Slice30"
5548        DstPort         1
5549          }
5550          Branch {
5551        Points          [0, 15]
5552        Branch {
5553          DstBlock        "Slice22"
5554          DstPort         1
5555        }
5556        Branch {
5557          Points          [0, 15]
5558          Branch {
5559            Points          [0, 15]
5560            DstBlock            "Slice18"
5561            DstPort         1
5562          }
5563          Branch {
5564            DstBlock            "Slice31"
5565            DstPort         1
5566          }
5567        }
5568          }
5569        }
5570        Line {
5571          SrcBlock            "4LSB+28"
5572          SrcPort             1
5573          Points              [15, 0]
5574          Branch {
5575        Points          [0, 15]
5576        Branch {
5577          Points          [0, 15]
5578          Branch {
5579            DstBlock            "Slice20"
5580            DstPort         1
5581          }
5582          Branch {
5583            Points          [0, 15]
5584            DstBlock            "Slice21"
5585            DstPort         1
5586          }
5587        }
5588        Branch {
5589          DstBlock        "Slice23"
5590          DstPort         1
5591        }
5592          }
5593          Branch {
5594        DstBlock        "Slice19"
5595        DstPort         1
5596          }
5597        }
5598        Line {
5599          SrcBlock            "Slice30"
5600          SrcPort             1
5601          DstBlock            "Goto30"
5602          DstPort             1
5603        }
5604        Line {
5605          SrcBlock            "Slice22"
5606          SrcPort             1
5607          DstBlock            "Goto31"
5608          DstPort             1
5609        }
5610        Line {
5611          SrcBlock            "Slice31"
5612          SrcPort             1
5613          DstBlock            "Goto18"
5614          DstPort             1
5615        }
5616        Line {
5617          SrcBlock            "Slice18"
5618          SrcPort             1
5619          DstBlock            "Goto19"
5620          DstPort             1
5621        }
5622        Line {
5623          SrcBlock            "Slice19"
5624          SrcPort             1
5625          DstBlock            "Goto22"
5626          DstPort             1
5627        }
5628        Line {
5629          SrcBlock            "Slice23"
5630          SrcPort             1
5631          DstBlock            "Goto23"
5632          DstPort             1
5633        }
5634        Line {
5635          SrcBlock            "Slice20"
5636          SrcPort             1
5637          DstBlock            "Goto20"
5638          DstPort             1
5639        }
5640        Line {
5641          SrcBlock            "Slice21"
5642          SrcPort             1
5643          DstBlock            "Goto21"
5644          DstPort             1
5645        }
5646      }
5647    }
5648    Block {
5649      BlockType       Reference
5650      Name            "To Register4"
5651      Ports           [2, 1]
5652      Position        [615, 571, 670, 624]
5653      ShowName        off
5654      AttributesFormatString  "To Register\\n<< %<shared_memory_name> >>"
5655      SourceBlock         "xbsIndex_r4/To Register"
5656      SourceType          "Xilinx Shared Memory Based To Register Block"
5657      infoedit        "Register block that writes data to a shared memory register.  Delay of one sample period."
5658      shared_memory_name      "'timer_status'"
5659      init            "0"
5660      ownership       "Locally owned and initialized"
5661      explicit_data_type      on
5662      arith_type          "Unsigned"
5663      n_bits          "32"
5664      bin_pt          "0"
5665      dbl_ovrd        off
5666      xl_use_area         off
5667      xl_area         "[0,0,0,0,0,0,0]"
5668      has_advanced_control    "0"
5669      sggui_pos       "290,195,381,270"
5670      block_type          "toreg"
5671      block_version       "9.1.01"
5672      sg_icon_stat        "55,53,2,1,white,blue,0,77cabcdf,right"
5673      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 ],[0 0 56 56 ],[0.77 0.82 0.91]);\npatch([15 6 19 6 15 30 34 38 54 41 29 20 32 20 29 41 54 38 34 30 15 ],[6 15 28 41 50 50 46 50 50 37 49 40 28 16 7 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 56 56 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1,'din');\ncolor('black');port_label('input',2,'en');\ncolor('black');port_label('output',1,'dout');\nfprintf('','COMMENT: end icon text');\n"
5674    }
5675    Line {
5676      Labels          [0, 0]
5677      SrcBlock        "From Register8"
5678      SrcPort         1
5679      DstBlock        "Slices & Gotos"
5680      DstPort         1
5681    }
5682    Line {
5683      SrcBlock        "From Register9"
5684      SrcPort         1
5685      DstBlock        "Goto"
5686      DstPort         1
5687    }
5688    Line {
5689      SrcBlock        "From Register5"
5690      SrcPort         1
5691      DstBlock        "Goto7"
5692      DstPort         1
5693    }
5694    Line {
5695      SrcBlock        "From Register6"
5696      SrcPort         1
5697      DstBlock        "Goto6"
5698      DstPort         1
5699    }
5700    Line {
5701      SrcBlock        "From Register4"
5702      SrcPort         1
5703      DstBlock        "Goto5"
5704      DstPort         1
5705    }
5706    Line {
5707      SrcBlock        "From Register7"
5708      SrcPort         1
5709      DstBlock        "Goto4"
5710      DstPort         1
5711    }
5712    Line {
5713      SrcBlock        "From Register2"
5714      SrcPort         1
5715      DstBlock        "Goto3"
5716      DstPort         1
5717    }
5718    Line {
5719      SrcBlock        "From Register3"
5720      SrcPort         1
5721      DstBlock        "Goto2"
5722      DstPort         1
5723    }
5724    Line {
5725      SrcBlock        "From Register1"
5726      SrcPort         1
5727      DstBlock        "Goto1"
5728      DstPort         1
5729    }
5730    Line {
5731      SrcBlock        "From Register11"
5732      SrcPort         1
5733      Points          [55, 0]
5734      Branch {
5735        DstBlock            "16MSB"
5736        DstPort         1
5737      }
5738      Branch {
5739        Points          [0, -15]
5740        DstBlock            "16LSB"
5741        DstPort         1
5742      }
5743    }
5744    Line {
5745      SrcBlock        "16LSB"
5746      SrcPort         1
5747      DstBlock        "Goto8"
5748      DstPort         1
5749    }
5750    Line {
5751      SrcBlock        "16MSB"
5752      SrcPort         1
5753      DstBlock        "Goto9"
5754      DstPort         1
5755    }
5756    Line {
5757      SrcBlock        "16LSB1"
5758      SrcPort         1
5759      DstBlock        "Goto10"
5760      DstPort         1
5761    }
5762    Line {
5763      SrcBlock        "16MSB1"
5764      SrcPort         1
5765      DstBlock        "Goto11"
5766      DstPort         1
5767    }
5768    Line {
5769      SrcBlock        "16LSB2"
5770      SrcPort         1
5771      DstBlock        "Goto12"
5772      DstPort         1
5773    }
5774    Line {
5775      SrcBlock        "16MSB2"
5776      SrcPort         1
5777      DstBlock        "Goto13"
5778      DstPort         1
5779    }
5780    Line {
5781      SrcBlock        "16LSB3"
5782      SrcPort         1
5783      DstBlock        "Goto14"
5784      DstPort         1
5785    }
5786    Line {
5787      SrcBlock        "16MSB3"
5788      SrcPort         1
5789      DstBlock        "Goto15"
5790      DstPort         1
5791    }
5792    Line {
5793      SrcBlock        "From Register12"
5794      SrcPort         1
5795      Points          [55, 0]
5796      Branch {
5797        Points          [0, -15]
5798        DstBlock            "16LSB1"
5799        DstPort         1
5800      }
5801      Branch {
5802        DstBlock            "16MSB1"
5803        DstPort         1
5804      }
5805    }
5806    Line {
5807      SrcBlock        "From Register13"
5808      SrcPort         1
5809      Points          [60, 0]
5810      Branch {
5811        Points          [0, -15]
5812        DstBlock            "16LSB2"
5813        DstPort         1
5814      }
5815      Branch {
5816        DstBlock            "16MSB2"
5817        DstPort         1
5818      }
5819    }
5820    Line {
5821      SrcBlock        "From Register14"
5822      SrcPort         1
5823      Points          [60, 0]
5824      Branch {
5825        Points          [0, -15]
5826        DstBlock            "16LSB3"
5827        DstPort         1
5828      }
5829      Branch {
5830        DstBlock            "16MSB3"
5831        DstPort         1
5832      }
5833    }
5834    Line {
5835      SrcBlock        "Constant5"
5836      SrcPort         1
5837      DstBlock        "To Register4"
5838      DstPort         2
5839    }
5840    Line {
5841      SrcBlock        "From40"
5842      SrcPort         1
5843      DstBlock        "To Register4"
5844      DstPort         1
5845    }
5846    Annotation {
5847      Name            "Slot Count Registers\n(1 per timer)"
5848      Position        [147, 210]
5849    }
5850    Annotation {
5851      Name            "Timer Control Register\n(1 shared by all timers)"
5852      Position        [397, 105]
5853    }
5854    Annotation {
5855      Name            "Timer Slot Time Register\n(2 timers share each register)"
5856      Position        [592, 210]
5857    }
5858    Annotation {
5859      Name            "Timer Status Register\n(1 shared by all timers)"
5860      Position        [597, 545]
5861    }
5862      }
5863    }
5864    Block {
5865      BlockType           SubSystem
5866      Name            "Status\nOutputs"
5867      Ports           [8]
5868      Position            [1215, 257, 1250, 343]
5869      MinAlgLoopOccurrences   off
5870      PropExecContextOutsideSubsystem off
5871      RTWSystemCode       "Auto"
5872      FunctionWithSeparateData off
5873      Opaque              off
5874      RequestExecContextInheritance off
5875      MaskHideContents        off
5876      System {
5877    Name            "Status\nOutputs"
5878    Location        [1449, 133, 1639, 374]
5879    Open            off
5880    ModelBrowserVisibility  on
5881    ModelBrowserWidth   200
5882    ScreenColor     "white"
5883    PaperOrientation    "landscape"
5884    PaperPositionMode   "auto"
5885    PaperType       "usletter"
5886    PaperUnits      "inches"
5887    TiledPaperMargins   [0.500000, 0.500000, 0.500000, 0.500000]
5888    TiledPageScale      1
5889    ShowPageBoundaries  off
5890    ZoomFactor      "100"
5891    Block {
5892      BlockType       Inport
5893      Name            "T0"
5894      Position        [265, 313, 295, 327]
5895      IconDisplay         "Port number"
5896    }
5897    Block {
5898      BlockType       Inport
5899      Name            "T1"
5900      Position        [265, 288, 295, 302]
5901      Port            "2"
5902      IconDisplay         "Port number"
5903    }
5904    Block {
5905      BlockType       Inport
5906      Name            "T2"
5907      Position        [265, 263, 295, 277]
5908      Port            "3"
5909      IconDisplay         "Port number"
5910    }
5911    Block {
5912      BlockType       Inport
5913      Name            "T3"
5914      Position        [265, 238, 295, 252]
5915      Port            "4"
5916      IconDisplay         "Port number"
5917    }
5918    Block {
5919      BlockType       Inport
5920      Name            "T4"
5921      Position        [265, 213, 295, 227]
5922      Port            "5"
5923      IconDisplay         "Port number"
5924    }
5925    Block {
5926      BlockType       Inport
5927      Name            "T5"
5928      Position        [265, 188, 295, 202]
5929      Port            "6"
5930      IconDisplay         "Port number"
5931    }
5932    Block {
5933      BlockType       Inport
5934      Name            "T6"
5935      Position        [265, 163, 295, 177]
5936      Port            "7"
5937      IconDisplay         "Port number"
5938    }
5939    Block {
5940      BlockType       Inport
5941      Name            "T7"
5942      Position        [265, 138, 295, 152]
5943      Port            "8"
5944      IconDisplay         "Port number"
5945    }
5946    Block {
5947      BlockType       Reference
5948      Name            "Concat13"
5949      Ports           [8, 1]
5950      Position        [390, 140, 420, 325]
5951      SourceBlock         "xbsIndex_r4/Concat"
5952      SourceType          "Xilinx Bus Concatenator Block"
5953      infoedit        "Concatenates two or more inputs.  Output will be cast to an unsigned value with the binary point at zero."
5954      num_inputs          "8"
5955      dbl_ovrd        "off"
5956      has_advanced_control    "0"
5957      sggui_pos       "20,20,336,165"
5958      block_type          "concat"
5959      block_version       "9.1.01"
5960      sg_icon_stat        "30,185,1,1,white,blue,0,7ccc19ac,right"
5961      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 30 30 0 ],[0 0 185 185 ],[0.77 0.82 0.91]);\npatch([7 2 9 2 7 15 17 19 27 20 14 9 15 9 14 20 27 19 17 15 7 ],[81 86 93 100 105 105 103 105 105 98 104 99 93 87 82 88 81 81 83 81 81 ],[0.98 0.96 0.92]);\nplot([0 30 30 0 0 ],[0 0 185 185 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1,'hi');\ncolor('black');port_label('input',8,'lo');\nfprintf('','COMMENT: end icon text');\n"
5962    }
5963    Block {
5964      BlockType       Goto
5965      Name            "Goto"
5966      Position        [535, 226, 670, 244]
5967      ShowName        off
5968      GotoTag         "timers_status"
5969      TagVisibility       "global"
5970    }
5971    Block {
5972      BlockType       Reference
5973      Name            "LSB+1"
5974      Ports           [1, 1]
5975      Position        [415, 409, 440, 421]
5976      ShowName        off
5977      SourceBlock         "xbsIndex_r4/Slice"
5978      SourceType          "Xilinx Bit Slice Extractor Block"
5979      infoedit        "Extracts a given range of bits from each input sample and presents it at the output.  The output type is ordinarily unsigned with binary point at zero, but can be Boolean when the slice is one bit wide.<P><P>Hardware notes: In hardware this block costs nothing."
5980      nbits           "1"
5981      boolean_output      "off"
5982      mode            "Lower Bit Location + Width"
5983      bit1            "0"
5984      base1           "LSB of Input"
5985      bit0            "1"
5986      base0           "LSB of Input"
5987      dbl_ovrd        "off"
5988      has_advanced_control    "0"
5989      sggui_pos       "20,20,449,407"
5990      block_type          "slice"
5991      block_version       "9.1.01"
5992      sg_icon_stat        "25,12,1,1,white,blue,0,b1026674,right"
5993      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 ],[0 0 30 30 ],[0.77 0.82 0.91]);\npatch([22 17 24 17 22 30 32 34 42 35 29 24 30 24 29 35 42 34 32 30 22 ],[3 8 15 22 27 27 25 27 27 20 26 21 15 9 4 10 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 30 30 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('output',1,'[a:b]');\nfprintf('','COMMENT: end icon text');\n"
5994    }
5995    Block {
5996      BlockType       Reference
5997      Name            "LSB+2"
5998      Ports           [1, 1]
5999      Position        [415, 379, 440, 391]
6000      ShowName        off
6001      SourceBlock         "xbsIndex_r4/Slice"
6002      SourceType          "Xilinx Bit Slice Extractor Block"
6003      infoedit        "Extracts a given range of bits from each input sample and presents it at the output.  The output type is ordinarily unsigned with binary point at zero, but can be Boolean when the slice is one bit wide.<P><P>Hardware notes: In hardware this block costs nothing."
6004      nbits           "1"
6005      boolean_output      "off"
6006      mode            "Lower Bit Location + Width"
6007      bit1            "0"
6008      base1           "LSB of Input"
6009      bit0            "1"
6010      base0           "LSB of Input"
6011      dbl_ovrd        "off"
6012      has_advanced_control    "0"
6013      sggui_pos       "20,20,449,407"
6014      block_type          "slice"
6015      block_version       "9.1.01"
6016      sg_icon_stat        "25,12,1,1,white,blue,0,b1026674,right"
6017      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 ],[0 0 30 30 ],[0.77 0.82 0.91]);\npatch([22 17 24 17 22 30 32 34 42 35 29 24 30 24 29 35 42 34 32 30 22 ],[3 8 15 22 27 27 25 27 27 20 26 21 15 9 4 10 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 30 30 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('output',1,'[a:b]');\nfprintf('','COMMENT: end icon text');\n"
6018    }
6019    Block {
6020      BlockType       Reference
6021      Name            "LSB+3"
6022      Ports           [1, 1]
6023      Position        [415, 439, 440, 451]
6024      ShowName        off
6025      SourceBlock         "xbsIndex_r4/Slice"
6026      SourceType          "Xilinx Bit Slice Extractor Block"
6027      infoedit        "Extracts a given range of bits from each input sample and presents it at the output.  The output type is ordinarily unsigned with binary point at zero, but can be Boolean when the slice is one bit wide.<P><P>Hardware notes: In hardware this block costs nothing."
6028      nbits           "1"
6029      boolean_output      "off"
6030      mode            "Lower Bit Location + Width"
6031      bit1            "0"
6032      base1           "LSB of Input"
6033      bit0            "1"
6034      base0           "LSB of Input"
6035      dbl_ovrd        "off"
6036      has_advanced_control    "0"
6037      sggui_pos       "20,20,449,407"
6038      block_type          "slice"
6039      block_version       "9.1.01"
6040      sg_icon_stat        "25,12,1,1,white,blue,0,b1026674,right"
6041      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 ],[0 0 30 30 ],[0.77 0.82 0.91]);\npatch([22 17 24 17 22 30 32 34 42 35 29 24 30 24 29 35 42 34 32 30 22 ],[3 8 15 22 27 27 25 27 27 20 26 21 15 9 4 10 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 30 30 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('output',1,'[a:b]');\nfprintf('','COMMENT: end icon text');\n"
6042    }
6043    Block {
6044      BlockType       Reference
6045      Name            "LSB+4"
6046      Ports           [1, 1]
6047      Position        [415, 499, 440, 511]
6048      ShowName        off
6049      SourceBlock         "xbsIndex_r4/Slice"
6050      SourceType          "Xilinx Bit Slice Extractor Block"
6051      infoedit        "Extracts a given range of bits from each input sample and presents it at the output.  The output type is ordinarily unsigned with binary point at zero, but can be Boolean when the slice is one bit wide.<P><P>Hardware notes: In hardware this block costs nothing."
6052      nbits           "1"
6053      boolean_output      "off"
6054      mode            "Lower Bit Location + Width"
6055      bit1            "0"
6056      base1           "LSB of Input"
6057      bit0            "1"
6058      base0           "LSB of Input"
6059      dbl_ovrd        "off"
6060      has_advanced_control    "0"
6061      sggui_pos       "20,20,449,407"
6062      block_type          "slice"
6063      block_version       "9.1.01"
6064      sg_icon_stat        "25,12,1,1,white,blue,0,b1026674,right"
6065      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 ],[0 0 30 30 ],[0.77 0.82 0.91]);\npatch([22 17 24 17 22 30 32 34 42 35 29 24 30 24 29 35 42 34 32 30 22 ],[3 8 15 22 27 27 25 27 27 20 26 21 15 9 4 10 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 30 30 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('output',1,'[a:b]');\nfprintf('','COMMENT: end icon text');\n"
6066    }
6067    Block {
6068      BlockType       Reference
6069      Name            "LSB+5"
6070      Ports           [1, 1]
6071      Position        [415, 469, 440, 481]
6072      ShowName        off
6073      SourceBlock         "xbsIndex_r4/Slice"
6074      SourceType          "Xilinx Bit Slice Extractor Block"
6075      infoedit        "Extracts a given range of bits from each input sample and presents it at the output.  The output type is ordinarily unsigned with binary point at zero, but can be Boolean when the slice is one bit wide.<P><P>Hardware notes: In hardware this block costs nothing."
6076      nbits           "1"
6077      boolean_output      "off"
6078      mode            "Lower Bit Location + Width"
6079      bit1            "0"
6080      base1           "LSB of Input"
6081      bit0            "1"
6082      base0           "LSB of Input"
6083      dbl_ovrd        "off"
6084      has_advanced_control    "0"
6085      sggui_pos       "20,20,449,407"
6086      block_type          "slice"
6087      block_version       "9.1.01"
6088      sg_icon_stat        "25,12,1,1,white,blue,0,b1026674,right"
6089      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 ],[0 0 30 30 ],[0.77 0.82 0.91]);\npatch([22 17 24 17 22 30 32 34 42 35 29 24 30 24 29 35 42 34 32 30 22 ],[3 8 15 22 27 27 25 27 27 20 26 21 15 9 4 10 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 30 30 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('output',1,'[a:b]');\nfprintf('','COMMENT: end icon text');\n"
6090    }
6091    Block {
6092      BlockType       Reference
6093      Name            "LSB+6"
6094      Ports           [1, 1]
6095      Position        [415, 529, 440, 541]
6096      ShowName        off
6097      SourceBlock         "xbsIndex_r4/Slice"
6098      SourceType          "Xilinx Bit Slice Extractor Block"
6099      infoedit        "Extracts a given range of bits from each input sample and presents it at the output.  The output type is ordinarily unsigned with binary point at zero, but can be Boolean when the slice is one bit wide.<P><P>Hardware notes: In hardware this block costs nothing."
6100      nbits           "1"
6101      boolean_output      "off"
6102      mode            "Lower Bit Location + Width"
6103      bit1            "0"
6104      base1           "LSB of Input"
6105      bit0            "1"
6106      base0           "LSB of Input"
6107      dbl_ovrd        "off"
6108      has_advanced_control    "0"
6109      sggui_pos       "20,20,449,407"
6110      block_type          "slice"
6111      block_version       "9.1.01"
6112      sg_icon_stat        "25,12,1,1,white,blue,0,b1026674,right"
6113      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 ],[0 0 30 30 ],[0.77 0.82 0.91]);\npatch([22 17 24 17 22 30 32 34 42 35 29 24 30 24 29 35 42 34 32 30 22 ],[3 8 15 22 27 27 25 27 27 20 26 21 15 9 4 10 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 30 30 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('output',1,'[a:b]');\nfprintf('','COMMENT: end icon text');\n"
6114    }
6115    Block {
6116      BlockType       Reference
6117      Name            "LSB+7"
6118      Ports           [1, 1]
6119      Position        [415, 559, 440, 571]
6120      ShowName        off
6121      SourceBlock         "xbsIndex_r4/Slice"
6122      SourceType          "Xilinx Bit Slice Extractor Block"
6123      infoedit        "Extracts a given range of bits from each input sample and presents it at the output.  The output type is ordinarily unsigned with binary point at zero, but can be Boolean when the slice is one bit wide.<P><P>Hardware notes: In hardware this block costs nothing."
6124      nbits           "1"
6125      boolean_output      "off"
6126      mode            "Lower Bit Location + Width"
6127      bit1            "0"
6128      base1           "LSB of Input"
6129      bit0            "1"
6130      base0           "LSB of Input"
6131      dbl_ovrd        "off"
6132      has_advanced_control    "0"
6133      sggui_pos       "20,20,449,407"
6134      block_type          "slice"
6135      block_version       "9.1.01"
6136      sg_icon_stat        "25,12,1,1,white,blue,0,b1026674,right"
6137      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 ],[0 0 30 30 ],[0.77 0.82 0.91]);\npatch([22 17 24 17 22 30 32 34 42 35 29 24 30 24 29 35 42 34 32 30 22 ],[3 8 15 22 27 27 25 27 27 20 26 21 15 9 4 10 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 30 30 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('output',1,'[a:b]');\nfprintf('','COMMENT: end icon text');\n"
6138    }
6139    Block {
6140      BlockType       Reference
6141      Name            "LSB+8"
6142      Ports           [1, 1]
6143      Position        [415, 589, 440, 601]
6144      ShowName        off
6145      SourceBlock         "xbsIndex_r4/Slice"
6146      SourceType          "Xilinx Bit Slice Extractor Block"
6147      infoedit        "Extracts a given range of bits from each input sample and presents it at the output.  The output type is ordinarily unsigned with binary point at zero, but can be Boolean when the slice is one bit wide.<P><P>Hardware notes: In hardware this block costs nothing."
6148      nbits           "1"
6149      boolean_output      "off"
6150      mode            "Lower Bit Location + Width"
6151      bit1            "0"
6152      base1           "LSB of Input"
6153      bit0            "1"
6154      base0           "LSB of Input"
6155      dbl_ovrd        "off"
6156      has_advanced_control    "0"
6157      sggui_pos       "20,20,449,407"
6158      block_type          "slice"
6159      block_version       "9.1.01"
6160      sg_icon_stat        "25,12,1,1,white,blue,0,b1026674,right"
6161      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 ],[0 0 30 30 ],[0.77 0.82 0.91]);\npatch([22 17 24 17 22 30 32 34 42 35 29 24 30 24 29 35 42 34 32 30 22 ],[3 8 15 22 27 27 25 27 27 20 26 21 15 9 4 10 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 30 30 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('output',1,'[a:b]');\nfprintf('','COMMENT: end icon text');\n"
6162    }
6163    Block {
6164      BlockType       Reference
6165      Name            "Logical"
6166      Ports           [8, 1]
6167      Position        [500, 629, 535, 711]
6168      ShowName        off
6169      SourceBlock         "xbsIndex_r4/Logical"
6170      SourceType          "Xilinx Logical Block Block"
6171      logical_function    "OR"
6172      inputs          "8"
6173      en              "off"
6174      latency         "0"
6175      precision       "Full"
6176      arith_type          "Unsigned"
6177      n_bits          "16"
6178      bin_pt          "0"
6179      align_bp        "on"
6180      dbl_ovrd        "off"
6181      xl_use_area         "off"
6182      xl_area         "[0,0,0,0,0,0,0]"
6183      has_advanced_control    "0"
6184      sggui_pos       "20,20,348,261"
6185      block_type          "logical"
6186      block_version       "10.1.3"
6187      sg_icon_stat        "35,82,1,1,white,blue,0,84119f55,right"
6188      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 ],[0 0 60 60 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13 28 32 36 52 40 28 19 32 19 28 40 52 36 32 28 13 ],[8 17 30 43 52 52 48 52 52 40 52 43 30 17 8 20 8 8 12 8 8 ],[0.98 0.96 0.92]);\nplot([0 55 55 0 0 ],[0 0 60 60 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');disp('\\newlineor\\newlinez^{-0}','texmode','on');\nfprintf('','COMMENT: end icon text');\n"
6189    }
6190    Block {
6191      BlockType       Reference
6192      Name            "TIMEREXPIRE"
6193      Ports           [1, 1]
6194      Position        [575, 660, 635, 680]
6195      SourceBlock         "xbsIndex_r4/Gateway Out"
6196      SourceType          "Xilinx Gateway Out Block"
6197      infoedit        "Gateway out block.  Converts Xilinx fixed point inputs into ouputs of type Simulink integer, double, or fixed point.<P><P>Hardware notes:  In hardware these blocks become top level output ports or are discarded, depending on how they are configured."
6198      hdl_port        "on"
6199      timing_constraint   "None"
6200      locs_specified      "off"
6201      LOCs            "{}"
6202      xl_use_area         "off"
6203      xl_area         "[0,0,0,0,0,0,0]"
6204      has_advanced_control    "0"
6205      sggui_pos       "20,20,356,352"
6206      block_type          "gatewayout"
6207      block_version       "8.2"
6208      sg_icon_stat        "60,20,1,1,white,yellow,0,38220381,right"
6209      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 ],[0 0 20 20 ],[0.95 0.93 0.65]);\npatch([24 21 26 21 24 29 30 31 37 33 29 26 31 26 29 33 37 31 30 29 24 ],[2 5 10 15 18 18 17 18 18 14 18 15 10 5 2 6 2 2 3 2 2 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 20 20 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1,' ');\ncolor('black');port_label('output',1,'\\fontsize{11pt}\\bf Out ','texmode','on');\nfprintf('','COMMENT: end icon text');\n"
6210    }
6211    Block {
6212      BlockType       Reference
6213      Name            "timer0_active"
6214      Ports           [1, 1]
6215      Position        [515, 378, 575, 392]
6216      SourceBlock         "xbsIndex_r4/Gateway Out"
6217      SourceType          "Xilinx Gateway Out Block"
6218      infoedit        "Gateway out block.  Converts Xilinx fixed point inputs into ouputs of type Simulink integer, double, or fixed point.<P><P>Hardware notes:  In hardware these blocks become top level output ports or are discarded, depending on how they are configured."
6219      hdl_port        "on"
6220      timing_constraint   "None"
6221      locs_specified      "off"
6222      LOCs            "{}"
6223      xl_use_area         "off"
6224      xl_area         "[0,0,0,0,0,0,0]"
6225      has_advanced_control    "0"
6226      sggui_pos       "-1,-1,-1,-1"
6227      block_type          "gatewayout"
6228      block_version       "9.1.01"
6229      sg_icon_stat        "60,14,1,1,white,yellow,0,38220381,right"
6230      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 ],[0 0 14 14 ],[0.95 0.93 0.65]);\npatch([26 24 27 24 26 30 31 32 36 33 30 28 31 28 30 33 36 32 31 30 26 ],[2 4 7 10 12 12 11 12 12 9 12 10 7 4 2 5 2 2 3 2 2 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 14 14 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1,' ');\ncolor('black');port_label('output',1,'\\fontsize{11pt}\\bf Out ','texmode','on');\nfprintf('','COMMENT: end icon text');\n"
6231    }
6232    Block {
6233      BlockType       Reference
6234      Name            "timer1_active"
6235      Ports           [1, 1]
6236      Position        [515, 408, 575, 422]
6237      SourceBlock         "xbsIndex_r4/Gateway Out"
6238      SourceType          "Xilinx Gateway Out Block"
6239      infoedit        "Gateway out block.  Converts Xilinx fixed point inputs into ouputs of type Simulink integer, double, or fixed point.<P><P>Hardware notes:  In hardware these blocks become top level output ports or are discarded, depending on how they are configured."
6240      hdl_port        "on"
6241      timing_constraint   "None"
6242      locs_specified      "off"
6243      LOCs            "{}"
6244      xl_use_area         "off"
6245      xl_area         "[0,0,0,0,0,0,0]"
6246      has_advanced_control    "0"
6247      sggui_pos       "-1,-1,-1,-1"
6248      block_type          "gatewayout"
6249      block_version       "9.1.01"
6250      sg_icon_stat        "60,14,1,1,white,yellow,0,38220381,right"
6251      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 ],[0 0 14 14 ],[0.95 0.93 0.65]);\npatch([26 24 27 24 26 30 31 32 36 33 30 28 31 28 30 33 36 32 31 30 26 ],[2 4 7 10 12 12 11 12 12 9 12 10 7 4 2 5 2 2 3 2 2 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 14 14 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1,' ');\ncolor('black');port_label('output',1,'\\fontsize{11pt}\\bf Out ','texmode','on');\nfprintf('','COMMENT: end icon text');\n"
6252    }
6253    Block {
6254      BlockType       Reference
6255      Name            "timer2_active"
6256      Ports           [1, 1]
6257      Position        [515, 438, 575, 452]
6258      SourceBlock         "xbsIndex_r4/Gateway Out"
6259      SourceType          "Xilinx Gateway Out Block"
6260      infoedit        "Gateway out block.  Converts Xilinx fixed point inputs into ouputs of type Simulink integer, double, or fixed point.<P><P>Hardware notes:  In hardware these blocks become top level output ports or are discarded, depending on how they are configured."
6261      hdl_port        "on"
6262      timing_constraint   "None"
6263      locs_specified      "off"
6264      LOCs            "{}"
6265      xl_use_area         "off"
6266      xl_area         "[0,0,0,0,0,0,0]"
6267      has_advanced_control    "0"
6268      sggui_pos       "-1,-1,-1,-1"
6269      block_type          "gatewayout"
6270      block_version       "9.1.01"
6271      sg_icon_stat        "60,14,1,1,white,yellow,0,38220381,right"
6272      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 ],[0 0 14 14 ],[0.95 0.93 0.65]);\npatch([26 24 27 24 26 30 31 32 36 33 30 28 31 28 30 33 36 32 31 30 26 ],[2 4 7 10 12 12 11 12 12 9 12 10 7 4 2 5 2 2 3 2 2 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 14 14 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1,' ');\ncolor('black');port_label('output',1,'\\fontsize{11pt}\\bf Out ','texmode','on');\nfprintf('','COMMENT: end icon text');\n"
6273    }
6274    Block {
6275      BlockType       Reference
6276      Name            "timer3_active"
6277      Ports           [1, 1]
6278      Position        [515, 468, 575, 482]
6279      SourceBlock         "xbsIndex_r4/Gateway Out"
6280      SourceType          "Xilinx Gateway Out Block"
6281      infoedit        "Gateway out block.  Converts Xilinx fixed point inputs into ouputs of type Simulink integer, double, or fixed point.<P><P>Hardware notes:  In hardware these blocks become top level output ports or are discarded, depending on how they are configured."
6282      hdl_port        "on"
6283      timing_constraint   "None"
6284      locs_specified      "off"
6285      LOCs            "{}"
6286      xl_use_area         "off"
6287      xl_area         "[0,0,0,0,0,0,0]"
6288      has_advanced_control    "0"
6289      sggui_pos       "-1,-1,-1,-1"
6290      block_type          "gatewayout"
6291      block_version       "9.1.01"
6292      sg_icon_stat        "60,14,1,1,white,yellow,0,38220381,right"
6293      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 ],[0 0 14 14 ],[0.95 0.93 0.65]);\npatch([26 24 27 24 26 30 31 32 36 33 30 28 31 28 30 33 36 32 31 30 26 ],[2 4 7 10 12 12 11 12 12 9 12 10 7 4 2 5 2 2 3 2 2 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 14 14 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1,' ');\ncolor('black');port_label('output',1,'\\fontsize{11pt}\\bf Out ','texmode','on');\nfprintf('','COMMENT: end icon text');\n"
6294    }
6295    Block {
6296      BlockType       Reference
6297      Name            "timer4_active"
6298      Ports           [1, 1]
6299      Position        [515, 498, 575, 512]
6300      SourceBlock         "xbsIndex_r4/Gateway Out"
6301      SourceType          "Xilinx Gateway Out Block"
6302      infoedit        "Gateway out block.  Converts Xilinx fixed point inputs into ouputs of type Simulink integer, double, or fixed point.<P><P>Hardware notes:  In hardware these blocks become top level output ports or are discarded, depending on how they are configured."
6303      hdl_port        "on"
6304      timing_constraint   "None"
6305      locs_specified      "off"
6306      LOCs            "{}"
6307      xl_use_area         "off"
6308      xl_area         "[0,0,0,0,0,0,0]"
6309      has_advanced_control    "0"
6310      sggui_pos       "-1,-1,-1,-1"
6311      block_type          "gatewayout"
6312      block_version       "9.1.01"
6313      sg_icon_stat        "60,14,1,1,white,yellow,0,38220381,right"
6314      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 ],[0 0 14 14 ],[0.95 0.93 0.65]);\npatch([26 24 27 24 26 30 31 32 36 33 30 28 31 28 30 33 36 32 31 30 26 ],[2 4 7 10 12 12 11 12 12 9 12 10 7 4 2 5 2 2 3 2 2 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 14 14 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1,' ');\ncolor('black');port_label('output',1,'\\fontsize{11pt}\\bf Out ','texmode','on');\nfprintf('','COMMENT: end icon text');\n"
6315    }
6316    Block {
6317      BlockType       Reference
6318      Name            "timer5_active"
6319      Ports           [1, 1]
6320      Position        [515, 528, 575, 542]
6321      SourceBlock         "xbsIndex_r4/Gateway Out"
6322      SourceType          "Xilinx Gateway Out Block"
6323      infoedit        "Gateway out block.  Converts Xilinx fixed point inputs into ouputs of type Simulink integer, double, or fixed point.<P><P>Hardware notes:  In hardware these blocks become top level output ports or are discarded, depending on how they are configured."
6324      hdl_port        "on"
6325      timing_constraint   "None"
6326      locs_specified      "off"
6327      LOCs            "{}"
6328      xl_use_area         "off"
6329      xl_area         "[0,0,0,0,0,0,0]"
6330      has_advanced_control    "0"
6331      sggui_pos       "-1,-1,-1,-1"
6332      block_type          "gatewayout"
6333      block_version       "9.1.01"
6334      sg_icon_stat        "60,14,1,1,white,yellow,0,38220381,right"
6335      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 ],[0 0 14 14 ],[0.95 0.93 0.65]);\npatch([26 24 27 24 26 30 31 32 36 33 30 28 31 28 30 33 36 32 31 30 26 ],[2 4 7 10 12 12 11 12 12 9 12 10 7 4 2 5 2 2 3 2 2 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 14 14 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1,' ');\ncolor('black');port_label('output',1,'\\fontsize{11pt}\\bf Out ','texmode','on');\nfprintf('','COMMENT: end icon text');\n"
6336    }
6337    Block {
6338      BlockType       Reference
6339      Name            "timer6_active"
6340      Ports           [1, 1]
6341      Position        [515, 558, 575, 572]
6342      SourceBlock         "xbsIndex_r4/Gateway Out"
6343      SourceType          "Xilinx Gateway Out Block"
6344      infoedit        "Gateway out block.  Converts Xilinx fixed point inputs into ouputs of type Simulink integer, double, or fixed point.<P><P>Hardware notes:  In hardware these blocks become top level output ports or are discarded, depending on how they are configured."
6345      hdl_port        "on"
6346      timing_constraint   "None"
6347      locs_specified      "off"
6348      LOCs            "{}"
6349      xl_use_area         "off"
6350      xl_area         "[0,0,0,0,0,0,0]"
6351      has_advanced_control    "0"
6352      sggui_pos       "-1,-1,-1,-1"
6353      block_type          "gatewayout"
6354      block_version       "9.1.01"
6355      sg_icon_stat        "60,14,1,1,white,yellow,0,38220381,right"
6356      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 ],[0 0 14 14 ],[0.95 0.93 0.65]);\npatch([26 24 27 24 26 30 31 32 36 33 30 28 31 28 30 33 36 32 31 30 26 ],[2 4 7 10 12 12 11 12 12 9 12 10 7 4 2 5 2 2 3 2 2 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 14 14 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1,' ');\ncolor('black');port_label('output',1,'\\fontsize{11pt}\\bf Out ','texmode','on');\nfprintf('','COMMENT: end icon text');\n"
6357    }
6358    Block {
6359      BlockType       Reference
6360      Name            "timer7_active"
6361      Ports           [1, 1]
6362      Position        [515, 588, 575, 602]
6363      SourceBlock         "xbsIndex_r4/Gateway Out"
6364      SourceType          "Xilinx Gateway Out Block"
6365      infoedit        "Gateway out block.  Converts Xilinx fixed point inputs into ouputs of type Simulink integer, double, or fixed point.<P><P>Hardware notes:  In hardware these blocks become top level output ports or are discarded, depending on how they are configured."
6366      hdl_port        "on"
6367      timing_constraint   "None"
6368      locs_specified      "off"
6369      LOCs            "{}"
6370      xl_use_area         "off"
6371      xl_area         "[0,0,0,0,0,0,0]"
6372      has_advanced_control    "0"
6373      sggui_pos       "-1,-1,-1,-1"
6374      block_type          "gatewayout"
6375      block_version       "9.1.01"
6376      sg_icon_stat        "60,14,1,1,white,yellow,0,38220381,right"
6377      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 ],[0 0 14 14 ],[0.95 0.93 0.65]);\npatch([26 24 27 24 26 30 31 32 36 33 30 28 31 28 30 33 36 32 31 30 26 ],[2 4 7 10 12 12 11 12 12 9 12 10 7 4 2 5 2 2 3 2 2 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 14 14 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1,' ');\ncolor('black');port_label('output',1,'\\fontsize{11pt}\\bf Out ','texmode','on');\nfprintf('','COMMENT: end icon text');\n"
6378    }
6379    Line {
6380      SrcBlock        "T7"
6381      SrcPort         1
6382      Points          [35, 0]
6383      Branch {
6384        DstBlock            "Concat13"
6385        DstPort         1
6386      }
6387      Branch {
6388        Points          [0, 450]
6389        DstBlock            "LSB+8"
6390        DstPort         1
6391      }
6392    }
6393    Line {
6394      SrcBlock        "T6"
6395      SrcPort         1
6396      Points          [40, 0]
6397      Branch {
6398        DstBlock            "Concat13"
6399        DstPort         2
6400      }
6401      Branch {
6402        Points          [0, 395]
6403        DstBlock            "LSB+7"
6404        DstPort         1
6405      }
6406    }
6407    Line {
6408      SrcBlock        "T5"
6409      SrcPort         1
6410      Points          [45, 0]
6411      Branch {
6412        DstBlock            "Concat13"
6413        DstPort         3
6414      }
6415      Branch {
6416        Points          [0, 340]
6417        DstBlock            "LSB+6"
6418        DstPort         1
6419      }
6420    }
6421    Line {
6422      SrcBlock        "T4"
6423      SrcPort         1
6424      Points          [50, 0]
6425      Branch {
6426        DstBlock            "Concat13"
6427        DstPort         4
6428      }
6429      Branch {
6430        Points          [0, 285]
6431        DstBlock            "LSB+4"
6432        DstPort         1
6433      }
6434    }
6435    Line {
6436      SrcBlock        "T3"
6437      SrcPort         1
6438      Points          [55, 0]
6439      Branch {
6440        DstBlock            "Concat13"
6441        DstPort         5
6442      }
6443      Branch {
6444        Points          [0, 230]
6445        DstBlock            "LSB+5"
6446        DstPort         1
6447      }
6448    }
6449    Line {
6450      SrcBlock        "T2"
6451      SrcPort         1
6452      Points          [60, 0]
6453      Branch {
6454        DstBlock            "Concat13"
6455        DstPort         6
6456      }
6457      Branch {
6458        Points          [0, 175]
6459        DstBlock            "LSB+3"
6460        DstPort         1
6461      }
6462    }
6463    Line {
6464      SrcBlock        "T1"
6465      SrcPort         1
6466      Points          [65, 0]
6467      Branch {
6468        DstBlock            "Concat13"
6469        DstPort         7
6470      }
6471      Branch {
6472        Points          [0, 120]
6473        DstBlock            "LSB+1"
6474        DstPort         1
6475      }
6476    }
6477    Line {
6478      SrcBlock        "T0"
6479      SrcPort         1
6480      Points          [70, 0]
6481      Branch {
6482        DstBlock            "Concat13"
6483        DstPort         8
6484      }
6485      Branch {
6486        Points          [0, 65]
6487        DstBlock            "LSB+2"
6488        DstPort         1
6489      }
6490    }
6491    Line {
6492      SrcBlock        "LSB+2"
6493      SrcPort         1
6494      Points          [40, 0]
6495      Branch {
6496        DstBlock            "timer0_active"
6497        DstPort         1
6498      }
6499      Branch {
6500        DstBlock            "Logical"
6501        DstPort         1
6502      }
6503    }
6504    Line {
6505      SrcBlock        "LSB+1"
6506      SrcPort         1
6507      Points          [35, 0]
6508      Branch {
6509        DstBlock            "timer1_active"
6510        DstPort         1
6511      }
6512      Branch {
6513        Points          [0, 230]
6514        DstBlock            "Logical"
6515        DstPort         2
6516      }
6517    }
6518    Line {
6519      SrcBlock        "LSB+3"
6520      SrcPort         1
6521      Points          [30, 0]
6522      Branch {
6523        DstBlock            "timer2_active"
6524        DstPort         1
6525      }
6526      Branch {
6527        Points          [0, 210]
6528        DstBlock            "Logical"
6529        DstPort         3
6530      }
6531    }
6532    Line {
6533      SrcBlock        "LSB+5"
6534      SrcPort         1
6535      Points          [25, 0]
6536      Branch {
6537        DstBlock            "timer3_active"
6538        DstPort         1
6539      }
6540      Branch {
6541        Points          [0, 190]
6542        DstBlock            "Logical"
6543        DstPort         4
6544      }
6545    }
6546    Line {
6547      SrcBlock        "LSB+4"
6548      SrcPort         1
6549      Points          [20, 0]
6550      Branch {
6551        DstBlock            "timer4_active"
6552        DstPort         1
6553      }
6554      Branch {
6555        Points          [0, 170]
6556        DstBlock            "Logical"
6557        DstPort         5
6558      }
6559    }
6560    Line {
6561      SrcBlock        "LSB+6"
6562      SrcPort         1
6563      Points          [15, 0]
6564      Branch {
6565        DstBlock            "timer5_active"
6566        DstPort         1
6567      }
6568      Branch {
6569        Points          [0, 150]
6570        DstBlock            "Logical"
6571        DstPort         6
6572      }
6573    }
6574    Line {
6575      SrcBlock        "LSB+7"
6576      SrcPort         1
6577      Points          [10, 0]
6578      Branch {
6579        DstBlock            "timer6_active"
6580        DstPort         1
6581      }
6582      Branch {
6583        Points          [0, 130]
6584        DstBlock            "Logical"
6585        DstPort         7
6586      }
6587    }
6588    Line {
6589      SrcBlock        "LSB+8"
6590      SrcPort         1
6591      Points          [5, 0]
6592      Branch {
6593        DstBlock            "timer7_active"
6594        DstPort         1
6595      }
6596      Branch {
6597        Points          [0, 110]
6598        DstBlock            "Logical"
6599        DstPort         8
6600      }
6601    }
6602    Line {
6603      SrcBlock        "Logical"
6604      SrcPort         1
6605      DstBlock        "TIMEREXPIRE"
6606      DstPort         1
6607    }
6608    Line {
6609      SrcBlock        "Concat13"
6610      SrcPort         1
6611      DstBlock        "Goto"
6612      DstPort         1
6613    }
6614      }
6615    }
6616    Block {
6617      BlockType           SubSystem
6618      Name            "Timer 0"
6619      Ports           [7, 4]
6620      Position            [335, 80, 440, 200]
6621      MinAlgLoopOccurrences   off
6622      PropExecContextOutsideSubsystem off
6623      RTWSystemCode       "Auto"
6624      FunctionWithSeparateData off
6625      Opaque              off
6626      RequestExecContextInheritance off
6627      MaskHideContents        off
6628      System {
6629    Name            "Timer 0"
6630    Location        [202, 70, 1438, 850]
6631    Open            off
6632    ModelBrowserVisibility  on
6633    ModelBrowserWidth   200
6634    ScreenColor     "white"
6635    PaperOrientation    "landscape"
6636    PaperPositionMode   "auto"
6637    PaperType       "usletter"
6638    PaperUnits      "inches"
6639    TiledPaperMargins   [0.500000, 0.500000, 0.500000, 0.500000]
6640    TiledPageScale      1
6641    ShowPageBoundaries  off
6642    ZoomFactor      "100"
6643    Block {
6644      BlockType       Inport
6645      Name            "start"
6646      Position        [310, 283, 340, 297]
6647      IconDisplay         "Port number"
6648      OutDataType         "sfix(16)"
6649      OutScaling          "2^0"
6650    }
6651    Block {
6652      BlockType       Inport
6653      Name            "pause"
6654      Position        [310, 323, 340, 337]
6655      Port            "2"
6656      IconDisplay         "Port number"
6657      OutDataType         "sfix(16)"
6658      OutScaling          "2^0"
6659    }
6660    Block {
6661      BlockType       Inport
6662      Name            "mode"
6663      Position        [255, 648, 285, 662]
6664      NamePlacement       "alternate"
6665      Port            "3"
6666      IconDisplay         "Port number"
6667      OutDataType         "sfix(16)"
6668      OutScaling          "2^0"
6669    }
6670    Block {
6671      BlockType       Inport
6672      Name            "done_reset"
6673      Position        [1175, 283, 1205, 297]
6674      Port            "4"
6675      IconDisplay         "Port number"
6676      OutDataType         "sfix(16)"
6677      OutScaling          "2^0"
6678    }
6679    Block {
6680      BlockType       Inport
6681      Name            "slotCount"
6682      Position        [980, 238, 1010, 252]
6683      NamePlacement       "alternate"
6684      Port            "5"
6685      IconDisplay         "Port number"
6686      OutDataType         "sfix(16)"
6687      OutScaling          "2^0"
6688    }
6689    Block {
6690      BlockType       Inport
6691      Name            "slotTime"
6692      Position        [930, 658, 960, 672]
6693      Port            "6"
6694      IconDisplay         "Port number"
6695      OutDataType         "sfix(16)"
6696      OutScaling          "2^0"
6697    }
6698    Block {
6699      BlockType       Inport
6700      Name            "Medium Idle"
6701      Position        [250, 698, 280, 712]
6702      Port            "7"
6703      IconDisplay         "Port number"
6704      OutDataType         "sfix(16)"
6705      OutScaling          "2^0"
6706    }
6707    Block {
6708      BlockType       Reference
6709      Name            "AddSub"
6710      Ports           [2, 1]
6711      Position        [1210, 339, 1255, 386]
6712      ShowName        off
6713      SourceBlock         "xbsIndex_r4/AddSub"
6714      SourceType          "Xilinx Adder/Subtractor Block"
6715      mode            "Subtraction"
6716      use_carryin         off
6717      use_carryout        off
6718      en              off
6719      latency         "0"
6720      precision       "User Defined"
6721      arith_type          "Unsigned"
6722      n_bits          "32"
6723      bin_pt          "0"
6724      quantization        "Truncate"
6725      overflow        "Wrap"
6726      dbl_ovrd        off
6727      use_behavioral_HDL      off
6728      pipelined       off
6729      use_rpm         on
6730      hw_selection        "Fabric"
6731      xl_use_area         off
6732      xl_area         "[16 0 0 32 0 0 0]"
6733      has_advanced_control    "0"
6734      sggui_pos       "-1,-1,-1,-1"
6735      block_type          "addsub"
6736      block_version       "8.2"
6737      sg_icon_stat        "45,47,2,1,white,blue,0,46b4c804,right"
6738      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 ],[0 0 58 58 ],[0.77 0.82 0.91]);\npatch([15 5 19 5 15 30 34 38 54 41 29 20 34 20 29 41 54 38 34 30 15 ],[6 16 30 44 54 54 50 54 54 41 53 44 30 16 7 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 58 58 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1,'a');\ncolor('black');port_label('input',2,'b');\ncolor('black');port_label('output',1,'\\bf{a - b}','texmode','on');\nfprintf('','COMMENT: end icon text');\n"
6739    }
6740    Block {
6741      BlockType       Reference
6742      Name            "Constant"
6743      Ports           [0, 1]
6744      Position        [940, 454, 970, 466]
6745      ShowName        off
6746      SourceBlock         "xbsIndex_r4/Constant"
6747      SourceType          "Xilinx Constant Block Block"
6748      arith_type          "Unsigned"
6749      const           "0"
6750      n_bits          "32"
6751      bin_pt          "0"
6752      explicit_period     off
6753      period          "1"
6754      dsp48_infoedit      "The use of this block for DSP48 instructions is deprecated.  Please use the Opmode block."
6755      equ             "P=C"
6756      opselect        "C"
6757      inp2            "PCIN>>17"
6758      opr             "+"
6759      inp1            "P"
6760      carry           "CIN"
6761      dbl_ovrd        off
6762      has_advanced_control    "0"
6763      sggui_pos       "50,50,400,346"
6764      block_type          "constant"
6765      block_version       "10.1.2"
6766      sg_icon_stat        "30,12,0,1,white,blue,0,72d575a1,right"
6767      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 ],[0 0 26 26 ],[0.77 0.82 0.91]);\npatch([20 16 22 16 20 27 29 31 38 32 26 22 28 22 26 32 38 31 29 27 20 ],[3 7 13 19 23 23 21 23 23 17 23 19 13 7 3 9 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 55 55 0 0 ],[0 0 26 26 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('output',1,'0');\nfprintf('','COMMENT: end icon text');\n"
6768    }
6769    Block {
6770      BlockType       Reference
6771      Name            "Constant1"
6772      Ports           [0, 1]
6773      Position        [255, 672, 290, 688]
6774      ShowName        off
6775      SourceBlock         "xbsIndex_r4/Constant"
6776      SourceType          "Xilinx Constant Block Block"
6777      arith_type          "Unsigned"
6778      const           "1"
6779      n_bits          "1"
6780      bin_pt          "0"
6781      explicit_period     off
6782      period          "1"
6783      dsp48_infoedit      "The use of this block for DSP48 instructions is deprecated.  Please use the Opmode block."
6784      equ             "P=C"
6785      opselect        "C"
6786      inp2            "PCIN>>17"
6787      opr             "+"
6788      inp1            "P"
6789      carry           "CIN"
6790      dbl_ovrd        off
6791      has_advanced_control    "0"
6792      sggui_pos       "-1,-1,-1,-1"
6793      block_type          "constant"
6794      block_version       "8.2"
6795      sg_icon_stat        "35,16,0,1,white,blue,0,06094819,right"
6796      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 ],[0 0 26 26 ],[0.77 0.82 0.91]);\npatch([20 16 22 16 20 27 29 31 38 32 26 22 28 22 26 32 38 31 29 27 20 ],[3 7 13 19 23 23 21 23 23 17 23 19 13 7 3 9 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 55 55 0 0 ],[0 0 26 26 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('output',1,'1');\nfprintf('','COMMENT: end icon text');\n"
6797    }
6798    Block {
6799      BlockType       Constant
6800      Name            "Constant2"
6801      Position        [260, 261, 275, 279]
6802      ShowName        off
6803      OutDataType         "sfix(16)"
6804      OutScaling          "2^0"
6805    }
6806    Block {
6807      BlockType       Constant
6808      Name            "Constant4"
6809      Position        [315, 576, 330, 594]
6810      ShowName        off
6811      Value           "0"
6812      OutDataType         "sfix(16)"
6813      OutScaling          "2^0"
6814    }
6815    Block {
6816      BlockType       Reference
6817      Name            "Convert1"
6818      Ports           [1, 1]
6819      Position        [380, 670, 415, 690]
6820      ShowName        off
6821      SourceBlock         "xbsIndex_r4/Convert"
6822      SourceType          "Xilinx Type Converter Block"
6823      infoedit        "Hardware notes: rounding and saturating require hardware resources; truncating and wrapping do not."
6824      arith_type          "Boolean"
6825      n_bits          "16"
6826      bin_pt          "14"
6827      quantization        "Truncate"
6828      overflow        "Wrap"
6829      latency         "0"
6830      dbl_ovrd        off
6831      pipeline        off
6832      xl_use_area         off
6833      xl_area         "[0 0 0 0 0 0 0]"
6834      has_advanced_control    "0"
6835      sggui_pos       "20,20,461,375"
6836      block_type          "convert"
6837      block_version       "8.2"
6838      sg_icon_stat        "35,20,1,1,white,blue,0,74901e60,right"
6839      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 45 45 0 ],[0 0 30 30 ],[0.77 0.82 0.91]);\npatch([15 10 17 10 15 23 25 27 35 28 22 17 23 17 22 28 35 27 25 23 15 ],[3 8 15 22 27 27 25 27 27 20 26 21 15 9 4 10 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 45 45 0 0 ],[0 0 30 30 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('output',1,'cast');\nfprintf('','COMMENT: end icon text');\n"
6840    }
6841    Block {
6842      BlockType       Reference
6843      Name            "Counter"
6844      Ports           [2, 1]
6845      Position        [905, 224, 965, 326]
6846      NamePlacement       "alternate"
6847      SourceBlock         "xbsIndex_r4/Counter"
6848      SourceType          "Xilinx Counter Block"
6849      infoedit        "Hardware notes: Free running counters are the least expensive in hardware.  A count limited counter is implemented by combining a counter with a comparator."
6850      cnt_type        "Free Running"
6851      cnt_to          "Inf"
6852      operation       "Up"
6853      start_count         "0"
6854      cnt_by_val          "1"
6855      arith_type          "Unsigned"
6856      n_bits          "32"
6857      bin_pt          "0"
6858      load_pin        off
6859      rst             on
6860      en              on
6861      explicit_period     "on"
6862      period          "1"
6863      dbl_ovrd        off
6864      use_behavioral_HDL      off
6865      use_rpm         off
6866      implementation      "Fabric"
6867      xl_use_area         off
6868      xl_area         "[17 32 0 32 0 0 0]"
6869      has_advanced_control    "0"
6870      sggui_pos       "20,20,356,630"
6871      block_type          "counter"
6872      block_version       "8.2"
6873      sg_icon_stat        "60,102,2,1,white,blue,0,46c73e85,right"
6874      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 ],[0 0 60 60 ],[0.77 0.82 0.91]);\npatch([14 4 18 4 14 30 34 38 55 42 29 20 35 20 29 42 55 38 34 30 14 ],[6 16 30 44 54 54 50 54 54 41 54 45 30 15 6 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 60 60 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1,'rst');\ncolor('black');port_label('input',2,'en');\ncolor('black');port_label('output',1,'out');\nfprintf('','COMMENT: end icon text');\n"
6875    }
6876    Block {
6877      BlockType       Reference
6878      Name            "Counter1"
6879      Ports           [2, 1]
6880      Position        [835, 644, 895, 746]
6881      SourceBlock         "xbsIndex_r4/Counter"
6882      SourceType          "Xilinx Counter Block"
6883      infoedit        "Hardware notes: Free running counters are the least expensive in hardware.  A count limited counter is implemented by combining a counter with a comparator."
6884      cnt_type        "Free Running"
6885      cnt_to          "Inf"
6886      operation       "Up"
6887      start_count         "0"
6888      cnt_by_val          "1"
6889      arith_type          "Unsigned"
6890      n_bits          "16"
6891      bin_pt          "0"
6892      load_pin        off
6893      rst             on
6894      en              on
6895      explicit_period     "on"
6896      period          "1"
6897      dbl_ovrd        off
6898      use_behavioral_HDL      off
6899      use_rpm         off
6900      implementation      "Fabric"
6901      xl_use_area         off
6902      xl_area         "[17 32 0 32 0 0 0]"
6903      has_advanced_control    "0"
6904      sggui_pos       "20,20,356,630"
6905      block_type          "counter"
6906      block_version       "8.2"
6907      sg_icon_stat        "60,102,2,1,white,blue,0,46c73e85,right"
6908      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 ],[0 0 60 60 ],[0.77 0.82 0.91]);\npatch([14 4 18 4 14 30 34 38 55 42 29 20 35 20 29 42 55 38 34 30 14 ],[6 16 30 44 54 54 50 54 54 41 54 45 30 15 6 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 60 60 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1,'rst');\ncolor('black');port_label('input',2,'en');\ncolor('black');port_label('output',1,'out');\nfprintf('','COMMENT: end icon text');\n"
6909    }
6910    Block {
6911      BlockType       Reference
6912      Name            "Delay"
6913      Ports           [1, 1]
6914      Position        [925, 331, 950, 359]
6915      ShowName        off
6916      SourceBlock         "xbsIndex_r4/Delay"
6917      SourceType          "Xilinx Delay Block"
6918      infoedit        "Hardware notes: A delay line is a chain, each link of which is an SRL16 followed by a flip-flop."
6919      en              off
6920      latency         "1"
6921      dbl_ovrd        off
6922      reg_retiming        off
6923      xl_use_area         off
6924      xl_area         "[0,0,0,0,0,0,0]"
6925      has_advanced_control    "0"
6926      sggui_pos       "-1,-1,-1,-1"
6927      block_type          "delay"
6928      block_version       "10.1.3"
6929      sg_icon_stat        "25,28,1,1,white,blue,0,fc531c0e,right"
6930      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 25 25 0 ],[0 0 28 28 ],[0.77 0.82 0.91]);\npatch([6 2 8 2 6 13 15 17 24 18 13 9 14 9 13 18 24 17 15 13 6 ],[4 8 14 20 24 24 22 24 24 18 23 19 14 9 5 10 4 4 6 4 4 ],[0.98 0.96 0.92]);\nplot([0 25 25 0 0 ],[0 0 28 28 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');disp('z^{-1}','texmode','on');\nfprintf('','COMMENT: end icon text');\n"
6931    }
6932    Block {
6933      BlockType       Reference
6934      Name            "Inverter"
6935      Ports           [1, 1]
6936      Position        [1080, 476, 1125, 494]
6937      ShowName        off
6938      SourceBlock         "xbsIndex_r4/Inverter"
6939      SourceType          "Xilinx Inverter Block"
6940      infoedit        "Bitwise logical negation (one's complement) operator."
6941      en              off
6942      latency         "0"
6943      dbl_ovrd        off
6944      xl_use_area         off
6945      xl_area         "[0 0 0 0 0 0 0]"
6946      has_advanced_control    "0"
6947      sggui_pos       "-1,-1,-1,-1"
6948      block_type          "inv"
6949      block_version       "10.1.2"
6950      sg_icon_stat        "45,18,1,1,white,blue,0,1ab4a85f,right"
6951      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 ],[0 0 58 58 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13 28 32 36 52 40 28 19 32 19 28 40 52 36 32 28 13 ],[7 16 29 42 51 51 47 51 51 39 51 42 29 16 7 19 7 7 11 7 7 ],[0.98 0.96 0.92]);\nplot([0 55 55 0 0 ],[0 0 58 58 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');disp('not');\nfprintf('','COMMENT: end icon text');\n"
6952    }
6953    Block {
6954      BlockType       Reference
6955      Name            "Inverter1"
6956      Ports           [1, 1]
6957      Position        [590, 661, 635, 679]
6958      ShowName        off
6959      SourceBlock         "xbsIndex_r4/Inverter"
6960      SourceType          "Xilinx Inverter Block"
6961      infoedit        "Bitwise logical negation (one's complement) operator."
6962      en              off
6963      latency         "0"
6964      dbl_ovrd        off
6965      xl_use_area         off
6966      xl_area         "[0 0 0 0 0 0 0]"
6967      has_advanced_control    "0"
6968      sggui_pos       "-1,-1,-1,-1"
6969      block_type          "inv"
6970      block_version       "10.1.2"
6971      sg_icon_stat        "45,18,1,1,white,blue,0,1ab4a85f,right"
6972      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 ],[0 0 58 58 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13 28 32 36 52 40 28 19 32 19 28 40 52 36 32 28 13 ],[7 16 29 42 51 51 47 51 51 39 51 42 29 16 7 19 7 7 11 7 7 ],[0.98 0.96 0.92]);\nplot([0 55 55 0 0 ],[0 0 58 58 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');disp('not');\nfprintf('','COMMENT: end icon text');\n"
6973    }
6974    Block {
6975      BlockType       Reference
6976      Name            "Logical1"
6977      Ports           [2, 1]
6978      Position        [855, 231, 885, 264]
6979      ShowName        off
6980      SourceBlock         "xbsIndex_r4/Logical"
6981      SourceType          "Xilinx Logical Block Block"
6982      logical_function    "OR"
6983      inputs          "2"
6984      en              off
6985      latency         "0"
6986      precision       "Full"
6987      arith_type          "Unsigned"
6988      n_bits          "16"
6989      bin_pt          "0"
6990      align_bp        on
6991      dbl_ovrd        off
6992      xl_use_area         off
6993      xl_area         "[1 0 0 1 0 0 0]"
6994      has_advanced_control    "0"
6995      sggui_pos       "-1,-1,-1,-1"
6996      block_type          "logical"
6997      block_version       "9.1.01"
6998      sg_icon_stat        "30,33,2,1,white,blue,0,f4a65842,right"
6999      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 ],[0 0 60 60 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13 28 32 36 52 40 28 19 32 19 28 40 52 36 32 28 13 ],[8 17 30 43 52 52 48 52 52 40 52 43 30 17 8 20 8 8 12 8 8 ],[0.98 0.96 0.92]);\nplot([0 55 55 0 0 ],[0 0 60 60 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');disp('\\newlineor\\newlinez^{-0}','texmode','on');\nfprintf('','COMMENT: end icon text');\n"
7000    }
7001    Block {
7002      BlockType       Reference
7003      Name            "Logical2"
7004      Ports           [2, 1]
7005      Position        [590, 306, 620, 339]
7006      ShowName        off
7007      SourceBlock         "xbsIndex_r4/Logical"
7008      SourceType          "Xilinx Logical Block Block"
7009      logical_function    "OR"
7010      inputs          "2"
7011      en              off
7012      latency         "0"
7013      precision       "Full"
7014      arith_type          "Unsigned"
7015      n_bits          "16"
7016      bin_pt          "0"
7017      align_bp        on
7018      dbl_ovrd        off
7019      xl_use_area         off
7020      xl_area         "[1 0 0 1 0 0 0]"
7021      has_advanced_control    "0"
7022      sggui_pos       "-1,-1,-1,-1"
7023      block_type          "logical"
7024      block_version       "9.1.01"
7025      sg_icon_stat        "30,33,2,1,white,blue,0,f4a65842,right"
7026      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 ],[0 0 60 60 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13 28 32 36 52 40 28 19 32 19 28 40 52 36 32 28 13 ],[8 17 30 43 52 52 48 52 52 40 52 43 30 17 8 20 8 8 12 8 8 ],[0.98 0.96 0.92]);\nplot([0 55 55 0 0 ],[0 0 60 60 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');disp('\\newlineor\\newlinez^{-0}','texmode','on');\nfprintf('','COMMENT: end icon text');\n"
7027    }
7028    Block {
7029      BlockType       Reference
7030      Name            "Logical3"
7031      Ports           [2, 1]
7032      Position        [590, 271, 620, 304]
7033      ShowName        off
7034      SourceBlock         "xbsIndex_r4/Logical"
7035      SourceType          "Xilinx Logical Block Block"
7036      logical_function    "OR"
7037      inputs          "2"
7038      en              off
7039      latency         "0"
7040      precision       "Full"
7041      arith_type          "Unsigned"
7042      n_bits          "16"
7043      bin_pt          "0"
7044      align_bp        on
7045      dbl_ovrd        off
7046      xl_use_area         off
7047      xl_area         "[1 0 0 1 0 0 0]"
7048      has_advanced_control    "0"
7049      sggui_pos       "-1,-1,-1,-1"
7050      block_type          "logical"
7051      block_version       "9.1.01"
7052      sg_icon_stat        "30,33,2,1,white,blue,0,f4a65842,right"
7053      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 ],[0 0 60 60 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13 28 32 36 52 40 28 19 32 19 28 40 52 36 32 28 13 ],[8 17 30 43 52 52 48 52 52 40 52 43 30 17 8 20 8 8 12 8 8 ],[0.98 0.96 0.92]);\nplot([0 55 55 0 0 ],[0 0 60 60 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');disp('\\newlineor\\newlinez^{-0}','texmode','on');\nfprintf('','COMMENT: end icon text');\n"
7054    }
7055    Block {
7056      BlockType       Reference
7057      Name            "Logical4"
7058      Ports           [2, 1]
7059      Position        [1155, 433, 1200, 502]
7060      ShowName        off
7061      SourceBlock         "xbsIndex_r4/Logical"
7062      SourceType          "Xilinx Logical Block Block"
7063      logical_function    "AND"
7064      inputs          "2"
7065      en              off
7066      latency         "0"
7067      precision       "Full"
7068      arith_type          "Unsigned"
7069      n_bits          "16"
7070      bin_pt          "0"
7071      align_bp        on
7072      dbl_ovrd        off
7073      xl_use_area         off
7074      xl_area         "[1 0 0 1 0 0 0]"
7075      has_advanced_control    "0"
7076      sggui_pos       "-1,-1,-1,-1"
7077      block_type          "logical"
7078      block_version       "10.1.2"
7079      sg_icon_stat        "45,69,2,1,white,blue,0,087b5522,right"
7080      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 ],[0 0 60 60 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13 28 32 36 52 40 28 19 32 19 28 40 52 36 32 28 13 ],[8 17 30 43 52 52 48 52 52 40 52 43 30 17 8 20 8 8 12 8 8 ],[0.98 0.96 0.92]);\nplot([0 55 55 0 0 ],[0 0 60 60 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');disp('\\newlineand\\newlinez^{-0}','texmode','on');\nfprintf('','COMMENT: end icon text');\n"
7081    }
7082    Block {
7083      BlockType       Reference
7084      Name            "Logical5"
7085      Ports           [3, 1]
7086      Position        [770, 652, 805, 688]
7087      ShowName        off
7088      SourceBlock         "xbsIndex_r4/Logical"
7089      SourceType          "Xilinx Logical Block Block"
7090      logical_function    "OR"
7091      inputs          "3"
7092      en              off
7093      latency         "0"
7094      precision       "Full"
7095      arith_type          "Unsigned"
7096      n_bits          "16"
7097      bin_pt          "0"
7098      align_bp        on
7099      dbl_ovrd        off
7100      xl_use_area         off
7101      xl_area         "[1 0 0 1 0 0 0]"
7102      has_advanced_control    "0"
7103      sggui_pos       "20,20,348,261"
7104      block_type          "logical"
7105      block_version       "8.2"
7106      sg_icon_stat        "35,36,3,1,white,blue,0,bd50cad4,right"
7107      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 ],[0 0 60 60 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13 28 32 36 52 40 28 19 32 19 28 40 52 36 32 28 13 ],[8 17 30 43 52 52 48 52 52 40 52 43 30 17 8 20 8 8 12 8 8 ],[0.98 0.96 0.92]);\nplot([0 55 55 0 0 ],[0 0 60 60 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');disp('\\newlineor\\newlinez^{-0}','texmode','on');\nfprintf('','COMMENT: end icon text');\n"
7108    }
7109    Block {
7110      BlockType       Reference
7111      Name            "Logical6"
7112      Ports           [3, 1]
7113      Position        [850, 282, 885, 318]
7114      ShowName        off
7115      SourceBlock         "xbsIndex_r4/Logical"
7116      SourceType          "Xilinx Logical Block Block"
7117      logical_function    "AND"
7118      inputs          "3"
7119      en              off
7120      latency         "0"
7121      precision       "Full"
7122      arith_type          "Unsigned"
7123      n_bits          "16"
7124      bin_pt          "0"
7125      align_bp        on
7126      dbl_ovrd        off
7127      xl_use_area         off
7128      xl_area         "[1 0 0 1 0 0 0]"
7129      has_advanced_control    "0"
7130      sggui_pos       "20,20,348,261"
7131      block_type          "logical"
7132      block_version       "8.2"
7133      sg_icon_stat        "35,36,3,1,white,blue,0,5c2bfaa2,right"
7134      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 ],[0 0 60 60 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13 28 32 36 52 40 28 19 32 19 28 40 52 36 32 28 13 ],[8 17 30 43 52 52 48 52 52 40 52 43 30 17 8 20 8 8 12 8 8 ],[0.98 0.96 0.92]);\nplot([0 55 55 0 0 ],[0 0 60 60 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');disp('\\newlineand\\newlinez^{-0}','texmode','on');\nfprintf('','COMMENT: end icon text');\n"
7135    }
7136    Block {
7137      BlockType       Reference
7138      Name            "Logical7"
7139      Ports           [3, 1]
7140      Position        [1175, 243, 1205, 277]
7141      ShowName        off
7142      SourceBlock         "xbsIndex_r4/Logical"
7143      SourceType          "Xilinx Logical Block Block"
7144      logical_function    "AND"
7145      inputs          "3"
7146      en              off
7147      latency         "0"
7148      precision       "Full"
7149      arith_type          "Unsigned"
7150      n_bits          "16"
7151      bin_pt          "0"
7152      align_bp        on
7153      dbl_ovrd        off
7154      xl_use_area         off
7155      xl_area         "[1 0 0 1 0 0 0]"
7156      has_advanced_control    "0"
7157      sggui_pos       "20,20,348,261"
7158      block_type          "logical"
7159      block_version       "9.1.01"
7160      sg_icon_stat        "30,34,3,1,white,blue,0,5c2bfaa2,right"
7161      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 30 30 0 ],[0 0 34 34 ],[0.77 0.82 0.91]);\npatch([7 2 9 2 7 15 17 19 27 20 14 9 15 9 14 20 27 19 17 15 7 ],[5 10 17 24 29 29 27 29 29 22 28 23 17 11 6 12 5 5 7 5 5 ],[0.98 0.96 0.92]);\nplot([0 30 30 0 0 ],[0 0 34 34 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');disp('\\newlineand\\newlinez^{-0}','texmode','on');\nfprintf('','COMMENT: end icon text');\n"
7162    }
7163    Block {
7164      BlockType       Reference
7165      Name            "Mux"
7166      Ports           [3, 1]
7167      Position        [320, 643, 345, 717]
7168      ShowName        off
7169      SourceBlock         "xbsIndex_r4/Mux"
7170      SourceType          "Xilinx Bus Multiplexer Block"
7171      inputs          "2"
7172      en              off
7173      latency         "0"
7174      precision       "Full"
7175      arith_type          "Unsigned"
7176      n_bits          "16"
7177      bin_pt          "14"
7178      quantization        "Truncate"
7179      overflow        "Wrap"
7180      dbl_ovrd        off
7181      xl_use_area         off
7182      xl_area         "[1 0 0 1 0 0 0]"
7183      has_advanced_control    "0"
7184      sggui_pos       "-1,-1,-1,-1"
7185      block_type          "mux"
7186      block_version       "8.2"
7187      sg_icon_stat        "25,74,3,1,white,blue,3,613f58e1,right"
7188      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 45 45 0 ],[0 14.8571 89.1429 104 ],[0.77 0.82 0.91]);\npatch([10 3 14 3 10 22 25 28 41 31 21 14 25 14 21 31 41 28 25 22 10 ],[34 41 52 63 70 70 67 70 70 60 70 63 52 41 34 44 34 34 37 34 34 ],[0.98 0.96 0.92]);\nplot([0 45 45 0 0 ],[0 14.8571 89.1429 104 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1,'sel');\ncolor('black');port_label('input',2,'d0');\ncolor('black');port_label('input',3,'d1');\ncolor('black');disp('\\bf{}','texmode','on');\nfprintf('','COMMENT: end icon text');\n"
7189    }
7190    Block {
7191      BlockType       Reference
7192      Name            "Relational"
7193      Ports           [2, 1]
7194      Position        [1060, 231, 1100, 289]
7195      ShowName        off
7196      SourceBlock         "xbsIndex_r4/Relational"
7197      SourceType          "Xilinx Arithmetic Relational Operator Block"
7198      mode            "a<=b"
7199      en              off
7200      latency         "0"
7201      dbl_ovrd        off
7202      xl_use_area         off
7203      xl_area         "[8 0 0 16 0 0 0]"
7204      has_advanced_control    "0"
7205      sggui_pos       "20,20,348,193"
7206      block_type          "relational"
7207      block_version       "8.2"
7208      sg_icon_stat        "40,58,2,1,white,blue,0,07808d72,right"
7209      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 ],[0 0 56 56 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13 28 32 36 52 40 28 19 32 19 28 40 52 36 32 28 13 ],[6 15 28 41 50 50 46 50 50 38 50 41 28 15 6 18 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 55 55 0 0 ],[0 0 56 56 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1,'a');\ncolor('black');port_label('input',2,'b');\ncolor('black');disp('\\newline\\bf{a<=b}\\newlinez^{-0}','texmode','on');\nfprintf('','COMMENT: end icon text');\n"
7210    }
7211    Block {
7212      BlockType       Reference
7213      Name            "Relational1"
7214      Ports           [2, 1]
7215      Position        [1005, 431, 1050, 469]
7216      ShowName        off
7217      SourceBlock         "xbsIndex_r4/Relational"
7218      SourceType          "Xilinx Arithmetic Relational Operator Block"
7219      mode            "a>b"
7220      en              off
7221      latency         "0"
7222      dbl_ovrd        off
7223      xl_use_area         off
7224      xl_area         "[16 1 0 32 0 0 0]"
7225      has_advanced_control    "0"
7226      sggui_pos       "20,20,348,193"
7227      block_type          "relational"
7228      block_version       "10.1.2"
7229      sg_icon_stat        "45,38,2,1,white,blue,0,064af3a6,right"
7230      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 ],[0 0 56 56 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13 28 32 36 52 40 28 19 32 19 28 40 52 36 32 28 13 ],[6 15 28 41 50 50 46 50 50 38 50 41 28 15 6 18 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 55 55 0 0 ],[0 0 56 56 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1,'a');\ncolor('black');port_label('input',2,'b');\ncolor('black');disp('\\newline\\bf{a>b}\\newlinez^{-0}','texmode','on');\nfprintf('','COMMENT: end icon text');\n"
7231    }
7232    Block {
7233      BlockType       Reference
7234      Name            "Relational2"
7235      Ports           [2, 1]
7236      Position        [985, 651, 1025, 709]
7237      ShowName        off
7238      SourceBlock         "xbsIndex_r4/Relational"
7239      SourceType          "Xilinx Arithmetic Relational Operator Block"
7240      mode            "a<=b"
7241      en              off
7242      latency         "0"
7243      dbl_ovrd        off
7244      xl_use_area         off
7245      xl_area         "[8 0 0 16 0 0 0]"
7246      has_advanced_control    "0"
7247      sggui_pos       "20,20,348,193"
7248      block_type          "relational"
7249      block_version       "8.2"
7250      sg_icon_stat        "40,58,2,1,white,blue,0,07808d72,right"
7251      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 ],[0 0 56 56 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13 28 32 36 52 40 28 19 32 19 28 40 52 36 32 28 13 ],[6 15 28 41 50 50 46 50 50 38 50 41 28 15 6 18 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 55 55 0 0 ],[0 0 56 56 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1,'a');\ncolor('black');port_label('input',2,'b');\ncolor('black');disp('\\newline\\bf{a<=b}\\newlinez^{-0}','texmode','on');\nfprintf('','COMMENT: end icon text');\n"
7252    }
7253    Block {
7254      BlockType       SubSystem
7255      Name            "S-R_Latch1"
7256      Ports           [2, 1]
7257      Position        [665, 272, 705, 343]
7258      MinAlgLoopOccurrences   off
7259      PropExecContextOutsideSubsystem off
7260      RTWSystemCode       "Auto"
7261      FunctionWithSeparateData off
7262      Opaque          off
7263      RequestExecContextInheritance off
7264      MaskHideContents    off
7265      System {
7266        Name            "S-R_Latch1"
7267        Location            [202, 70, 1438, 850]
7268        Open            off
7269        ModelBrowserVisibility  on
7270        ModelBrowserWidth       200
7271        ScreenColor         "white"
7272        PaperOrientation        "landscape"
7273        PaperPositionMode       "auto"
7274        PaperType           "usletter"
7275        PaperUnits          "inches"
7276        TiledPaperMargins       [0.500000, 0.500000, 0.500000, 0.500000]
7277        TiledPageScale      1
7278        ShowPageBoundaries      off
7279        ZoomFactor          "100"
7280        Block {
7281          BlockType           Inport
7282          Name            "S"
7283          Position            [95, 58, 125, 72]
7284          IconDisplay         "Port number"
7285          OutDataType         "sfix(16)"
7286          OutScaling          "2^0"
7287        }
7288        Block {
7289          BlockType           Inport
7290          Name            "R"
7291          Position            [95, 88, 125, 102]
7292          Port            "2"
7293          IconDisplay         "Port number"
7294          OutDataType         "sfix(16)"
7295          OutScaling          "2^0"
7296        }
7297        Block {
7298          BlockType           Reference
7299          Name            "Inverter"
7300          Ports           [1, 1]
7301          Position            [220, 82, 250, 108]
7302          SourceBlock         "xbsIndex_r4/Inverter"
7303          SourceType          "Xilinx Inverter Block"
7304          infoedit            "Bitwise logical negation (one's complement) operator."
7305          en              "off"
7306          latency             "0"
7307          dbl_ovrd            "off"
7308          xl_use_area         "off"
7309          xl_area             "[0 0 0 0 0 0 0]"
7310          has_advanced_control    "0"
7311          sggui_pos           "-1,-1,-1,-1"
7312          block_type          "inv"
7313          block_version       "VER_STRING_GOES_HERE"
7314          sg_icon_stat        "30,26,1,1,white,blue,0,1ab4a85f,right"
7315          sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 ],[0 0 58 58 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13 28 32 36 52 40 28 19 32 19 28 40 52 36 32 28 13 ],[7 16 29 42 51 51 47 51 51 39 51 42 29 16 7 19 7 7 11 7 7 ],[0.98 0.96 0.92]);\nplot([0 55 55 0 0 ],[0 0 58 58 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');disp('not');\nfprintf('','COMMENT: end icon text');\n"
7316        }
7317        Block {
7318          BlockType           Reference
7319          Name            "Register"
7320          Ports           [3, 1]
7321          Position            [150, 70, 195, 120]
7322          SourceBlock         "xbsIndex_r4/Register"
7323          SourceType          "Xilinx Register Block"
7324          init            "0"
7325          rst             "on"
7326          en              "on"
7327          dbl_ovrd            "off"
7328          xl_use_area         "off"
7329          xl_area             "[1 1 0 0 0 0 0]"
7330          has_advanced_control    "0"
7331          sggui_pos           "20,20,348,193"
7332          block_type          "register"
7333          block_version       "VER_STRING_GOES_HERE"
7334          sg_icon_stat        "45,50,1,1,white,blue,0,923c1847,right"
7335          sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 ],[0 0 56 56 ],[0.77 0.82 0.91]);\npatch([15 6 19 6 15 30 34 38 54 41 29 20 32 20 29 41 54 38 34 30 15 ],[6 15 28 41 50 50 46 50 50 37 49 40 28 16 7 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 56 56 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1,'d');\ncolor('black');port_label('input',2,'rst');\ncolor('black');port_label('input',3,'en');\ncolor('black');port_label('output',1,'q');\ncolor('black');disp('\\bf{z^{-1}}','texmode','on');\nfprintf('','COMMENT: end icon text');\n"
7336        }
7337        Block {
7338          BlockType           Outport
7339          Name            "Q"
7340          Position            [285, 63, 315, 77]
7341          IconDisplay         "Port number"
7342          OutDataType         "sfix(16)"
7343          OutScaling          "2^0"
7344        }
7345        Line {
7346          SrcBlock            "R"
7347          SrcPort             1
7348          DstBlock            "Register"
7349          DstPort             2
7350        }
7351        Line {
7352          SrcBlock            "S"
7353          SrcPort             1
7354          DstBlock            "Register"
7355          DstPort             1
7356        }
7357        Line {
7358          SrcBlock            "Inverter"
7359          SrcPort             1
7360          Points              [10, 0; 0, 55; -130, 0]
7361          DstBlock            "Register"
7362          DstPort             3
7363        }
7364        Line {
7365          SrcBlock            "Register"
7366          SrcPort             1
7367          Points              [0, 0; 5, 0]
7368          Branch {
7369        Points          [0, -25]
7370        DstBlock        "Q"
7371        DstPort         1
7372          }
7373          Branch {
7374        DstBlock        "Inverter"
7375        DstPort         1
7376          }
7377        }
7378      }
7379    }
7380    Block {
7381      BlockType       SubSystem
7382      Name            "S-R_Latch2"
7383      Ports           [2, 1]
7384      Position        [1285, 245, 1325, 305]
7385      MinAlgLoopOccurrences   off
7386      PropExecContextOutsideSubsystem off
7387      RTWSystemCode       "Auto"
7388      FunctionWithSeparateData off
7389      Opaque          off
7390      RequestExecContextInheritance off
7391      MaskHideContents    off
7392      System {
7393        Name            "S-R_Latch2"
7394        Location            [521, 318, 918, 591]
7395        Open            off
7396        ModelBrowserVisibility  on
7397        ModelBrowserWidth       200
7398        ScreenColor         "white"
7399        PaperOrientation        "landscape"
7400        PaperPositionMode       "auto"
7401        PaperType           "usletter"
7402        PaperUnits          "inches"
7403        TiledPaperMargins       [0.500000, 0.500000, 0.500000, 0.500000]
7404        TiledPageScale      1
7405        ShowPageBoundaries      off
7406        ZoomFactor          "100"
7407        Block {
7408          BlockType           Inport
7409          Name            "S"
7410          Position            [95, 58, 125, 72]
7411          IconDisplay         "Port number"
7412          OutDataType         "sfix(16)"
7413          OutScaling          "2^0"
7414        }
7415        Block {
7416          BlockType           Inport
7417          Name            "R"
7418          Position            [95, 88, 125, 102]
7419          Port            "2"
7420          IconDisplay         "Port number"
7421          OutDataType         "sfix(16)"
7422          OutScaling          "2^0"
7423        }
7424        Block {
7425          BlockType           Reference
7426          Name            "Inverter"
7427          Ports           [1, 1]
7428          Position            [220, 82, 250, 108]
7429          SourceBlock         "xbsIndex_r4/Inverter"
7430          SourceType          "Xilinx Inverter Block"
7431          infoedit            "Bitwise logical negation (one's complement) operator."
7432          en              "off"
7433          latency             "0"
7434          dbl_ovrd            "off"
7435          xl_use_area         "off"
7436          xl_area             "[0 0 0 0 0 0 0]"
7437          has_advanced_control    "0"
7438          sggui_pos           "-1,-1,-1,-1"
7439          block_type          "inv"
7440          block_version       "VER_STRING_GOES_HERE"
7441          sg_icon_stat        "30,26,1,1,white,blue,0,1ab4a85f,right"
7442          sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 ],[0 0 58 58 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13 28 32 36 52 40 28 19 32 19 28 40 52 36 32 28 13 ],[7 16 29 42 51 51 47 51 51 39 51 42 29 16 7 19 7 7 11 7 7 ],[0.98 0.96 0.92]);\nplot([0 55 55 0 0 ],[0 0 58 58 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');disp('not');\nfprintf('','COMMENT: end icon text');\n"
7443        }
7444        Block {
7445          BlockType           Reference
7446          Name            "Register"
7447          Ports           [3, 1]
7448          Position            [150, 70, 195, 120]
7449          SourceBlock         "xbsIndex_r4/Register"
7450          SourceType          "Xilinx Register Block"
7451          init            "0"
7452          rst             "on"
7453          en              "on"
7454          dbl_ovrd            "off"
7455          xl_use_area         "off"
7456          xl_area             "[1 1 0 0 0 0 0]"
7457          has_advanced_control    "0"
7458          sggui_pos           "-1,-1,-1,-1"
7459          block_type          "register"
7460          block_version       "VER_STRING_GOES_HERE"
7461          sg_icon_stat        "45,50,1,1,white,blue,0,923c1847,right"
7462          sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 ],[0 0 56 56 ],[0.77 0.82 0.91]);\npatch([15 6 19 6 15 30 34 38 54 41 29 20 32 20 29 41 54 38 34 30 15 ],[6 15 28 41 50 50 46 50 50 37 49 40 28 16 7 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 56 56 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1,'d');\ncolor('black');port_label('input',2,'rst');\ncolor('black');port_label('input',3,'en');\ncolor('black');port_label('output',1,'q');\ncolor('black');disp('\\bf{z^{-1}}','texmode','on');\nfprintf('','COMMENT: end icon text');\n"
7463        }
7464        Block {
7465          BlockType           Outport
7466          Name            "Q"
7467          Position            [285, 63, 315, 77]
7468          IconDisplay         "Port number"
7469          OutDataType         "sfix(16)"
7470          OutScaling          "2^0"
7471        }
7472        Line {
7473          SrcBlock            "Register"
7474          SrcPort             1
7475          Points              [0, 0; 5, 0]
7476          Branch {
7477        DstBlock        "Inverter"
7478        DstPort         1
7479          }
7480          Branch {
7481        Points          [0, -25]
7482        DstBlock        "Q"
7483        DstPort         1
7484          }
7485        }
7486        Line {
7487          SrcBlock            "Inverter"
7488          SrcPort             1
7489          Points              [10, 0; 0, 55; -130, 0]
7490          DstBlock            "Register"
7491          DstPort             3
7492        }
7493        Line {
7494          SrcBlock            "S"
7495          SrcPort             1
7496          DstBlock            "Register"
7497          DstPort             1
7498        }
7499        Line {
7500          SrcBlock            "R"
7501          SrcPort             1
7502          DstBlock            "Register"
7503          DstPort             2
7504        }
7505      }
7506    }
7507    Block {
7508      BlockType       SubSystem
7509      Name            "S-R_Latch3"
7510      Ports           [2, 1]
7511      Position        [665, 167, 705, 238]
7512      MinAlgLoopOccurrences   off
7513      PropExecContextOutsideSubsystem off
7514      RTWSystemCode       "Auto"
7515      FunctionWithSeparateData off
7516      Opaque          off
7517      RequestExecContextInheritance off
7518      MaskHideContents    off
7519      System {
7520        Name            "S-R_Latch3"
7521        Location            [202, 70, 1438, 850]
7522        Open            off
7523        ModelBrowserVisibility  on
7524        ModelBrowserWidth       200
7525        ScreenColor         "white"
7526        PaperOrientation        "landscape"
7527        PaperPositionMode       "auto"
7528        PaperType           "usletter"
7529        PaperUnits          "inches"
7530        TiledPaperMargins       [0.500000, 0.500000, 0.500000, 0.500000]
7531        TiledPageScale      1
7532        ShowPageBoundaries      off
7533        ZoomFactor          "100"
7534        Block {
7535          BlockType           Inport
7536          Name            "S"
7537          Position            [95, 58, 125, 72]
7538          IconDisplay         "Port number"
7539          OutDataType         "sfix(16)"
7540          OutScaling          "2^0"
7541        }
7542        Block {
7543          BlockType           Inport
7544          Name            "R"
7545          Position            [95, 88, 125, 102]
7546          Port            "2"
7547          IconDisplay         "Port number"
7548          OutDataType         "sfix(16)"
7549          OutScaling          "2^0"
7550        }
7551        Block {
7552          BlockType           Reference
7553          Name            "Inverter"
7554          Ports           [1, 1]
7555          Position            [220, 82, 250, 108]
7556          SourceBlock         "xbsIndex_r4/Inverter"
7557          SourceType          "Xilinx Inverter Block"
7558          infoedit            "Bitwise logical negation (one's complement) operator."
7559          en              "off"
7560          latency             "0"
7561          dbl_ovrd            "off"
7562          xl_use_area         "off"
7563          xl_area             "[0 0 0 0 0 0 0]"
7564          has_advanced_control    "0"
7565          sggui_pos           "-1,-1,-1,-1"
7566          block_type          "inv"
7567          block_version       "VER_STRING_GOES_HERE"
7568          sg_icon_stat        "30,26,1,1,white,blue,0,1ab4a85f,right"
7569          sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 ],[0 0 58 58 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13 28 32 36 52 40 28 19 32 19 28 40 52 36 32 28 13 ],[7 16 29 42 51 51 47 51 51 39 51 42 29 16 7 19 7 7 11 7 7 ],[0.98 0.96 0.92]);\nplot([0 55 55 0 0 ],[0 0 58 58 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');disp('not');\nfprintf('','COMMENT: end icon text');\n"
7570        }
7571        Block {
7572          BlockType           Reference
7573          Name            "Register"
7574          Ports           [3, 1]
7575          Position            [150, 70, 195, 120]
7576          SourceBlock         "xbsIndex_r4/Register"
7577          SourceType          "Xilinx Register Block"
7578          init            "0"
7579          rst             "on"
7580          en              "on"
7581          dbl_ovrd            "off"
7582          xl_use_area         "off"
7583          xl_area             "[1 1 0 0 0 0 0]"
7584          has_advanced_control    "0"
7585          sggui_pos           "20,20,348,193"
7586          block_type          "register"
7587          block_version       "VER_STRING_GOES_HERE"
7588          sg_icon_stat        "45,50,1,1,white,blue,0,923c1847,right"
7589          sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 ],[0 0 56 56 ],[0.77 0.82 0.91]);\npatch([15 6 19 6 15 30 34 38 54 41 29 20 32 20 29 41 54 38 34 30 15 ],[6 15 28 41 50 50 46 50 50 37 49 40 28 16 7 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 56 56 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1,'d');\ncolor('black');port_label('input',2,'rst');\ncolor('black');port_label('input',3,'en');\ncolor('black');port_label('output',1,'q');\ncolor('black');disp('\\bf{z^{-1}}','texmode','on');\nfprintf('','COMMENT: end icon text');\n"
7590        }
7591        Block {
7592          BlockType           Outport
7593          Name            "Q"
7594          Position            [285, 63, 315, 77]
7595          IconDisplay         "Port number"
7596          OutDataType         "sfix(16)"
7597          OutScaling          "2^0"
7598        }
7599        Line {
7600          SrcBlock            "Register"
7601          SrcPort             1
7602          Points              [0, 0; 5, 0]
7603          Branch {
7604        DstBlock        "Inverter"
7605        DstPort         1
7606          }
7607          Branch {
7608        Points          [0, -25]
7609        DstBlock        "Q"
7610        DstPort         1
7611          }
7612        }
7613        Line {
7614          SrcBlock            "Inverter"
7615          SrcPort             1
7616          Points              [10, 0; 0, 55; -130, 0]
7617          DstBlock            "Register"
7618          DstPort             3
7619        }
7620        Line {
7621          SrcBlock            "S"
7622          SrcPort             1
7623          DstBlock            "Register"
7624          DstPort             1
7625        }
7626        Line {
7627          SrcBlock            "R"
7628          SrcPort             1
7629          DstBlock            "Register"
7630          DstPort             2
7631        }
7632      }
7633    }
7634    Block {
7635      BlockType       Scope
7636      Name            "Scope"
7637      Ports           [3]
7638      Position        [1530, 305, 1560, 405]
7639      Floating        off
7640      Location        [1, 45, 1441, 869]
7641      Open            off
7642      NumInputPorts       "3"
7643      ZoomMode        "xonly"
7644      List {
7645        ListType            AxesTitles
7646        axes1           "%<SignalLabel>"
7647        axes2           "%<SignalLabel>"
7648        axes3           "%<SignalLabel>"
7649      }
7650      YMin            "-5~-5~-5"
7651      YMax            "5~5~5"
7652      DataFormat          "StructureWithTime"
7653      SampleTime          "0"
7654    }
7655    Block {
7656      BlockType       Reference
7657      Name            "Sim Mux"
7658      Ports           [2, 1]
7659      Position        [405, 261, 445, 299]
7660      NamePlacement       "alternate"
7661      SourceBlock         "xbsIndex_r4/Simulation Multiplexer"
7662      SourceType          "Xilinx Simulation Multiplexer Block"
7663      infoedit        "Distinguish input subsystems as \"simulation only\" and \"generation only\".  The input specified For Simulation will be used during Simulink simulation.  The input specified For Generation will be used during code generation.  This block will typically be used on the output of a HW Co-Simulation, Black Box, or ModelSim subsystem.<P><P>Hardware Notes: This block costs nothing."
7664      sim_sel         "1"
7665      hw_sel          "2"
7666      has_advanced_control    "0"
7667      sggui_pos       "20,20,336,197"
7668      block_type          "simmux"
7669      block_version       "10.1.3"
7670      sg_icon_stat        "40,38,2,1,white,blue,0,c4f98ccb,right"
7671      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 50 50 0 ],[0 0 51 51 ],[0.77 0.82 0.91]);\npatch([11 3 15 3 11 24 28 32 46 35 24 16 28 16 24 35 46 32 28 24 11 ],[6 14 26 38 46 46 42 46 46 35 46 38 26 14 6 17 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 50 50 0 0 ],[0 0 51 51 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\n\nfprintf('','COMMENT: end icon text');\ncolor('red');\nplot(swLineX,simSwLineY);\ncolor('black');\nplot(swLineX,hwSwLineY);\n"
7672    }
7673    Block {
7674      BlockType       Reference
7675      Name            "Sim Mux1"
7676      Ports           [2, 1]
7677      Position        [470, 576, 510, 614]
7678      NamePlacement       "alternate"
7679      SourceBlock         "xbsIndex_r4/Simulation Multiplexer"
7680      SourceType          "Xilinx Simulation Multiplexer Block"
7681      infoedit        "Distinguish input subsystems as \"simulation only\" and \"generation only\".  The input specified For Simulation will be used during Simulink simulation.  The input specified For Generation will be used during code generation.  This block will typically be used on the output of a HW Co-Simulation, Black Box, or ModelSim subsystem.<P><P>Hardware Notes: This block costs nothing."
7682      sim_sel         "1"
7683      hw_sel          "2"
7684      has_advanced_control    "0"
7685      sggui_pos       "20,20,336,197"
7686      block_type          "simmux"
7687      block_version       "10.1.3"
7688      sg_icon_stat        "40,38,2,1,white,blue,0,c4f98ccb,right"
7689      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 50 50 0 ],[0 0 51 51 ],[0.77 0.82 0.91]);\npatch([11 3 15 3 11 24 28 32 46 35 24 16 28 16 24 35 46 32 28 24 11 ],[6 14 26 38 46 46 42 46 46 35 46 38 26 14 6 17 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 50 50 0 0 ],[0 0 51 51 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\n\nfprintf('','COMMENT: end icon text');\ncolor('red');\nplot(swLineX,simSwLineY);\ncolor('black');\nplot(swLineX,hwSwLineY);\n"
7690    }
7691    Block {
7692      BlockType       SubSystem
7693      Name            "Sim-only\nGateway In"
7694      Ports           [1, 1]
7695      Position        [305, 260, 350, 280]
7696      NamePlacement       "alternate"
7697      MinAlgLoopOccurrences   off
7698      PropExecContextOutsideSubsystem off
7699      RTWSystemCode       "Auto"
7700      FunctionWithSeparateData off
7701      Opaque          off
7702      RequestExecContextInheritance off
7703      MaskHideContents    off
7704      System {
7705        Name            "Sim-only\nGateway In"
7706        Location            [269, 280, 494, 362]
7707        Open            off
7708        ModelBrowserVisibility  on
7709        ModelBrowserWidth       200
7710        ScreenColor         "white"
7711        PaperOrientation        "landscape"
7712        PaperPositionMode       "auto"
7713        PaperType           "usletter"
7714        PaperUnits          "inches"
7715        TiledPaperMargins       [0.500000, 0.500000, 0.500000, 0.500000]
7716        TiledPageScale      1
7717        ShowPageBoundaries      off
7718        ZoomFactor          "100"
7719        Block {
7720          BlockType           Inport
7721          Name            "D"
7722          Position            [20, 33, 50, 47]
7723          IconDisplay         "Port number"
7724          OutDataType         "sfix(16)"
7725          OutScaling          "2^0"
7726        }
7727        Block {
7728          BlockType           Reference
7729          Name            "Disregard Subsystem"
7730          Tag             "discardX"
7731          Ports           []
7732          Position            [86, 85, 144, 143]
7733          ShowName            off
7734          AttributesFormatString  "Disregard Subsystem\\nFor Generation"
7735          SourceBlock         "xbsIndex_r4/Disregard Subsystem"
7736          SourceType          "Xilinx Disregard Subsystem For Generation Block"
7737          infoedit            "Place this block into a subsystem to have System Generator ignore the subsystem during code generation. This block can be used in combination with the Simulation Multiplexer block to provide an alternative simulation model for another subsystem (e.g., to provide a simulation model for a black box)."
7738          has_advanced_control    "0"
7739          sggui_pos           "-1,-1,-1,-1"
7740          block_type          "disregard"
7741          block_version       "10.1.3"
7742          sg_icon_stat        "58,58,-1,-1,darkgray,black,0,0,right"
7743          sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npatch([0 58 58 0 ],[0 0 58 58 ],[0.1 0.1 0.1]);\npatch([14 4 18 4 14 29 33 37 53 40 28 19 33 19 28 40 53 37 33 29 14 ],[6 16 30 44 54 54 50 54 54 41 53 44 30 16 7 19 6 6 10 6 6 ],[0.33 0.33 0.33]);\nplot([0 58 58 0 0 ],[0 0 58 58 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\nfprintf('','COMMENT: end icon text');\n"
7744        }
7745        Block {
7746          BlockType           Reference
7747          Name            "Gateway In"
7748          Ports           [1, 1]
7749          Position            [80, 30, 145, 50]
7750          SourceBlock         "xbsIndex_r4/Gateway In"
7751          SourceType          "Xilinx Gateway In Block"
7752          infoedit            "Gateway in block.  Converts inputs of type Simulink integer, double and fixed point to  Xilinx fixed point type.<P><P>Hardware notes:  In hardware these blocks become top level input ports."
7753          arith_type          "Boolean"
7754          n_bits              "1"
7755          bin_pt              "0"
7756          quantization        "Round  (unbiased: +/- Inf)"
7757          overflow            "Saturate"
7758          period              "1"
7759          dbl_ovrd            "off"
7760          timing_constraint       "None"
7761          locs_specified          "off"
7762          LOCs            "{}"
7763          xl_use_area         "off"
7764          xl_area             "[0 0 0 0 1 0 0]"
7765          has_advanced_control    "0"
7766          sggui_pos           "20,20,348,406"
7767          block_type          "gatewayin"
7768          block_version       "10.1.3"
7769          sg_icon_stat        "65,20,1,1,white,yellow,0,bc55d28f,right"
7770          sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npatch([0 65 65 0 ],[0 0 20 20 ],[0.95 0.93 0.65]);\npatch([27 24 29 24 27 32 33 34 40 36 32 29 34 29 32 36 40 34 33 32 27 ],[2 5 10 15 18 18 17 18 18 14 18 15 10 5 2 6 2 2 3 2 2 ],[0.98 0.96 0.92]);\nplot([0 65 65 0 0 ],[0 0 20 20 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1,'\\fontsize{11pt}\\bf In ','texmode','on');\ncolor('black');port_label('output',1,' ');\nfprintf('','COMMENT: end icon text');\n"
7771        }
7772        Block {
7773          BlockType           Outport
7774          Name            "Q"
7775          Position            [170, 33, 200, 47]
7776          IconDisplay         "Port number"
7777        }
7778        Line {
7779          SrcBlock            "D"
7780          SrcPort             1
7781          DstBlock            "Gateway In"
7782          DstPort             1
7783        }
7784        Line {
7785          SrcBlock            "Gateway In"
7786          SrcPort             1
7787          DstBlock            "Q"
7788          DstPort             1
7789        }
7790      }
7791    }
7792    Block {
7793      BlockType       SubSystem
7794      Name            "Sim-only\nGateway In2"
7795      Ports           [1, 1]
7796      Position        [360, 575, 405, 595]
7797      NamePlacement       "alternate"
7798      MinAlgLoopOccurrences   off
7799      PropExecContextOutsideSubsystem off
7800      RTWSystemCode       "Auto"
7801      FunctionWithSeparateData off
7802      Opaque          off
7803      RequestExecContextInheritance off
7804      MaskHideContents    off
7805      System {
7806        Name            "Sim-only\nGateway In2"
7807        Location            [214, 70, 1918, 1152]
7808        Open            off
7809        ModelBrowserVisibility  on
7810        ModelBrowserWidth       200
7811        ScreenColor         "white"
7812        PaperOrientation        "landscape"
7813        PaperPositionMode       "auto"
7814        PaperType           "usletter"
7815        PaperUnits          "inches"
7816        TiledPaperMargins       [0.500000, 0.500000, 0.500000, 0.500000]
7817        TiledPageScale      1
7818        ShowPageBoundaries      off
7819        ZoomFactor          "100"
7820        Block {
7821          BlockType           Inport
7822          Name            "D"
7823          Position            [20, 33, 50, 47]
7824          IconDisplay         "Port number"
7825          OutDataType         "sfix(16)"
7826          OutScaling          "2^0"
7827        }
7828        Block {
7829          BlockType           Reference
7830          Name            "Disregard Subsystem"
7831          Tag             "discardX"
7832          Ports           []
7833          Position            [71, 110, 129, 168]
7834          ShowName            off
7835          AttributesFormatString  "Disregard Subsystem\\nFor Generation"
7836          SourceBlock         "xbsIndex_r4/Disregard Subsystem"
7837          SourceType          "Xilinx Disregard Subsystem For Generation Block"
7838          infoedit            "Place this block into a subsystem to have System Generator ignore the subsystem during code generation. This block can be used in combination with the Simulation Multiplexer block to provide an alternative simulation model for another subsystem (e.g., to provide a simulation model for a black box)."
7839          has_advanced_control    "0"
7840          sggui_pos           "-1,-1,-1,-1"
7841          block_type          "disregard"
7842          block_version       "10.1.3"
7843          sg_icon_stat        "58,58,-1,-1,darkgray,black,0,0,right"
7844          sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npatch([0 58 58 0 ],[0 0 58 58 ],[0.1 0.1 0.1]);\npatch([14 4 18 4 14 29 33 37 53 40 28 19 33 19 28 40 53 37 33 29 14 ],[6 16 30 44 54 54 50 54 54 41 53 44 30 16 7 19 6 6 10 6 6 ],[0.33 0.33 0.33]);\nplot([0 58 58 0 0 ],[0 0 58 58 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\nfprintf('','COMMENT: end icon text');\n"
7845        }
7846        Block {
7847          BlockType           Reference
7848          Name            "Gateway In"
7849          Ports           [1, 1]
7850          Position            [80, 30, 145, 50]
7851          SourceBlock         "xbsIndex_r4/Gateway In"
7852          SourceType          "Xilinx Gateway In Block"
7853          infoedit            "Gateway in block.  Converts inputs of type Simulink integer, double and fixed point to  Xilinx fixed point type.<P><P>Hardware notes:  In hardware these blocks become top level input ports."
7854          arith_type          "Boolean"
7855          n_bits              "1"
7856          bin_pt              "0"
7857          quantization        "Round  (unbiased: +/- Inf)"
7858          overflow            "Saturate"
7859          period              "1"
7860          dbl_ovrd            "off"
7861          timing_constraint       "None"
7862          locs_specified          "off"
7863          LOCs            "{}"
7864          xl_use_area         "off"
7865          xl_area             "[0 0 0 0 1 0 0]"
7866          has_advanced_control    "0"
7867          sggui_pos           "20,20,348,406"
7868          block_type          "gatewayin"
7869          block_version       "10.1.3"
7870          sg_icon_stat        "65,20,1,1,white,yellow,0,bc55d28f,right"
7871          sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npatch([0 65 65 0 ],[0 0 20 20 ],[0.95 0.93 0.65]);\npatch([27 24 29 24 27 32 33 34 40 36 32 29 34 29 32 36 40 34 33 32 27 ],[2 5 10 15 18 18 17 18 18 14 18 15 10 5 2 6 2 2 3 2 2 ],[0.98 0.96 0.92]);\nplot([0 65 65 0 0 ],[0 0 20 20 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1,'\\fontsize{11pt}\\bf In ','texmode','on');\ncolor('black');port_label('output',1,' ');\nfprintf('','COMMENT: end icon text');\n"
7872        }
7873        Block {
7874          BlockType           Outport
7875          Name            "Q"
7876          Position            [170, 33, 200, 47]
7877          IconDisplay         "Port number"
7878        }
7879        Line {
7880          SrcBlock            "Gateway In"
7881          SrcPort             1
7882          DstBlock            "Q"
7883          DstPort             1
7884        }
7885        Line {
7886          SrcBlock            "D"
7887          SrcPort             1
7888          DstBlock            "Gateway In"
7889          DstPort             1
7890        }
7891      }
7892    }
7893    Block {
7894      BlockType       SubSystem
7895      Name            "negedge"
7896      Ports           [1, 1]
7897      Position        [495, 288, 525, 302]
7898      MinAlgLoopOccurrences   off
7899      PropExecContextOutsideSubsystem off
7900      RTWSystemCode       "Auto"
7901      FunctionWithSeparateData off
7902      Opaque          off
7903      RequestExecContextInheritance off
7904      MaskHideContents    off
7905      System {
7906        Name            "negedge"
7907        Location            [459, 339, 854, 490]
7908        Open            off
7909        ModelBrowserVisibility  on
7910        ModelBrowserWidth       200
7911        ScreenColor         "white"
7912        PaperOrientation        "landscape"
7913        PaperPositionMode       "auto"
7914        PaperType           "usletter"
7915        PaperUnits          "inches"
7916        TiledPaperMargins       [0.500000, 0.500000, 0.500000, 0.500000]
7917        TiledPageScale      1
7918        ShowPageBoundaries      off
7919        ZoomFactor          "100"
7920        Block {
7921          BlockType           Inport
7922          Name            "In"
7923          Position            [170, 213, 200, 227]
7924          IconDisplay         "Port number"
7925          OutDataType         "sfix(16)"
7926          OutScaling          "2^0"
7927        }
7928        Block {
7929          BlockType           Reference
7930          Name            "Delay"
7931          Ports           [1, 1]
7932          Position            [230, 247, 290, 303]
7933          SourceBlock         "xbsIndex_r4/Delay"
7934          SourceType          "Xilinx Delay Block"
7935          infoedit            "Hardware notes: A delay line is a chain, each link of which is an SRL16 followed by a flip-flop. If register retiming is enabled, the delay line is a chain of flip-flops."
7936          en              "off"
7937          latency             "1"
7938          dbl_ovrd            "off"
7939          reg_retiming        "off"
7940          xl_use_area         "off"
7941          xl_area             "[1 1 0 0 0 0 0]"
7942          has_advanced_control    "0"
7943          sggui_pos           "-1,-1,-1,-1"
7944          block_type          "delay"
7945          block_version       "8.2"
7946          sg_icon_stat        "60,56,1,1,white,blue,0,fc531c0e,right"
7947          sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 ],[0 0 56 56 ],[0.77 0.82 0.91]);\npatch([15 6 19 6 15 30 34 38 54 41 29 20 32 20 29 41 54 38 34 30 15 ],[6 15 28 41 50 50 46 50 50 37 49 40 28 16 7 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 56 56 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');disp('z^{-1}','texmode','on');\nfprintf('','COMMENT: end icon text');\n"
7948        }
7949        Block {
7950          BlockType           Reference
7951          Name            "Inverter"
7952          Ports           [1, 1]
7953          Position            [290, 191, 345, 249]
7954          NamePlacement       "alternate"
7955          SourceBlock         "xbsIndex_r4/Inverter"
7956          SourceType          "Xilinx Inverter Block"
7957          infoedit            "Bitwise logical negation (one's complement) operator."
7958          en              "off"
7959          latency             "0"
7960          dbl_ovrd            "off"
7961          xl_use_area         "off"
7962          xl_area             "[0 0 0 0 0 0 0]"
7963          has_advanced_control    "0"
7964          sggui_pos           "-1,-1,-1,-1"
7965          block_type          "inv"
7966          block_version       "8.2"
7967          sg_icon_stat        "55,58,1,1,white,blue,0,1ab4a85f,right"
7968          sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 ],[0 0 58 58 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13 28 32 36 52 40 28 19 32 19 28 40 52 36 32 28 13 ],[7 16 29 42 51 51 47 51 51 39 51 42 29 16 7 19 7 7 11 7 7 ],[0.98 0.96 0.92]);\nplot([0 55 55 0 0 ],[0 0 58 58 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');disp('not');\nfprintf('','COMMENT: end icon text');\n"
7969        }
7970        Block {
7971          BlockType           Reference
7972          Name            "Logical"
7973          Ports           [2, 1]
7974          Position            [410, 205, 465, 265]
7975          SourceBlock         "xbsIndex_r4/Logical"
7976          SourceType          "Xilinx Logical Block Block"
7977          logical_function        "AND"
7978          inputs              "2"
7979          en              "off"
7980          latency             "0"
7981          precision           "Full"
7982          arith_type          "Unsigned"
7983          n_bits              "16"
7984          bin_pt              "0"
7985          align_bp            "on"
7986          dbl_ovrd            "off"
7987          xl_use_area         "off"
7988          xl_area             "[1 0 0 1 0 0 0]"
7989          has_advanced_control    "0"
7990          sggui_pos           "-1,-1,-1,-1"
7991          block_type          "logical"
7992          block_version       "8.2"
7993          sg_icon_stat        "55,60,1,1,white,blue,0,087b5522,right"
7994          sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 ],[0 0 60 60 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13 28 32 36 52 40 28 19 32 19 28 40 52 36 32 28 13 ],[8 17 30 43 52 52 48 52 52 40 52 43 30 17 8 20 8 8 12 8 8 ],[0.98 0.96 0.92]);\nplot([0 55 55 0 0 ],[0 0 60 60 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');disp('\\newlineand\\newlinez^{-0}','texmode','on');\nfprintf('','COMMENT: end icon text');\n"
7995        }
7996        Block {
7997          BlockType           Outport
7998          Name            "Out"
7999          Position            [490, 228, 520, 242]
8000          IconDisplay         "Port number"
8001          OutDataType         "sfix(16)"
8002          OutScaling          "2^0"
8003        }
8004        Line {
8005          SrcBlock            "Logical"
8006          SrcPort             1
8007          DstBlock            "Out"
8008          DstPort             1
8009        }
8010        Line {
8011          SrcBlock            "In"
8012          SrcPort             1
8013          Points              [5, 0]
8014          Branch {
8015        Points          [0, 55]
8016        DstBlock        "Delay"
8017        DstPort         1
8018          }
8019          Branch {
8020        DstBlock        "Inverter"
8021        DstPort         1
8022          }
8023        }
8024        Line {
8025          SrcBlock            "Delay"
8026          SrcPort             1
8027          Points              [90, 0; 0, -25]
8028          DstBlock            "Logical"
8029          DstPort             2
8030        }
8031        Line {
8032          SrcBlock            "Inverter"
8033          SrcPort             1
8034          DstBlock            "Logical"
8035          DstPort             1
8036        }
8037      }
8038    }
8039    Block {
8040      BlockType       SubSystem
8041      Name            "posedge"
8042      Ports           [1, 1]
8043      Position        [495, 273, 525, 287]
8044      NamePlacement       "alternate"
8045      MinAlgLoopOccurrences   off
8046      PropExecContextOutsideSubsystem off
8047      RTWSystemCode       "Auto"
8048      FunctionWithSeparateData off
8049      Opaque          off
8050      RequestExecContextInheritance off
8051      MaskHideContents    off
8052      System {
8053        Name            "posedge"
8054        Location            [459, 339, 854, 490]
8055        Open            off
8056        ModelBrowserVisibility  on
8057        ModelBrowserWidth       200
8058        ScreenColor         "white"
8059        PaperOrientation        "landscape"
8060        PaperPositionMode       "auto"
8061        PaperType           "usletter"
8062        PaperUnits          "inches"
8063        TiledPaperMargins       [0.500000, 0.500000, 0.500000, 0.500000]
8064        TiledPageScale      1
8065        ShowPageBoundaries      off
8066        ZoomFactor          "100"
8067        Block {
8068          BlockType           Inport
8069          Name            "In"
8070          Position            [20, 33, 50, 47]
8071          IconDisplay         "Port number"
8072          OutDataType         "sfix(16)"
8073          OutScaling          "2^0"
8074        }
8075        Block {
8076          BlockType           Reference
8077          Name            "Delay"
8078          Ports           [1, 1]
8079          Position            [80, 67, 140, 123]
8080          SourceBlock         "xbsIndex_r4/Delay"
8081          SourceType          "Xilinx Delay Block"
8082          infoedit            "Hardware notes: A delay line is a chain, each link of which is an SRL16 followed by a flip-flop. If register retiming is enabled, the delay line is a chain of flip-flops."
8083          en              "off"
8084          latency             "1"
8085          dbl_ovrd            "off"
8086          reg_retiming        "off"
8087          xl_use_area         "off"
8088          xl_area             "[1 1 0 0 0 0 0]"
8089          has_advanced_control    "0"
8090          sggui_pos           "-1,-1,-1,-1"
8091          block_type          "delay"
8092          block_version       "8.2"
8093          sg_icon_stat        "60,56,1,1,white,blue,0,fc531c0e,right"
8094          sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 ],[0 0 56 56 ],[0.77 0.82 0.91]);\npatch([15 6 19 6 15 30 34 38 54 41 29 20 32 20 29 41 54 38 34 30 15 ],[6 15 28 41 50 50 46 50 50 37 49 40 28 16 7 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 56 56 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');disp('z^{-1}','texmode','on');\nfprintf('','COMMENT: end icon text');\n"
8095        }
8096        Block {
8097          BlockType           Reference
8098          Name            "Inverter"
8099          Ports           [1, 1]
8100          Position            [170, 66, 225, 124]
8101          SourceBlock         "xbsIndex_r4/Inverter"
8102          SourceType          "Xilinx Inverter Block"
8103          infoedit            "Bitwise logical negation (one's complement) operator."
8104          en              "off"
8105          latency             "0"
8106          dbl_ovrd            "off"
8107          xl_use_area         "off"
8108          xl_area             "[0 0 0 0 0 0 0]"
8109          has_advanced_control    "0"
8110          sggui_pos           "-1,-1,-1,-1"
8111          block_type          "inv"
8112          block_version       "8.2"
8113          sg_icon_stat        "55,58,1,1,white,blue,0,1ab4a85f,right"
8114          sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 ],[0 0 58 58 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13 28 32 36 52 40 28 19 32 19 28 40 52 36 32 28 13 ],[7 16 29 42 51 51 47 51 51 39 51 42 29 16 7 19 7 7 11 7 7 ],[0.98 0.96 0.92]);\nplot([0 55 55 0 0 ],[0 0 58 58 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');disp('not');\nfprintf('','COMMENT: end icon text');\n"
8115        }
8116        Block {
8117          BlockType           Reference
8118          Name            "Logical"
8119          Ports           [2, 1]
8120          Position            [260, 25, 315, 85]
8121          SourceBlock         "xbsIndex_r4/Logical"
8122          SourceType          "Xilinx Logical Block Block"
8123          logical_function        "AND"
8124          inputs              "2"
8125          en              "off"
8126          latency             "0"
8127          precision           "Full"
8128          arith_type          "Unsigned"
8129          n_bits              "16"
8130          bin_pt              "0"
8131          align_bp            "on"
8132          dbl_ovrd            "off"
8133          xl_use_area         "off"
8134          xl_area             "[1 0 0 1 0 0 0]"
8135          has_advanced_control    "0"
8136          sggui_pos           "-1,-1,-1,-1"
8137          block_type          "logical"
8138          block_version       "8.2"
8139          sg_icon_stat        "55,60,1,1,white,blue,0,087b5522,right"
8140          sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 ],[0 0 60 60 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13 28 32 36 52 40 28 19 32 19 28 40 52 36 32 28 13 ],[8 17 30 43 52 52 48 52 52 40 52 43 30 17 8 20 8 8 12 8 8 ],[0.98 0.96 0.92]);\nplot([0 55 55 0 0 ],[0 0 60 60 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');disp('\\newlineand\\newlinez^{-0}','texmode','on');\nfprintf('','COMMENT: end icon text');\n"
8141        }
8142        Block {
8143          BlockType           Outport
8144          Name            "Out"
8145          Position            [340, 48, 370, 62]
8146          IconDisplay         "Port number"
8147          OutDataType         "sfix(16)"
8148          OutScaling          "2^0"
8149        }
8150        Line {
8151          SrcBlock            "Delay"
8152          SrcPort             1
8153          Points              [0, 0]
8154          DstBlock            "Inverter"
8155          DstPort             1
8156        }
8157        Line {
8158          SrcBlock            "Inverter"
8159          SrcPort             1
8160          Points              [5, 0; 0, -25]
8161          DstBlock            "Logical"
8162          DstPort             2
8163        }
8164        Line {
8165          SrcBlock            "In"
8166          SrcPort             1
8167          Points              [0, 0; 5, 0]
8168          Branch {
8169        DstBlock        "Logical"
8170        DstPort         1
8171          }
8172          Branch {
8173        Points          [0, 55]
8174        DstBlock        "Delay"
8175        DstPort         1
8176          }
8177        }
8178        Line {
8179          SrcBlock            "Logical"
8180          SrcPort             1
8181          Points              [0, 0]
8182          DstBlock            "Out"
8183          DstPort             1
8184        }
8185      }
8186    }
8187    Block {
8188      BlockType       SubSystem
8189      Name            "posedge2"
8190      Ports           [1, 1]
8191      Position        [495, 323, 525, 337]
8192      MinAlgLoopOccurrences   off
8193      PropExecContextOutsideSubsystem off
8194      RTWSystemCode       "Auto"
8195      FunctionWithSeparateData off
8196      Opaque          off
8197      RequestExecContextInheritance off
8198      MaskHideContents    off
8199      System {
8200        Name            "posedge2"
8201        Location            [459, 339, 854, 490]
8202        Open            off
8203        ModelBrowserVisibility  on
8204        ModelBrowserWidth       200
8205        ScreenColor         "white"
8206        PaperOrientation        "landscape"
8207        PaperPositionMode       "auto"
8208        PaperType           "usletter"
8209        PaperUnits          "inches"
8210        TiledPaperMargins       [0.500000, 0.500000, 0.500000, 0.500000]
8211        TiledPageScale      1
8212        ShowPageBoundaries      off
8213        ZoomFactor          "100"
8214        Block {
8215          BlockType           Inport
8216          Name            "In"
8217          Position            [20, 33, 50, 47]
8218          IconDisplay         "Port number"
8219          OutDataType         "sfix(16)"
8220          OutScaling          "2^0"
8221        }
8222        Block {
8223          BlockType           Reference
8224          Name            "Delay"
8225          Ports           [1, 1]
8226          Position            [80, 67, 140, 123]
8227          SourceBlock         "xbsIndex_r4/Delay"
8228          SourceType          "Xilinx Delay Block"
8229          infoedit            "Hardware notes: A delay line is a chain, each link of which is an SRL16 followed by a flip-flop. If register retiming is enabled, the delay line is a chain of flip-flops."
8230          en              "off"
8231          latency             "1"
8232          dbl_ovrd            "off"
8233          reg_retiming        "off"
8234          xl_use_area         "off"
8235          xl_area             "[1 1 0 0 0 0 0]"
8236          has_advanced_control    "0"
8237          sggui_pos           "-1,-1,-1,-1"
8238          block_type          "delay"
8239          block_version       "8.2"
8240          sg_icon_stat        "60,56,1,1,white,blue,0,fc531c0e,right"
8241          sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 ],[0 0 56 56 ],[0.77 0.82 0.91]);\npatch([15 6 19 6 15 30 34 38 54 41 29 20 32 20 29 41 54 38 34 30 15 ],[6 15 28 41 50 50 46 50 50 37 49 40 28 16 7 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 56 56 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');disp('z^{-1}','texmode','on');\nfprintf('','COMMENT: end icon text');\n"
8242        }
8243        Block {
8244          BlockType           Reference
8245          Name            "Inverter"
8246          Ports           [1, 1]
8247          Position            [170, 66, 225, 124]
8248          SourceBlock         "xbsIndex_r4/Inverter"
8249          SourceType          "Xilinx Inverter Block"
8250          infoedit            "Bitwise logical negation (one's complement) operator."
8251          en              "off"
8252          latency             "0"
8253          dbl_ovrd            "off"
8254          xl_use_area         "off"
8255          xl_area             "[0 0 0 0 0 0 0]"
8256          has_advanced_control    "0"
8257          sggui_pos           "-1,-1,-1,-1"
8258          block_type          "inv"
8259          block_version       "8.2"
8260          sg_icon_stat        "55,58,1,1,white,blue,0,1ab4a85f,right"
8261          sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 ],[0 0 58 58 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13 28 32 36 52 40 28 19 32 19 28 40 52 36 32 28 13 ],[7 16 29 42 51 51 47 51 51 39 51 42 29 16 7 19 7 7 11 7 7 ],[0.98 0.96 0.92]);\nplot([0 55 55 0 0 ],[0 0 58 58 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');disp('not');\nfprintf('','COMMENT: end icon text');\n"
8262        }
8263        Block {
8264          BlockType           Reference
8265          Name            "Logical"
8266          Ports           [2, 1]
8267          Position            [260, 25, 315, 85]
8268          SourceBlock         "xbsIndex_r4/Logical"
8269          SourceType          "Xilinx Logical Block Block"
8270          logical_function        "AND"
8271          inputs              "2"
8272          en              "off"
8273          latency             "0"
8274          precision           "Full"
8275          arith_type          "Unsigned"
8276          n_bits              "16"
8277          bin_pt              "0"
8278          align_bp            "on"
8279          dbl_ovrd            "off"
8280          xl_use_area         "off"
8281          xl_area             "[1 0 0 1 0 0 0]"
8282          has_advanced_control    "0"
8283          sggui_pos           "-1,-1,-1,-1"
8284          block_type          "logical"
8285          block_version       "8.2"
8286          sg_icon_stat        "55,60,1,1,white,blue,0,087b5522,right"
8287          sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 ],[0 0 60 60 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13 28 32 36 52 40 28 19 32 19 28 40 52 36 32 28 13 ],[8 17 30 43 52 52 48 52 52 40 52 43 30 17 8 20 8 8 12 8 8 ],[0.98 0.96 0.92]);\nplot([0 55 55 0 0 ],[0 0 60 60 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');disp('\\newlineand\\newlinez^{-0}','texmode','on');\nfprintf('','COMMENT: end icon text');\n"
8288        }
8289        Block {
8290          BlockType           Outport
8291          Name            "Out"
8292          Position            [340, 48, 370, 62]
8293          IconDisplay         "Port number"
8294          OutDataType         "sfix(16)"
8295          OutScaling          "2^0"
8296        }
8297        Line {
8298          SrcBlock            "Delay"
8299          SrcPort             1
8300          Points              [0, 0]
8301          DstBlock            "Inverter"
8302          DstPort             1
8303        }
8304        Line {
8305          SrcBlock            "Inverter"
8306          SrcPort             1
8307          Points              [5, 0; 0, -25]
8308          DstBlock            "Logical"
8309          DstPort             2
8310        }
8311        Line {
8312          SrcBlock            "In"
8313          SrcPort             1
8314          Points              [0, 0; 5, 0]
8315          Branch {
8316        DstBlock        "Logical"
8317        DstPort         1
8318          }
8319          Branch {
8320        Points          [0, 55]
8321        DstBlock        "Delay"
8322        DstPort         1
8323          }
8324        }
8325        Line {
8326          SrcBlock            "Logical"
8327          SrcPort             1
8328          Points              [0, 0]
8329          DstBlock            "Out"
8330          DstPort             1
8331        }
8332      }
8333    }
8334    Block {
8335      BlockType       Outport
8336      Name            "done"
8337      Position        [1350, 268, 1380, 282]
8338      IconDisplay         "Port number"
8339      OutDataType         "sfix(16)"
8340      OutScaling          "2^0"
8341    }
8342    Block {
8343      BlockType       Outport
8344      Name            "running"
8345      Position        [1245, 518, 1275, 532]
8346      Port            "2"
8347      IconDisplay         "Port number"
8348      OutDataType         "sfix(16)"
8349      OutScaling          "2^0"
8350    }
8351    Block {
8352      BlockType       Outport
8353      Name            "paused"
8354      Position        [1240, 463, 1270, 477]
8355      Port            "3"
8356      IconDisplay         "Port number"
8357      OutDataType         "sfix(16)"
8358      OutScaling          "2^0"
8359    }
8360    Block {
8361      BlockType       Outport
8362      Name            "timeLeft"
8363      Position        [1285, 358, 1315, 372]
8364      Port            "4"
8365      IconDisplay         "Port number"
8366      OutDataType         "sfix(16)"
8367      OutScaling          "2^0"
8368    }
8369    Line {
8370      SrcBlock        "mode"
8371      SrcPort         1
8372      DstBlock        "Mux"
8373      DstPort         1
8374    }
8375    Line {
8376      SrcBlock        "Constant1"
8377      SrcPort         1
8378      DstBlock        "Mux"
8379      DstPort         2
8380    }
8381    Line {
8382      SrcBlock        "Medium Idle"
8383      SrcPort         1
8384      DstBlock        "Mux"
8385      DstPort         3
8386    }
8387    Line {
8388      SrcBlock        "Mux"
8389      SrcPort         1
8390      DstBlock        "Convert1"
8391      DstPort         1
8392    }
8393    Line {
8394      SrcBlock        "Logical4"
8395      SrcPort         1
8396      DstBlock        "paused"
8397      DstPort         1
8398    }
8399    Line {
8400      SrcBlock        "start"
8401      SrcPort         1
8402      DstBlock        "Sim Mux"
8403      DstPort         2
8404    }
8405    Line {
8406      SrcBlock        "Sim Mux"
8407      SrcPort         1
8408      DstBlock        "posedge"
8409      DstPort         1
8410    }
8411    Line {
8412      SrcBlock        "AddSub"
8413      SrcPort         1
8414      DstBlock        "timeLeft"
8415      DstPort         1
8416    }
8417    Line {
8418      SrcBlock        "Constant"
8419      SrcPort         1
8420      DstBlock        "Relational1"
8421      DstPort         2
8422    }
8423    Line {
8424      SrcBlock        "Relational1"
8425      SrcPort         1
8426      DstBlock        "Logical4"
8427      DstPort         1
8428    }
8429    Line {
8430      SrcBlock        "Inverter"
8431      SrcPort         1
8432      DstBlock        "Logical4"
8433      DstPort         2
8434    }
8435    Line {
8436      SrcBlock        "S-R_Latch1"
8437      SrcPort         1
8438      Points          [25, 0]
8439      Branch {
8440        Points          [0, 175]
8441        Branch {
8442          Points              [0, 235]
8443          DstBlock            "Counter1"
8444          DstPort             2
8445        }
8446        Branch {
8447          Points              [290, 0]
8448          Branch {
8449        DstBlock        "Inverter"
8450        DstPort         1
8451          }
8452          Branch {
8453        Points          [0, 40]
8454        DstBlock        "running"
8455        DstPort         1
8456          }
8457        }
8458      }
8459      Branch {
8460        Points          [0, -20]
8461        DstBlock            "Logical6"
8462        DstPort         1
8463      }
8464    }
8465    Line {
8466      SrcBlock        "slotCount"
8467      SrcPort         1
8468      Points          [10, 0]
8469      Branch {
8470        Points          [0, 105]
8471        DstBlock            "AddSub"
8472        DstPort         1
8473      }
8474      Branch {
8475        DstBlock            "Relational"
8476        DstPort         1
8477      }
8478    }
8479    Line {
8480      SrcBlock        "Counter"
8481      SrcPort         1
8482      Points          [0, 0]
8483      Branch {
8484        Labels          [1, 0]
8485        DstBlock            "Relational"
8486        DstPort         2
8487      }
8488      Branch {
8489        Points          [0, 100]
8490        Branch {
8491          DstBlock            "AddSub"
8492          DstPort             2
8493        }
8494        Branch {
8495          Points              [0, 30]
8496          Branch {
8497        Points          [0, 35]
8498        DstBlock        "Relational1"
8499        DstPort         1
8500          }
8501          Branch {
8502        Points          [445, 0; 0, -85]
8503        DstBlock        "Scope"
8504        DstPort         1
8505          }
8506        }
8507      }
8508    }
8509    Line {
8510      SrcBlock        "Logical1"
8511      SrcPort         1
8512      DstBlock        "Counter"
8513      DstPort         1
8514    }
8515    Line {
8516      SrcBlock        "S-R_Latch2"
8517      SrcPort         1
8518      DstBlock        "done"
8519      DstPort         1
8520    }
8521    Line {
8522      SrcBlock        "Relational"
8523      SrcPort         1
8524      Points          [10, 0]
8525      Branch {
8526        Points          [0, 110; -550, 0; 0, -40]
8527        DstBlock            "Logical2"
8528        DstPort         2
8529      }
8530      Branch {
8531        Points          [0, -80; -290, 0; 0, 60]
8532        DstBlock            "Logical1"
8533        DstPort         1
8534      }
8535      Branch {
8536        DstBlock            "Logical7"
8537        DstPort         2
8538      }
8539    }
8540    Line {
8541      SrcBlock        "done_reset"
8542      SrcPort         1
8543      Points          [15, 0]
8544      Branch {
8545        DstBlock            "S-R_Latch2"
8546        DstPort         2
8547      }
8548      Branch {
8549        Points          [0, -145; -625, 0; 0, 75]
8550        DstBlock            "S-R_Latch3"
8551        DstPort         2
8552      }
8553    }
8554    Line {
8555      SrcBlock        "posedge"
8556      SrcPort         1
8557      Points          [15, 0]
8558      Branch {
8559        DstBlock            "Logical3"
8560        DstPort         1
8561      }
8562      Branch {
8563        Points          [0, 400]
8564        DstBlock            "Logical5"
8565        DstPort         3
8566      }
8567      Branch {
8568        Points          [0, -25]
8569        Branch {
8570          DstBlock            "Logical1"
8571          DstPort             2
8572        }
8573        Branch {
8574          Points              [0, -70]
8575          DstBlock            "S-R_Latch3"
8576          DstPort             1
8577        }
8578      }
8579    }
8580    Line {
8581      SrcBlock        "Logical3"
8582      SrcPort         1
8583      DstBlock        "S-R_Latch1"
8584      DstPort         1
8585    }
8586    Line {
8587      SrcBlock        "negedge"
8588      SrcPort         1
8589      DstBlock        "Logical3"
8590      DstPort         2
8591    }
8592    Line {
8593      SrcBlock        "pause"
8594      SrcPort         1
8595      Points          [115, 0]
8596      Branch {
8597        DstBlock            "posedge2"
8598        DstPort         1
8599      }
8600      Branch {
8601        Points          [0, -35]
8602        DstBlock            "negedge"
8603        DstPort         1
8604      }
8605    }
8606    Line {
8607      SrcBlock        "Sim-only\nGateway In"
8608      SrcPort         1
8609      DstBlock        "Sim Mux"
8610      DstPort         1
8611    }
8612    Line {
8613      SrcBlock        "slotTime"
8614      SrcPort         1
8615      DstBlock        "Relational2"
8616      DstPort         1
8617    }
8618    Line {
8619      SrcBlock        "Counter1"
8620      SrcPort         1
8621      Points          [35, 0]
8622      Branch {
8623        DstBlock            "Relational2"
8624        DstPort         2
8625      }
8626      Branch {
8627        Points          [0, 35; 505, 0; 0, -340]
8628        DstBlock            "Scope"
8629        DstPort         3
8630      }
8631    }
8632    Line {
8633      SrcBlock        "Logical5"
8634      SrcPort         1
8635      DstBlock        "Counter1"
8636      DstPort         1
8637    }
8638    Line {
8639      SrcBlock        "Relational2"
8640      SrcPort         1
8641      Points          [20, 0; 0, -60]
8642      Branch {
8643        Points          [-295, 0]
8644        Branch {
8645          DstBlock            "Logical5"
8646          DstPort             1
8647        }
8648        Branch {
8649          Points              [0, -320]
8650          DstBlock            "Logical6"
8651          DstPort             2
8652        }
8653      }
8654      Branch {
8655        Points          [380, 0; 0, -265]
8656        DstBlock            "Scope"
8657        DstPort         2
8658      }
8659    }
8660    Line {
8661      SrcBlock        "Inverter1"
8662      SrcPort         1
8663      DstBlock        "Logical5"
8664      DstPort         2
8665    }
8666    Line {
8667      SrcBlock        "Logical6"
8668      SrcPort         1
8669      DstBlock        "Counter"
8670      DstPort         2
8671    }
8672    Line {
8673      SrcBlock        "Convert1"
8674      SrcPort         1
8675      Points          [25, 0; 0, -75]
8676      DstBlock        "Sim Mux1"
8677      DstPort         2
8678    }
8679    Line {
8680      SrcBlock        "Sim Mux1"
8681      SrcPort         1
8682      Points          [60, 0]
8683      Branch {
8684        DstBlock            "Inverter1"
8685        DstPort         1
8686      }
8687      Branch {
8688        Points          [260, 0; 0, -250]
8689        Branch {
8690          DstBlock            "Logical6"
8691          DstPort             3
8692        }
8693        Branch {
8694          DstBlock            "Delay"
8695          DstPort             1
8696        }
8697      }
8698    }
8699    Line {
8700      SrcBlock        "Constant2"
8701      SrcPort         1
8702      DstBlock        "Sim-only\nGateway In"
8703      DstPort         1
8704    }
8705    Line {
8706      SrcBlock        "posedge2"
8707      SrcPort         1
8708      Points          [25, 0; 0, -15]
8709      DstBlock        "Logical2"
8710      DstPort         1
8711    }
8712    Line {
8713      SrcBlock        "Logical2"
8714      SrcPort         1
8715      DstBlock        "S-R_Latch1"
8716      DstPort         2
8717    }
8718    Line {
8719      SrcBlock        "Constant4"
8720      SrcPort         1
8721      DstBlock        "Sim-only\nGateway In2"
8722      DstPort         1
8723    }
8724    Line {
8725      SrcBlock        "Sim-only\nGateway In2"
8726      SrcPort         1
8727      DstBlock        "Sim Mux1"
8728      DstPort         1
8729    }
8730    Line {
8731      SrcBlock        "Logical7"
8732      SrcPort         1
8733      DstBlock        "S-R_Latch2"
8734      DstPort         1
8735    }
8736    Line {
8737      SrcBlock        "S-R_Latch3"
8738      SrcPort         1
8739      Points          [70, 0; 0, -50; 350, 0; 0, 95]
8740      DstBlock        "Logical7"
8741      DstPort         1
8742    }
8743    Line {
8744      SrcBlock        "Delay"
8745      SrcPort         1
8746      Points          [195, 0; 0, -75]
8747      DstBlock        "Logical7"
8748      DstPort         3
8749    }
8750    Annotation {
8751      Name            "This SR latch handles the case of a zero-slot-count\ntimer event. When the user code sets the slotCount to 0,\nthe comparison is immedilatey true. The timer done\nsignal then asserts when:\nif(carrier sensing) after IDLE AND\nafter user asserts start\nDone de-assets when the user clears the done bit\n*but does not re-assert* until the next user-initiated start."
8752      Position        [697, 92]
8753    }
8754      }
8755    }
8756    Block {
8757      BlockType           SubSystem
8758      Name            "Timer 1"
8759      Ports           [7, 4]
8760      Position            [335, 215, 440, 335]
8761      MinAlgLoopOccurrences   off
8762      PropExecContextOutsideSubsystem off
8763      RTWSystemCode       "Auto"
8764      FunctionWithSeparateData off
8765      Opaque              off
8766      RequestExecContextInheritance off
8767      MaskHideContents        off
8768      System {
8769    Name            "Timer 1"
8770    Location        [214, 74, 1910, 1156]
8771    Open            off
8772    ModelBrowserVisibility  on
8773    ModelBrowserWidth   200
8774    ScreenColor     "white"
8775    PaperOrientation    "landscape"
8776    PaperPositionMode   "auto"
8777    PaperType       "usletter"
8778    PaperUnits      "inches"
8779    TiledPaperMargins   [0.500000, 0.500000, 0.500000, 0.500000]
8780    TiledPageScale      1
8781    ShowPageBoundaries  off
8782    ZoomFactor      "100"
8783    Block {
8784      BlockType       Inport
8785      Name            "start"
8786      Position        [310, 283, 340, 297]
8787      IconDisplay         "Port number"
8788      OutDataType         "sfix(16)"
8789      OutScaling          "2^0"
8790    }
8791    Block {
8792      BlockType       Inport
8793      Name            "pause"
8794      Position        [310, 323, 340, 337]
8795      Port            "2"
8796      IconDisplay         "Port number"
8797      OutDataType         "sfix(16)"
8798      OutScaling          "2^0"
8799    }
8800    Block {
8801      BlockType       Inport
8802      Name            "mode"
8803      Position        [255, 648, 285, 662]
8804      NamePlacement       "alternate"
8805      Port            "3"
8806      IconDisplay         "Port number"
8807      OutDataType         "sfix(16)"
8808      OutScaling          "2^0"
8809    }
8810    Block {
8811      BlockType       Inport
8812      Name            "done_reset"
8813      Position        [1175, 283, 1205, 297]
8814      Port            "4"
8815      IconDisplay         "Port number"
8816      OutDataType         "sfix(16)"
8817      OutScaling          "2^0"
8818    }
8819    Block {
8820      BlockType       Inport
8821      Name            "slotCount"
8822      Position        [980, 238, 1010, 252]
8823      NamePlacement       "alternate"
8824      Port            "5"
8825      IconDisplay         "Port number"
8826      OutDataType         "sfix(16)"
8827      OutScaling          "2^0"
8828    }
8829    Block {
8830      BlockType       Inport
8831      Name            "slotTime"
8832      Position        [930, 658, 960, 672]
8833      Port            "6"
8834      IconDisplay         "Port number"
8835      OutDataType         "sfix(16)"
8836      OutScaling          "2^0"
8837    }
8838    Block {
8839      BlockType       Inport
8840      Name            "Medium Idle"
8841      Position        [250, 698, 280, 712]
8842      Port            "7"
8843      IconDisplay         "Port number"
8844      OutDataType         "sfix(16)"
8845      OutScaling          "2^0"
8846    }
8847    Block {
8848      BlockType       Reference
8849      Name            "AddSub"
8850      Ports           [2, 1]
8851      Position        [1210, 339, 1255, 386]
8852      ShowName        off
8853      SourceBlock         "xbsIndex_r4/AddSub"
8854      SourceType          "Xilinx Adder/Subtractor Block"
8855      mode            "Subtraction"
8856      use_carryin         off
8857      use_carryout        off
8858      en              off
8859      latency         "0"
8860      precision       "User Defined"
8861      arith_type          "Unsigned"
8862      n_bits          "32"
8863      bin_pt          "0"
8864      quantization        "Truncate"
8865      overflow        "Wrap"
8866      dbl_ovrd        off
8867      use_behavioral_HDL      off
8868      pipelined       off
8869      use_rpm         on
8870      hw_selection        "Fabric"
8871      xl_use_area         off
8872      xl_area         "[16 0 0 32 0 0 0]"
8873      has_advanced_control    "0"
8874      sggui_pos       "-1,-1,-1,-1"
8875      block_type          "addsub"
8876      block_version       "8.2"
8877      sg_icon_stat        "45,47,2,1,white,blue,0,46b4c804,right"
8878      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 ],[0 0 58 58 ],[0.77 0.82 0.91]);\npatch([15 5 19 5 15 30 34 38 54 41 29 20 34 20 29 41 54 38 34 30 15 ],[6 16 30 44 54 54 50 54 54 41 53 44 30 16 7 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 58 58 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1,'a');\ncolor('black');port_label('input',2,'b');\ncolor('black');port_label('output',1,'\\bf{a - b}','texmode','on');\nfprintf('','COMMENT: end icon text');\n"
8879    }
8880    Block {
8881      BlockType       Reference
8882      Name            "Constant"
8883      Ports           [0, 1]
8884      Position        [940, 454, 970, 466]
8885      ShowName        off
8886      SourceBlock         "xbsIndex_r4/Constant"
8887      SourceType          "Xilinx Constant Block Block"
8888      arith_type          "Unsigned"
8889      const           "0"
8890      n_bits          "32"
8891      bin_pt          "0"
8892      explicit_period     off
8893      period          "1"
8894      dsp48_infoedit      "The use of this block for DSP48 instructions is deprecated.  Please use the Opmode block."
8895      equ             "P=C"
8896      opselect        "C"
8897      inp2            "PCIN>>17"
8898      opr             "+"
8899      inp1            "P"
8900      carry           "CIN"
8901      dbl_ovrd        off
8902      has_advanced_control    "0"
8903      sggui_pos       "50,50,400,346"
8904      block_type          "constant"
8905      block_version       "10.1.2"
8906      sg_icon_stat        "30,12,0,1,white,blue,0,72d575a1,right"
8907      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 ],[0 0 26 26 ],[0.77 0.82 0.91]);\npatch([20 16 22 16 20 27 29 31 38 32 26 22 28 22 26 32 38 31 29 27 20 ],[3 7 13 19 23 23 21 23 23 17 23 19 13 7 3 9 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 55 55 0 0 ],[0 0 26 26 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('output',1,'0');\nfprintf('','COMMENT: end icon text');\n"
8908    }
8909    Block {
8910      BlockType       Reference
8911      Name            "Constant1"
8912      Ports           [0, 1]
8913      Position        [255, 672, 290, 688]
8914      ShowName        off
8915      SourceBlock         "xbsIndex_r4/Constant"
8916      SourceType          "Xilinx Constant Block Block"
8917      arith_type          "Unsigned"
8918      const           "1"
8919      n_bits          "1"
8920      bin_pt          "0"
8921      explicit_period     off
8922      period          "1"
8923      dsp48_infoedit      "The use of this block for DSP48 instructions is deprecated.  Please use the Opmode block."
8924      equ             "P=C"
8925      opselect        "C"
8926      inp2            "PCIN>>17"
8927      opr             "+"
8928      inp1            "P"
8929      carry           "CIN"
8930      dbl_ovrd        off
8931      has_advanced_control    "0"
8932      sggui_pos       "-1,-1,-1,-1"
8933      block_type          "constant"
8934      block_version       "8.2"
8935      sg_icon_stat        "35,16,0,1,white,blue,0,06094819,right"
8936      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 ],[0 0 26 26 ],[0.77 0.82 0.91]);\npatch([20 16 22 16 20 27 29 31 38 32 26 22 28 22 26 32 38 31 29 27 20 ],[3 7 13 19 23 23 21 23 23 17 23 19 13 7 3 9 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 55 55 0 0 ],[0 0 26 26 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('output',1,'1');\nfprintf('','COMMENT: end icon text');\n"
8937    }
8938    Block {
8939      BlockType       Constant
8940      Name            "Constant2"
8941      Position        [260, 261, 275, 279]
8942      ShowName        off
8943      OutDataType         "sfix(16)"
8944      OutScaling          "2^0"
8945    }
8946    Block {
8947      BlockType       Constant
8948      Name            "Constant4"
8949      Position        [315, 576, 330, 594]
8950      ShowName        off
8951      Value           "0"
8952      OutDataType         "sfix(16)"
8953      OutScaling          "2^0"
8954    }
8955    Block {
8956      BlockType       Reference
8957      Name            "Convert1"
8958      Ports           [1, 1]
8959      Position        [380, 670, 415, 690]
8960      ShowName        off
8961      SourceBlock         "xbsIndex_r4/Convert"
8962      SourceType          "Xilinx Type Converter Block"
8963      infoedit        "Hardware notes: rounding and saturating require hardware resources; truncating and wrapping do not."
8964      arith_type          "Boolean"
8965      n_bits          "16"
8966      bin_pt          "14"
8967      quantization        "Truncate"
8968      overflow        "Wrap"
8969      latency         "0"
8970      dbl_ovrd        off
8971      pipeline        off
8972      xl_use_area         off
8973      xl_area         "[0 0 0 0 0 0 0]"
8974      has_advanced_control    "0"
8975      sggui_pos       "20,20,461,375"
8976      block_type          "convert"
8977      block_version       "8.2"
8978      sg_icon_stat        "35,20,1,1,white,blue,0,74901e60,right"
8979      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 45 45 0 ],[0 0 30 30 ],[0.77 0.82 0.91]);\npatch([15 10 17 10 15 23 25 27 35 28 22 17 23 17 22 28 35 27 25 23 15 ],[3 8 15 22 27 27 25 27 27 20 26 21 15 9 4 10 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 45 45 0 0 ],[0 0 30 30 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('output',1,'cast');\nfprintf('','COMMENT: end icon text');\n"
8980    }
8981    Block {
8982      BlockType       Reference
8983      Name            "Counter"
8984      Ports           [2, 1]
8985      Position        [905, 224, 965, 326]
8986      NamePlacement       "alternate"
8987      SourceBlock         "xbsIndex_r4/Counter"
8988      SourceType          "Xilinx Counter Block"
8989      infoedit        "Hardware notes: Free running counters are the least expensive in hardware.  A count limited counter is implemented by combining a counter with a comparator."
8990      cnt_type        "Free Running"
8991      cnt_to          "Inf"
8992      operation       "Up"
8993      start_count         "0"
8994      cnt_by_val          "1"
8995      arith_type          "Unsigned"
8996      n_bits          "32"
8997      bin_pt          "0"
8998      load_pin        off
8999      rst             on
9000      en              on
9001      explicit_period     "on"
9002      period          "1"
9003      dbl_ovrd        off
9004      use_behavioral_HDL      off
9005      use_rpm         off
9006      implementation      "Fabric"
9007      xl_use_area         off
9008      xl_area         "[17 32 0 32 0 0 0]"
9009      has_advanced_control    "0"
9010      sggui_pos       "20,20,356,630"
9011      block_type          "counter"
9012      block_version       "8.2"
9013      sg_icon_stat        "60,102,2,1,white,blue,0,46c73e85,right"
9014      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 ],[0 0 60 60 ],[0.77 0.82 0.91]);\npatch([14 4 18 4 14 30 34 38 55 42 29 20 35 20 29 42 55 38 34 30 14 ],[6 16 30 44 54 54 50 54 54 41 54 45 30 15 6 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 60 60 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1,'rst');\ncolor('black');port_label('input',2,'en');\ncolor('black');port_label('output',1,'out');\nfprintf('','COMMENT: end icon text');\n"
9015    }
9016    Block {
9017      BlockType       Reference
9018      Name            "Counter1"
9019      Ports           [2, 1]
9020      Position        [835, 644, 895, 746]
9021      SourceBlock         "xbsIndex_r4/Counter"
9022      SourceType          "Xilinx Counter Block"
9023      infoedit        "Hardware notes: Free running counters are the least expensive in hardware.  A count limited counter is implemented by combining a counter with a comparator."
9024      cnt_type        "Free Running"
9025      cnt_to          "Inf"
9026      operation       "Up"
9027      start_count         "0"
9028      cnt_by_val          "1"
9029      arith_type          "Unsigned"
9030      n_bits          "16"
9031      bin_pt          "0"
9032      load_pin        off
9033      rst             on
9034      en              on
9035      explicit_period     "on"
9036      period          "1"
9037      dbl_ovrd        off
9038      use_behavioral_HDL      off
9039      use_rpm         off
9040      implementation      "Fabric"
9041      xl_use_area         off
9042      xl_area         "[17 32 0 32 0 0 0]"
9043      has_advanced_control    "0"
9044      sggui_pos       "20,20,356,630"
9045      block_type          "counter"
9046      block_version       "8.2"
9047      sg_icon_stat        "60,102,2,1,white,blue,0,46c73e85,right"
9048      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 ],[0 0 60 60 ],[0.77 0.82 0.91]);\npatch([14 4 18 4 14 30 34 38 55 42 29 20 35 20 29 42 55 38 34 30 14 ],[6 16 30 44 54 54 50 54 54 41 54 45 30 15 6 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 60 60 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1,'rst');\ncolor('black');port_label('input',2,'en');\ncolor('black');port_label('output',1,'out');\nfprintf('','COMMENT: end icon text');\n"
9049    }
9050    Block {
9051      BlockType       Reference
9052      Name            "Delay"
9053      Ports           [1, 1]
9054      Position        [925, 331, 950, 359]
9055      ShowName        off
9056      SourceBlock         "xbsIndex_r4/Delay"
9057      SourceType          "Xilinx Delay Block"
9058      infoedit        "Hardware notes: A delay line is a chain, each link of which is an SRL16 followed by a flip-flop."
9059      en              off
9060      latency         "1"
9061      dbl_ovrd        off
9062      reg_retiming        off
9063      xl_use_area         off
9064      xl_area         "[0,0,0,0,0,0,0]"
9065      has_advanced_control    "0"
9066      sggui_pos       "-1,-1,-1,-1"
9067      block_type          "delay"
9068      block_version       "10.1.3"
9069      sg_icon_stat        "25,28,1,1,white,blue,0,fc531c0e,right"
9070      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 25 25 0 ],[0 0 28 28 ],[0.77 0.82 0.91]);\npatch([6 2 8 2 6 13 15 17 24 18 13 9 14 9 13 18 24 17 15 13 6 ],[4 8 14 20 24 24 22 24 24 18 23 19 14 9 5 10 4 4 6 4 4 ],[0.98 0.96 0.92]);\nplot([0 25 25 0 0 ],[0 0 28 28 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');disp('z^{-1}','texmode','on');\nfprintf('','COMMENT: end icon text');\n"
9071    }
9072    Block {
9073      BlockType       Reference
9074      Name            "Inverter"
9075      Ports           [1, 1]
9076      Position        [1080, 476, 1125, 494]
9077      ShowName        off
9078      SourceBlock         "xbsIndex_r4/Inverter"
9079      SourceType          "Xilinx Inverter Block"
9080      infoedit        "Bitwise logical negation (one's complement) operator."
9081      en              off
9082      latency         "0"
9083      dbl_ovrd        off
9084      xl_use_area         off
9085      xl_area         "[0 0 0 0 0 0 0]"
9086      has_advanced_control    "0"
9087      sggui_pos       "-1,-1,-1,-1"
9088      block_type          "inv"
9089      block_version       "10.1.2"
9090      sg_icon_stat        "45,18,1,1,white,blue,0,1ab4a85f,right"
9091      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 ],[0 0 58 58 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13 28 32 36 52 40 28 19 32 19 28 40 52 36 32 28 13 ],[7 16 29 42 51 51 47 51 51 39 51 42 29 16 7 19 7 7 11 7 7 ],[0.98 0.96 0.92]);\nplot([0 55 55 0 0 ],[0 0 58 58 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');disp('not');\nfprintf('','COMMENT: end icon text');\n"
9092    }
9093    Block {
9094      BlockType       Reference
9095      Name            "Inverter1"
9096      Ports           [1, 1]
9097      Position        [590, 661, 635, 679]
9098      ShowName        off
9099      SourceBlock         "xbsIndex_r4/Inverter"
9100      SourceType          "Xilinx Inverter Block"
9101      infoedit        "Bitwise logical negation (one's complement) operator."
9102      en              off
9103      latency         "0"
9104      dbl_ovrd        off
9105      xl_use_area         off
9106      xl_area         "[0 0 0 0 0 0 0]"
9107      has_advanced_control    "0"
9108      sggui_pos       "-1,-1,-1,-1"
9109      block_type          "inv"
9110      block_version       "10.1.2"
9111      sg_icon_stat        "45,18,1,1,white,blue,0,1ab4a85f,right"
9112      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 ],[0 0 58 58 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13 28 32 36 52 40 28 19 32 19 28 40 52 36 32 28 13 ],[7 16 29 42 51 51 47 51 51 39 51 42 29 16 7 19 7 7 11 7 7 ],[0.98 0.96 0.92]);\nplot([0 55 55 0 0 ],[0 0 58 58 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');disp('not');\nfprintf('','COMMENT: end icon text');\n"
9113    }
9114    Block {
9115      BlockType       Reference
9116      Name            "Logical1"
9117      Ports           [2, 1]
9118      Position        [855, 231, 885, 264]
9119      ShowName        off
9120      SourceBlock         "xbsIndex_r4/Logical"
9121      SourceType          "Xilinx Logical Block Block"
9122      logical_function    "OR"
9123      inputs          "2"
9124      en              off
9125      latency         "0"
9126      precision       "Full"
9127      arith_type          "Unsigned"
9128      n_bits          "16"
9129      bin_pt          "0"
9130      align_bp        on
9131      dbl_ovrd        off
9132      xl_use_area         off
9133      xl_area         "[1 0 0 1 0 0 0]"
9134      has_advanced_control    "0"
9135      sggui_pos       "-1,-1,-1,-1"
9136      block_type          "logical"
9137      block_version       "9.1.01"
9138      sg_icon_stat        "30,33,2,1,white,blue,0,f4a65842,right"
9139      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 ],[0 0 60 60 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13 28 32 36 52 40 28 19 32 19 28 40 52 36 32 28 13 ],[8 17 30 43 52 52 48 52 52 40 52 43 30 17 8 20 8 8 12 8 8 ],[0.98 0.96 0.92]);\nplot([0 55 55 0 0 ],[0 0 60 60 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');disp('\\newlineor\\newlinez^{-0}','texmode','on');\nfprintf('','COMMENT: end icon text');\n"
9140    }
9141    Block {
9142      BlockType       Reference
9143      Name            "Logical2"
9144      Ports           [2, 1]
9145      Position        [590, 306, 620, 339]
9146      ShowName        off
9147      SourceBlock         "xbsIndex_r4/Logical"
9148      SourceType          "Xilinx Logical Block Block"
9149      logical_function    "OR"
9150      inputs          "2"
9151      en              off
9152      latency         "0"
9153      precision       "Full"
9154      arith_type          "Unsigned"
9155      n_bits          "16"
9156      bin_pt          "0"
9157      align_bp        on
9158      dbl_ovrd        off
9159      xl_use_area         off
9160      xl_area         "[1 0 0 1 0 0 0]"
9161      has_advanced_control    "0"
9162      sggui_pos       "-1,-1,-1,-1"
9163      block_type          "logical"
9164      block_version       "9.1.01"
9165      sg_icon_stat        "30,33,2,1,white,blue,0,f4a65842,right"
9166      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 ],[0 0 60 60 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13 28 32 36 52 40 28 19 32 19 28 40 52 36 32 28 13 ],[8 17 30 43 52 52 48 52 52 40 52 43 30 17 8 20 8 8 12 8 8 ],[0.98 0.96 0.92]);\nplot([0 55 55 0 0 ],[0 0 60 60 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');disp('\\newlineor\\newlinez^{-0}','texmode','on');\nfprintf('','COMMENT: end icon text');\n"
9167    }
9168    Block {
9169      BlockType       Reference
9170      Name            "Logical3"
9171      Ports           [2, 1]
9172      Position        [590, 271, 620, 304]
9173      ShowName        off
9174      SourceBlock         "xbsIndex_r4/Logical"
9175      SourceType          "Xilinx Logical Block Block"
9176      logical_function    "OR"
9177      inputs          "2"
9178      en              off
9179      latency         "0"
9180      precision       "Full"
9181      arith_type          "Unsigned"
9182      n_bits          "16"
9183      bin_pt          "0"
9184      align_bp        on
9185      dbl_ovrd        off
9186      xl_use_area         off
9187      xl_area         "[1 0 0 1 0 0 0]"
9188      has_advanced_control    "0"
9189      sggui_pos       "-1,-1,-1,-1"
9190      block_type          "logical"
9191      block_version       "9.1.01"
9192      sg_icon_stat        "30,33,2,1,white,blue,0,f4a65842,right"
9193      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 ],[0 0 60 60 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13 28 32 36 52 40 28 19 32 19 28 40 52 36 32 28 13 ],[8 17 30 43 52 52 48 52 52 40 52 43 30 17 8 20 8 8 12 8 8 ],[0.98 0.96 0.92]);\nplot([0 55 55 0 0 ],[0 0 60 60 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');disp('\\newlineor\\newlinez^{-0}','texmode','on');\nfprintf('','COMMENT: end icon text');\n"
9194    }
9195    Block {
9196      BlockType       Reference
9197      Name            "Logical4"
9198      Ports           [2, 1]
9199      Position        [1155, 433, 1200, 502]
9200      ShowName        off
9201      SourceBlock         "xbsIndex_r4/Logical"
9202      SourceType          "Xilinx Logical Block Block"
9203      logical_function    "AND"
9204      inputs          "2"
9205      en              off
9206      latency         "0"
9207      precision       "Full"
9208      arith_type          "Unsigned"
9209      n_bits          "16"
9210      bin_pt          "0"
9211      align_bp        on
9212      dbl_ovrd        off
9213      xl_use_area         off
9214      xl_area         "[1 0 0 1 0 0 0]"
9215      has_advanced_control    "0"
9216      sggui_pos       "-1,-1,-1,-1"
9217      block_type          "logical"
9218      block_version       "10.1.2"
9219      sg_icon_stat        "45,69,2,1,white,blue,0,087b5522,right"
9220      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 ],[0 0 60 60 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13 28 32 36 52 40 28 19 32 19 28 40 52 36 32 28 13 ],[8 17 30 43 52 52 48 52 52 40 52 43 30 17 8 20 8 8 12 8 8 ],[0.98 0.96 0.92]);\nplot([0 55 55 0 0 ],[0 0 60 60 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');disp('\\newlineand\\newlinez^{-0}','texmode','on');\nfprintf('','COMMENT: end icon text');\n"
9221    }
9222    Block {
9223      BlockType       Reference
9224      Name            "Logical5"
9225      Ports           [3, 1]
9226      Position        [770, 652, 805, 688]
9227      ShowName        off
9228      SourceBlock         "xbsIndex_r4/Logical"
9229      SourceType          "Xilinx Logical Block Block"
9230      logical_function    "OR"
9231      inputs          "3"
9232      en              off
9233      latency         "0"
9234      precision       "Full"
9235      arith_type          "Unsigned"
9236      n_bits          "16"
9237      bin_pt          "0"
9238      align_bp        on
9239      dbl_ovrd        off
9240      xl_use_area         off
9241      xl_area         "[1 0 0 1 0 0 0]"
9242      has_advanced_control    "0"
9243      sggui_pos       "20,20,348,261"
9244      block_type          "logical"
9245      block_version       "8.2"
9246      sg_icon_stat        "35,36,3,1,white,blue,0,bd50cad4,right"
9247      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 ],[0 0 60 60 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13 28 32 36 52 40 28 19 32 19 28 40 52 36 32 28 13 ],[8 17 30 43 52 52 48 52 52 40 52 43 30 17 8 20 8 8 12 8 8 ],[0.98 0.96 0.92]);\nplot([0 55 55 0 0 ],[0 0 60 60 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');disp('\\newlineor\\newlinez^{-0}','texmode','on');\nfprintf('','COMMENT: end icon text');\n"
9248    }
9249    Block {
9250      BlockType       Reference
9251      Name            "Logical6"
9252      Ports           [3, 1]
9253      Position        [850, 282, 885, 318]
9254      ShowName        off
9255      SourceBlock         "xbsIndex_r4/Logical"
9256      SourceType          "Xilinx Logical Block Block"
9257      logical_function    "AND"
9258      inputs          "3"
9259      en              off
9260      latency         "0"
9261      precision       "Full"
9262      arith_type          "Unsigned"
9263      n_bits          "16"
9264      bin_pt          "0"
9265      align_bp        on
9266      dbl_ovrd        off
9267      xl_use_area         off
9268      xl_area         "[1 0 0 1 0 0 0]"
9269      has_advanced_control    "0"
9270      sggui_pos       "20,20,348,261"
9271      block_type          "logical"
9272      block_version       "8.2"
9273      sg_icon_stat        "35,36,3,1,white,blue,0,5c2bfaa2,right"
9274      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 ],[0 0 60 60 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13 28 32 36 52 40 28 19 32 19 28 40 52 36 32 28 13 ],[8 17 30 43 52 52 48 52 52 40 52 43 30 17 8 20 8 8 12 8 8 ],[0.98 0.96 0.92]);\nplot([0 55 55 0 0 ],[0 0 60 60 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');disp('\\newlineand\\newlinez^{-0}','texmode','on');\nfprintf('','COMMENT: end icon text');\n"
9275    }
9276    Block {
9277      BlockType       Reference
9278      Name            "Logical7"
9279      Ports           [3, 1]
9280      Position        [1175, 243, 1205, 277]
9281      ShowName        off
9282      SourceBlock         "xbsIndex_r4/Logical"
9283      SourceType          "Xilinx Logical Block Block"
9284      logical_function    "AND"
9285      inputs          "3"
9286      en              off
9287      latency         "0"
9288      precision       "Full"
9289      arith_type          "Unsigned"
9290      n_bits          "16"
9291      bin_pt          "0"
9292      align_bp        on
9293      dbl_ovrd        off
9294      xl_use_area         off
9295      xl_area         "[1 0 0 1 0 0 0]"
9296      has_advanced_control    "0"
9297      sggui_pos       "20,20,348,261"
9298      block_type          "logical"
9299      block_version       "9.1.01"
9300      sg_icon_stat        "30,34,3,1,white,blue,0,5c2bfaa2,right"
9301      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 30 30 0 ],[0 0 34 34 ],[0.77 0.82 0.91]);\npatch([7 2 9 2 7 15 17 19 27 20 14 9 15 9 14 20 27 19 17 15 7 ],[5 10 17 24 29 29 27 29 29 22 28 23 17 11 6 12 5 5 7 5 5 ],[0.98 0.96 0.92]);\nplot([0 30 30 0 0 ],[0 0 34 34 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');disp('\\newlineand\\newlinez^{-0}','texmode','on');\nfprintf('','COMMENT: end icon text');\n"
9302    }
9303    Block {
9304      BlockType       Reference
9305      Name            "Mux"
9306      Ports           [3, 1]
9307      Position        [320, 643, 345, 717]
9308      ShowName        off
9309      SourceBlock         "xbsIndex_r4/Mux"
9310      SourceType          "Xilinx Bus Multiplexer Block"
9311      inputs          "2"
9312      en              off
9313      latency         "0"
9314      precision       "Full"
9315      arith_type          "Unsigned"
9316      n_bits          "16"
9317      bin_pt          "14"
9318      quantization        "Truncate"
9319      overflow        "Wrap"
9320      dbl_ovrd        off
9321      xl_use_area         off
9322      xl_area         "[1 0 0 1 0 0 0]"
9323      has_advanced_control    "0"
9324      sggui_pos       "-1,-1,-1,-1"
9325      block_type          "mux"
9326      block_version       "8.2"
9327      sg_icon_stat        "25,74,3,1,white,blue,3,613f58e1,right"
9328      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 45 45 0 ],[0 14.8571 89.1429 104 ],[0.77 0.82 0.91]);\npatch([10 3 14 3 10 22 25 28 41 31 21 14 25 14 21 31 41 28 25 22 10 ],[34 41 52 63 70 70 67 70 70 60 70 63 52 41 34 44 34 34 37 34 34 ],[0.98 0.96 0.92]);\nplot([0 45 45 0 0 ],[0 14.8571 89.1429 104 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1,'sel');\ncolor('black');port_label('input',2,'d0');\ncolor('black');port_label('input',3,'d1');\ncolor('black');disp('\\bf{}','texmode','on');\nfprintf('','COMMENT: end icon text');\n"
9329    }
9330    Block {
9331      BlockType       Reference
9332      Name            "Relational"
9333      Ports           [2, 1]
9334      Position        [1060, 231, 1100, 289]
9335      ShowName        off
9336      SourceBlock         "xbsIndex_r4/Relational"
9337      SourceType          "Xilinx Arithmetic Relational Operator Block"
9338      mode            "a<=b"
9339      en              off
9340      latency         "0"
9341      dbl_ovrd        off
9342      xl_use_area         off
9343      xl_area         "[8 0 0 16 0 0 0]"
9344      has_advanced_control    "0"
9345      sggui_pos       "20,20,348,193"
9346      block_type          "relational"
9347      block_version       "8.2"
9348      sg_icon_stat        "40,58,2,1,white,blue,0,07808d72,right"
9349      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 ],[0 0 56 56 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13 28 32 36 52 40 28 19 32 19 28 40 52 36 32 28 13 ],[6 15 28 41 50 50 46 50 50 38 50 41 28 15 6 18 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 55 55 0 0 ],[0 0 56 56 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1,'a');\ncolor('black');port_label('input',2,'b');\ncolor('black');disp('\\newline\\bf{a<=b}\\newlinez^{-0}','texmode','on');\nfprintf('','COMMENT: end icon text');\n"
9350    }
9351    Block {
9352      BlockType       Reference
9353      Name            "Relational1"
9354      Ports           [2, 1]
9355      Position        [1005, 431, 1050, 469]
9356      ShowName        off
9357      SourceBlock         "xbsIndex_r4/Relational"
9358      SourceType          "Xilinx Arithmetic Relational Operator Block"
9359      mode            "a>b"
9360      en              off
9361      latency         "0"
9362      dbl_ovrd        off
9363      xl_use_area         off
9364      xl_area         "[16 1 0 32 0 0 0]"
9365      has_advanced_control    "0"
9366      sggui_pos       "20,20,348,193"
9367      block_type          "relational"
9368      block_version       "10.1.2"
9369      sg_icon_stat        "45,38,2,1,white,blue,0,064af3a6,right"
9370      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 ],[0 0 56 56 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13 28 32 36 52 40 28 19 32 19 28 40 52 36 32 28 13 ],[6 15 28 41 50 50 46 50 50 38 50 41 28 15 6 18 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 55 55 0 0 ],[0 0 56 56 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1,'a');\ncolor('black');port_label('input',2,'b');\ncolor('black');disp('\\newline\\bf{a>b}\\newlinez^{-0}','texmode','on');\nfprintf('','COMMENT: end icon text');\n"
9371    }
9372    Block {
9373      BlockType       Reference
9374      Name            "Relational2"
9375      Ports           [2, 1]
9376      Position        [985, 651, 1025, 709]
9377      ShowName        off
9378      SourceBlock         "xbsIndex_r4/Relational"
9379      SourceType          "Xilinx Arithmetic Relational Operator Block"
9380      mode            "a<=b"
9381      en              off
9382      latency         "0"
9383      dbl_ovrd        off
9384      xl_use_area         off
9385      xl_area         "[8 0 0 16 0 0 0]"
9386      has_advanced_control    "0"
9387      sggui_pos       "20,20,348,193"
9388      block_type          "relational"
9389      block_version       "8.2"
9390      sg_icon_stat        "40,58,2,1,white,blue,0,07808d72,right"
9391      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 ],[0 0 56 56 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13 28 32 36 52 40 28 19 32 19 28 40 52 36 32 28 13 ],[6 15 28 41 50 50 46 50 50 38 50 41 28 15 6 18 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 55 55 0 0 ],[0 0 56 56 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1,'a');\ncolor('black');port_label('input',2,'b');\ncolor('black');disp('\\newline\\bf{a<=b}\\newlinez^{-0}','texmode','on');\nfprintf('','COMMENT: end icon text');\n"
9392    }
9393    Block {
9394      BlockType       SubSystem
9395      Name            "S-R_Latch1"
9396      Ports           [2, 1]
9397      Position        [665, 272, 705, 343]
9398      MinAlgLoopOccurrences   off
9399      PropExecContextOutsideSubsystem off
9400      RTWSystemCode       "Auto"
9401      FunctionWithSeparateData off
9402      Opaque          off
9403      RequestExecContextInheritance off
9404      MaskHideContents    off
9405      System {
9406        Name            "S-R_Latch1"
9407        Location            [202, 70, 1438, 850]
9408        Open            off
9409        ModelBrowserVisibility  on
9410        ModelBrowserWidth       200
9411        ScreenColor         "white"
9412        PaperOrientation        "landscape"
9413        PaperPositionMode       "auto"
9414        PaperType           "usletter"
9415        PaperUnits          "inches"
9416        TiledPaperMargins       [0.500000, 0.500000, 0.500000, 0.500000]
9417        TiledPageScale      1
9418        ShowPageBoundaries      off
9419        ZoomFactor          "100"
9420        Block {
9421          BlockType           Inport
9422          Name            "S"
9423          Position            [95, 58, 125, 72]
9424          IconDisplay         "Port number"
9425          OutDataType         "sfix(16)"
9426          OutScaling          "2^0"
9427        }
9428        Block {
9429          BlockType           Inport
9430          Name            "R"
9431          Position            [95, 88, 125, 102]
9432          Port            "2"
9433          IconDisplay         "Port number"
9434          OutDataType         "sfix(16)"
9435          OutScaling          "2^0"
9436        }
9437        Block {
9438          BlockType           Reference
9439          Name            "Inverter"
9440          Ports           [1, 1]
9441          Position            [220, 82, 250, 108]
9442          SourceBlock         "xbsIndex_r4/Inverter"
9443          SourceType          "Xilinx Inverter Block"
9444          infoedit            "Bitwise logical negation (one's complement) operator."
9445          en              "off"
9446          latency             "0"
9447          dbl_ovrd            "off"
9448          xl_use_area         "off"
9449          xl_area             "[0 0 0 0 0 0 0]"
9450          has_advanced_control    "0"
9451          sggui_pos           "-1,-1,-1,-1"
9452          block_type          "inv"
9453          block_version       "VER_STRING_GOES_HERE"
9454          sg_icon_stat        "30,26,1,1,white,blue,0,1ab4a85f,right"
9455          sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 ],[0 0 58 58 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13 28 32 36 52 40 28 19 32 19 28 40 52 36 32 28 13 ],[7 16 29 42 51 51 47 51 51 39 51 42 29 16 7 19 7 7 11 7 7 ],[0.98 0.96 0.92]);\nplot([0 55 55 0 0 ],[0 0 58 58 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');disp('not');\nfprintf('','COMMENT: end icon text');\n"
9456        }
9457        Block {
9458          BlockType           Reference
9459          Name            "Register"
9460          Ports           [3, 1]
9461          Position            [150, 70, 195, 120]
9462          SourceBlock         "xbsIndex_r4/Register"
9463          SourceType          "Xilinx Register Block"
9464          init            "0"
9465          rst             "on"
9466          en              "on"
9467          dbl_ovrd            "off"
9468          xl_use_area         "off"
9469          xl_area             "[1 1 0 0 0 0 0]"
9470          has_advanced_control    "0"
9471          sggui_pos           "20,20,348,193"
9472          block_type          "register"
9473          block_version       "VER_STRING_GOES_HERE"
9474          sg_icon_stat        "45,50,1,1,white,blue,0,923c1847,right"
9475          sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 ],[0 0 56 56 ],[0.77 0.82 0.91]);\npatch([15 6 19 6 15 30 34 38 54 41 29 20 32 20 29 41 54 38 34 30 15 ],[6 15 28 41 50 50 46 50 50 37 49 40 28 16 7 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 56 56 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1,'d');\ncolor('black');port_label('input',2,'rst');\ncolor('black');port_label('input',3,'en');\ncolor('black');port_label('output',1,'q');\ncolor('black');disp('\\bf{z^{-1}}','texmode','on');\nfprintf('','COMMENT: end icon text');\n"
9476        }
9477        Block {
9478          BlockType           Outport
9479          Name            "Q"
9480          Position            [285, 63, 315, 77]
9481          IconDisplay         "Port number"
9482          OutDataType         "sfix(16)"
9483          OutScaling          "2^0"
9484        }
9485        Line {
9486          SrcBlock            "Register"
9487          SrcPort             1
9488          Points              [0, 0; 5, 0]
9489          Branch {
9490        DstBlock        "Inverter"
9491        DstPort         1
9492          }
9493          Branch {
9494        Points          [0, -25]
9495        DstBlock        "Q"
9496        DstPort         1
9497          }
9498        }
9499        Line {
9500          SrcBlock            "Inverter"
9501          SrcPort             1
9502          Points              [10, 0; 0, 55; -130, 0]
9503          DstBlock            "Register"
9504          DstPort             3
9505        }
9506        Line {
9507          SrcBlock            "S"
9508          SrcPort             1
9509          DstBlock            "Register"
9510          DstPort             1
9511        }
9512        Line {
9513          SrcBlock            "R"
9514          SrcPort             1
9515          DstBlock            "Register"
9516          DstPort             2
9517        }
9518      }
9519    }
9520    Block {
9521      BlockType       SubSystem
9522      Name            "S-R_Latch2"
9523      Ports           [2, 1]
9524      Position        [1285, 245, 1325, 305]
9525      MinAlgLoopOccurrences   off
9526      PropExecContextOutsideSubsystem off
9527      RTWSystemCode       "Auto"
9528      FunctionWithSeparateData off
9529      Opaque          off
9530      RequestExecContextInheritance off
9531      MaskHideContents    off
9532      System {
9533        Name            "S-R_Latch2"
9534        Location            [521, 318, 918, 591]
9535        Open            off
9536        ModelBrowserVisibility  on
9537        ModelBrowserWidth       200
9538        ScreenColor         "white"
9539        PaperOrientation        "landscape"
9540        PaperPositionMode       "auto"
9541        PaperType           "usletter"
9542        PaperUnits          "inches"
9543        TiledPaperMargins       [0.500000, 0.500000, 0.500000, 0.500000]
9544        TiledPageScale      1
9545        ShowPageBoundaries      off
9546        ZoomFactor          "100"
9547        Block {
9548          BlockType           Inport
9549          Name            "S"
9550          Position            [95, 58, 125, 72]
9551          IconDisplay         "Port number"
9552          OutDataType         "sfix(16)"
9553          OutScaling          "2^0"
9554        }
9555        Block {
9556          BlockType           Inport
9557          Name            "R"
9558          Position            [95, 88, 125, 102]
9559          Port            "2"
9560          IconDisplay         "Port number"
9561          OutDataType         "sfix(16)"
9562          OutScaling          "2^0"
9563        }
9564        Block {
9565          BlockType           Reference
9566          Name            "Inverter"
9567          Ports           [1, 1]
9568          Position            [220, 82, 250, 108]
9569          SourceBlock         "xbsIndex_r4/Inverter"
9570          SourceType          "Xilinx Inverter Block"
9571          infoedit            "Bitwise logical negation (one's complement) operator."
9572          en              "off"
9573          latency             "0"
9574          dbl_ovrd            "off"
9575          xl_use_area         "off"
9576          xl_area             "[0 0 0 0 0 0 0]"
9577          has_advanced_control    "0"
9578          sggui_pos           "-1,-1,-1,-1"
9579          block_type          "inv"
9580          block_version       "VER_STRING_GOES_HERE"
9581          sg_icon_stat        "30,26,1,1,white,blue,0,1ab4a85f,right"
9582          sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 ],[0 0 58 58 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13 28 32 36 52 40 28 19 32 19 28 40 52 36 32 28 13 ],[7 16 29 42 51 51 47 51 51 39 51 42 29 16 7 19 7 7 11 7 7 ],[0.98 0.96 0.92]);\nplot([0 55 55 0 0 ],[0 0 58 58 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');disp('not');\nfprintf('','COMMENT: end icon text');\n"
9583        }
9584        Block {
9585          BlockType           Reference
9586          Name            "Register"
9587          Ports           [3, 1]
9588          Position            [150, 70, 195, 120]
9589          SourceBlock         "xbsIndex_r4/Register"
9590          SourceType          "Xilinx Register Block"
9591          init            "0"
9592          rst             "on"
9593          en              "on"
9594          dbl_ovrd            "off"
9595          xl_use_area         "off"
9596          xl_area             "[1 1 0 0 0 0 0]"
9597          has_advanced_control    "0"
9598          sggui_pos           "-1,-1,-1,-1"
9599          block_type          "register"
9600          block_version       "VER_STRING_GOES_HERE"
9601          sg_icon_stat        "45,50,1,1,white,blue,0,923c1847,right"
9602          sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 ],[0 0 56 56 ],[0.77 0.82 0.91]);\npatch([15 6 19 6 15 30 34 38 54 41 29 20 32 20 29 41 54 38 34 30 15 ],[6 15 28 41 50 50 46 50 50 37 49 40 28 16 7 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 56 56 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1,'d');\ncolor('black');port_label('input',2,'rst');\ncolor('black');port_label('input',3,'en');\ncolor('black');port_label('output',1,'q');\ncolor('black');disp('\\bf{z^{-1}}','texmode','on');\nfprintf('','COMMENT: end icon text');\n"
9603        }
9604        Block {
9605          BlockType           Outport
9606          Name            "Q"
9607          Position            [285, 63, 315, 77]
9608          IconDisplay         "Port number"
9609          OutDataType         "sfix(16)"
9610          OutScaling          "2^0"
9611        }
9612        Line {
9613          SrcBlock            "R"
9614          SrcPort             1
9615          DstBlock            "Register"
9616          DstPort             2
9617        }
9618        Line {
9619          SrcBlock            "S"
9620          SrcPort             1
9621          DstBlock            "Register"
9622          DstPort             1
9623        }
9624        Line {
9625          SrcBlock            "Inverter"
9626          SrcPort             1
9627          Points              [10, 0; 0, 55; -130, 0]
9628          DstBlock            "Register"
9629          DstPort             3
9630        }
9631        Line {
9632          SrcBlock            "Register"
9633          SrcPort             1
9634          Points              [0, 0; 5, 0]
9635          Branch {
9636        Points          [0, -25]
9637        DstBlock        "Q"
9638        DstPort         1
9639          }
9640          Branch {
9641        DstBlock        "Inverter"
9642        DstPort         1
9643          }
9644        }
9645      }
9646    }
9647    Block {
9648      BlockType       SubSystem
9649      Name            "S-R_Latch3"
9650      Ports           [2, 1]
9651      Position        [665, 167, 705, 238]
9652      MinAlgLoopOccurrences   off
9653      PropExecContextOutsideSubsystem off
9654      RTWSystemCode       "Auto"
9655      FunctionWithSeparateData off
9656      Opaque          off
9657      RequestExecContextInheritance off
9658      MaskHideContents    off
9659      System {
9660        Name            "S-R_Latch3"
9661        Location            [202, 70, 1438, 850]
9662        Open            off
9663        ModelBrowserVisibility  on
9664        ModelBrowserWidth       200
9665        ScreenColor         "white"
9666        PaperOrientation        "landscape"
9667        PaperPositionMode       "auto"
9668        PaperType           "usletter"
9669        PaperUnits          "inches"
9670        TiledPaperMargins       [0.500000, 0.500000, 0.500000, 0.500000]
9671        TiledPageScale      1
9672        ShowPageBoundaries      off
9673        ZoomFactor          "100"
9674        Block {
9675          BlockType           Inport
9676          Name            "S"
9677          Position            [95, 58, 125, 72]
9678          IconDisplay         "Port number"
9679          OutDataType         "sfix(16)"
9680          OutScaling          "2^0"
9681        }
9682        Block {
9683          BlockType           Inport
9684          Name            "R"
9685          Position            [95, 88, 125, 102]
9686          Port            "2"
9687          IconDisplay         "Port number"
9688          OutDataType         "sfix(16)"
9689          OutScaling          "2^0"
9690        }
9691        Block {
9692          BlockType           Reference
9693          Name            "Inverter"
9694          Ports           [1, 1]
9695          Position            [220, 82, 250, 108]
9696          SourceBlock         "xbsIndex_r4/Inverter"
9697          SourceType          "Xilinx Inverter Block"
9698          infoedit            "Bitwise logical negation (one's complement) operator."
9699          en              "off"
9700          latency             "0"
9701          dbl_ovrd            "off"
9702          xl_use_area         "off"
9703          xl_area             "[0 0 0 0 0 0 0]"
9704          has_advanced_control    "0"
9705          sggui_pos           "-1,-1,-1,-1"
9706          block_type          "inv"
9707          block_version       "VER_STRING_GOES_HERE"
9708          sg_icon_stat        "30,26,1,1,white,blue,0,1ab4a85f,right"
9709          sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 ],[0 0 58 58 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13 28 32 36 52 40 28 19 32 19 28 40 52 36 32 28 13 ],[7 16 29 42 51 51 47 51 51 39 51 42 29 16 7 19 7 7 11 7 7 ],[0.98 0.96 0.92]);\nplot([0 55 55 0 0 ],[0 0 58 58 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');disp('not');\nfprintf('','COMMENT: end icon text');\n"
9710        }
9711        Block {
9712          BlockType           Reference
9713          Name            "Register"
9714          Ports           [3, 1]
9715          Position            [150, 70, 195, 120]
9716          SourceBlock         "xbsIndex_r4/Register"
9717          SourceType          "Xilinx Register Block"
9718          init            "0"
9719          rst             "on"
9720          en              "on"
9721          dbl_ovrd            "off"
9722          xl_use_area         "off"
9723          xl_area             "[1 1 0 0 0 0 0]"
9724          has_advanced_control    "0"
9725          sggui_pos           "20,20,348,193"
9726          block_type          "register"
9727          block_version       "VER_STRING_GOES_HERE"
9728          sg_icon_stat        "45,50,1,1,white,blue,0,923c1847,right"
9729          sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 ],[0 0 56 56 ],[0.77 0.82 0.91]);\npatch([15 6 19 6 15 30 34 38 54 41 29 20 32 20 29 41 54 38 34 30 15 ],[6 15 28 41 50 50 46 50 50 37 49 40 28 16 7 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 56 56 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1,'d');\ncolor('black');port_label('input',2,'rst');\ncolor('black');port_label('input',3,'en');\ncolor('black');port_label('output',1,'q');\ncolor('black');disp('\\bf{z^{-1}}','texmode','on');\nfprintf('','COMMENT: end icon text');\n"
9730        }
9731        Block {
9732          BlockType           Outport
9733          Name            "Q"
9734          Position            [285, 63, 315, 77]
9735          IconDisplay         "Port number"
9736          OutDataType         "sfix(16)"
9737          OutScaling          "2^0"
9738        }
9739        Line {
9740          SrcBlock            "R"
9741          SrcPort             1
9742          DstBlock            "Register"
9743          DstPort             2
9744        }
9745        Line {
9746          SrcBlock            "S"
9747          SrcPort             1
9748          DstBlock            "Register"
9749          DstPort             1
9750        }
9751        Line {
9752          SrcBlock            "Inverter"
9753          SrcPort             1
9754          Points              [10, 0; 0, 55; -130, 0]
9755          DstBlock            "Register"
9756          DstPort             3
9757        }
9758        Line {
9759          SrcBlock            "Register"
9760          SrcPort             1
9761          Points              [0, 0; 5, 0]
9762          Branch {
9763        Points          [0, -25]
9764        DstBlock        "Q"
9765        DstPort         1
9766          }
9767          Branch {
9768        DstBlock        "Inverter"
9769        DstPort         1
9770          }
9771        }
9772      }
9773    }
9774    Block {
9775      BlockType       Scope
9776      Name            "Scope"
9777      Ports           [3]
9778      Position        [1530, 305, 1560, 405]
9779      Floating        off
9780      Location        [1, 45, 1441, 869]
9781      Open            off
9782      NumInputPorts       "3"
9783      ZoomMode        "xonly"
9784      List {
9785        ListType            AxesTitles
9786        axes1           "%<SignalLabel>"
9787        axes2           "%<SignalLabel>"
9788        axes3           "%<SignalLabel>"
9789      }
9790      YMin            "-5~-5~-5"
9791      YMax            "5~5~5"
9792      DataFormat          "StructureWithTime"
9793      SampleTime          "0"
9794    }
9795    Block {
9796      BlockType       Reference
9797      Name            "Sim Mux"
9798      Ports           [2, 1]
9799      Position        [405, 261, 445, 299]
9800      NamePlacement       "alternate"
9801      SourceBlock         "xbsIndex_r4/Simulation Multiplexer"
9802      SourceType          "Xilinx Simulation Multiplexer Block"
9803      infoedit        "Distinguish input subsystems as \"simulation only\" and \"generation only\".  The input specified For Simulation will be used during Simulink simulation.  The input specified For Generation will be used during code generation.  This block will typically be used on the output of a HW Co-Simulation, Black Box, or ModelSim subsystem.<P><P>Hardware Notes: This block costs nothing."
9804      sim_sel         "1"
9805      hw_sel          "2"
9806      has_advanced_control    "0"
9807      sggui_pos       "20,20,336,197"
9808      block_type          "simmux"
9809      block_version       "10.1.3"
9810      sg_icon_stat        "40,38,2,1,white,blue,0,c4f98ccb,right"
9811      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 50 50 0 ],[0 0 51 51 ],[0.77 0.82 0.91]);\npatch([11 3 15 3 11 24 28 32 46 35 24 16 28 16 24 35 46 32 28 24 11 ],[6 14 26 38 46 46 42 46 46 35 46 38 26 14 6 17 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 50 50 0 0 ],[0 0 51 51 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\n\nfprintf('','COMMENT: end icon text');\ncolor('red');\nplot(swLineX,simSwLineY);\ncolor('black');\nplot(swLineX,hwSwLineY);\n"
9812    }
9813    Block {
9814      BlockType       Reference
9815      Name            "Sim Mux1"
9816      Ports           [2, 1]
9817      Position        [470, 576, 510, 614]
9818      NamePlacement       "alternate"
9819      SourceBlock         "xbsIndex_r4/Simulation Multiplexer"
9820      SourceType          "Xilinx Simulation Multiplexer Block"
9821      infoedit        "Distinguish input subsystems as \"simulation only\" and \"generation only\".  The input specified For Simulation will be used during Simulink simulation.  The input specified For Generation will be used during code generation.  This block will typically be used on the output of a HW Co-Simulation, Black Box, or ModelSim subsystem.<P><P>Hardware Notes: This block costs nothing."
9822      sim_sel         "1"
9823      hw_sel          "2"
9824      has_advanced_control    "0"
9825      sggui_pos       "20,20,336,197"
9826      block_type          "simmux"
9827      block_version       "10.1.3"
9828      sg_icon_stat        "40,38,2,1,white,blue,0,c4f98ccb,right"
9829      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 50 50 0 ],[0 0 51 51 ],[0.77 0.82 0.91]);\npatch([11 3 15 3 11 24 28 32 46 35 24 16 28 16 24 35 46 32 28 24 11 ],[6 14 26 38 46 46 42 46 46 35 46 38 26 14 6 17 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 50 50 0 0 ],[0 0 51 51 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\n\nfprintf('','COMMENT: end icon text');\ncolor('red');\nplot(swLineX,simSwLineY);\ncolor('black');\nplot(swLineX,hwSwLineY);\n"
9830    }
9831    Block {
9832      BlockType       SubSystem
9833      Name            "Sim-only\nGateway In"
9834      Ports           [1, 1]
9835      Position        [305, 260, 350, 280]
9836      NamePlacement       "alternate"
9837      MinAlgLoopOccurrences   off
9838      PropExecContextOutsideSubsystem off
9839      RTWSystemCode       "Auto"
9840      FunctionWithSeparateData off
9841      Opaque          off
9842      RequestExecContextInheritance off
9843      MaskHideContents    off
9844      System {
9845        Name            "Sim-only\nGateway In"
9846        Location            [269, 280, 494, 362]
9847        Open            off
9848        ModelBrowserVisibility  on
9849        ModelBrowserWidth       200
9850        ScreenColor         "white"
9851        PaperOrientation        "landscape"
9852        PaperPositionMode       "auto"
9853        PaperType           "usletter"
9854        PaperUnits          "inches"
9855        TiledPaperMargins       [0.500000, 0.500000, 0.500000, 0.500000]
9856        TiledPageScale      1
9857        ShowPageBoundaries      off
9858        ZoomFactor          "100"
9859        Block {
9860          BlockType           Inport
9861          Name            "D"
9862          Position            [20, 33, 50, 47]
9863          IconDisplay         "Port number"
9864          OutDataType         "sfix(16)"
9865          OutScaling          "2^0"
9866        }
9867        Block {
9868          BlockType           Reference
9869          Name            "Disregard Subsystem"
9870          Tag             "discardX"
9871          Ports           []
9872          Position            [86, 85, 144, 143]
9873          ShowName            off
9874          AttributesFormatString  "Disregard Subsystem\\nFor Generation"
9875          SourceBlock         "xbsIndex_r4/Disregard Subsystem"
9876          SourceType          "Xilinx Disregard Subsystem For Generation Block"
9877          infoedit            "Place this block into a subsystem to have System Generator ignore the subsystem during code generation. This block can be used in combination with the Simulation Multiplexer block to provide an alternative simulation model for another subsystem (e.g., to provide a simulation model for a black box)."
9878          has_advanced_control    "0"
9879          sggui_pos           "-1,-1,-1,-1"
9880          block_type          "disregard"
9881          block_version       "10.1.3"
9882          sg_icon_stat        "58,58,-1,-1,darkgray,black,0,0,right"
9883          sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npatch([0 58 58 0 ],[0 0 58 58 ],[0.1 0.1 0.1]);\npatch([14 4 18 4 14 29 33 37 53 40 28 19 33 19 28 40 53 37 33 29 14 ],[6 16 30 44 54 54 50 54 54 41 53 44 30 16 7 19 6 6 10 6 6 ],[0.33 0.33 0.33]);\nplot([0 58 58 0 0 ],[0 0 58 58 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\nfprintf('','COMMENT: end icon text');\n"
9884        }
9885        Block {
9886          BlockType           Reference
9887          Name            "Gateway In"
9888          Ports           [1, 1]
9889          Position            [80, 30, 145, 50]
9890          SourceBlock         "xbsIndex_r4/Gateway In"
9891          SourceType          "Xilinx Gateway In Block"
9892          infoedit            "Gateway in block.  Converts inputs of type Simulink integer, double and fixed point to  Xilinx fixed point type.<P><P>Hardware notes:  In hardware these blocks become top level input ports."
9893          arith_type          "Boolean"
9894          n_bits              "1"
9895          bin_pt              "0"
9896          quantization        "Round  (unbiased: +/- Inf)"
9897          overflow            "Saturate"
9898          period              "1"
9899          dbl_ovrd            "off"
9900          timing_constraint       "None"
9901          locs_specified          "off"
9902          LOCs            "{}"
9903          xl_use_area         "off"
9904          xl_area             "[0 0 0 0 1 0 0]"
9905          has_advanced_control    "0"
9906          sggui_pos           "20,20,348,406"
9907          block_type          "gatewayin"
9908          block_version       "10.1.3"
9909          sg_icon_stat        "65,20,1,1,white,yellow,0,bc55d28f,right"
9910          sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npatch([0 65 65 0 ],[0 0 20 20 ],[0.95 0.93 0.65]);\npatch([27 24 29 24 27 32 33 34 40 36 32 29 34 29 32 36 40 34 33 32 27 ],[2 5 10 15 18 18 17 18 18 14 18 15 10 5 2 6 2 2 3 2 2 ],[0.98 0.96 0.92]);\nplot([0 65 65 0 0 ],[0 0 20 20 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1,'\\fontsize{11pt}\\bf In ','texmode','on');\ncolor('black');port_label('output',1,' ');\nfprintf('','COMMENT: end icon text');\n"
9911        }
9912        Block {
9913          BlockType           Outport
9914          Name            "Q"
9915          Position            [170, 33, 200, 47]
9916          IconDisplay         "Port number"
9917        }
9918        Line {
9919          SrcBlock            "Gateway In"
9920          SrcPort             1
9921          DstBlock            "Q"
9922          DstPort             1
9923        }
9924        Line {
9925          SrcBlock            "D"
9926          SrcPort             1
9927          DstBlock            "Gateway In"
9928          DstPort             1
9929        }
9930      }
9931    }
9932    Block {
9933      BlockType       SubSystem
9934      Name            "Sim-only\nGateway In2"
9935      Ports           [1, 1]
9936      Position        [360, 575, 405, 595]
9937      NamePlacement       "alternate"
9938      MinAlgLoopOccurrences   off
9939      PropExecContextOutsideSubsystem off
9940      RTWSystemCode       "Auto"
9941      FunctionWithSeparateData off
9942      Opaque          off
9943      RequestExecContextInheritance off
9944      MaskHideContents    off
9945      System {
9946        Name            "Sim-only\nGateway In2"
9947        Location            [214, 70, 1918, 1152]
9948        Open            off
9949        ModelBrowserVisibility  on
9950        ModelBrowserWidth       200
9951        ScreenColor         "white"
9952        PaperOrientation        "landscape"
9953        PaperPositionMode       "auto"
9954        PaperType           "usletter"
9955        PaperUnits          "inches"
9956        TiledPaperMargins       [0.500000, 0.500000, 0.500000, 0.500000]
9957        TiledPageScale      1
9958        ShowPageBoundaries      off
9959        ZoomFactor          "100"
9960        Block {
9961          BlockType           Inport
9962          Name            "D"
9963          Position            [20, 33, 50, 47]
9964          IconDisplay         "Port number"
9965          OutDataType         "sfix(16)"
9966          OutScaling          "2^0"
9967        }
9968        Block {
9969          BlockType           Reference
9970          Name            "Disregard Subsystem"
9971          Tag             "discardX"
9972          Ports           []
9973          Position            [71, 110, 129, 168]
9974          ShowName            off
9975          AttributesFormatString  "Disregard Subsystem\\nFor Generation"
9976          SourceBlock         "xbsIndex_r4/Disregard Subsystem"
9977          SourceType          "Xilinx Disregard Subsystem For Generation Block"
9978          infoedit            "Place this block into a subsystem to have System Generator ignore the subsystem during code generation. This block can be used in combination with the Simulation Multiplexer block to provide an alternative simulation model for another subsystem (e.g., to provide a simulation model for a black box)."
9979          has_advanced_control    "0"
9980          sggui_pos           "-1,-1,-1,-1"
9981          block_type          "disregard"
9982          block_version       "10.1.3"
9983          sg_icon_stat        "58,58,-1,-1,darkgray,black,0,0,right"
9984          sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npatch([0 58 58 0 ],[0 0 58 58 ],[0.1 0.1 0.1]);\npatch([14 4 18 4 14 29 33 37 53 40 28 19 33 19 28 40 53 37 33 29 14 ],[6 16 30 44 54 54 50 54 54 41 53 44 30 16 7 19 6 6 10 6 6 ],[0.33 0.33 0.33]);\nplot([0 58 58 0 0 ],[0 0 58 58 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\nfprintf('','COMMENT: end icon text');\n"
9985        }
9986        Block {
9987          BlockType           Reference
9988          Name            "Gateway In"
9989          Ports           [1, 1]
9990          Position            [80, 30, 145, 50]
9991          SourceBlock         "xbsIndex_r4/Gateway In"
9992          SourceType          "Xilinx Gateway In Block"
9993          infoedit            "Gateway in block.  Converts inputs of type Simulink integer, double and fixed point to  Xilinx fixed point type.<P><P>Hardware notes:  In hardware these blocks become top level input ports."
9994          arith_type          "Boolean"
9995          n_bits              "1"
9996          bin_pt              "0"
9997          quantization        "Round  (unbiased: +/- Inf)"
9998          overflow            "Saturate"
9999          period              "1"
10000          dbl_ovrd            "off"
10001          timing_constraint       "None"
10002          locs_specified          "off"
10003          LOCs            "{}"
10004          xl_use_area         "off"
10005          xl_area             "[0 0 0 0 1 0 0]"
10006          has_advanced_control    "0"
10007          sggui_pos           "20,20,348,406"
10008          block_type          "gatewayin"
10009          block_version       "10.1.3"
10010          sg_icon_stat        "65,20,1,1,white,yellow,0,bc55d28f,right"
10011          sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npatch([0 65 65 0 ],[0 0 20 20 ],[0.95 0.93 0.65]);\npatch([27 24 29 24 27 32 33 34 40 36 32 29 34 29 32 36 40 34 33 32 27 ],[2 5 10 15 18 18 17 18 18 14 18 15 10 5 2 6 2 2 3 2 2 ],[0.98 0.96 0.92]);\nplot([0 65 65 0 0 ],[0 0 20 20 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1,'\\fontsize{11pt}\\bf In ','texmode','on');\ncolor('black');port_label('output',1,' ');\nfprintf('','COMMENT: end icon text');\n"
10012        }
10013        Block {
10014          BlockType           Outport
10015          Name            "Q"
10016          Position            [170, 33, 200, 47]
10017          IconDisplay         "Port number"
10018        }
10019        Line {
10020          SrcBlock            "D"
10021          SrcPort             1
10022          DstBlock            "Gateway In"
10023          DstPort             1
10024        }
10025        Line {
10026          SrcBlock            "Gateway In"
10027          SrcPort             1
10028          DstBlock            "Q"
10029          DstPort             1
10030        }
10031      }
10032    }
10033    Block {
10034      BlockType       SubSystem
10035      Name            "negedge"
10036      Ports           [1, 1]
10037      Position        [495, 288, 525, 302]
10038      MinAlgLoopOccurrences   off
10039      PropExecContextOutsideSubsystem off
10040      RTWSystemCode       "Auto"
10041      FunctionWithSeparateData off
10042      Opaque          off
10043      RequestExecContextInheritance off
10044      MaskHideContents    off
10045      System {
10046        Name            "negedge"
10047        Location            [459, 339, 854, 490]
10048        Open            off
10049        ModelBrowserVisibility  on
10050        ModelBrowserWidth       200
10051        ScreenColor         "white"
10052        PaperOrientation        "landscape"
10053        PaperPositionMode       "auto"
10054        PaperType           "usletter"
10055        PaperUnits          "inches"
10056        TiledPaperMargins       [0.500000, 0.500000, 0.500000, 0.500000]
10057        TiledPageScale      1
10058        ShowPageBoundaries      off
10059        ZoomFactor          "100"
10060        Block {
10061          BlockType           Inport
10062          Name            "In"
10063          Position            [170, 213, 200, 227]
10064          IconDisplay         "Port number"
10065          OutDataType         "sfix(16)"
10066          OutScaling          "2^0"
10067        }
10068        Block {
10069          BlockType           Reference
10070          Name            "Delay"
10071          Ports           [1, 1]
10072          Position            [230, 247, 290, 303]
10073          SourceBlock         "xbsIndex_r4/Delay"
10074          SourceType          "Xilinx Delay Block"
10075          infoedit            "Hardware notes: A delay line is a chain, each link of which is an SRL16 followed by a flip-flop. If register retiming is enabled, the delay line is a chain of flip-flops."
10076          en              "off"
10077          latency             "1"
10078          dbl_ovrd            "off"
10079          reg_retiming        "off"
10080          xl_use_area         "off"
10081          xl_area             "[1 1 0 0 0 0 0]"
10082          has_advanced_control    "0"
10083          sggui_pos           "-1,-1,-1,-1"
10084          block_type          "delay"
10085          block_version       "8.2"
10086          sg_icon_stat        "60,56,1,1,white,blue,0,fc531c0e,right"
10087          sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 ],[0 0 56 56 ],[0.77 0.82 0.91]);\npatch([15 6 19 6 15 30 34 38 54 41 29 20 32 20 29 41 54 38 34 30 15 ],[6 15 28 41 50 50 46 50 50 37 49 40 28 16 7 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 56 56 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');disp('z^{-1}','texmode','on');\nfprintf('','COMMENT: end icon text');\n"
10088        }
10089        Block {
10090          BlockType           Reference
10091          Name            "Inverter"
10092          Ports           [1, 1]
10093          Position            [290, 191, 345, 249]
10094          NamePlacement       "alternate"
10095          SourceBlock         "xbsIndex_r4/Inverter"
10096          SourceType          "Xilinx Inverter Block"
10097          infoedit            "Bitwise logical negation (one's complement) operator."
10098          en              "off"
10099          latency             "0"
10100          dbl_ovrd            "off"
10101          xl_use_area         "off"
10102          xl_area             "[0 0 0 0 0 0 0]"
10103          has_advanced_control    "0"
10104          sggui_pos           "-1,-1,-1,-1"
10105          block_type          "inv"
10106          block_version       "8.2"
10107          sg_icon_stat        "55,58,1,1,white,blue,0,1ab4a85f,right"
10108          sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 ],[0 0 58 58 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13 28 32 36 52 40 28 19 32 19 28 40 52 36 32 28 13 ],[7 16 29 42 51 51 47 51 51 39 51 42 29 16 7 19 7 7 11 7 7 ],[0.98 0.96 0.92]);\nplot([0 55 55 0 0 ],[0 0 58 58 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');disp('not');\nfprintf('','COMMENT: end icon text');\n"
10109        }
10110        Block {
10111          BlockType           Reference
10112          Name            "Logical"
10113          Ports           [2, 1]
10114          Position            [410, 205, 465, 265]
10115          SourceBlock         "xbsIndex_r4/Logical"
10116          SourceType          "Xilinx Logical Block Block"
10117          logical_function        "AND"
10118          inputs              "2"
10119          en              "off"
10120          latency             "0"
10121          precision           "Full"
10122          arith_type          "Unsigned"
10123          n_bits              "16"
10124          bin_pt              "0"
10125          align_bp            "on"
10126          dbl_ovrd            "off"
10127          xl_use_area         "off"
10128          xl_area             "[1 0 0 1 0 0 0]"
10129          has_advanced_control    "0"
10130          sggui_pos           "-1,-1,-1,-1"
10131          block_type          "logical"
10132          block_version       "8.2"
10133          sg_icon_stat        "55,60,1,1,white,blue,0,087b5522,right"
10134          sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 ],[0 0 60 60 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13 28 32 36 52 40 28 19 32 19 28 40 52 36 32 28 13 ],[8 17 30 43 52 52 48 52 52 40 52 43 30 17 8 20 8 8 12 8 8 ],[0.98 0.96 0.92]);\nplot([0 55 55 0 0 ],[0 0 60 60 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');disp('\\newlineand\\newlinez^{-0}','texmode','on');\nfprintf('','COMMENT: end icon text');\n"
10135        }
10136        Block {
10137          BlockType           Outport
10138          Name            "Out"
10139          Position            [490, 228, 520, 242]
10140          IconDisplay         "Port number"
10141          OutDataType         "sfix(16)"
10142          OutScaling          "2^0"
10143        }
10144        Line {
10145          SrcBlock            "Inverter"
10146          SrcPort             1
10147          DstBlock            "Logical"
10148          DstPort             1
10149        }
10150        Line {
10151          SrcBlock            "Delay"
10152          SrcPort             1
10153          Points              [90, 0; 0, -25]
10154          DstBlock            "Logical"
10155          DstPort             2
10156        }
10157        Line {
10158          SrcBlock            "In"
10159          SrcPort             1
10160          Points              [5, 0]
10161          Branch {
10162        DstBlock        "Inverter"
10163        DstPort         1
10164          }
10165          Branch {
10166        Points          [0, 55]
10167        DstBlock        "Delay"
10168        DstPort         1
10169          }
10170        }
10171        Line {
10172          SrcBlock            "Logical"
10173          SrcPort             1
10174          DstBlock            "Out"
10175          DstPort             1
10176        }
10177      }
10178    }
10179    Block {
10180      BlockType       SubSystem
10181      Name            "posedge"
10182      Ports           [1, 1]
10183      Position        [495, 273, 525, 287]
10184      NamePlacement       "alternate"
10185      MinAlgLoopOccurrences   off
10186      PropExecContextOutsideSubsystem off
10187      RTWSystemCode       "Auto"
10188      FunctionWithSeparateData off
10189      Opaque          off
10190      RequestExecContextInheritance off
10191      MaskHideContents    off
10192      System {
10193        Name            "posedge"
10194        Location            [459, 339, 854, 490]
10195        Open            off
10196        ModelBrowserVisibility  on
10197        ModelBrowserWidth       200
10198        ScreenColor         "white"
10199        PaperOrientation        "landscape"
10200        PaperPositionMode       "auto"
10201        PaperType           "usletter"
10202        PaperUnits          "inches"
10203        TiledPaperMargins       [0.500000, 0.500000, 0.500000, 0.500000]
10204        TiledPageScale      1
10205        ShowPageBoundaries      off
10206        ZoomFactor          "100"
10207        Block {
10208          BlockType           Inport
10209          Name            "In"
10210          Position            [20, 33, 50, 47]
10211          IconDisplay         "Port number"
10212          OutDataType         "sfix(16)"
10213          OutScaling          "2^0"
10214        }
10215        Block {
10216          BlockType           Reference
10217          Name            "Delay"
10218          Ports           [1, 1]
10219          Position            [80, 67, 140, 123]
10220          SourceBlock         "xbsIndex_r4/Delay"
10221          SourceType          "Xilinx Delay Block"
10222          infoedit            "Hardware notes: A delay line is a chain, each link of which is an SRL16 followed by a flip-flop. If register retiming is enabled, the delay line is a chain of flip-flops."
10223          en              "off"
10224          latency             "1"
10225          dbl_ovrd            "off"
10226          reg_retiming        "off"
10227          xl_use_area         "off"
10228          xl_area             "[1 1 0 0 0 0 0]"
10229          has_advanced_control    "0"
10230          sggui_pos           "-1,-1,-1,-1"
10231          block_type          "delay"
10232          block_version       "8.2"
10233          sg_icon_stat        "60,56,1,1,white,blue,0,fc531c0e,right"
10234          sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 ],[0 0 56 56 ],[0.77 0.82 0.91]);\npatch([15 6 19 6 15 30 34 38 54 41 29 20 32 20 29 41 54 38 34 30 15 ],[6 15 28 41 50 50 46 50 50 37 49 40 28 16 7 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 56 56 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');disp('z^{-1}','texmode','on');\nfprintf('','COMMENT: end icon text');\n"
10235        }
10236        Block {
10237          BlockType           Reference
10238          Name            "Inverter"
10239          Ports           [1, 1]
10240          Position            [170, 66, 225, 124]
10241          SourceBlock         "xbsIndex_r4/Inverter"
10242          SourceType          "Xilinx Inverter Block"
10243          infoedit            "Bitwise logical negation (one's complement) operator."
10244          en              "off"
10245          latency             "0"
10246          dbl_ovrd            "off"
10247          xl_use_area         "off"
10248          xl_area             "[0 0 0 0 0 0 0]"
10249          has_advanced_control    "0"
10250          sggui_pos           "-1,-1,-1,-1"
10251          block_type          "inv"
10252          block_version       "8.2"
10253          sg_icon_stat        "55,58,1,1,white,blue,0,1ab4a85f,right"
10254          sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 ],[0 0 58 58 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13 28 32 36 52 40 28 19 32 19 28 40 52 36 32 28 13 ],[7 16 29 42 51 51 47 51 51 39 51 42 29 16 7 19 7 7 11 7 7 ],[0.98 0.96 0.92]);\nplot([0 55 55 0 0 ],[0 0 58 58 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');disp('not');\nfprintf('','COMMENT: end icon text');\n"
10255        }
10256        Block {
10257          BlockType           Reference
10258          Name            "Logical"
10259          Ports           [2, 1]
10260          Position            [260, 25, 315, 85]
10261          SourceBlock         "xbsIndex_r4/Logical"
10262          SourceType          "Xilinx Logical Block Block"
10263          logical_function        "AND"
10264          inputs              "2"
10265          en              "off"
10266          latency             "0"
10267          precision           "Full"
10268          arith_type          "Unsigned"
10269          n_bits              "16"
10270          bin_pt              "0"
10271          align_bp            "on"
10272          dbl_ovrd            "off"
10273          xl_use_area         "off"
10274          xl_area             "[1 0 0 1 0 0 0]"
10275          has_advanced_control    "0"
10276          sggui_pos           "-1,-1,-1,-1"
10277          block_type          "logical"
10278          block_version       "8.2"
10279          sg_icon_stat        "55,60,1,1,white,blue,0,087b5522,right"
10280          sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 ],[0 0 60 60 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13 28 32 36 52 40 28 19 32 19 28 40 52 36 32 28 13 ],[8 17 30 43 52 52 48 52 52 40 52 43 30 17 8 20 8 8 12 8 8 ],[0.98 0.96 0.92]);\nplot([0 55 55 0 0 ],[0 0 60 60 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');disp('\\newlineand\\newlinez^{-0}','texmode','on');\nfprintf('','COMMENT: end icon text');\n"
10281        }
10282        Block {
10283          BlockType           Outport
10284          Name            "Out"
10285          Position            [340, 48, 370, 62]
10286          IconDisplay         "Port number"
10287          OutDataType         "sfix(16)"
10288          OutScaling          "2^0"
10289        }
10290        Line {
10291          SrcBlock            "Logical"
10292          SrcPort             1
10293          Points              [0, 0]
10294          DstBlock            "Out"
10295          DstPort             1
10296        }
10297        Line {
10298          SrcBlock            "In"
10299          SrcPort             1
10300          Points              [0, 0; 5, 0]
10301          Branch {
10302        Points          [0, 55]
10303        DstBlock        "Delay"
10304        DstPort         1
10305          }
10306          Branch {
10307        DstBlock        "Logical"
10308        DstPort         1
10309          }
10310        }
10311        Line {
10312          SrcBlock            "Inverter"
10313          SrcPort             1
10314          Points              [5, 0; 0, -25]
10315          DstBlock            "Logical"
10316          DstPort             2
10317        }
10318        Line {
10319          SrcBlock            "Delay"
10320          SrcPort             1
10321          Points              [0, 0]
10322          DstBlock            "Inverter"
10323          DstPort             1
10324        }
10325      }
10326    }
10327    Block {
10328      BlockType       SubSystem
10329      Name            "posedge2"
10330      Ports           [1, 1]
10331      Position        [495, 323, 525, 337]
10332      MinAlgLoopOccurrences   off
10333      PropExecContextOutsideSubsystem off
10334      RTWSystemCode       "Auto"
10335      FunctionWithSeparateData off
10336      Opaque          off
10337      RequestExecContextInheritance off
10338      MaskHideContents    off
10339      System {
10340        Name            "posedge2"
10341        Location            [459, 339, 854, 490]
10342        Open            off
10343        ModelBrowserVisibility  on
10344        ModelBrowserWidth       200
10345        ScreenColor         "white"
10346        PaperOrientation        "landscape"
10347        PaperPositionMode       "auto"
10348        PaperType           "usletter"
10349        PaperUnits          "inches"
10350        TiledPaperMargins       [0.500000, 0.500000, 0.500000, 0.500000]
10351        TiledPageScale      1
10352        ShowPageBoundaries      off
10353        ZoomFactor          "100"
10354        Block {
10355          BlockType           Inport
10356          Name            "In"
10357          Position            [20, 33, 50, 47]
10358          IconDisplay         "Port number"
10359          OutDataType         "sfix(16)"
10360          OutScaling          "2^0"
10361        }
10362        Block {
10363          BlockType           Reference
10364          Name            "Delay"
10365          Ports           [1, 1]
10366          Position            [80, 67, 140, 123]
10367          SourceBlock         "xbsIndex_r4/Delay"
10368          SourceType          "Xilinx Delay Block"
10369          infoedit            "Hardware notes: A delay line is a chain, each link of which is an SRL16 followed by a flip-flop. If register retiming is enabled, the delay line is a chain of flip-flops."
10370          en              "off"
10371          latency             "1"
10372          dbl_ovrd            "off"
10373          reg_retiming        "off"
10374          xl_use_area         "off"
10375          xl_area             "[1 1 0 0 0 0 0]"
10376          has_advanced_control    "0"
10377          sggui_pos           "-1,-1,-1,-1"
10378          block_type          "delay"
10379          block_version       "8.2"
10380          sg_icon_stat        "60,56,1,1,white,blue,0,fc531c0e,right"
10381          sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 ],[0 0 56 56 ],[0.77 0.82 0.91]);\npatch([15 6 19 6 15 30 34 38 54 41 29 20 32 20 29 41 54 38 34 30 15 ],[6 15 28 41 50 50 46 50 50 37 49 40 28 16 7 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 56 56 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');disp('z^{-1}','texmode','on');\nfprintf('','COMMENT: end icon text');\n"
10382        }
10383        Block {
10384          BlockType           Reference
10385          Name            "Inverter"
10386          Ports           [1, 1]
10387          Position            [170, 66, 225, 124]
10388          SourceBlock         "xbsIndex_r4/Inverter"
10389          SourceType          "Xilinx Inverter Block"
10390          infoedit            "Bitwise logical negation (one's complement) operator."
10391          en              "off"
10392          latency             "0"
10393          dbl_ovrd            "off"
10394          xl_use_area         "off"
10395          xl_area             "[0 0 0 0 0 0 0]"
10396          has_advanced_control    "0"
10397          sggui_pos           "-1,-1,-1,-1"
10398          block_type          "inv"
10399          block_version       "8.2"
10400          sg_icon_stat        "55,58,1,1,white,blue,0,1ab4a85f,right"
10401          sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 ],[0 0 58 58 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13 28 32 36 52 40 28 19 32 19 28 40 52 36 32 28 13 ],[7 16 29 42 51 51 47 51 51 39 51 42 29 16 7 19 7 7 11 7 7 ],[0.98 0.96 0.92]);\nplot([0 55 55 0 0 ],[0 0 58 58 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');disp('not');\nfprintf('','COMMENT: end icon text');\n"
10402        }
10403        Block {
10404          BlockType           Reference
10405          Name            "Logical"
10406          Ports           [2, 1]
10407          Position            [260, 25, 315, 85]
10408          SourceBlock         "xbsIndex_r4/Logical"
10409          SourceType          "Xilinx Logical Block Block"
10410          logical_function        "AND"
10411          inputs              "2"
10412          en              "off"
10413          latency             "0"
10414          precision           "Full"
10415          arith_type          "Unsigned"
10416          n_bits              "16"
10417          bin_pt              "0"
10418          align_bp            "on"
10419          dbl_ovrd            "off"
10420          xl_use_area         "off"
10421          xl_area             "[1 0 0 1 0 0 0]"
10422          has_advanced_control    "0"
10423          sggui_pos           "-1,-1,-1,-1"
10424          block_type          "logical"
10425          block_version       "8.2"
10426          sg_icon_stat        "55,60,1,1,white,blue,0,087b5522,right"
10427          sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 ],[0 0 60 60 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13 28 32 36 52 40 28 19 32 19 28 40 52 36 32 28 13 ],[8 17 30 43 52 52 48 52 52 40 52 43 30 17 8 20 8 8 12 8 8 ],[0.98 0.96 0.92]);\nplot([0 55 55 0 0 ],[0 0 60 60 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');disp('\\newlineand\\newlinez^{-0}','texmode','on');\nfprintf('','COMMENT: end icon text');\n"
10428        }
10429        Block {
10430          BlockType           Outport
10431          Name            "Out"
10432          Position            [340, 48, 370, 62]
10433          IconDisplay         "Port number"
10434          OutDataType         "sfix(16)"
10435          OutScaling          "2^0"
10436        }
10437        Line {
10438          SrcBlock            "Logical"
10439          SrcPort             1
10440          Points              [0, 0]
10441          DstBlock            "Out"
10442          DstPort             1
10443        }
10444        Line {
10445          SrcBlock            "In"
10446          SrcPort             1
10447          Points              [0, 0; 5, 0]
10448          Branch {
10449        Points          [0, 55]
10450        DstBlock        "Delay"
10451        DstPort         1
10452          }
10453          Branch {
10454        DstBlock        "Logical"
10455        DstPort         1
10456          }
10457        }
10458        Line {
10459          SrcBlock            "Inverter"
10460          SrcPort             1
10461          Points              [5, 0; 0, -25]
10462          DstBlock            "Logical"
10463          DstPort             2
10464        }
10465        Line {
10466          SrcBlock            "Delay"
10467          SrcPort             1
10468          Points              [0, 0]
10469          DstBlock            "Inverter"
10470          DstPort             1
10471        }
10472      }
10473    }
10474    Block {
10475      BlockType       Outport
10476      Name            "done"
10477      Position        [1350, 268, 1380, 282]
10478      IconDisplay         "Port number"
10479      OutDataType         "sfix(16)"
10480      OutScaling          "2^0"
10481    }
10482    Block {
10483      BlockType       Outport
10484      Name            "running"
10485      Position        [1245, 518, 1275, 532]
10486      Port            "2"
10487      IconDisplay         "Port number"
10488      OutDataType         "sfix(16)"
10489      OutScaling          "2^0"
10490    }
10491    Block {
10492      BlockType       Outport
10493      Name            "paused"
10494      Position        [1240, 463, 1270, 477]
10495      Port            "3"
10496      IconDisplay         "Port number"
10497      OutDataType         "sfix(16)"
10498      OutScaling          "2^0"
10499    }
10500    Block {
10501      BlockType       Outport
10502      Name            "timeLeft"
10503      Position        [1285, 358, 1315, 372]
10504      Port            "4"
10505      IconDisplay         "Port number"
10506      OutDataType         "sfix(16)"
10507      OutScaling          "2^0"
10508    }
10509    Line {
10510      SrcBlock        "Delay"
10511      SrcPort         1
10512      Points          [195, 0; 0, -75]
10513      DstBlock        "Logical7"
10514      DstPort         3
10515    }
10516    Line {
10517      SrcBlock        "S-R_Latch3"
10518      SrcPort         1
10519      Points          [70, 0; 0, -50; 350, 0; 0, 95]
10520      DstBlock        "Logical7"
10521      DstPort         1
10522    }
10523    Line {
10524      SrcBlock        "Logical7"
10525      SrcPort         1
10526      DstBlock        "S-R_Latch2"
10527      DstPort         1
10528    }
10529    Line {
10530      SrcBlock        "Sim-only\nGateway In2"
10531      SrcPort         1
10532      DstBlock        "Sim Mux1"
10533      DstPort         1
10534    }
10535    Line {
10536      SrcBlock        "Constant4"
10537      SrcPort         1
10538      DstBlock        "Sim-only\nGateway In2"
10539      DstPort         1
10540    }
10541    Line {
10542      SrcBlock        "Logical2"
10543      SrcPort         1
10544      DstBlock        "S-R_Latch1"
10545      DstPort         2
10546    }
10547    Line {
10548      SrcBlock        "posedge2"
10549      SrcPort         1
10550      Points          [25, 0; 0, -15]
10551      DstBlock        "Logical2"
10552      DstPort         1
10553    }
10554    Line {
10555      SrcBlock        "Constant2"
10556      SrcPort         1
10557      DstBlock        "Sim-only\nGateway In"
10558      DstPort         1
10559    }
10560    Line {
10561      SrcBlock        "Sim Mux1"
10562      SrcPort         1
10563      Points          [60, 0]
10564      Branch {
10565        Points          [260, 0; 0, -250]
10566        Branch {
10567          DstBlock            "Delay"
10568          DstPort             1
10569        }
10570        Branch {
10571          DstBlock            "Logical6"
10572          DstPort             3
10573        }
10574      }
10575      Branch {
10576        DstBlock            "Inverter1"
10577        DstPort         1
10578      }
10579    }
10580    Line {
10581      SrcBlock        "Convert1"
10582      SrcPort         1
10583      Points          [25, 0; 0, -75]
10584      DstBlock        "Sim Mux1"
10585      DstPort         2
10586    }
10587    Line {
10588      SrcBlock        "Logical6"
10589      SrcPort         1
10590      DstBlock        "Counter"
10591      DstPort         2
10592    }
10593    Line {
10594      SrcBlock        "Inverter1"
10595      SrcPort         1
10596      DstBlock        "Logical5"
10597      DstPort         2
10598    }
10599    Line {
10600      SrcBlock        "Relational2"
10601      SrcPort         1
10602      Points          [20, 0; 0, -60]
10603      Branch {
10604        Points          [380, 0; 0, -265]
10605        DstBlock            "Scope"
10606        DstPort         2
10607      }
10608      Branch {
10609        Points          [-295, 0]
10610        Branch {
10611          Points              [0, -320]
10612          DstBlock            "Logical6"
10613          DstPort             2
10614        }
10615        Branch {
10616          DstBlock            "Logical5"
10617          DstPort             1
10618        }
10619      }
10620    }
10621    Line {
10622      SrcBlock        "Logical5"
10623      SrcPort         1
10624      DstBlock        "Counter1"
10625      DstPort         1
10626    }
10627    Line {
10628      SrcBlock        "Counter1"
10629      SrcPort         1
10630      Points          [35, 0]
10631      Branch {
10632        Points          [0, 35; 505, 0; 0, -340]
10633        DstBlock            "Scope"
10634        DstPort         3
10635      }
10636      Branch {
10637        DstBlock            "Relational2"
10638        DstPort         2
10639      }
10640    }
10641    Line {
10642      SrcBlock        "slotTime"
10643      SrcPort         1
10644      DstBlock        "Relational2"
10645      DstPort         1
10646    }
10647    Line {
10648      SrcBlock        "Sim-only\nGateway In"
10649      SrcPort         1
10650      DstBlock        "Sim Mux"
10651      DstPort         1
10652    }
10653    Line {
10654      SrcBlock        "pause"
10655      SrcPort         1
10656      Points          [115, 0]
10657      Branch {
10658        Points          [0, -35]
10659        DstBlock            "negedge"
10660        DstPort         1
10661      }
10662      Branch {
10663        DstBlock            "posedge2"
10664        DstPort         1
10665      }
10666    }
10667    Line {
10668      SrcBlock        "negedge"
10669      SrcPort         1
10670      DstBlock        "Logical3"
10671      DstPort         2
10672    }
10673    Line {
10674      SrcBlock        "Logical3"
10675      SrcPort         1
10676      DstBlock        "S-R_Latch1"
10677      DstPort         1
10678    }
10679    Line {
10680      SrcBlock        "posedge"
10681      SrcPort         1
10682      Points          [15, 0]
10683      Branch {
10684        Points          [0, -25]
10685        Branch {
10686          Points              [0, -70]
10687          DstBlock            "S-R_Latch3"
10688          DstPort             1
10689        }
10690        Branch {
10691          DstBlock            "Logical1"
10692          DstPort             2
10693        }
10694      }
10695      Branch {
10696        Points          [0, 400]
10697        DstBlock            "Logical5"
10698        DstPort         3
10699      }
10700      Branch {
10701        DstBlock            "Logical3"
10702        DstPort         1
10703      }
10704    }
10705    Line {
10706      SrcBlock        "done_reset"
10707      SrcPort         1
10708      Points          [15, 0]
10709      Branch {
10710        Points          [0, -145; -625, 0; 0, 75]
10711        DstBlock            "S-R_Latch3"
10712        DstPort         2
10713      }
10714      Branch {
10715        DstBlock            "S-R_Latch2"
10716        DstPort         2
10717      }
10718    }
10719    Line {
10720      SrcBlock        "Relational"
10721      SrcPort         1
10722      Points          [10, 0]
10723      Branch {
10724        DstBlock            "Logical7"
10725        DstPort         2
10726      }
10727      Branch {
10728        Points          [0, -80; -290, 0; 0, 60]
10729        DstBlock            "Logical1"
10730        DstPort         1
10731      }
10732      Branch {
10733        Points          [0, 110; -550, 0; 0, -40]
10734        DstBlock            "Logical2"
10735        DstPort         2
10736      }
10737    }
10738    Line {
10739      SrcBlock        "S-R_Latch2"
10740      SrcPort         1
10741      DstBlock        "done"
10742      DstPort         1
10743    }
10744    Line {
10745      SrcBlock        "Logical1"
10746      SrcPort         1
10747      DstBlock        "Counter"
10748      DstPort         1
10749    }
10750    Line {
10751      SrcBlock        "Counter"
10752      SrcPort         1
10753      Points          [0, 0]
10754      Branch {
10755        Points          [0, 100]
10756        Branch {
10757          Points              [0, 30]
10758          Branch {
10759        Points          [445, 0; 0, -85]
10760        DstBlock        "Scope"
10761        DstPort         1
10762          }
10763          Branch {
10764        Points          [0, 35]
10765        DstBlock        "Relational1"
10766        DstPort         1
10767          }
10768        }
10769        Branch {
10770          DstBlock            "AddSub"
10771          DstPort             2
10772        }
10773      }
10774      Branch {
10775        Labels          [1, 0]
10776        DstBlock            "Relational"
10777        DstPort         2
10778      }
10779    }
10780    Line {
10781      SrcBlock        "slotCount"
10782      SrcPort         1
10783      Points          [10, 0]
10784      Branch {
10785        DstBlock            "Relational"
10786        DstPort         1
10787      }
10788      Branch {
10789        Points          [0, 105]
10790        DstBlock            "AddSub"
10791        DstPort         1
10792      }
10793    }
10794    Line {
10795      SrcBlock        "S-R_Latch1"
10796      SrcPort         1
10797      Points          [25, 0]
10798      Branch {
10799        Points          [0, -20]
10800        DstBlock            "Logical6"
10801        DstPort         1
10802      }
10803      Branch {
10804        Points          [0, 175]
10805        Branch {
10806          Points              [290, 0]
10807          Branch {
10808        Points          [0, 40]
10809        DstBlock        "running"
10810        DstPort         1
10811          }
10812          Branch {
10813        DstBlock        "Inverter"
10814        DstPort         1
10815          }
10816        }
10817        Branch {
10818          Points              [0, 235]
10819          DstBlock            "Counter1"
10820          DstPort             2
10821        }
10822      }
10823    }
10824    Line {
10825      SrcBlock        "Inverter"
10826      SrcPort         1
10827      DstBlock        "Logical4"
10828      DstPort         2
10829    }
10830    Line {
10831      SrcBlock        "Relational1"
10832      SrcPort         1
10833      DstBlock        "Logical4"
10834      DstPort         1
10835    }
10836    Line {
10837      SrcBlock        "Constant"
10838      SrcPort         1
10839      DstBlock        "Relational1"
10840      DstPort         2
10841    }
10842    Line {
10843      SrcBlock        "AddSub"
10844      SrcPort         1
10845      DstBlock        "timeLeft"
10846      DstPort         1
10847    }
10848    Line {
10849      SrcBlock        "Sim Mux"
10850      SrcPort         1
10851      DstBlock        "posedge"
10852      DstPort         1
10853    }
10854    Line {
10855      SrcBlock        "start"
10856      SrcPort         1
10857      DstBlock        "Sim Mux"
10858      DstPort         2
10859    }
10860    Line {
10861      SrcBlock        "Logical4"
10862      SrcPort         1
10863      DstBlock        "paused"
10864      DstPort         1
10865    }
10866    Line {
10867      SrcBlock        "Mux"
10868      SrcPort         1
10869      DstBlock        "Convert1"
10870      DstPort         1
10871    }
10872    Line {
10873      SrcBlock        "Medium Idle"
10874      SrcPort         1
10875      DstBlock        "Mux"
10876      DstPort         3
10877    }
10878    Line {
10879      SrcBlock        "Constant1"
10880      SrcPort         1
10881      DstBlock        "Mux"
10882      DstPort         2
10883    }
10884    Line {
10885      SrcBlock        "mode"
10886      SrcPort         1
10887      DstBlock        "Mux"
10888      DstPort         1
10889    }
10890    Annotation {
10891      Name            "This SR latch handles the case of a zero-slot-count\ntimer event. When the user code sets the slotCount to 0,\nthe comparison is immedilatey true. The timer done\nsignal then asserts when:\nif(carrier sensing) after IDLE AND\nafter user asserts start\nDone de-assets when the user clears the done bit\n*but does not re-assert* until the next user-initiated start."
10892      Position        [697, 92]
10893    }
10894      }
10895    }
10896    Block {
10897      BlockType           SubSystem
10898      Name            "Timer 2"
10899      Ports           [7, 4]
10900      Position            [335, 350, 440, 470]
10901      MinAlgLoopOccurrences   off
10902      PropExecContextOutsideSubsystem off
10903      RTWSystemCode       "Auto"
10904      FunctionWithSeparateData off
10905      Opaque              off
10906      RequestExecContextInheritance off
10907      MaskHideContents        off
10908      System {
10909    Name            "Timer 2"
10910    Location        [214, 74, 1910, 1156]
10911    Open            off
10912    ModelBrowserVisibility  on
10913    ModelBrowserWidth   200
10914    ScreenColor     "white"
10915    PaperOrientation    "landscape"
10916    PaperPositionMode   "auto"
10917    PaperType       "usletter"
10918    PaperUnits      "inches"
10919    TiledPaperMargins   [0.500000, 0.500000, 0.500000, 0.500000]
10920    TiledPageScale      1
10921    ShowPageBoundaries  off
10922    ZoomFactor      "100"
10923    Block {
10924      BlockType       Inport
10925      Name            "start"
10926      Position        [310, 283, 340, 297]
10927      IconDisplay         "Port number"
10928      OutDataType         "sfix(16)"
10929      OutScaling          "2^0"
10930    }
10931    Block {
10932      BlockType       Inport
10933      Name            "pause"
10934      Position        [310, 323, 340, 337]
10935      Port            "2"
10936      IconDisplay         "Port number"
10937      OutDataType         "sfix(16)"
10938      OutScaling          "2^0"
10939    }
10940    Block {
10941      BlockType       Inport
10942      Name            "mode"
10943      Position        [255, 648, 285, 662]
10944      NamePlacement       "alternate"
10945      Port            "3"
10946      IconDisplay         "Port number"
10947      OutDataType         "sfix(16)"
10948      OutScaling          "2^0"
10949    }
10950    Block {
10951      BlockType       Inport
10952      Name            "done_reset"
10953      Position        [1175, 283, 1205, 297]
10954      Port            "4"
10955      IconDisplay         "Port number"
10956      OutDataType         "sfix(16)"
10957      OutScaling          "2^0"
10958    }
10959    Block {
10960      BlockType       Inport
10961      Name            "slotCount"
10962      Position        [980, 238, 1010, 252]
10963      NamePlacement       "alternate"
10964      Port            "5"
10965      IconDisplay         "Port number"
10966      OutDataType         "sfix(16)"
10967      OutScaling          "2^0"
10968    }
10969    Block {
10970      BlockType       Inport
10971      Name            "slotTime"
10972      Position        [930, 658, 960, 672]
10973      Port            "6"
10974      IconDisplay         "Port number"
10975      OutDataType         "sfix(16)"
10976      OutScaling          "2^0"
10977    }
10978    Block {
10979      BlockType       Inport
10980      Name            "Medium Idle"
10981      Position        [250, 698, 280, 712]
10982      Port            "7"
10983      IconDisplay         "Port number"
10984      OutDataType         "sfix(16)"
10985      OutScaling          "2^0"
10986    }
10987    Block {
10988      BlockType       Reference
10989      Name            "AddSub"
10990      Ports           [2, 1]
10991      Position        [1210, 339, 1255, 386]
10992      ShowName        off
10993      SourceBlock         "xbsIndex_r4/AddSub"
10994      SourceType          "Xilinx Adder/Subtractor Block"
10995      mode            "Subtraction"
10996      use_carryin         off
10997      use_carryout        off
10998      en              off
10999      latency         "0"
11000      precision       "User Defined"
11001      arith_type          "Unsigned"
11002      n_bits          "32"
11003      bin_pt          "0"
11004      quantization        "Truncate"
11005      overflow        "Wrap"
11006      dbl_ovrd        off
11007      use_behavioral_HDL      off
11008      pipelined       off
11009      use_rpm         on
11010      hw_selection        "Fabric"
11011      xl_use_area         off
11012      xl_area         "[16 0 0 32 0 0 0]"
11013      has_advanced_control    "0"
11014      sggui_pos       "-1,-1,-1,-1"
11015      block_type          "addsub"
11016      block_version       "8.2"
11017      sg_icon_stat        "45,47,2,1,white,blue,0,46b4c804,right"
11018      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 ],[0 0 58 58 ],[0.77 0.82 0.91]);\npatch([15 5 19 5 15 30 34 38 54 41 29 20 34 20 29 41 54 38 34 30 15 ],[6 16 30 44 54 54 50 54 54 41 53 44 30 16 7 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 58 58 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1,'a');\ncolor('black');port_label('input',2,'b');\ncolor('black');port_label('output',1,'\\bf{a - b}','texmode','on');\nfprintf('','COMMENT: end icon text');\n"
11019    }
11020    Block {
11021      BlockType       Reference
11022      Name            "Constant"
11023      Ports           [0, 1]
11024      Position        [940, 454, 970, 466]
11025      ShowName        off
11026      SourceBlock         "xbsIndex_r4/Constant"
11027      SourceType          "Xilinx Constant Block Block"
11028      arith_type          "Unsigned"
11029      const           "0"
11030      n_bits          "32"
11031      bin_pt          "0"
11032      explicit_period     off
11033      period          "1"
11034      dsp48_infoedit      "The use of this block for DSP48 instructions is deprecated.  Please use the Opmode block."
11035      equ             "P=C"
11036      opselect        "C"
11037      inp2            "PCIN>>17"
11038      opr             "+"
11039      inp1            "P"
11040      carry           "CIN"
11041      dbl_ovrd        off
11042      has_advanced_control    "0"
11043      sggui_pos       "50,50,400,346"
11044      block_type          "constant"
11045      block_version       "10.1.2"
11046      sg_icon_stat        "30,12,0,1,white,blue,0,72d575a1,right"
11047      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 ],[0 0 26 26 ],[0.77 0.82 0.91]);\npatch([20 16 22 16 20 27 29 31 38 32 26 22 28 22 26 32 38 31 29 27 20 ],[3 7 13 19 23 23 21 23 23 17 23 19 13 7 3 9 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 55 55 0 0 ],[0 0 26 26 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('output',1,'0');\nfprintf('','COMMENT: end icon text');\n"
11048    }
11049    Block {
11050      BlockType       Reference
11051      Name            "Constant1"
11052      Ports           [0, 1]
11053      Position        [255, 672, 290, 688]
11054      ShowName        off
11055      SourceBlock         "xbsIndex_r4/Constant"
11056      SourceType          "Xilinx Constant Block Block"
11057      arith_type          "Unsigned"
11058      const           "1"
11059      n_bits          "1"
11060      bin_pt          "0"
11061      explicit_period     off
11062      period          "1"
11063      dsp48_infoedit      "The use of this block for DSP48 instructions is deprecated.  Please use the Opmode block."
11064      equ             "P=C"
11065      opselect        "C"
11066      inp2            "PCIN>>17"
11067      opr             "+"
11068      inp1            "P"
11069      carry           "CIN"
11070      dbl_ovrd        off
11071      has_advanced_control    "0"
11072      sggui_pos       "-1,-1,-1,-1"
11073      block_type          "constant"
11074      block_version       "8.2"
11075      sg_icon_stat        "35,16,0,1,white,blue,0,06094819,right"
11076      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 ],[0 0 26 26 ],[0.77 0.82 0.91]);\npatch([20 16 22 16 20 27 29 31 38 32 26 22 28 22 26 32 38 31 29 27 20 ],[3 7 13 19 23 23 21 23 23 17 23 19 13 7 3 9 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 55 55 0 0 ],[0 0 26 26 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('output',1,'1');\nfprintf('','COMMENT: end icon text');\n"
11077    }
11078    Block {
11079      BlockType       Constant
11080      Name            "Constant2"
11081      Position        [260, 261, 275, 279]
11082      ShowName        off
11083      OutDataType         "sfix(16)"
11084      OutScaling          "2^0"
11085    }
11086    Block {
11087      BlockType       Constant
11088      Name            "Constant4"
11089      Position        [315, 576, 330, 594]
11090      ShowName        off
11091      Value           "0"
11092      OutDataType         "sfix(16)"
11093      OutScaling          "2^0"
11094    }
11095    Block {
11096      BlockType       Reference
11097      Name            "Convert1"
11098      Ports           [1, 1]
11099      Position        [380, 670, 415, 690]
11100      ShowName        off
11101      SourceBlock         "xbsIndex_r4/Convert"
11102      SourceType          "Xilinx Type Converter Block"
11103      infoedit        "Hardware notes: rounding and saturating require hardware resources; truncating and wrapping do not."
11104      arith_type          "Boolean"
11105      n_bits          "16"
11106      bin_pt          "14"
11107      quantization        "Truncate"
11108      overflow        "Wrap"
11109      latency         "0"
11110      dbl_ovrd        off
11111      pipeline        off
11112      xl_use_area         off
11113      xl_area         "[0 0 0 0 0 0 0]"
11114      has_advanced_control    "0"
11115      sggui_pos       "20,20,461,375"
11116      block_type          "convert"
11117      block_version       "8.2"
11118      sg_icon_stat        "35,20,1,1,white,blue,0,74901e60,right"
11119      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 45 45 0 ],[0 0 30 30 ],[0.77 0.82 0.91]);\npatch([15 10 17 10 15 23 25 27 35 28 22 17 23 17 22 28 35 27 25 23 15 ],[3 8 15 22 27 27 25 27 27 20 26 21 15 9 4 10 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 45 45 0 0 ],[0 0 30 30 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('output',1,'cast');\nfprintf('','COMMENT: end icon text');\n"
11120    }
11121    Block {
11122      BlockType       Reference
11123      Name            "Counter"
11124      Ports           [2, 1]
11125      Position        [905, 224, 965, 326]
11126      NamePlacement       "alternate"
11127      SourceBlock         "xbsIndex_r4/Counter"
11128      SourceType          "Xilinx Counter Block"
11129      infoedit        "Hardware notes: Free running counters are the least expensive in hardware.  A count limited counter is implemented by combining a counter with a comparator."
11130      cnt_type        "Free Running"
11131      cnt_to          "Inf"
11132      operation       "Up"
11133      start_count         "0"
11134      cnt_by_val          "1"
11135      arith_type          "Unsigned"
11136      n_bits          "32"
11137      bin_pt          "0"
11138      load_pin        off
11139      rst             on
11140      en              on
11141      explicit_period     "on"
11142      period          "1"
11143      dbl_ovrd        off
11144      use_behavioral_HDL      off
11145      use_rpm         off
11146      implementation      "Fabric"
11147      xl_use_area         off
11148      xl_area         "[17 32 0 32 0 0 0]"
11149      has_advanced_control    "0"
11150      sggui_pos       "20,20,356,630"
11151      block_type          "counter"
11152      block_version       "8.2"
11153      sg_icon_stat        "60,102,2,1,white,blue,0,46c73e85,right"
11154      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 ],[0 0 60 60 ],[0.77 0.82 0.91]);\npatch([14 4 18 4 14 30 34 38 55 42 29 20 35 20 29 42 55 38 34 30 14 ],[6 16 30 44 54 54 50 54 54 41 54 45 30 15 6 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 60 60 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1,'rst');\ncolor('black');port_label('input',2,'en');\ncolor('black');port_label('output',1,'out');\nfprintf('','COMMENT: end icon text');\n"
11155    }
11156    Block {
11157      BlockType       Reference
11158      Name            "Counter1"
11159      Ports           [2, 1]
11160      Position        [835, 644, 895, 746]
11161      SourceBlock         "xbsIndex_r4/Counter"
11162      SourceType          "Xilinx Counter Block"
11163      infoedit        "Hardware notes: Free running counters are the least expensive in hardware.  A count limited counter is implemented by combining a counter with a comparator."
11164      cnt_type        "Free Running"
11165      cnt_to          "Inf"
11166      operation       "Up"
11167      start_count         "0"
11168      cnt_by_val          "1"
11169      arith_type          "Unsigned"
11170      n_bits          "16"
11171      bin_pt          "0"
11172      load_pin        off
11173      rst             on
11174      en              on
11175      explicit_period     "on"
11176      period          "1"
11177      dbl_ovrd        off
11178      use_behavioral_HDL      off
11179      use_rpm         off
11180      implementation      "Fabric"
11181      xl_use_area         off
11182      xl_area         "[17 32 0 32 0 0 0]"
11183      has_advanced_control    "0"
11184      sggui_pos       "20,20,356,630"
11185      block_type          "counter"
11186      block_version       "8.2"
11187      sg_icon_stat        "60,102,2,1,white,blue,0,46c73e85,right"
11188      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 ],[0 0 60 60 ],[0.77 0.82 0.91]);\npatch([14 4 18 4 14 30 34 38 55 42 29 20 35 20 29 42 55 38 34 30 14 ],[6 16 30 44 54 54 50 54 54 41 54 45 30 15 6 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 60 60 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1,'rst');\ncolor('black');port_label('input',2,'en');\ncolor('black');port_label('output',1,'out');\nfprintf('','COMMENT: end icon text');\n"
11189    }
11190    Block {
11191      BlockType       Reference
11192      Name            "Delay"
11193      Ports           [1, 1]
11194      Position        [925, 331, 950, 359]
11195      ShowName        off
11196      SourceBlock         "xbsIndex_r4/Delay"
11197      SourceType          "Xilinx Delay Block"
11198      infoedit        "Hardware notes: A delay line is a chain, each link of which is an SRL16 followed by a flip-flop."
11199      en              off
11200      latency         "1"
11201      dbl_ovrd        off
11202      reg_retiming        off
11203      xl_use_area         off
11204      xl_area         "[0,0,0,0,0,0,0]"
11205      has_advanced_control    "0"
11206      sggui_pos       "-1,-1,-1,-1"
11207      block_type          "delay"
11208      block_version       "10.1.3"
11209      sg_icon_stat        "25,28,1,1,white,blue,0,fc531c0e,right"
11210      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 25 25 0 ],[0 0 28 28 ],[0.77 0.82 0.91]);\npatch([6 2 8 2 6 13 15 17 24 18 13 9 14 9 13 18 24 17 15 13 6 ],[4 8 14 20 24 24 22 24 24 18 23 19 14 9 5 10 4 4 6 4 4 ],[0.98 0.96 0.92]);\nplot([0 25 25 0 0 ],[0 0 28 28 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');disp('z^{-1}','texmode','on');\nfprintf('','COMMENT: end icon text');\n"
11211    }
11212    Block {
11213      BlockType       Reference
11214      Name            "Inverter"
11215      Ports           [1, 1]
11216      Position        [1080, 476, 1125, 494]
11217      ShowName        off
11218      SourceBlock         "xbsIndex_r4/Inverter"
11219      SourceType          "Xilinx Inverter Block"
11220      infoedit        "Bitwise logical negation (one's complement) operator."
11221      en              off
11222      latency         "0"
11223      dbl_ovrd        off
11224      xl_use_area         off
11225      xl_area         "[0 0 0 0 0 0 0]"
11226      has_advanced_control    "0"
11227      sggui_pos       "-1,-1,-1,-1"
11228      block_type          "inv"
11229      block_version       "10.1.2"
11230      sg_icon_stat        "45,18,1,1,white,blue,0,1ab4a85f,right"
11231      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 ],[0 0 58 58 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13 28 32 36 52 40 28 19 32 19 28 40 52 36 32 28 13 ],[7 16 29 42 51 51 47 51 51 39 51 42 29 16 7 19 7 7 11 7 7 ],[0.98 0.96 0.92]);\nplot([0 55 55 0 0 ],[0 0 58 58 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');disp('not');\nfprintf('','COMMENT: end icon text');\n"
11232    }
11233    Block {
11234      BlockType       Reference
11235      Name            "Inverter1"
11236      Ports           [1, 1]
11237      Position        [590, 661, 635, 679]
11238      ShowName        off
11239      SourceBlock         "xbsIndex_r4/Inverter"
11240      SourceType          "Xilinx Inverter Block"
11241      infoedit        "Bitwise logical negation (one's complement) operator."
11242      en              off
11243      latency         "0"
11244      dbl_ovrd        off
11245      xl_use_area         off
11246      xl_area         "[0 0 0 0 0 0 0]"
11247      has_advanced_control    "0"
11248      sggui_pos       "-1,-1,-1,-1"
11249      block_type          "inv"
11250      block_version       "10.1.2"
11251      sg_icon_stat        "45,18,1,1,white,blue,0,1ab4a85f,right"
11252      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 ],[0 0 58 58 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13 28 32 36 52 40 28 19 32 19 28 40 52 36 32 28 13 ],[7 16 29 42 51 51 47 51 51 39 51 42 29 16 7 19 7 7 11 7 7 ],[0.98 0.96 0.92]);\nplot([0 55 55 0 0 ],[0 0 58 58 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');disp('not');\nfprintf('','COMMENT: end icon text');\n"
11253    }
11254    Block {
11255      BlockType       Reference
11256      Name            "Logical1"
11257      Ports           [2, 1]
11258      Position        [855, 231, 885, 264]
11259      ShowName        off
11260      SourceBlock         "xbsIndex_r4/Logical"
11261      SourceType          "Xilinx Logical Block Block"
11262      logical_function    "OR"
11263      inputs          "2"
11264      en              off
11265      latency         "0"
11266      precision       "Full"
11267      arith_type          "Unsigned"
11268      n_bits          "16"
11269      bin_pt          "0"
11270      align_bp        on
11271      dbl_ovrd        off
11272      xl_use_area         off
11273      xl_area         "[1 0 0 1 0 0 0]"
11274      has_advanced_control    "0"
11275      sggui_pos       "-1,-1,-1,-1"
11276      block_type          "logical"
11277      block_version       "9.1.01"
11278      sg_icon_stat        "30,33,2,1,white,blue,0,f4a65842,right"
11279      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 ],[0 0 60 60 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13 28 32 36 52 40 28 19 32 19 28 40 52 36 32 28 13 ],[8 17 30 43 52 52 48 52 52 40 52 43 30 17 8 20 8 8 12 8 8 ],[0.98 0.96 0.92]);\nplot([0 55 55 0 0 ],[0 0 60 60 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');disp('\\newlineor\\newlinez^{-0}','texmode','on');\nfprintf('','COMMENT: end icon text');\n"
11280    }
11281    Block {
11282      BlockType       Reference
11283      Name            "Logical2"
11284      Ports           [2, 1]
11285      Position        [590, 306, 620, 339]
11286      ShowName        off
11287      SourceBlock         "xbsIndex_r4/Logical"
11288      SourceType          "Xilinx Logical Block Block"
11289      logical_function    "OR"
11290      inputs          "2"
11291      en              off
11292      latency         "0"
11293      precision       "Full"
11294      arith_type          "Unsigned"
11295      n_bits          "16"
11296      bin_pt          "0"
11297      align_bp        on
11298      dbl_ovrd        off
11299      xl_use_area         off
11300      xl_area         "[1 0 0 1 0 0 0]"
11301      has_advanced_control    "0"
11302      sggui_pos       "-1,-1,-1,-1"
11303      block_type          "logical"
11304      block_version       "9.1.01"
11305      sg_icon_stat        "30,33,2,1,white,blue,0,f4a65842,right"
11306      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 ],[0 0 60 60 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13 28 32 36 52 40 28 19 32 19 28 40 52 36 32 28 13 ],[8 17 30 43 52 52 48 52 52 40 52 43 30 17 8 20 8 8 12 8 8 ],[0.98 0.96 0.92]);\nplot([0 55 55 0 0 ],[0 0 60 60 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');disp('\\newlineor\\newlinez^{-0}','texmode','on');\nfprintf('','COMMENT: end icon text');\n"
11307    }
11308    Block {
11309      BlockType       Reference
11310      Name            "Logical3"
11311      Ports           [2, 1]
11312      Position        [590, 271, 620, 304]
11313      ShowName        off
11314      SourceBlock         "xbsIndex_r4/Logical"
11315      SourceType          "Xilinx Logical Block Block"
11316      logical_function    "OR"
11317      inputs          "2"
11318      en              off
11319      latency         "0"
11320      precision       "Full"
11321      arith_type          "Unsigned"
11322      n_bits          "16"
11323      bin_pt          "0"
11324      align_bp        on
11325      dbl_ovrd        off
11326      xl_use_area         off
11327      xl_area         "[1 0 0 1 0 0 0]"
11328      has_advanced_control    "0"
11329      sggui_pos       "-1,-1,-1,-1"
11330      block_type          "logical"
11331      block_version       "9.1.01"
11332      sg_icon_stat        "30,33,2,1,white,blue,0,f4a65842,right"
11333      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 ],[0 0 60 60 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13 28 32 36 52 40 28 19 32 19 28 40 52 36 32 28 13 ],[8 17 30 43 52 52 48 52 52 40 52 43 30 17 8 20 8 8 12 8 8 ],[0.98 0.96 0.92]);\nplot([0 55 55 0 0 ],[0 0 60 60 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');disp('\\newlineor\\newlinez^{-0}','texmode','on');\nfprintf('','COMMENT: end icon text');\n"
11334    }
11335    Block {
11336      BlockType       Reference
11337      Name            "Logical4"
11338      Ports           [2, 1]
11339      Position        [1155, 433, 1200, 502]
11340      ShowName        off
11341      SourceBlock         "xbsIndex_r4/Logical"
11342      SourceType          "Xilinx Logical Block Block"
11343      logical_function    "AND"
11344      inputs          "2"
11345      en              off
11346      latency         "0"
11347      precision       "Full"
11348      arith_type          "Unsigned"
11349      n_bits          "16"
11350      bin_pt          "0"
11351      align_bp        on
11352      dbl_ovrd        off
11353      xl_use_area         off
11354      xl_area         "[1 0 0 1 0 0 0]"
11355      has_advanced_control    "0"
11356      sggui_pos       "-1,-1,-1,-1"
11357      block_type          "logical"
11358      block_version       "10.1.2"
11359      sg_icon_stat        "45,69,2,1,white,blue,0,087b5522,right"
11360      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 ],[0 0 60 60 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13 28 32 36 52 40 28 19 32 19 28 40 52 36 32 28 13 ],[8 17 30 43 52 52 48 52 52 40 52 43 30 17 8 20 8 8 12 8 8 ],[0.98 0.96 0.92]);\nplot([0 55 55 0 0 ],[0 0 60 60 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');disp('\\newlineand\\newlinez^{-0}','texmode','on');\nfprintf('','COMMENT: end icon text');\n"
11361    }
11362    Block {
11363      BlockType       Reference
11364      Name            "Logical5"
11365      Ports           [3, 1]
11366      Position        [770, 652, 805, 688]
11367      ShowName        off
11368      SourceBlock         "xbsIndex_r4/Logical"
11369      SourceType          "Xilinx Logical Block Block"
11370      logical_function    "OR"
11371      inputs          "3"
11372      en              off
11373      latency         "0"
11374      precision       "Full"
11375      arith_type          "Unsigned"
11376      n_bits          "16"
11377      bin_pt          "0"
11378      align_bp        on
11379      dbl_ovrd        off
11380      xl_use_area         off
11381      xl_area         "[1 0 0 1 0 0 0]"
11382      has_advanced_control    "0"
11383      sggui_pos       "20,20,348,261"
11384      block_type          "logical"
11385      block_version       "8.2"
11386      sg_icon_stat        "35,36,3,1,white,blue,0,bd50cad4,right"
11387      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 ],[0 0 60 60 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13 28 32 36 52 40 28 19 32 19 28 40 52 36 32 28 13 ],[8 17 30 43 52 52 48 52 52 40 52 43 30 17 8 20 8 8 12 8 8 ],[0.98 0.96 0.92]);\nplot([0 55 55 0 0 ],[0 0 60 60 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');disp('\\newlineor\\newlinez^{-0}','texmode','on');\nfprintf('','COMMENT: end icon text');\n"
11388    }
11389    Block {
11390      BlockType       Reference
11391      Name            "Logical6"
11392      Ports           [3, 1]
11393      Position        [850, 282, 885, 318]
11394      ShowName        off
11395      SourceBlock         "xbsIndex_r4/Logical"
11396      SourceType          "Xilinx Logical Block Block"
11397      logical_function    "AND"
11398      inputs          "3"
11399      en              off
11400      latency         "0"
11401      precision       "Full"
11402      arith_type          "Unsigned"
11403      n_bits          "16"
11404      bin_pt          "0"
11405      align_bp        on
11406      dbl_ovrd        off
11407      xl_use_area         off
11408      xl_area         "[1 0 0 1 0 0 0]"
11409      has_advanced_control    "0"
11410      sggui_pos       "20,20,348,261"
11411      block_type          "logical"
11412      block_version       "8.2"
11413      sg_icon_stat        "35,36,3,1,white,blue,0,5c2bfaa2,right"
11414      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 ],[0 0 60 60 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13 28 32 36 52 40 28 19 32 19 28 40 52 36 32 28 13 ],[8 17 30 43 52 52 48 52 52 40 52 43 30 17 8 20 8 8 12 8 8 ],[0.98 0.96 0.92]);\nplot([0 55 55 0 0 ],[0 0 60 60 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');disp('\\newlineand\\newlinez^{-0}','texmode','on');\nfprintf('','COMMENT: end icon text');\n"
11415    }
11416    Block {
11417      BlockType       Reference
11418      Name            "Logical7"
11419      Ports           [3, 1]
11420      Position        [1175, 243, 1205, 277]
11421      ShowName        off
11422      SourceBlock         "xbsIndex_r4/Logical"
11423      SourceType          "Xilinx Logical Block Block"
11424      logical_function    "AND"
11425      inputs          "3"
11426      en              off
11427      latency         "0"
11428      precision       "Full"
11429      arith_type          "Unsigned"
11430      n_bits          "16"
11431      bin_pt          "0"
11432      align_bp        on
11433      dbl_ovrd        off
11434      xl_use_area         off
11435      xl_area         "[1 0 0 1 0 0 0]"
11436      has_advanced_control    "0"
11437      sggui_pos       "20,20,348,261"
11438      block_type          "logical"
11439      block_version       "9.1.01"
11440      sg_icon_stat        "30,34,3,1,white,blue,0,5c2bfaa2,right"
11441      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 30 30 0 ],[0 0 34 34 ],[0.77 0.82 0.91]);\npatch([7 2 9 2 7 15 17 19 27 20 14 9 15 9 14 20 27 19 17 15 7 ],[5 10 17 24 29 29 27 29 29 22 28 23 17 11 6 12 5 5 7 5 5 ],[0.98 0.96 0.92]);\nplot([0 30 30 0 0 ],[0 0 34 34 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');disp('\\newlineand\\newlinez^{-0}','texmode','on');\nfprintf('','COMMENT: end icon text');\n"
11442    }
11443    Block {
11444      BlockType       Reference
11445      Name            "Mux"
11446      Ports           [3, 1]
11447      Position        [320, 643, 345, 717]
11448      ShowName        off
11449      SourceBlock         "xbsIndex_r4/Mux"
11450      SourceType          "Xilinx Bus Multiplexer Block"
11451      inputs          "2"
11452      en              off
11453      latency         "0"
11454      precision       "Full"
11455      arith_type          "Unsigned"
11456      n_bits          "16"
11457      bin_pt          "14"
11458      quantization        "Truncate"
11459      overflow        "Wrap"
11460      dbl_ovrd        off
11461      xl_use_area         off
11462      xl_area         "[1 0 0 1 0 0 0]"
11463      has_advanced_control    "0"
11464      sggui_pos       "-1,-1,-1,-1"
11465      block_type          "mux"
11466      block_version       "8.2"
11467      sg_icon_stat        "25,74,3,1,white,blue,3,613f58e1,right"
11468      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 45 45 0 ],[0 14.8571 89.1429 104 ],[0.77 0.82 0.91]);\npatch([10 3 14 3 10 22 25 28 41 31 21 14 25 14 21 31 41 28 25 22 10 ],[34 41 52 63 70 70 67 70 70 60 70 63 52 41 34 44 34 34 37 34 34 ],[0.98 0.96 0.92]);\nplot([0 45 45 0 0 ],[0 14.8571 89.1429 104 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1,'sel');\ncolor('black');port_label('input',2,'d0');\ncolor('black');port_label('input',3,'d1');\ncolor('black');disp('\\bf{}','texmode','on');\nfprintf('','COMMENT: end icon text');\n"
11469    }
11470    Block {
11471      BlockType       Reference
11472      Name            "Relational"
11473      Ports           [2, 1]
11474      Position        [1060, 231, 1100, 289]
11475      ShowName        off
11476      SourceBlock         "xbsIndex_r4/Relational"
11477      SourceType          "Xilinx Arithmetic Relational Operator Block"
11478      mode            "a<=b"
11479      en              off
11480      latency         "0"
11481      dbl_ovrd        off
11482      xl_use_area         off
11483      xl_area         "[8 0 0 16 0 0 0]"
11484      has_advanced_control    "0"
11485      sggui_pos       "20,20,348,193"
11486      block_type          "relational"
11487      block_version       "8.2"
11488      sg_icon_stat        "40,58,2,1,white,blue,0,07808d72,right"
11489      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 ],[0 0 56 56 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13 28 32 36 52 40 28 19 32 19 28 40 52 36 32 28 13 ],[6 15 28 41 50 50 46 50 50 38 50 41 28 15 6 18 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 55 55 0 0 ],[0 0 56 56 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1,'a');\ncolor('black');port_label('input',2,'b');\ncolor('black');disp('\\newline\\bf{a<=b}\\newlinez^{-0}','texmode','on');\nfprintf('','COMMENT: end icon text');\n"
11490    }
11491    Block {
11492      BlockType       Reference
11493      Name            "Relational1"
11494      Ports           [2, 1]
11495      Position        [1005, 431, 1050, 469]
11496      ShowName        off
11497      SourceBlock         "xbsIndex_r4/Relational"
11498      SourceType          "Xilinx Arithmetic Relational Operator Block"
11499      mode            "a>b"
11500      en              off
11501      latency         "0"
11502      dbl_ovrd        off
11503      xl_use_area         off
11504      xl_area         "[16 1 0 32 0 0 0]"
11505      has_advanced_control    "0"
11506      sggui_pos       "20,20,348,193"
11507      block_type          "relational"
11508      block_version       "10.1.2"
11509      sg_icon_stat        "45,38,2,1,white,blue,0,064af3a6,right"
11510      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 ],[0 0 56 56 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13 28 32 36 52 40 28 19 32 19 28 40 52 36 32 28 13 ],[6 15 28 41 50 50 46 50 50 38 50 41 28 15 6 18 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 55 55 0 0 ],[0 0 56 56 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1,'a');\ncolor('black');port_label('input',2,'b');\ncolor('black');disp('\\newline\\bf{a>b}\\newlinez^{-0}','texmode','on');\nfprintf('','COMMENT: end icon text');\n"
11511    }
11512    Block {
11513      BlockType       Reference
11514      Name            "Relational2"
11515      Ports           [2, 1]
11516      Position        [985, 651, 1025, 709]
11517      ShowName        off
11518      SourceBlock         "xbsIndex_r4/Relational"
11519      SourceType          "Xilinx Arithmetic Relational Operator Block"
11520      mode            "a<=b"
11521      en              off
11522      latency         "0"
11523      dbl_ovrd        off
11524      xl_use_area         off
11525      xl_area         "[8 0 0 16 0 0 0]"
11526      has_advanced_control    "0"
11527      sggui_pos       "20,20,348,193"
11528      block_type          "relational"
11529      block_version       "8.2"
11530      sg_icon_stat        "40,58,2,1,white,blue,0,07808d72,right"
11531      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 ],[0 0 56 56 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13 28 32 36 52 40 28 19 32 19 28 40 52 36 32 28 13 ],[6 15 28 41 50 50 46 50 50 38 50 41 28 15 6 18 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 55 55 0 0 ],[0 0 56 56 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1,'a');\ncolor('black');port_label('input',2,'b');\ncolor('black');disp('\\newline\\bf{a<=b}\\newlinez^{-0}','texmode','on');\nfprintf('','COMMENT: end icon text');\n"
11532    }
11533    Block {
11534      BlockType       SubSystem
11535      Name            "S-R_Latch1"
11536      Ports           [2, 1]
11537      Position        [665, 272, 705, 343]
11538      MinAlgLoopOccurrences   off
11539      PropExecContextOutsideSubsystem off
11540      RTWSystemCode       "Auto"
11541      FunctionWithSeparateData off
11542      Opaque          off
11543      RequestExecContextInheritance off
11544      MaskHideContents    off
11545      System {
11546        Name            "S-R_Latch1"
11547        Location            [202, 70, 1438, 850]
11548        Open            off
11549        ModelBrowserVisibility  on
11550        ModelBrowserWidth       200
11551        ScreenColor         "white"
11552        PaperOrientation        "landscape"
11553        PaperPositionMode       "auto"
11554        PaperType           "usletter"
11555        PaperUnits          "inches"
11556        TiledPaperMargins       [0.500000, 0.500000, 0.500000, 0.500000]
11557        TiledPageScale      1
11558        ShowPageBoundaries      off
11559        ZoomFactor          "100"
11560        Block {
11561          BlockType           Inport
11562          Name            "S"
11563          Position            [95, 58, 125, 72]
11564          IconDisplay         "Port number"
11565          OutDataType         "sfix(16)"
11566          OutScaling          "2^0"
11567        }
11568        Block {
11569          BlockType           Inport
11570          Name            "R"
11571          Position            [95, 88, 125, 102]
11572          Port            "2"
11573          IconDisplay         "Port number"
11574          OutDataType         "sfix(16)"
11575          OutScaling          "2^0"
11576        }
11577        Block {
11578          BlockType           Reference
11579          Name            "Inverter"
11580          Ports           [1, 1]
11581          Position            [220, 82, 250, 108]
11582          SourceBlock         "xbsIndex_r4/Inverter"
11583          SourceType          "Xilinx Inverter Block"
11584          infoedit            "Bitwise logical negation (one's complement) operator."
11585          en              "off"
11586          latency             "0"
11587          dbl_ovrd            "off"
11588          xl_use_area         "off"
11589          xl_area             "[0 0 0 0 0 0 0]"
11590          has_advanced_control    "0"
11591          sggui_pos           "-1,-1,-1,-1"
11592          block_type          "inv"
11593          block_version       "VER_STRING_GOES_HERE"
11594          sg_icon_stat        "30,26,1,1,white,blue,0,1ab4a85f,right"
11595          sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 ],[0 0 58 58 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13 28 32 36 52 40 28 19 32 19 28 40 52 36 32 28 13 ],[7 16 29 42 51 51 47 51 51 39 51 42 29 16 7 19 7 7 11 7 7 ],[0.98 0.96 0.92]);\nplot([0 55 55 0 0 ],[0 0 58 58 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');disp('not');\nfprintf('','COMMENT: end icon text');\n"
11596        }
11597        Block {
11598          BlockType           Reference
11599          Name            "Register"
11600          Ports           [3, 1]
11601          Position            [150, 70, 195, 120]
11602          SourceBlock         "xbsIndex_r4/Register"
11603          SourceType          "Xilinx Register Block"
11604          init            "0"
11605          rst             "on"
11606          en              "on"
11607          dbl_ovrd            "off"
11608          xl_use_area         "off"
11609          xl_area             "[1 1 0 0 0 0 0]"
11610          has_advanced_control    "0"
11611          sggui_pos           "20,20,348,193"
11612          block_type          "register"
11613          block_version       "VER_STRING_GOES_HERE"
11614          sg_icon_stat        "45,50,1,1,white,blue,0,923c1847,right"
11615          sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 ],[0 0 56 56 ],[0.77 0.82 0.91]);\npatch([15 6 19 6 15 30 34 38 54 41 29 20 32 20 29 41 54 38 34 30 15 ],[6 15 28 41 50 50 46 50 50 37 49 40 28 16 7 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 56 56 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1,'d');\ncolor('black');port_label('input',2,'rst');\ncolor('black');port_label('input',3,'en');\ncolor('black');port_label('output',1,'q');\ncolor('black');disp('\\bf{z^{-1}}','texmode','on');\nfprintf('','COMMENT: end icon text');\n"
11616        }
11617        Block {
11618          BlockType           Outport
11619          Name            "Q"
11620          Position            [285, 63, 315, 77]
11621          IconDisplay         "Port number"
11622          OutDataType         "sfix(16)"
11623          OutScaling          "2^0"
11624        }
11625        Line {
11626          SrcBlock            "R"
11627          SrcPort             1
11628          DstBlock            "Register"
11629          DstPort             2
11630        }
11631        Line {
11632          SrcBlock            "S"
11633          SrcPort             1
11634          DstBlock            "Register"
11635          DstPort             1
11636        }
11637        Line {
11638          SrcBlock            "Inverter"
11639          SrcPort             1
11640          Points              [10, 0; 0, 55; -130, 0]
11641          DstBlock            "Register"
11642          DstPort             3
11643        }
11644        Line {
11645          SrcBlock            "Register"
11646          SrcPort             1
11647          Points              [0, 0; 5, 0]
11648          Branch {
11649        Points          [0, -25]
11650        DstBlock        "Q"
11651        DstPort         1
11652          }
11653          Branch {
11654        DstBlock        "Inverter"
11655        DstPort         1
11656          }
11657        }
11658      }
11659    }
11660    Block {
11661      BlockType       SubSystem
11662      Name            "S-R_Latch2"
11663      Ports           [2, 1]
11664      Position        [1285, 245, 1325, 305]
11665      MinAlgLoopOccurrences   off
11666      PropExecContextOutsideSubsystem off
11667      RTWSystemCode       "Auto"
11668      FunctionWithSeparateData off
11669      Opaque          off
11670      RequestExecContextInheritance off
11671      MaskHideContents    off
11672      System {
11673        Name            "S-R_Latch2"
11674        Location            [521, 318, 918, 591]
11675        Open            off
11676        ModelBrowserVisibility  on
11677        ModelBrowserWidth       200
11678        ScreenColor         "white"
11679        PaperOrientation        "landscape"
11680        PaperPositionMode       "auto"
11681        PaperType           "usletter"
11682        PaperUnits          "inches"
11683        TiledPaperMargins       [0.500000, 0.500000, 0.500000, 0.500000]
11684        TiledPageScale      1
11685        ShowPageBoundaries      off
11686        ZoomFactor          "100"
11687        Block {
11688          BlockType           Inport
11689          Name            "S"
11690          Position            [95, 58, 125, 72]
11691          IconDisplay         "Port number"
11692          OutDataType         "sfix(16)"
11693          OutScaling          "2^0"
11694        }
11695        Block {
11696          BlockType           Inport
11697          Name            "R"
11698          Position            [95, 88, 125, 102]
11699          Port            "2"
11700          IconDisplay         "Port number"
11701          OutDataType         "sfix(16)"
11702          OutScaling          "2^0"
11703        }
11704        Block {
11705          BlockType           Reference
11706          Name            "Inverter"
11707          Ports           [1, 1]
11708          Position            [220, 82, 250, 108]
11709          SourceBlock         "xbsIndex_r4/Inverter"
11710          SourceType          "Xilinx Inverter Block"
11711          infoedit            "Bitwise logical negation (one's complement) operator."
11712          en              "off"
11713          latency             "0"
11714          dbl_ovrd            "off"
11715          xl_use_area         "off"
11716          xl_area             "[0 0 0 0 0 0 0]"
11717          has_advanced_control    "0"
11718          sggui_pos           "-1,-1,-1,-1"
11719          block_type          "inv"
11720          block_version       "VER_STRING_GOES_HERE"
11721          sg_icon_stat        "30,26,1,1,white,blue,0,1ab4a85f,right"
11722          sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 ],[0 0 58 58 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13 28 32 36 52 40 28 19 32 19 28 40 52 36 32 28 13 ],[7 16 29 42 51 51 47 51 51 39 51 42 29 16 7 19 7 7 11 7 7 ],[0.98 0.96 0.92]);\nplot([0 55 55 0 0 ],[0 0 58 58 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');disp('not');\nfprintf('','COMMENT: end icon text');\n"
11723        }
11724        Block {
11725          BlockType           Reference
11726          Name            "Register"
11727          Ports           [3, 1]
11728          Position            [150, 70, 195, 120]
11729          SourceBlock         "xbsIndex_r4/Register"
11730          SourceType          "Xilinx Register Block"
11731          init            "0"
11732          rst             "on"
11733          en              "on"
11734          dbl_ovrd            "off"
11735          xl_use_area         "off"
11736          xl_area             "[1 1 0 0 0 0 0]"
11737          has_advanced_control    "0"
11738          sggui_pos           "-1,-1,-1,-1"
11739          block_type          "register"
11740          block_version       "VER_STRING_GOES_HERE"
11741          sg_icon_stat        "45,50,1,1,white,blue,0,923c1847,right"
11742          sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 ],[0 0 56 56 ],[0.77 0.82 0.91]);\npatch([15 6 19 6 15 30 34 38 54 41 29 20 32 20 29 41 54 38 34 30 15 ],[6 15 28 41 50 50 46 50 50 37 49 40 28 16 7 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 56 56 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1,'d');\ncolor('black');port_label('input',2,'rst');\ncolor('black');port_label('input',3,'en');\ncolor('black');port_label('output',1,'q');\ncolor('black');disp('\\bf{z^{-1}}','texmode','on');\nfprintf('','COMMENT: end icon text');\n"
11743        }
11744        Block {
11745          BlockType           Outport
11746          Name            "Q"
11747          Position            [285, 63, 315, 77]
11748          IconDisplay         "Port number"
11749          OutDataType         "sfix(16)"
11750          OutScaling          "2^0"
11751        }
11752        Line {
11753          SrcBlock            "Register"
11754          SrcPort             1
11755          Points              [0, 0; 5, 0]
11756          Branch {
11757        DstBlock        "Inverter"
11758        DstPort         1
11759          }
11760          Branch {
11761        Points          [0, -25]
11762        DstBlock        "Q"
11763        DstPort         1
11764          }
11765        }
11766        Line {
11767          SrcBlock            "Inverter"
11768          SrcPort             1
11769          Points              [10, 0; 0, 55; -130, 0]
11770          DstBlock            "Register"
11771          DstPort             3
11772        }
11773        Line {
11774          SrcBlock            "S"
11775          SrcPort             1
11776          DstBlock            "Register"
11777          DstPort             1
11778        }
11779        Line {
11780          SrcBlock            "R"
11781          SrcPort             1
11782          DstBlock            "Register"
11783          DstPort             2
11784        }
11785      }
11786    }
11787    Block {
11788      BlockType       SubSystem
11789      Name            "S-R_Latch3"
11790      Ports           [2, 1]
11791      Position        [665, 167, 705, 238]
11792      MinAlgLoopOccurrences   off
11793      PropExecContextOutsideSubsystem off
11794      RTWSystemCode       "Auto"
11795      FunctionWithSeparateData off
11796      Opaque          off
11797      RequestExecContextInheritance off
11798      MaskHideContents    off
11799      System {
11800        Name            "S-R_Latch3"
11801        Location            [202, 70, 1438, 850]
11802        Open            off
11803        ModelBrowserVisibility  on
11804        ModelBrowserWidth       200
11805        ScreenColor         "white"
11806        PaperOrientation        "landscape"
11807        PaperPositionMode       "auto"
11808        PaperType           "usletter"
11809        PaperUnits          "inches"
11810        TiledPaperMargins       [0.500000, 0.500000, 0.500000, 0.500000]
11811        TiledPageScale      1
11812        ShowPageBoundaries      off
11813        ZoomFactor          "100"
11814        Block {
11815          BlockType           Inport
11816          Name            "S"
11817          Position            [95, 58, 125, 72]
11818          IconDisplay         "Port number"
11819          OutDataType         "sfix(16)"
11820          OutScaling          "2^0"
11821        }
11822        Block {
11823          BlockType           Inport
11824          Name            "R"
11825          Position            [95, 88, 125, 102]
11826          Port            "2"
11827          IconDisplay         "Port number"
11828          OutDataType         "sfix(16)"
11829          OutScaling          "2^0"
11830        }
11831        Block {
11832          BlockType           Reference
11833          Name            "Inverter"
11834          Ports           [1, 1]
11835          Position            [220, 82, 250, 108]
11836          SourceBlock         "xbsIndex_r4/Inverter"
11837          SourceType          "Xilinx Inverter Block"
11838          infoedit            "Bitwise logical negation (one's complement) operator."
11839          en              "off"
11840          latency             "0"
11841          dbl_ovrd            "off"
11842          xl_use_area         "off"
11843          xl_area             "[0 0 0 0 0 0 0]"
11844          has_advanced_control    "0"
11845          sggui_pos           "-1,-1,-1,-1"
11846          block_type          "inv"
11847          block_version       "VER_STRING_GOES_HERE"
11848          sg_icon_stat        "30,26,1,1,white,blue,0,1ab4a85f,right"
11849          sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 ],[0 0 58 58 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13 28 32 36 52 40 28 19 32 19 28 40 52 36 32 28 13 ],[7 16 29 42 51 51 47 51 51 39 51 42 29 16 7 19 7 7 11 7 7 ],[0.98 0.96 0.92]);\nplot([0 55 55 0 0 ],[0 0 58 58 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');disp('not');\nfprintf('','COMMENT: end icon text');\n"
11850        }
11851        Block {
11852          BlockType           Reference
11853          Name            "Register"
11854          Ports           [3, 1]
11855          Position            [150, 70, 195, 120]
11856          SourceBlock         "xbsIndex_r4/Register"
11857          SourceType          "Xilinx Register Block"
11858          init            "0"
11859          rst             "on"
11860          en              "on"
11861          dbl_ovrd            "off"
11862          xl_use_area         "off"
11863          xl_area             "[1 1 0 0 0 0 0]"
11864          has_advanced_control    "0"
11865          sggui_pos           "20,20,348,193"
11866          block_type          "register"
11867          block_version       "VER_STRING_GOES_HERE"
11868          sg_icon_stat        "45,50,1,1,white,blue,0,923c1847,right"
11869          sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 ],[0 0 56 56 ],[0.77 0.82 0.91]);\npatch([15 6 19 6 15 30 34 38 54 41 29 20 32 20 29 41 54 38 34 30 15 ],[6 15 28 41 50 50 46 50 50 37 49 40 28 16 7 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 56 56 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1,'d');\ncolor('black');port_label('input',2,'rst');\ncolor('black');port_label('input',3,'en');\ncolor('black');port_label('output',1,'q');\ncolor('black');disp('\\bf{z^{-1}}','texmode','on');\nfprintf('','COMMENT: end icon text');\n"
11870        }
11871        Block {
11872          BlockType           Outport
11873          Name            "Q"
11874          Position            [285, 63, 315, 77]
11875          IconDisplay         "Port number"
11876          OutDataType         "sfix(16)"
11877          OutScaling          "2^0"
11878        }
11879        Line {
11880          SrcBlock            "Register"
11881          SrcPort             1
11882          Points              [0, 0; 5, 0]
11883          Branch {
11884        DstBlock        "Inverter"
11885        DstPort         1
11886          }
11887          Branch {
11888        Points          [0, -25]
11889        DstBlock        "Q"
11890        DstPort         1
11891          }
11892        }
11893        Line {
11894          SrcBlock            "Inverter"
11895          SrcPort             1
11896          Points              [10, 0; 0, 55; -130, 0]
11897          DstBlock            "Register"
11898          DstPort             3
11899        }
11900        Line {
11901          SrcBlock            "S"
11902          SrcPort             1
11903          DstBlock            "Register"
11904          DstPort             1
11905        }
11906        Line {
11907          SrcBlock            "R"
11908          SrcPort             1
11909          DstBlock            "Register"
11910          DstPort             2
11911        }
11912      }
11913    }
11914    Block {
11915      BlockType       Scope
11916      Name            "Scope"
11917      Ports           [3]
11918      Position        [1530, 305, 1560, 405]
11919      Floating        off
11920      Location        [1, 45, 1441, 869]
11921      Open            off
11922      NumInputPorts       "3"
11923      ZoomMode        "xonly"
11924      List {
11925        ListType            AxesTitles
11926        axes1           "%<SignalLabel>"
11927        axes2           "%<SignalLabel>"
11928        axes3           "%<SignalLabel>"
11929      }
11930      YMin            "-5~-5~-5"
11931      YMax            "5~5~5"
11932      DataFormat          "StructureWithTime"
11933      SampleTime          "0"
11934    }
11935    Block {
11936      BlockType       Reference
11937      Name            "Sim Mux"
11938      Ports           [2, 1]
11939      Position        [405, 261, 445, 299]
11940      NamePlacement       "alternate"
11941      SourceBlock         "xbsIndex_r4/Simulation Multiplexer"
11942      SourceType          "Xilinx Simulation Multiplexer Block"
11943      infoedit        "Distinguish input subsystems as \"simulation only\" and \"generation only\".  The input specified For Simulation will be used during Simulink simulation.  The input specified For Generation will be used during code generation.  This block will typically be used on the output of a HW Co-Simulation, Black Box, or ModelSim subsystem.<P><P>Hardware Notes: This block costs nothing."
11944      sim_sel         "1"
11945      hw_sel          "2"
11946      has_advanced_control    "0"
11947      sggui_pos       "20,20,336,197"
11948      block_type          "simmux"
11949      block_version       "10.1.3"
11950      sg_icon_stat        "40,38,2,1,white,blue,0,c4f98ccb,right"
11951      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 50 50 0 ],[0 0 51 51 ],[0.77 0.82 0.91]);\npatch([11 3 15 3 11 24 28 32 46 35 24 16 28 16 24 35 46 32 28 24 11 ],[6 14 26 38 46 46 42 46 46 35 46 38 26 14 6 17 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 50 50 0 0 ],[0 0 51 51 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\n\nfprintf('','COMMENT: end icon text');\ncolor('red');\nplot(swLineX,simSwLineY);\ncolor('black');\nplot(swLineX,hwSwLineY);\n"
11952    }
11953    Block {
11954      BlockType       Reference
11955      Name            "Sim Mux1"
11956      Ports           [2, 1]
11957      Position        [470, 576, 510, 614]
11958      NamePlacement       "alternate"
11959      SourceBlock         "xbsIndex_r4/Simulation Multiplexer"
11960      SourceType          "Xilinx Simulation Multiplexer Block"
11961      infoedit        "Distinguish input subsystems as \"simulation only\" and \"generation only\".  The input specified For Simulation will be used during Simulink simulation.  The input specified For Generation will be used during code generation.  This block will typically be used on the output of a HW Co-Simulation, Black Box, or ModelSim subsystem.<P><P>Hardware Notes: This block costs nothing."
11962      sim_sel         "1"
11963      hw_sel          "2"
11964      has_advanced_control    "0"
11965      sggui_pos       "20,20,336,197"
11966      block_type          "simmux"
11967      block_version       "10.1.3"
11968      sg_icon_stat        "40,38,2,1,white,blue,0,c4f98ccb,right"
11969      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 50 50 0 ],[0 0 51 51 ],[0.77 0.82 0.91]);\npatch([11 3 15 3 11 24 28 32 46 35 24 16 28 16 24 35 46 32 28 24 11 ],[6 14 26 38 46 46 42 46 46 35 46 38 26 14 6 17 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 50 50 0 0 ],[0 0 51 51 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\n\nfprintf('','COMMENT: end icon text');\ncolor('red');\nplot(swLineX,simSwLineY);\ncolor('black');\nplot(swLineX,hwSwLineY);\n"
11970    }
11971    Block {
11972      BlockType       SubSystem
11973      Name            "Sim-only\nGateway In"
11974      Ports           [1, 1]
11975      Position        [305, 260, 350, 280]
11976      NamePlacement       "alternate"
11977      MinAlgLoopOccurrences   off
11978      PropExecContextOutsideSubsystem off
11979      RTWSystemCode       "Auto"
11980      FunctionWithSeparateData off
11981      Opaque          off
11982      RequestExecContextInheritance off
11983      MaskHideContents    off
11984      System {
11985        Name            "Sim-only\nGateway In"
11986        Location            [269, 280, 494, 362]
11987        Open            off
11988        ModelBrowserVisibility  on
11989        ModelBrowserWidth       200
11990        ScreenColor         "white"
11991        PaperOrientation        "landscape"
11992        PaperPositionMode       "auto"
11993        PaperType           "usletter"
11994        PaperUnits          "inches"
11995        TiledPaperMargins       [0.500000, 0.500000, 0.500000, 0.500000]
11996        TiledPageScale      1
11997        ShowPageBoundaries      off
11998        ZoomFactor          "100"
11999        Block {
12000          BlockType           Inport
12001          Name            "D"
12002          Position            [20, 33, 50, 47]
12003          IconDisplay         "Port number"
12004          OutDataType         "sfix(16)"
12005          OutScaling          "2^0"
12006        }
12007        Block {
12008          BlockType           Reference
12009          Name            "Disregard Subsystem"
12010          Tag             "discardX"
12011          Ports           []
12012          Position            [86, 85, 144, 143]
12013          ShowName            off
12014          AttributesFormatString  "Disregard Subsystem\\nFor Generation"
12015          SourceBlock         "xbsIndex_r4/Disregard Subsystem"
12016          SourceType          "Xilinx Disregard Subsystem For Generation Block"
12017          infoedit            "Place this block into a subsystem to have System Generator ignore the subsystem during code generation. This block can be used in combination with the Simulation Multiplexer block to provide an alternative simulation model for another subsystem (e.g., to provide a simulation model for a black box)."
12018          has_advanced_control    "0"
12019          sggui_pos           "-1,-1,-1,-1"
12020          block_type          "disregard"
12021          block_version       "10.1.3"
12022          sg_icon_stat        "58,58,-1,-1,darkgray,black,0,0,right"
12023          sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npatch([0 58 58 0 ],[0 0 58 58 ],[0.1 0.1 0.1]);\npatch([14 4 18 4 14 29 33 37 53 40 28 19 33 19 28 40 53 37 33 29 14 ],[6 16 30 44 54 54 50 54 54 41 53 44 30 16 7 19 6 6 10 6 6 ],[0.33 0.33 0.33]);\nplot([0 58 58 0 0 ],[0 0 58 58 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\nfprintf('','COMMENT: end icon text');\n"
12024        }
12025        Block {
12026          BlockType           Reference
12027          Name            "Gateway In"
12028          Ports           [1, 1]
12029          Position            [80, 30, 145, 50]
12030          SourceBlock         "xbsIndex_r4/Gateway In"
12031          SourceType          "Xilinx Gateway In Block"
12032          infoedit            "Gateway in block.  Converts inputs of type Simulink integer, double and fixed point to  Xilinx fixed point type.<P><P>Hardware notes:  In hardware these blocks become top level input ports."
12033          arith_type          "Boolean"
12034          n_bits              "1"
12035          bin_pt              "0"
12036          quantization        "Round  (unbiased: +/- Inf)"
12037          overflow            "Saturate"
12038          period              "1"
12039          dbl_ovrd            "off"
12040          timing_constraint       "None"
12041          locs_specified          "off"
12042          LOCs            "{}"
12043          xl_use_area         "off"
12044          xl_area             "[0 0 0 0 1 0 0]"
12045          has_advanced_control    "0"
12046          sggui_pos           "20,20,348,406"
12047          block_type          "gatewayin"
12048          block_version       "10.1.3"
12049          sg_icon_stat        "65,20,1,1,white,yellow,0,bc55d28f,right"
12050          sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npatch([0 65 65 0 ],[0 0 20 20 ],[0.95 0.93 0.65]);\npatch([27 24 29 24 27 32 33 34 40 36 32 29 34 29 32 36 40 34 33 32 27 ],[2 5 10 15 18 18 17 18 18 14 18 15 10 5 2 6 2 2 3 2 2 ],[0.98 0.96 0.92]);\nplot([0 65 65 0 0 ],[0 0 20 20 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1,'\\fontsize{11pt}\\bf In ','texmode','on');\ncolor('black');port_label('output',1,' ');\nfprintf('','COMMENT: end icon text');\n"
12051        }
12052        Block {
12053          BlockType           Outport
12054          Name            "Q"
12055          Position            [170, 33, 200, 47]
12056          IconDisplay         "Port number"
12057        }
12058        Line {
12059          SrcBlock            "D"
12060          SrcPort             1
12061          DstBlock            "Gateway In"
12062          DstPort             1
12063        }
12064        Line {
12065          SrcBlock            "Gateway In"
12066          SrcPort             1
12067          DstBlock            "Q"
12068          DstPort             1
12069        }
12070      }
12071    }
12072    Block {
12073      BlockType       SubSystem
12074      Name            "Sim-only\nGateway In2"
12075      Ports           [1, 1]
12076      Position        [360, 575, 405, 595]
12077      NamePlacement       "alternate"
12078      MinAlgLoopOccurrences   off
12079      PropExecContextOutsideSubsystem off
12080      RTWSystemCode       "Auto"
12081      FunctionWithSeparateData off
12082      Opaque          off
12083      RequestExecContextInheritance off
12084      MaskHideContents    off
12085      System {
12086        Name            "Sim-only\nGateway In2"
12087        Location            [214, 70, 1918, 1152]
12088        Open            off
12089        ModelBrowserVisibility  on
12090        ModelBrowserWidth       200
12091        ScreenColor         "white"
12092        PaperOrientation        "landscape"
12093        PaperPositionMode       "auto"
12094        PaperType           "usletter"
12095        PaperUnits          "inches"
12096        TiledPaperMargins       [0.500000, 0.500000, 0.500000, 0.500000]
12097        TiledPageScale      1
12098        ShowPageBoundaries      off
12099        ZoomFactor          "100"
12100        Block {
12101          BlockType           Inport
12102          Name            "D"
12103          Position            [20, 33, 50, 47]
12104          IconDisplay         "Port number"
12105          OutDataType         "sfix(16)"
12106          OutScaling          "2^0"
12107        }
12108        Block {
12109          BlockType           Reference
12110          Name            "Disregard Subsystem"
12111          Tag             "discardX"
12112          Ports           []
12113          Position            [71, 110, 129, 168]
12114          ShowName            off
12115          AttributesFormatString  "Disregard Subsystem\\nFor Generation"
12116          SourceBlock         "xbsIndex_r4/Disregard Subsystem"
12117          SourceType          "Xilinx Disregard Subsystem For Generation Block"
12118          infoedit            "Place this block into a subsystem to have System Generator ignore the subsystem during code generation. This block can be used in combination with the Simulation Multiplexer block to provide an alternative simulation model for another subsystem (e.g., to provide a simulation model for a black box)."
12119          has_advanced_control    "0"
12120          sggui_pos           "-1,-1,-1,-1"
12121          block_type          "disregard"
12122          block_version       "10.1.3"
12123          sg_icon_stat        "58,58,-1,-1,darkgray,black,0,0,right"
12124          sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npatch([0 58 58 0 ],[0 0 58 58 ],[0.1 0.1 0.1]);\npatch([14 4 18 4 14 29 33 37 53 40 28 19 33 19 28 40 53 37 33 29 14 ],[6 16 30 44 54 54 50 54 54 41 53 44 30 16 7 19 6 6 10 6 6 ],[0.33 0.33 0.33]);\nplot([0 58 58 0 0 ],[0 0 58 58 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\nfprintf('','COMMENT: end icon text');\n"
12125        }
12126        Block {
12127          BlockType           Reference
12128          Name            "Gateway In"
12129          Ports           [1, 1]
12130          Position            [80, 30, 145, 50]
12131          SourceBlock         "xbsIndex_r4/Gateway In"
12132          SourceType          "Xilinx Gateway In Block"
12133          infoedit            "Gateway in block.  Converts inputs of type Simulink integer, double and fixed point to  Xilinx fixed point type.<P><P>Hardware notes:  In hardware these blocks become top level input ports."
12134          arith_type          "Boolean"
12135          n_bits              "1"
12136          bin_pt              "0"
12137          quantization        "Round  (unbiased: +/- Inf)"
12138          overflow            "Saturate"
12139          period              "1"
12140          dbl_ovrd            "off"
12141          timing_constraint       "None"
12142          locs_specified          "off"
12143          LOCs            "{}"
12144          xl_use_area         "off"
12145          xl_area             "[0 0 0 0 1 0 0]"
12146          has_advanced_control    "0"
12147          sggui_pos           "20,20,348,406"
12148          block_type          "gatewayin"
12149          block_version       "10.1.3"
12150          sg_icon_stat        "65,20,1,1,white,yellow,0,bc55d28f,right"
12151          sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npatch([0 65 65 0 ],[0 0 20 20 ],[0.95 0.93 0.65]);\npatch([27 24 29 24 27 32 33 34 40 36 32 29 34 29 32 36 40 34 33 32 27 ],[2 5 10 15 18 18 17 18 18 14 18 15 10 5 2 6 2 2 3 2 2 ],[0.98 0.96 0.92]);\nplot([0 65 65 0 0 ],[0 0 20 20 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1,'\\fontsize{11pt}\\bf In ','texmode','on');\ncolor('black');port_label('output',1,' ');\nfprintf('','COMMENT: end icon text');\n"
12152        }
12153        Block {
12154          BlockType           Outport
12155          Name            "Q"
12156          Position            [170, 33, 200, 47]
12157          IconDisplay         "Port number"
12158        }
12159        Line {
12160          SrcBlock            "Gateway In"
12161          SrcPort             1
12162          DstBlock            "Q"
12163          DstPort             1
12164        }
12165        Line {
12166          SrcBlock            "D"
12167          SrcPort             1
12168          DstBlock            "Gateway In"
12169          DstPort             1
12170        }
12171      }
12172    }
12173    Block {
12174      BlockType       SubSystem
12175      Name            "negedge"
12176      Ports           [1, 1]
12177      Position        [495, 288, 525, 302]
12178      MinAlgLoopOccurrences   off
12179      PropExecContextOutsideSubsystem off
12180      RTWSystemCode       "Auto"
12181      FunctionWithSeparateData off
12182      Opaque          off
12183      RequestExecContextInheritance off
12184      MaskHideContents    off
12185      System {
12186        Name            "negedge"
12187        Location            [459, 339, 854, 490]
12188        Open            off
12189        ModelBrowserVisibility  on
12190        ModelBrowserWidth       200
12191        ScreenColor         "white"
12192        PaperOrientation        "landscape"
12193        PaperPositionMode       "auto"
12194        PaperType           "usletter"
12195        PaperUnits          "inches"
12196        TiledPaperMargins       [0.500000, 0.500000, 0.500000, 0.500000]
12197        TiledPageScale      1
12198        ShowPageBoundaries      off
12199        ZoomFactor          "100"
12200        Block {
12201          BlockType           Inport
12202          Name            "In"
12203          Position            [170, 213, 200, 227]
12204          IconDisplay         "Port number"
12205          OutDataType         "sfix(16)"
12206          OutScaling          "2^0"
12207        }
12208        Block {
12209          BlockType           Reference
12210          Name            "Delay"
12211          Ports           [1, 1]
12212          Position            [230, 247, 290, 303]
12213          SourceBlock         "xbsIndex_r4/Delay"
12214          SourceType          "Xilinx Delay Block"
12215          infoedit            "Hardware notes: A delay line is a chain, each link of which is an SRL16 followed by a flip-flop. If register retiming is enabled, the delay line is a chain of flip-flops."
12216          en              "off"
12217          latency             "1"
12218          dbl_ovrd            "off"
12219          reg_retiming        "off"
12220          xl_use_area         "off"
12221          xl_area             "[1 1 0 0 0 0 0]"
12222          has_advanced_control    "0"
12223          sggui_pos           "-1,-1,-1,-1"
12224          block_type          "delay"
12225          block_version       "8.2"
12226          sg_icon_stat        "60,56,1,1,white,blue,0,fc531c0e,right"
12227          sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 ],[0 0 56 56 ],[0.77 0.82 0.91]);\npatch([15 6 19 6 15 30 34 38 54 41 29 20 32 20 29 41 54 38 34 30 15 ],[6 15 28 41 50 50 46 50 50 37 49 40 28 16 7 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 56 56 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');disp('z^{-1}','texmode','on');\nfprintf('','COMMENT: end icon text');\n"
12228        }
12229        Block {
12230          BlockType           Reference
12231          Name            "Inverter"
12232          Ports           [1, 1]
12233          Position            [290, 191, 345, 249]
12234          NamePlacement       "alternate"
12235          SourceBlock         "xbsIndex_r4/Inverter"
12236          SourceType          "Xilinx Inverter Block"
12237          infoedit            "Bitwise logical negation (one's complement) operator."
12238          en              "off"
12239          latency             "0"
12240          dbl_ovrd            "off"
12241          xl_use_area         "off"
12242          xl_area             "[0 0 0 0 0 0 0]"
12243          has_advanced_control    "0"
12244          sggui_pos           "-1,-1,-1,-1"
12245          block_type          "inv"
12246          block_version       "8.2"
12247          sg_icon_stat        "55,58,1,1,white,blue,0,1ab4a85f,right"
12248          sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 ],[0 0 58 58 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13 28 32 36 52 40 28 19 32 19 28 40 52 36 32 28 13 ],[7 16 29 42 51 51 47 51 51 39 51 42 29 16 7 19 7 7 11 7 7 ],[0.98 0.96 0.92]);\nplot([0 55 55 0 0 ],[0 0 58 58 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');disp('not');\nfprintf('','COMMENT: end icon text');\n"
12249        }
12250        Block {
12251          BlockType           Reference
12252          Name            "Logical"
12253          Ports           [2, 1]
12254          Position            [410, 205, 465, 265]
12255          SourceBlock         "xbsIndex_r4/Logical"
12256          SourceType          "Xilinx Logical Block Block"
12257          logical_function        "AND"
12258          inputs              "2"
12259          en              "off"
12260          latency             "0"
12261          precision           "Full"
12262          arith_type          "Unsigned"
12263          n_bits              "16"
12264          bin_pt              "0"
12265          align_bp            "on"
12266          dbl_ovrd            "off"
12267          xl_use_area         "off"
12268          xl_area             "[1 0 0 1 0 0 0]"
12269          has_advanced_control    "0"
12270          sggui_pos           "-1,-1,-1,-1"
12271          block_type          "logical"
12272          block_version       "8.2"
12273          sg_icon_stat        "55,60,1,1,white,blue,0,087b5522,right"
12274          sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 ],[0 0 60 60 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13 28 32 36 52 40 28 19 32 19 28 40 52 36 32 28 13 ],[8 17 30 43 52 52 48 52 52 40 52 43 30 17 8 20 8 8 12 8 8 ],[0.98 0.96 0.92]);\nplot([0 55 55 0 0 ],[0 0 60 60 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');disp('\\newlineand\\newlinez^{-0}','texmode','on');\nfprintf('','COMMENT: end icon text');\n"
12275        }
12276        Block {
12277          BlockType           Outport
12278          Name            "Out"
12279          Position            [490, 228, 520, 242]
12280          IconDisplay         "Port number"
12281          OutDataType         "sfix(16)"
12282          OutScaling          "2^0"
12283        }
12284        Line {
12285          SrcBlock            "Logical"
12286          SrcPort             1
12287          DstBlock            "Out"
12288          DstPort             1
12289        }
12290        Line {
12291          SrcBlock            "In"
12292          SrcPort             1
12293          Points              [5, 0]
12294          Branch {
12295        Points          [0, 55]
12296        DstBlock        "Delay"
12297        DstPort         1
12298          }
12299          Branch {
12300        DstBlock        "Inverter"
12301        DstPort         1
12302          }
12303        }
12304        Line {
12305          SrcBlock            "Delay"
12306          SrcPort             1
12307          Points              [90, 0; 0, -25]
12308          DstBlock            "Logical"
12309          DstPort             2
12310        }
12311        Line {
12312          SrcBlock            "Inverter"
12313          SrcPort             1
12314          DstBlock            "Logical"
12315          DstPort             1
12316        }
12317      }
12318    }
12319    Block {
12320      BlockType       SubSystem
12321      Name            "posedge"
12322      Ports           [1, 1]
12323      Position        [495, 273, 525, 287]
12324      NamePlacement       "alternate"
12325      MinAlgLoopOccurrences   off
12326      PropExecContextOutsideSubsystem off
12327      RTWSystemCode       "Auto"
12328      FunctionWithSeparateData off
12329      Opaque          off
12330      RequestExecContextInheritance off
12331      MaskHideContents    off
12332      System {
12333        Name            "posedge"
12334        Location            [459, 339, 854, 490]
12335        Open            off
12336        ModelBrowserVisibility  on
12337        ModelBrowserWidth       200
12338        ScreenColor         "white"
12339        PaperOrientation        "landscape"
12340        PaperPositionMode       "auto"
12341        PaperType           "usletter"
12342        PaperUnits          "inches"
12343        TiledPaperMargins       [0.500000, 0.500000, 0.500000, 0.500000]
12344        TiledPageScale      1
12345        ShowPageBoundaries      off
12346        ZoomFactor          "100"
12347        Block {
12348          BlockType           Inport
12349          Name            "In"
12350          Position            [20, 33, 50, 47]
12351          IconDisplay         "Port number"
12352          OutDataType         "sfix(16)"
12353          OutScaling          "2^0"
12354        }
12355        Block {
12356          BlockType           Reference
12357          Name            "Delay"
12358          Ports           [1, 1]
12359          Position            [80, 67, 140, 123]
12360          SourceBlock         "xbsIndex_r4/Delay"
12361          SourceType          "Xilinx Delay Block"
12362          infoedit            "Hardware notes: A delay line is a chain, each link of which is an SRL16 followed by a flip-flop. If register retiming is enabled, the delay line is a chain of flip-flops."
12363          en              "off"
12364          latency             "1"
12365          dbl_ovrd            "off"
12366          reg_retiming        "off"
12367          xl_use_area         "off"
12368          xl_area             "[1 1 0 0 0 0 0]"
12369          has_advanced_control    "0"
12370          sggui_pos           "-1,-1,-1,-1"
12371          block_type          "delay"
12372          block_version       "8.2"
12373          sg_icon_stat        "60,56,1,1,white,blue,0,fc531c0e,right"
12374          sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 ],[0 0 56 56 ],[0.77 0.82 0.91]);\npatch([15 6 19 6 15 30 34 38 54 41 29 20 32 20 29 41 54 38 34 30 15 ],[6 15 28 41 50 50 46 50 50 37 49 40 28 16 7 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 56 56 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');disp('z^{-1}','texmode','on');\nfprintf('','COMMENT: end icon text');\n"
12375        }
12376        Block {
12377          BlockType           Reference
12378          Name            "Inverter"
12379          Ports           [1, 1]
12380          Position            [170, 66, 225, 124]
12381          SourceBlock         "xbsIndex_r4/Inverter"
12382          SourceType          "Xilinx Inverter Block"
12383          infoedit            "Bitwise logical negation (one's complement) operator."
12384          en              "off"
12385          latency             "0"
12386          dbl_ovrd            "off"
12387          xl_use_area         "off"
12388          xl_area             "[0 0 0 0 0 0 0]"
12389          has_advanced_control    "0"
12390          sggui_pos           "-1,-1,-1,-1"
12391          block_type          "inv"
12392          block_version       "8.2"
12393          sg_icon_stat        "55,58,1,1,white,blue,0,1ab4a85f,right"
12394          sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 ],[0 0 58 58 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13 28 32 36 52 40 28 19 32 19 28 40 52 36 32 28 13 ],[7 16 29 42 51 51 47 51 51 39 51 42 29 16 7 19 7 7 11 7 7 ],[0.98 0.96 0.92]);\nplot([0 55 55 0 0 ],[0 0 58 58 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');disp('not');\nfprintf('','COMMENT: end icon text');\n"
12395        }
12396        Block {
12397          BlockType           Reference
12398          Name            "Logical"
12399          Ports           [2, 1]
12400          Position            [260, 25, 315, 85]
12401          SourceBlock         "xbsIndex_r4/Logical"
12402          SourceType          "Xilinx Logical Block Block"
12403          logical_function        "AND"
12404          inputs              "2"
12405          en              "off"
12406          latency             "0"
12407          precision           "Full"
12408          arith_type          "Unsigned"
12409          n_bits              "16"
12410          bin_pt              "0"
12411          align_bp            "on"
12412          dbl_ovrd            "off"
12413          xl_use_area         "off"
12414          xl_area             "[1 0 0 1 0 0 0]"
12415          has_advanced_control    "0"
12416          sggui_pos           "-1,-1,-1,-1"
12417          block_type          "logical"
12418          block_version       "8.2"
12419          sg_icon_stat        "55,60,1,1,white,blue,0,087b5522,right"
12420          sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 ],[0 0 60 60 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13 28 32 36 52 40 28 19 32 19 28 40 52 36 32 28 13 ],[8 17 30 43 52 52 48 52 52 40 52 43 30 17 8 20 8 8 12 8 8 ],[0.98 0.96 0.92]);\nplot([0 55 55 0 0 ],[0 0 60 60 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');disp('\\newlineand\\newlinez^{-0}','texmode','on');\nfprintf('','COMMENT: end icon text');\n"
12421        }
12422        Block {
12423          BlockType           Outport
12424          Name            "Out"
12425          Position            [340, 48, 370, 62]
12426          IconDisplay         "Port number"
12427          OutDataType         "sfix(16)"
12428          OutScaling          "2^0"
12429        }
12430        Line {
12431          SrcBlock            "Delay"
12432          SrcPort             1
12433          Points              [0, 0]
12434          DstBlock            "Inverter"
12435          DstPort             1
12436        }
12437        Line {
12438          SrcBlock            "Inverter"
12439          SrcPort             1
12440          Points              [5, 0; 0, -25]
12441          DstBlock            "Logical"
12442          DstPort             2
12443        }
12444        Line {
12445          SrcBlock            "In"
12446          SrcPort             1
12447          Points              [0, 0; 5, 0]
12448          Branch {
12449        DstBlock        "Logical"
12450        DstPort         1
12451          }
12452          Branch {
12453        Points          [0, 55]
12454        DstBlock        "Delay"
12455        DstPort         1
12456          }
12457        }
12458        Line {
12459          SrcBlock            "Logical"
12460          SrcPort             1
12461          Points              [0, 0]
12462          DstBlock            "Out"
12463          DstPort             1
12464        }
12465      }
12466    }
12467    Block {
12468      BlockType       SubSystem
12469      Name            "posedge2"
12470      Ports           [1, 1]
12471      Position        [495, 323, 525, 337]
12472      MinAlgLoopOccurrences   off
12473      PropExecContextOutsideSubsystem off
12474      RTWSystemCode       "Auto"
12475      FunctionWithSeparateData off
12476      Opaque          off
12477      RequestExecContextInheritance off
12478      MaskHideContents    off
12479      System {
12480        Name            "posedge2"
12481        Location            [459, 339, 854, 490]
12482        Open            off
12483        ModelBrowserVisibility  on
12484        ModelBrowserWidth       200
12485        ScreenColor         "white"
12486        PaperOrientation        "landscape"
12487        PaperPositionMode       "auto"
12488        PaperType           "usletter"
12489        PaperUnits          "inches"
12490        TiledPaperMargins       [0.500000, 0.500000, 0.500000, 0.500000]
12491        TiledPageScale      1
12492        ShowPageBoundaries      off
12493        ZoomFactor          "100"
12494        Block {
12495          BlockType           Inport
12496          Name            "In"
12497          Position            [20, 33, 50, 47]
12498          IconDisplay         "Port number"
12499          OutDataType         "sfix(16)"
12500          OutScaling          "2^0"
12501        }
12502        Block {
12503          BlockType           Reference
12504          Name            "Delay"
12505          Ports           [1, 1]
12506          Position            [80, 67, 140, 123]
12507          SourceBlock         "xbsIndex_r4/Delay"
12508          SourceType          "Xilinx Delay Block"
12509          infoedit            "Hardware notes: A delay line is a chain, each link of which is an SRL16 followed by a flip-flop. If register retiming is enabled, the delay line is a chain of flip-flops."
12510          en              "off"
12511          latency             "1"
12512          dbl_ovrd            "off"
12513          reg_retiming        "off"
12514          xl_use_area         "off"
12515          xl_area             "[1 1 0 0 0 0 0]"
12516          has_advanced_control    "0"
12517          sggui_pos           "-1,-1,-1,-1"
12518          block_type          "delay"
12519          block_version       "8.2"
12520          sg_icon_stat        "60,56,1,1,white,blue,0,fc531c0e,right"
12521          sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 ],[0 0 56 56 ],[0.77 0.82 0.91]);\npatch([15 6 19 6 15 30 34 38 54 41 29 20 32 20 29 41 54 38 34 30 15 ],[6 15 28 41 50 50 46 50 50 37 49 40 28 16 7 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 56 56 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');disp('z^{-1}','texmode','on');\nfprintf('','COMMENT: end icon text');\n"
12522        }
12523        Block {
12524          BlockType           Reference
12525          Name            "Inverter"
12526          Ports           [1, 1]
12527          Position            [170, 66, 225, 124]
12528          SourceBlock         "xbsIndex_r4/Inverter"
12529          SourceType          "Xilinx Inverter Block"
12530          infoedit            "Bitwise logical negation (one's complement) operator."
12531          en              "off"
12532          latency             "0"
12533          dbl_ovrd            "off"
12534          xl_use_area         "off"
12535          xl_area             "[0 0 0 0 0 0 0]"
12536          has_advanced_control    "0"
12537          sggui_pos           "-1,-1,-1,-1"
12538          block_type          "inv"
12539          block_version       "8.2"
12540          sg_icon_stat        "55,58,1,1,white,blue,0,1ab4a85f,right"
12541          sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 ],[0 0 58 58 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13 28 32 36 52 40 28 19 32 19 28 40 52 36 32 28 13 ],[7 16 29 42 51 51 47 51 51 39 51 42 29 16 7 19 7 7 11 7 7 ],[0.98 0.96 0.92]);\nplot([0 55 55 0 0 ],[0 0 58 58 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');disp('not');\nfprintf('','COMMENT: end icon text');\n"
12542        }
12543        Block {
12544          BlockType           Reference
12545          Name            "Logical"
12546          Ports           [2, 1]
12547          Position            [260, 25, 315, 85]
12548          SourceBlock         "xbsIndex_r4/Logical"
12549          SourceType          "Xilinx Logical Block Block"
12550          logical_function        "AND"
12551          inputs              "2"
12552          en              "off"
12553          latency             "0"
12554          precision           "Full"
12555          arith_type          "Unsigned"
12556          n_bits              "16"
12557          bin_pt              "0"
12558          align_bp            "on"
12559          dbl_ovrd            "off"
12560          xl_use_area         "off"
12561          xl_area             "[1 0 0 1 0 0 0]"
12562          has_advanced_control    "0"
12563          sggui_pos           "-1,-1,-1,-1"
12564          block_type          "logical"
12565          block_version       "8.2"
12566          sg_icon_stat        "55,60,1,1,white,blue,0,087b5522,right"
12567          sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 ],[0 0 60 60 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13 28 32 36 52 40 28 19 32 19 28 40 52 36 32 28 13 ],[8 17 30 43 52 52 48 52 52 40 52 43 30 17 8 20 8 8 12 8 8 ],[0.98 0.96 0.92]);\nplot([0 55 55 0 0 ],[0 0 60 60 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');disp('\\newlineand\\newlinez^{-0}','texmode','on');\nfprintf('','COMMENT: end icon text');\n"
12568        }
12569        Block {
12570          BlockType           Outport
12571          Name            "Out"
12572          Position            [340, 48, 370, 62]
12573          IconDisplay         "Port number"
12574          OutDataType         "sfix(16)"
12575          OutScaling          "2^0"
12576        }
12577        Line {
12578          SrcBlock            "Delay"
12579          SrcPort             1
12580          Points              [0, 0]
12581          DstBlock            "Inverter"
12582          DstPort             1
12583        }
12584        Line {
12585          SrcBlock            "Inverter"
12586          SrcPort             1
12587          Points              [5, 0; 0, -25]
12588          DstBlock            "Logical"
12589          DstPort             2
12590        }
12591        Line {
12592          SrcBlock            "In"
12593          SrcPort             1
12594          Points              [0, 0; 5, 0]
12595          Branch {
12596        DstBlock        "Logical"
12597        DstPort         1
12598          }
12599          Branch {
12600        Points          [0, 55]
12601        DstBlock        "Delay"
12602        DstPort         1
12603          }
12604        }
12605        Line {
12606          SrcBlock            "Logical"
12607          SrcPort             1
12608          Points              [0, 0]
12609          DstBlock            "Out"
12610          DstPort             1
12611        }
12612      }
12613    }
12614    Block {
12615      BlockType       Outport
12616      Name            "done"
12617      Position        [1350, 268, 1380, 282]
12618      IconDisplay         "Port number"
12619      OutDataType         "sfix(16)"
12620      OutScaling          "2^0"
12621    }
12622    Block {
12623      BlockType       Outport
12624      Name            "running"
12625      Position        [1245, 518, 1275, 532]
12626      Port            "2"
12627      IconDisplay         "Port number"
12628      OutDataType         "sfix(16)"
12629      OutScaling          "2^0"
12630    }
12631    Block {
12632      BlockType       Outport
12633      Name            "paused"
12634      Position        [1240, 463, 1270, 477]
12635      Port            "3"
12636      IconDisplay         "Port number"
12637      OutDataType         "sfix(16)"
12638      OutScaling          "2^0"
12639    }
12640    Block {
12641      BlockType       Outport
12642      Name            "timeLeft"
12643      Position        [1285, 358, 1315, 372]
12644      Port            "4"
12645      IconDisplay         "Port number"
12646      OutDataType         "sfix(16)"
12647      OutScaling          "2^0"
12648    }
12649    Line {
12650      SrcBlock        "mode"
12651      SrcPort         1
12652      DstBlock        "Mux"
12653      DstPort         1
12654    }
12655    Line {
12656      SrcBlock        "Constant1"
12657      SrcPort         1
12658      DstBlock        "Mux"
12659      DstPort         2
12660    }
12661    Line {
12662      SrcBlock        "Medium Idle"
12663      SrcPort         1
12664      DstBlock        "Mux"
12665      DstPort         3
12666    }
12667    Line {
12668      SrcBlock        "Mux"
12669      SrcPort         1
12670      DstBlock        "Convert1"
12671      DstPort         1
12672    }
12673    Line {
12674      SrcBlock        "Logical4"
12675      SrcPort         1
12676      DstBlock        "paused"
12677      DstPort         1
12678    }
12679    Line {
12680      SrcBlock        "start"
12681      SrcPort         1
12682      DstBlock        "Sim Mux"
12683      DstPort         2
12684    }
12685    Line {
12686      SrcBlock        "Sim Mux"
12687      SrcPort         1
12688      DstBlock        "posedge"
12689      DstPort         1
12690    }
12691    Line {
12692      SrcBlock        "AddSub"
12693      SrcPort         1
12694      DstBlock        "timeLeft"
12695      DstPort         1
12696    }
12697    Line {
12698      SrcBlock        "Constant"
12699      SrcPort         1
12700      DstBlock        "Relational1"
12701      DstPort         2
12702    }
12703    Line {
12704      SrcBlock        "Relational1"
12705      SrcPort         1
12706      DstBlock        "Logical4"
12707      DstPort         1
12708    }
12709    Line {
12710      SrcBlock        "Inverter"
12711      SrcPort         1
12712      DstBlock        "Logical4"
12713      DstPort         2
12714    }
12715    Line {
12716      SrcBlock        "S-R_Latch1"
12717      SrcPort         1
12718      Points          [25, 0]
12719      Branch {
12720        Points          [0, 175]
12721        Branch {
12722          Points              [0, 235]
12723          DstBlock            "Counter1"
12724          DstPort             2
12725        }
12726        Branch {
12727          Points              [290, 0]
12728          Branch {
12729        DstBlock        "Inverter"
12730        DstPort         1
12731          }
12732          Branch {
12733        Points          [0, 40]
12734        DstBlock        "running"
12735        DstPort         1
12736          }
12737        }
12738      }
12739      Branch {
12740        Points          [0, -20]
12741        DstBlock            "Logical6"
12742        DstPort         1
12743      }
12744    }
12745    Line {
12746      SrcBlock        "slotCount"
12747      SrcPort         1
12748      Points          [10, 0]
12749      Branch {
12750        Points          [0, 105]
12751        DstBlock            "AddSub"
12752        DstPort         1
12753      }
12754      Branch {
12755        DstBlock            "Relational"
12756        DstPort         1
12757      }
12758    }
12759    Line {
12760      SrcBlock        "Counter"
12761      SrcPort         1
12762      Points          [0, 0]
12763      Branch {
12764        Labels          [1, 0]
12765        DstBlock            "Relational"
12766        DstPort         2
12767      }
12768      Branch {
12769        Points          [0, 100]
12770        Branch {
12771          DstBlock            "AddSub"
12772          DstPort             2
12773        }
12774        Branch {
12775          Points              [0, 30]
12776          Branch {
12777        Points          [0, 35]
12778        DstBlock        "Relational1"
12779        DstPort         1
12780          }
12781          Branch {
12782        Points          [445, 0; 0, -85]
12783        DstBlock        "Scope"
12784        DstPort         1
12785          }
12786        }
12787      }
12788    }
12789    Line {
12790      SrcBlock        "Logical1"
12791      SrcPort         1
12792      DstBlock        "Counter"
12793      DstPort         1
12794    }
12795    Line {
12796      SrcBlock        "S-R_Latch2"
12797      SrcPort         1
12798      DstBlock        "done"
12799      DstPort         1
12800    }
12801    Line {
12802      SrcBlock        "Relational"
12803      SrcPort         1
12804      Points          [10, 0]
12805      Branch {
12806        Points          [0, 110; -550, 0; 0, -40]
12807        DstBlock            "Logical2"
12808        DstPort         2
12809      }
12810      Branch {
12811        Points          [0, -80; -290, 0; 0, 60]
12812        DstBlock            "Logical1"
12813        DstPort         1
12814      }
12815      Branch {
12816        DstBlock            "Logical7"
12817        DstPort         2
12818      }
12819    }
12820    Line {
12821      SrcBlock        "done_reset"
12822      SrcPort         1
12823      Points          [15, 0]
12824      Branch {
12825        DstBlock            "S-R_Latch2"
12826        DstPort         2
12827      }
12828      Branch {
12829        Points          [0, -145; -625, 0; 0, 75]
12830        DstBlock            "S-R_Latch3"
12831        DstPort         2
12832      }
12833    }
12834    Line {
12835      SrcBlock        "posedge"
12836      SrcPort         1
12837      Points          [15, 0]
12838      Branch {
12839        DstBlock            "Logical3"
12840        DstPort         1
12841      }
12842      Branch {
12843        Points          [0, 400]
12844        DstBlock            "Logical5"
12845        DstPort         3
12846      }
12847      Branch {
12848        Points          [0, -25]
12849        Branch {
12850          DstBlock            "Logical1"
12851          DstPort             2
12852        }
12853        Branch {
12854          Points              [0, -70]
12855          DstBlock            "S-R_Latch3"
12856          DstPort             1
12857        }
12858      }
12859    }
12860    Line {
12861      SrcBlock        "Logical3"
12862      SrcPort         1
12863      DstBlock        "S-R_Latch1"
12864      DstPort         1
12865    }
12866    Line {
12867      SrcBlock        "negedge"
12868      SrcPort         1
12869      DstBlock        "Logical3"
12870      DstPort         2
12871    }
12872    Line {
12873      SrcBlock        "pause"
12874      SrcPort         1
12875      Points          [115, 0]
12876      Branch {
12877        DstBlock            "posedge2"
12878        DstPort         1
12879      }
12880      Branch {
12881        Points          [0, -35]
12882        DstBlock            "negedge"
12883        DstPort         1
12884      }
12885    }
12886    Line {
12887      SrcBlock        "Sim-only\nGateway In"
12888      SrcPort         1
12889      DstBlock        "Sim Mux"
12890      DstPort         1
12891    }
12892    Line {
12893      SrcBlock        "slotTime"
12894      SrcPort         1
12895      DstBlock        "Relational2"
12896      DstPort         1
12897    }
12898    Line {
12899      SrcBlock        "Counter1"
12900      SrcPort         1
12901      Points          [35, 0]
12902      Branch {
12903        DstBlock            "Relational2"
12904        DstPort         2
12905      }
12906      Branch {
12907        Points          [0, 35; 505, 0; 0, -340]
12908        DstBlock            "Scope"
12909        DstPort         3
12910      }
12911    }
12912    Line {
12913      SrcBlock        "Logical5"
12914      SrcPort         1
12915      DstBlock        "Counter1"
12916      DstPort         1
12917    }
12918    Line {
12919      SrcBlock        "Relational2"
12920      SrcPort         1
12921      Points          [20, 0; 0, -60]
12922      Branch {
12923        Points          [-295, 0]
12924        Branch {
12925          DstBlock            "Logical5"
12926          DstPort             1
12927        }
12928        Branch {
12929          Points              [0, -320]
12930          DstBlock            "Logical6"
12931          DstPort             2
12932        }
12933      }
12934      Branch {
12935        Points          [380, 0; 0, -265]
12936        DstBlock            "Scope"
12937        DstPort         2
12938      }
12939    }
12940    Line {
12941      SrcBlock        "Inverter1"
12942      SrcPort         1
12943      DstBlock        "Logical5"
12944      DstPort         2
12945    }
12946    Line {
12947      SrcBlock        "Logical6"
12948      SrcPort         1
12949      DstBlock        "Counter"
12950      DstPort         2
12951    }
12952    Line {
12953      SrcBlock        "Convert1"
12954      SrcPort         1
12955      Points          [25, 0; 0, -75]
12956      DstBlock        "Sim Mux1"
12957      DstPort         2
12958    }
12959    Line {
12960      SrcBlock        "Sim Mux1"
12961      SrcPort         1
12962      Points          [60, 0]
12963      Branch {
12964        DstBlock            "Inverter1"
12965        DstPort         1
12966      }
12967      Branch {
12968        Points          [260, 0; 0, -250]
12969        Branch {
12970          DstBlock            "Logical6"
12971          DstPort             3
12972        }
12973        Branch {
12974          DstBlock            "Delay"
12975          DstPort             1
12976        }
12977      }
12978    }
12979    Line {
12980      SrcBlock        "Constant2"
12981      SrcPort         1
12982      DstBlock        "Sim-only\nGateway In"
12983      DstPort         1
12984    }
12985    Line {
12986      SrcBlock        "posedge2"
12987      SrcPort         1
12988      Points          [25, 0; 0, -15]
12989      DstBlock        "Logical2"
12990      DstPort         1
12991    }
12992    Line {
12993      SrcBlock        "Logical2"
12994      SrcPort         1
12995      DstBlock        "S-R_Latch1"
12996      DstPort         2
12997    }
12998    Line {
12999      SrcBlock        "Constant4"
13000      SrcPort         1
13001      DstBlock        "Sim-only\nGateway In2"
13002      DstPort         1
13003    }
13004    Line {
13005      SrcBlock        "Sim-only\nGateway In2"
13006      SrcPort         1
13007      DstBlock        "Sim Mux1"
13008      DstPort         1
13009    }
13010    Line {
13011      SrcBlock        "Logical7"
13012      SrcPort         1
13013      DstBlock        "S-R_Latch2"
13014      DstPort         1
13015    }
13016    Line {
13017      SrcBlock        "S-R_Latch3"
13018      SrcPort         1
13019      Points          [70, 0; 0, -50; 350, 0; 0, 95]
13020      DstBlock        "Logical7"
13021      DstPort         1
13022    }
13023    Line {
13024      SrcBlock        "Delay"
13025      SrcPort         1
13026      Points          [195, 0; 0, -75]
13027      DstBlock        "Logical7"
13028      DstPort         3
13029    }
13030    Annotation {
13031      Name            "This SR latch handles the case of a zero-slot-count\ntimer event. When the user code sets the slotCount to 0,\nthe comparison is immedilatey true. The timer done\nsignal then asserts when:\nif(carrier sensing) after IDLE AND\nafter user asserts start\nDone de-assets when the user clears the done bit\n*but does not re-assert* until the next user-initiated start."
13032      Position        [697, 92]
13033    }
13034      }
13035    }
13036    Block {
13037      BlockType           SubSystem
13038      Name            "Timer 3"
13039      Ports           [7, 4]
13040      Position            [335, 490, 440, 610]
13041      MinAlgLoopOccurrences   off
13042      PropExecContextOutsideSubsystem off
13043      RTWSystemCode       "Auto"
13044      FunctionWithSeparateData off
13045      Opaque              off
13046      RequestExecContextInheritance off
13047      MaskHideContents        off
13048      System {
13049    Name            "Timer 3"
13050    Location        [214, 74, 1910, 1156]
13051    Open            off
13052    ModelBrowserVisibility  on
13053    ModelBrowserWidth   200
13054    ScreenColor     "white"
13055    PaperOrientation    "landscape"
13056    PaperPositionMode   "auto"
13057    PaperType       "usletter"
13058    PaperUnits      "inches"
13059    TiledPaperMargins   [0.500000, 0.500000, 0.500000, 0.500000]
13060    TiledPageScale      1
13061    ShowPageBoundaries  off
13062    ZoomFactor      "100"
13063    Block {
13064      BlockType       Inport
13065      Name            "start"
13066      Position        [310, 283, 340, 297]
13067      IconDisplay         "Port number"
13068      OutDataType         "sfix(16)"
13069      OutScaling          "2^0"
13070    }
13071    Block {
13072      BlockType       Inport
13073      Name            "pause"
13074      Position        [310, 323, 340, 337]
13075      Port            "2"
13076      IconDisplay         "Port number"
13077      OutDataType         "sfix(16)"
13078      OutScaling          "2^0"
13079    }
13080    Block {
13081      BlockType       Inport
13082      Name            "mode"
13083      Position        [255, 648, 285, 662]
13084      NamePlacement       "alternate"
13085      Port            "3"
13086      IconDisplay         "Port number"
13087      OutDataType         "sfix(16)"
13088      OutScaling          "2^0"
13089    }
13090    Block {
13091      BlockType       Inport
13092      Name            "done_reset"
13093      Position        [1175, 283, 1205, 297]
13094      Port            "4"
13095      IconDisplay         "Port number"
13096      OutDataType         "sfix(16)"
13097      OutScaling          "2^0"
13098    }
13099    Block {
13100      BlockType       Inport
13101      Name            "slotCount"
13102      Position        [980, 238, 1010, 252]
13103      NamePlacement       "alternate"
13104      Port            "5"
13105      IconDisplay         "Port number"
13106      OutDataType         "sfix(16)"
13107      OutScaling          "2^0"
13108    }
13109    Block {
13110      BlockType       Inport
13111      Name            "slotTime"
13112      Position        [930, 658, 960, 672]
13113      Port            "6"
13114      IconDisplay         "Port number"
13115      OutDataType         "sfix(16)"
13116      OutScaling          "2^0"
13117    }
13118    Block {
13119      BlockType       Inport
13120      Name            "Medium Idle"
13121      Position        [250, 698, 280, 712]
13122      Port            "7"
13123      IconDisplay         "Port number"
13124      OutDataType         "sfix(16)"
13125      OutScaling          "2^0"
13126    }
13127    Block {
13128      BlockType       Reference
13129      Name            "AddSub"
13130      Ports           [2, 1]
13131      Position        [1210, 339, 1255, 386]
13132      ShowName        off
13133      SourceBlock         "xbsIndex_r4/AddSub"
13134      SourceType          "Xilinx Adder/Subtractor Block"
13135      mode            "Subtraction"
13136      use_carryin         off
13137      use_carryout        off
13138      en              off
13139      latency         "0"
13140      precision       "User Defined"
13141      arith_type          "Unsigned"
13142      n_bits          "32"
13143      bin_pt          "0"
13144      quantization        "Truncate"
13145      overflow        "Wrap"
13146      dbl_ovrd        off
13147      use_behavioral_HDL      off
13148      pipelined       off
13149      use_rpm         on
13150      hw_selection        "Fabric"
13151      xl_use_area         off
13152      xl_area         "[16 0 0 32 0 0 0]"
13153      has_advanced_control    "0"
13154      sggui_pos       "-1,-1,-1,-1"
13155      block_type          "addsub"
13156      block_version       "8.2"
13157      sg_icon_stat        "45,47,2,1,white,blue,0,46b4c804,right"
13158      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 ],[0 0 58 58 ],[0.77 0.82 0.91]);\npatch([15 5 19 5 15 30 34 38 54 41 29 20 34 20 29 41 54 38 34 30 15 ],[6 16 30 44 54 54 50 54 54 41 53 44 30 16 7 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 58 58 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1,'a');\ncolor('black');port_label('input',2,'b');\ncolor('black');port_label('output',1,'\\bf{a - b}','texmode','on');\nfprintf('','COMMENT: end icon text');\n"
13159    }
13160    Block {
13161      BlockType       Reference
13162      Name            "Constant"
13163      Ports           [0, 1]
13164      Position        [940, 454, 970, 466]
13165      ShowName        off
13166      SourceBlock         "xbsIndex_r4/Constant"
13167      SourceType          "Xilinx Constant Block Block"
13168      arith_type          "Unsigned"
13169      const           "0"
13170      n_bits          "32"
13171      bin_pt          "0"
13172      explicit_period     off
13173      period          "1"
13174      dsp48_infoedit      "The use of this block for DSP48 instructions is deprecated.  Please use the Opmode block."
13175      equ             "P=C"
13176      opselect        "C"
13177      inp2            "PCIN>>17"
13178      opr             "+"
13179      inp1            "P"
13180      carry           "CIN"
13181      dbl_ovrd        off
13182      has_advanced_control    "0"
13183      sggui_pos       "50,50,400,346"
13184      block_type          "constant"
13185      block_version       "10.1.2"
13186      sg_icon_stat        "30,12,0,1,white,blue,0,72d575a1,right"
13187      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 ],[0 0 26 26 ],[0.77 0.82 0.91]);\npatch([20 16 22 16 20 27 29 31 38 32 26 22 28 22 26 32 38 31 29 27 20 ],[3 7 13 19 23 23 21 23 23 17 23 19 13 7 3 9 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 55 55 0 0 ],[0 0 26 26 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('output',1,'0');\nfprintf('','COMMENT: end icon text');\n"
13188    }
13189    Block {
13190      BlockType       Reference
13191      Name            "Constant1"
13192      Ports           [0, 1]
13193      Position        [255, 672, 290, 688]
13194      ShowName        off
13195      SourceBlock         "xbsIndex_r4/Constant"
13196      SourceType          "Xilinx Constant Block Block"
13197      arith_type          "Unsigned"
13198      const           "1"
13199      n_bits          "1"
13200      bin_pt          "0"
13201      explicit_period     off
13202      period          "1"
13203      dsp48_infoedit      "The use of this block for DSP48 instructions is deprecated.  Please use the Opmode block."
13204      equ             "P=C"
13205      opselect        "C"
13206      inp2            "PCIN>>17"
13207      opr             "+"
13208      inp1            "P"
13209      carry           "CIN"
13210      dbl_ovrd        off
13211      has_advanced_control    "0"
13212      sggui_pos       "-1,-1,-1,-1"
13213      block_type          "constant"
13214      block_version       "8.2"
13215      sg_icon_stat        "35,16,0,1,white,blue,0,06094819,right"
13216      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 ],[0 0 26 26 ],[0.77 0.82 0.91]);\npatch([20 16 22 16 20 27 29 31 38 32 26 22 28 22 26 32 38 31 29 27 20 ],[3 7 13 19 23 23 21 23 23 17 23 19 13 7 3 9 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 55 55 0 0 ],[0 0 26 26 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('output',1,'1');\nfprintf('','COMMENT: end icon text');\n"
13217    }
13218    Block {
13219      BlockType       Constant
13220      Name            "Constant2"
13221      Position        [260, 261, 275, 279]
13222      ShowName        off
13223      OutDataType         "sfix(16)"
13224      OutScaling          "2^0"
13225    }
13226    Block {
13227      BlockType       Constant
13228      Name            "Constant4"
13229      Position        [315, 576, 330, 594]
13230      ShowName        off
13231      Value           "0"
13232      OutDataType         "sfix(16)"
13233      OutScaling          "2^0"
13234    }
13235    Block {
13236      BlockType       Reference
13237      Name            "Convert1"
13238      Ports           [1, 1]
13239      Position        [380, 670, 415, 690]
13240      ShowName        off
13241      SourceBlock         "xbsIndex_r4/Convert"
13242      SourceType          "Xilinx Type Converter Block"
13243      infoedit        "Hardware notes: rounding and saturating require hardware resources; truncating and wrapping do not."
13244      arith_type          "Boolean"
13245      n_bits          "16"
13246      bin_pt          "14"
13247      quantization        "Truncate"
13248      overflow        "Wrap"
13249      latency         "0"
13250      dbl_ovrd        off
13251      pipeline        off
13252      xl_use_area         off
13253      xl_area         "[0 0 0 0 0 0 0]"
13254      has_advanced_control    "0"
13255      sggui_pos       "20,20,461,375"
13256      block_type          "convert"
13257      block_version       "8.2"
13258      sg_icon_stat        "35,20,1,1,white,blue,0,74901e60,right"
13259      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 45 45 0 ],[0 0 30 30 ],[0.77 0.82 0.91]);\npatch([15 10 17 10 15 23 25 27 35 28 22 17 23 17 22 28 35 27 25 23 15 ],[3 8 15 22 27 27 25 27 27 20 26 21 15 9 4 10 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 45 45 0 0 ],[0 0 30 30 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('output',1,'cast');\nfprintf('','COMMENT: end icon text');\n"
13260    }
13261    Block {
13262      BlockType       Reference
13263      Name            "Counter"
13264      Ports           [2, 1]
13265      Position        [905, 224, 965, 326]
13266      NamePlacement       "alternate"
13267      SourceBlock         "xbsIndex_r4/Counter"
13268      SourceType          "Xilinx Counter Block"
13269      infoedit        "Hardware notes: Free running counters are the least expensive in hardware.  A count limited counter is implemented by combining a counter with a comparator."
13270      cnt_type        "Free Running"
13271      cnt_to          "Inf"
13272      operation       "Up"
13273      start_count         "0"
13274      cnt_by_val          "1"
13275      arith_type          "Unsigned"
13276      n_bits          "32"
13277      bin_pt          "0"
13278      load_pin        off
13279      rst             on
13280      en              on
13281      explicit_period     "on"
13282      period          "1"
13283      dbl_ovrd        off
13284      use_behavioral_HDL      off
13285      use_rpm         off
13286      implementation      "Fabric"
13287      xl_use_area         off
13288      xl_area         "[17 32 0 32 0 0 0]"
13289      has_advanced_control    "0"
13290      sggui_pos       "20,20,356,630"
13291      block_type          "counter"
13292      block_version       "8.2"
13293      sg_icon_stat        "60,102,2,1,white,blue,0,46c73e85,right"
13294      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 ],[0 0 60 60 ],[0.77 0.82 0.91]);\npatch([14 4 18 4 14 30 34 38 55 42 29 20 35 20 29 42 55 38 34 30 14 ],[6 16 30 44 54 54 50 54 54 41 54 45 30 15 6 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 60 60 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1,'rst');\ncolor('black');port_label('input',2,'en');\ncolor('black');port_label('output',1,'out');\nfprintf('','COMMENT: end icon text');\n"
13295    }
13296    Block {
13297      BlockType       Reference
13298      Name            "Counter1"
13299      Ports           [2, 1]
13300      Position        [835, 644, 895, 746]
13301      SourceBlock         "xbsIndex_r4/Counter"
13302      SourceType          "Xilinx Counter Block"
13303      infoedit        "Hardware notes: Free running counters are the least expensive in hardware.  A count limited counter is implemented by combining a counter with a comparator."
13304      cnt_type        "Free Running"
13305      cnt_to          "Inf"
13306      operation       "Up"
13307      start_count         "0"
13308      cnt_by_val          "1"
13309      arith_type          "Unsigned"
13310      n_bits          "16"
13311      bin_pt          "0"
13312      load_pin        off
13313      rst             on
13314      en              on
13315      explicit_period     "on"
13316      period          "1"
13317      dbl_ovrd        off
13318      use_behavioral_HDL      off
13319      use_rpm         off
13320      implementation      "Fabric"
13321      xl_use_area         off
13322      xl_area         "[17 32 0 32 0 0 0]"
13323      has_advanced_control    "0"
13324      sggui_pos       "20,20,356,630"
13325      block_type          "counter"
13326      block_version       "8.2"
13327      sg_icon_stat        "60,102,2,1,white,blue,0,46c73e85,right"
13328      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 ],[0 0 60 60 ],[0.77 0.82 0.91]);\npatch([14 4 18 4 14 30 34 38 55 42 29 20 35 20 29 42 55 38 34 30 14 ],[6 16 30 44 54 54 50 54 54 41 54 45 30 15 6 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 60 60 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1,'rst');\ncolor('black');port_label('input',2,'en');\ncolor('black');port_label('output',1,'out');\nfprintf('','COMMENT: end icon text');\n"
13329    }
13330    Block {
13331      BlockType       Reference
13332      Name            "Delay"
13333      Ports           [1, 1]
13334      Position        [925, 331, 950, 359]
13335      ShowName        off
13336      SourceBlock         "xbsIndex_r4/Delay"
13337      SourceType          "Xilinx Delay Block"
13338      infoedit        "Hardware notes: A delay line is a chain, each link of which is an SRL16 followed by a flip-flop."
13339      en              off
13340      latency         "1"
13341      dbl_ovrd        off
13342      reg_retiming        off
13343      xl_use_area         off
13344      xl_area         "[0,0,0,0,0,0,0]"
13345      has_advanced_control    "0"
13346      sggui_pos       "-1,-1,-1,-1"
13347      block_type          "delay"
13348      block_version       "10.1.3"
13349      sg_icon_stat        "25,28,1,1,white,blue,0,fc531c0e,right"
13350      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 25 25 0 ],[0 0 28 28 ],[0.77 0.82 0.91]);\npatch([6 2 8 2 6 13 15 17 24 18 13 9 14 9 13 18 24 17 15 13 6 ],[4 8 14 20 24 24 22 24 24 18 23 19 14 9 5 10 4 4 6 4 4 ],[0.98 0.96 0.92]);\nplot([0 25 25 0 0 ],[0 0 28 28 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');disp('z^{-1}','texmode','on');\nfprintf('','COMMENT: end icon text');\n"
13351    }
13352    Block {
13353      BlockType       Reference
13354      Name            "Inverter"
13355      Ports           [1, 1]
13356      Position        [1080, 476, 1125, 494]
13357      ShowName        off
13358      SourceBlock         "xbsIndex_r4/Inverter"
13359      SourceType          "Xilinx Inverter Block"
13360      infoedit        "Bitwise logical negation (one's complement) operator."
13361      en              off
13362      latency         "0"
13363      dbl_ovrd        off
13364      xl_use_area         off
13365      xl_area         "[0 0 0 0 0 0 0]"
13366      has_advanced_control    "0"
13367      sggui_pos       "-1,-1,-1,-1"
13368      block_type          "inv"
13369      block_version       "10.1.2"
13370      sg_icon_stat        "45,18,1,1,white,blue,0,1ab4a85f,right"
13371      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 ],[0 0 58 58 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13 28 32 36 52 40 28 19 32 19 28 40 52 36 32 28 13 ],[7 16 29 42 51 51 47 51 51 39 51 42 29 16 7 19 7 7 11 7 7 ],[0.98 0.96 0.92]);\nplot([0 55 55 0 0 ],[0 0 58 58 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');disp('not');\nfprintf('','COMMENT: end icon text');\n"
13372    }
13373    Block {
13374      BlockType       Reference
13375      Name            "Inverter1"
13376      Ports           [1, 1]
13377      Position        [590, 661, 635, 679]
13378      ShowName        off
13379      SourceBlock         "xbsIndex_r4/Inverter"
13380      SourceType          "Xilinx Inverter Block"
13381      infoedit        "Bitwise logical negation (one's complement) operator."
13382      en              off
13383      latency         "0"
13384      dbl_ovrd        off
13385      xl_use_area         off
13386      xl_area         "[0 0 0 0 0 0 0]"
13387      has_advanced_control    "0"
13388      sggui_pos       "-1,-1,-1,-1"
13389      block_type          "inv"
13390      block_version       "10.1.2"
13391      sg_icon_stat        "45,18,1,1,white,blue,0,1ab4a85f,right"
13392      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 ],[0 0 58 58 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13 28 32 36 52 40 28 19 32 19 28 40 52 36 32 28 13 ],[7 16 29 42 51 51 47 51 51 39 51 42 29 16 7 19 7 7 11 7 7 ],[0.98 0.96 0.92]);\nplot([0 55 55 0 0 ],[0 0 58 58 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');disp('not');\nfprintf('','COMMENT: end icon text');\n"
13393    }
13394    Block {
13395      BlockType       Reference
13396      Name            "Logical1"
13397      Ports           [2, 1]
13398      Position        [855, 231, 885, 264]
13399      ShowName        off
13400      SourceBlock         "xbsIndex_r4/Logical"
13401      SourceType          "Xilinx Logical Block Block"
13402      logical_function    "OR"
13403      inputs          "2"
13404      en              off
13405      latency         "0"
13406      precision       "Full"
13407      arith_type          "Unsigned"
13408      n_bits          "16"
13409      bin_pt          "0"
13410      align_bp        on
13411      dbl_ovrd        off
13412      xl_use_area         off
13413      xl_area         "[1 0 0 1 0 0 0]"
13414      has_advanced_control    "0"
13415      sggui_pos       "-1,-1,-1,-1"
13416      block_type          "logical"
13417      block_version       "9.1.01"
13418      sg_icon_stat        "30,33,2,1,white,blue,0,f4a65842,right"
13419      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 ],[0 0 60 60 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13 28 32 36 52 40 28 19 32 19 28 40 52 36 32 28 13 ],[8 17 30 43 52 52 48 52 52 40 52 43 30 17 8 20 8 8 12 8 8 ],[0.98 0.96 0.92]);\nplot([0 55 55 0 0 ],[0 0 60 60 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');disp('\\newlineor\\newlinez^{-0}','texmode','on');\nfprintf('','COMMENT: end icon text');\n"
13420    }
13421    Block {
13422      BlockType       Reference
13423      Name            "Logical2"
13424      Ports           [2, 1]
13425      Position        [590, 306, 620, 339]
13426      ShowName        off
13427      SourceBlock         "xbsIndex_r4/Logical"
13428      SourceType          "Xilinx Logical Block Block"
13429      logical_function    "OR"
13430      inputs          "2"
13431      en              off
13432      latency         "0"
13433      precision       "Full"
13434      arith_type          "Unsigned"
13435      n_bits          "16"
13436      bin_pt          "0"
13437      align_bp        on
13438      dbl_ovrd        off
13439      xl_use_area         off
13440      xl_area         "[1 0 0 1 0 0 0]"
13441      has_advanced_control    "0"
13442      sggui_pos       "-1,-1,-1,-1"
13443      block_type          "logical"
13444      block_version       "9.1.01"
13445      sg_icon_stat        "30,33,2,1,white,blue,0,f4a65842,right"
13446      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 ],[0 0 60 60 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13 28 32 36 52 40 28 19 32 19 28 40 52 36 32 28 13 ],[8 17 30 43 52 52 48 52 52 40 52 43 30 17 8 20 8 8 12 8 8 ],[0.98 0.96 0.92]);\nplot([0 55 55 0 0 ],[0 0 60 60 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');disp('\\newlineor\\newlinez^{-0}','texmode','on');\nfprintf('','COMMENT: end icon text');\n"
13447    }
13448    Block {
13449      BlockType       Reference
13450      Name            "Logical3"
13451      Ports           [2, 1]
13452      Position        [590, 271, 620, 304]
13453      ShowName        off
13454      SourceBlock         "xbsIndex_r4/Logical"
13455      SourceType          "Xilinx Logical Block Block"
13456      logical_function    "OR"
13457      inputs          "2"
13458      en              off
13459      latency         "0"
13460      precision       "Full"
13461      arith_type          "Unsigned"
13462      n_bits          "16"
13463      bin_pt          "0"
13464      align_bp        on
13465      dbl_ovrd        off
13466      xl_use_area         off
13467      xl_area         "[1 0 0 1 0 0 0]"
13468      has_advanced_control    "0"
13469      sggui_pos       "-1,-1,-1,-1"
13470      block_type          "logical"
13471      block_version       "9.1.01"
13472      sg_icon_stat        "30,33,2,1,white,blue,0,f4a65842,right"
13473      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 ],[0 0 60 60 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13 28 32 36 52 40 28 19 32 19 28 40 52 36 32 28 13 ],[8 17 30 43 52 52 48 52 52 40 52 43 30 17 8 20 8 8 12 8 8 ],[0.98 0.96 0.92]);\nplot([0 55 55 0 0 ],[0 0 60 60 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');disp('\\newlineor\\newlinez^{-0}','texmode','on');\nfprintf('','COMMENT: end icon text');\n"
13474    }
13475    Block {
13476      BlockType       Reference
13477      Name            "Logical4"
13478      Ports           [2, 1]
13479      Position        [1155, 433, 1200, 502]
13480      ShowName        off
13481      SourceBlock         "xbsIndex_r4/Logical"
13482      SourceType          "Xilinx Logical Block Block"
13483      logical_function    "AND"
13484      inputs          "2"
13485      en              off
13486      latency         "0"
13487      precision       "Full"
13488      arith_type          "Unsigned"
13489      n_bits          "16"
13490      bin_pt          "0"
13491      align_bp        on
13492      dbl_ovrd        off
13493      xl_use_area         off
13494      xl_area         "[1 0 0 1 0 0 0]"
13495      has_advanced_control    "0"
13496      sggui_pos       "-1,-1,-1,-1"
13497      block_type          "logical"
13498      block_version       "10.1.2"
13499      sg_icon_stat        "45,69,2,1,white,blue,0,087b5522,right"
13500      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 ],[0 0 60 60 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13 28 32 36 52 40 28 19 32 19 28 40 52 36 32 28 13 ],[8 17 30 43 52 52 48 52 52 40 52 43 30 17 8 20 8 8 12 8 8 ],[0.98 0.96 0.92]);\nplot([0 55 55 0 0 ],[0 0 60 60 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');disp('\\newlineand\\newlinez^{-0}','texmode','on');\nfprintf('','COMMENT: end icon text');\n"
13501    }
13502    Block {
13503      BlockType       Reference
13504      Name            "Logical5"
13505      Ports           [3, 1]
13506      Position        [770, 652, 805, 688]
13507      ShowName        off
13508      SourceBlock         "xbsIndex_r4/Logical"
13509      SourceType          "Xilinx Logical Block Block"
13510      logical_function    "OR"
13511      inputs          "3"
13512      en              off
13513      latency         "0"
13514      precision       "Full"
13515      arith_type          "Unsigned"
13516      n_bits          "16"
13517      bin_pt          "0"
13518      align_bp        on
13519      dbl_ovrd        off
13520      xl_use_area         off
13521      xl_area         "[1 0 0 1 0 0 0]"
13522      has_advanced_control    "0"
13523      sggui_pos       "20,20,348,261"
13524      block_type          "logical"
13525      block_version       "8.2"
13526      sg_icon_stat        "35,36,3,1,white,blue,0,bd50cad4,right"
13527      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 ],[0 0 60 60 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13 28 32 36 52 40 28 19 32 19 28 40 52 36 32 28 13 ],[8 17 30 43 52 52 48 52 52 40 52 43 30 17 8 20 8 8 12 8 8 ],[0.98 0.96 0.92]);\nplot([0 55 55 0 0 ],[0 0 60 60 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');disp('\\newlineor\\newlinez^{-0}','texmode','on');\nfprintf('','COMMENT: end icon text');\n"
13528    }
13529    Block {
13530      BlockType       Reference
13531      Name            "Logical6"
13532      Ports           [3, 1]
13533      Position        [850, 282, 885, 318]
13534      ShowName        off
13535      SourceBlock         "xbsIndex_r4/Logical"
13536      SourceType          "Xilinx Logical Block Block"
13537      logical_function    "AND"
13538      inputs          "3"
13539      en              off
13540      latency         "0"
13541      precision       "Full"
13542      arith_type          "Unsigned"
13543      n_bits          "16"
13544      bin_pt          "0"
13545      align_bp        on
13546      dbl_ovrd        off
13547      xl_use_area         off
13548      xl_area         "[1 0 0 1 0 0 0]"
13549      has_advanced_control    "0"
13550      sggui_pos       "20,20,348,261"
13551      block_type          "logical"
13552      block_version       "8.2"
13553      sg_icon_stat        "35,36,3,1,white,blue,0,5c2bfaa2,right"
13554      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 ],[0 0 60 60 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13 28 32 36 52 40 28 19 32 19 28 40 52 36 32 28 13 ],[8 17 30 43 52 52 48 52 52 40 52 43 30 17 8 20 8 8 12 8 8 ],[0.98 0.96 0.92]);\nplot([0 55 55 0 0 ],[0 0 60 60 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');disp('\\newlineand\\newlinez^{-0}','texmode','on');\nfprintf('','COMMENT: end icon text');\n"
13555    }
13556    Block {
13557      BlockType       Reference
13558      Name            "Logical7"
13559      Ports           [3, 1]
13560      Position        [1175, 243, 1205, 277]
13561      ShowName        off
13562      SourceBlock         "xbsIndex_r4/Logical"
13563      SourceType          "Xilinx Logical Block Block"
13564      logical_function    "AND"
13565      inputs          "3"
13566      en              off
13567      latency         "0"
13568      precision       "Full"
13569      arith_type          "Unsigned"
13570      n_bits          "16"
13571      bin_pt          "0"
13572      align_bp        on
13573      dbl_ovrd        off
13574      xl_use_area         off
13575      xl_area         "[1 0 0 1 0 0 0]"
13576      has_advanced_control    "0"
13577      sggui_pos       "20,20,348,261"
13578      block_type          "logical"
13579      block_version       "9.1.01"
13580      sg_icon_stat        "30,34,3,1,white,blue,0,5c2bfaa2,right"
13581      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 30 30 0 ],[0 0 34 34 ],[0.77 0.82 0.91]);\npatch([7 2 9 2 7 15 17 19 27 20 14 9 15 9 14 20 27 19 17 15 7 ],[5 10 17 24 29 29 27 29 29 22 28 23 17 11 6 12 5 5 7 5 5 ],[0.98 0.96 0.92]);\nplot([0 30 30 0 0 ],[0 0 34 34 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');disp('\\newlineand\\newlinez^{-0}','texmode','on');\nfprintf('','COMMENT: end icon text');\n"
13582    }
13583    Block {
13584      BlockType       Reference
13585      Name            "Mux"
13586      Ports           [3, 1]
13587      Position        [320, 643, 345, 717]
13588      ShowName        off
13589      SourceBlock         "xbsIndex_r4/Mux"
13590      SourceType          "Xilinx Bus Multiplexer Block"
13591      inputs          "2"
13592      en              off
13593      latency         "0"
13594      precision       "Full"
13595      arith_type          "Unsigned"
13596      n_bits          "16"
13597      bin_pt          "14"
13598      quantization        "Truncate"
13599      overflow        "Wrap"
13600      dbl_ovrd        off
13601      xl_use_area         off
13602      xl_area         "[1 0 0 1 0 0 0]"
13603      has_advanced_control    "0"
13604      sggui_pos       "-1,-1,-1,-1"
13605      block_type          "mux"
13606      block_version       "8.2"
13607      sg_icon_stat        "25,74,3,1,white,blue,3,613f58e1,right"
13608      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 45 45 0 ],[0 14.8571 89.1429 104 ],[0.77 0.82 0.91]);\npatch([10 3 14 3 10 22 25 28 41 31 21 14 25 14 21 31 41 28 25 22 10 ],[34 41 52 63 70 70 67 70 70 60 70 63 52 41 34 44 34 34 37 34 34 ],[0.98 0.96 0.92]);\nplot([0 45 45 0 0 ],[0 14.8571 89.1429 104 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1,'sel');\ncolor('black');port_label('input',2,'d0');\ncolor('black');port_label('input',3,'d1');\ncolor('black');disp('\\bf{}','texmode','on');\nfprintf('','COMMENT: end icon text');\n"
13609    }
13610    Block {
13611      BlockType       Reference
13612      Name            "Relational"
13613      Ports           [2, 1]
13614      Position        [1060, 231, 1100, 289]
13615      ShowName        off
13616      SourceBlock         "xbsIndex_r4/Relational"
13617      SourceType          "Xilinx Arithmetic Relational Operator Block"
13618      mode            "a<=b"
13619      en              off
13620      latency         "0"
13621      dbl_ovrd        off
13622      xl_use_area         off
13623      xl_area         "[8 0 0 16 0 0 0]"
13624      has_advanced_control    "0"
13625      sggui_pos       "20,20,348,193"
13626      block_type          "relational"
13627      block_version       "8.2"
13628      sg_icon_stat        "40,58,2,1,white,blue,0,07808d72,right"
13629      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 ],[0 0 56 56 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13 28 32 36 52 40 28 19 32 19 28 40 52 36 32 28 13 ],[6 15 28 41 50 50 46 50 50 38 50 41 28 15 6 18 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 55 55 0 0 ],[0 0 56 56 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1,'a');\ncolor('black');port_label('input',2,'b');\ncolor('black');disp('\\newline\\bf{a<=b}\\newlinez^{-0}','texmode','on');\nfprintf('','COMMENT: end icon text');\n"
13630    }
13631    Block {
13632      BlockType       Reference
13633      Name            "Relational1"
13634      Ports           [2, 1]
13635      Position        [1005, 431, 1050, 469]
13636      ShowName        off
13637      SourceBlock         "xbsIndex_r4/Relational"
13638      SourceType          "Xilinx Arithmetic Relational Operator Block"
13639      mode            "a>b"
13640      en              off
13641      latency         "0"
13642      dbl_ovrd        off
13643      xl_use_area         off
13644      xl_area         "[16 1 0 32 0 0 0]"
13645      has_advanced_control    "0"
13646      sggui_pos       "20,20,348,193"
13647      block_type          "relational"
13648      block_version       "10.1.2"
13649      sg_icon_stat        "45,38,2,1,white,blue,0,064af3a6,right"
13650      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 ],[0 0 56 56 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13 28 32 36 52 40 28 19 32 19 28 40 52 36 32 28 13 ],[6 15 28 41 50 50 46 50 50 38 50 41 28 15 6 18 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 55 55 0 0 ],[0 0 56 56 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1,'a');\ncolor('black');port_label('input',2,'b');\ncolor('black');disp('\\newline\\bf{a>b}\\newlinez^{-0}','texmode','on');\nfprintf('','COMMENT: end icon text');\n"
13651    }
13652    Block {
13653      BlockType       Reference
13654      Name            "Relational2"
13655      Ports           [2, 1]
13656      Position        [985, 651, 1025, 709]
13657      ShowName        off
13658      SourceBlock         "xbsIndex_r4/Relational"
13659      SourceType          "Xilinx Arithmetic Relational Operator Block"
13660      mode            "a<=b"
13661      en              off
13662      latency         "0"
13663      dbl_ovrd        off
13664      xl_use_area         off
13665      xl_area         "[8 0 0 16 0 0 0]"
13666      has_advanced_control    "0"
13667      sggui_pos       "20,20,348,193"
13668      block_type          "relational"
13669      block_version       "8.2"
13670      sg_icon_stat        "40,58,2,1,white,blue,0,07808d72,right"
13671      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 ],[0 0 56 56 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13 28 32 36 52 40 28 19 32 19 28 40 52 36 32 28 13 ],[6 15 28 41 50 50 46 50 50 38 50 41 28 15 6 18 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 55 55 0 0 ],[0 0 56 56 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1,'a');\ncolor('black');port_label('input',2,'b');\ncolor('black');disp('\\newline\\bf{a<=b}\\newlinez^{-0}','texmode','on');\nfprintf('','COMMENT: end icon text');\n"
13672    }
13673    Block {
13674      BlockType       SubSystem
13675      Name            "S-R_Latch1"
13676      Ports           [2, 1]
13677      Position        [665, 272, 705, 343]
13678      MinAlgLoopOccurrences   off
13679      PropExecContextOutsideSubsystem off
13680      RTWSystemCode       "Auto"
13681      FunctionWithSeparateData off
13682      Opaque          off
13683      RequestExecContextInheritance off
13684      MaskHideContents    off
13685      System {
13686        Name            "S-R_Latch1"
13687        Location            [202, 70, 1438, 850]
13688        Open            off
13689        ModelBrowserVisibility  on
13690        ModelBrowserWidth       200
13691        ScreenColor         "white"
13692        PaperOrientation        "landscape"
13693        PaperPositionMode       "auto"
13694        PaperType           "usletter"
13695        PaperUnits          "inches"
13696        TiledPaperMargins       [0.500000, 0.500000, 0.500000, 0.500000]
13697        TiledPageScale      1
13698        ShowPageBoundaries      off
13699        ZoomFactor          "100"
13700        Block {
13701          BlockType           Inport
13702          Name            "S"
13703          Position            [95, 58, 125, 72]
13704          IconDisplay         "Port number"
13705          OutDataType         "sfix(16)"
13706          OutScaling          "2^0"
13707        }
13708        Block {
13709          BlockType           Inport
13710          Name            "R"
13711          Position            [95, 88, 125, 102]
13712          Port            "2"
13713          IconDisplay         "Port number"
13714          OutDataType         "sfix(16)"
13715          OutScaling          "2^0"
13716        }
13717        Block {
13718          BlockType           Reference
13719          Name            "Inverter"
13720          Ports           [1, 1]
13721          Position            [220, 82, 250, 108]
13722          SourceBlock         "xbsIndex_r4/Inverter"
13723          SourceType          "Xilinx Inverter Block"
13724          infoedit            "Bitwise logical negation (one's complement) operator."
13725          en              "off"
13726          latency             "0"
13727          dbl_ovrd            "off"
13728          xl_use_area         "off"
13729          xl_area             "[0 0 0 0 0 0 0]"
13730          has_advanced_control    "0"
13731          sggui_pos           "-1,-1,-1,-1"
13732          block_type          "inv"
13733          block_version       "VER_STRING_GOES_HERE"
13734          sg_icon_stat        "30,26,1,1,white,blue,0,1ab4a85f,right"
13735          sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 ],[0 0 58 58 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13 28 32 36 52 40 28 19 32 19 28 40 52 36 32 28 13 ],[7 16 29 42 51 51 47 51 51 39 51 42 29 16 7 19 7 7 11 7 7 ],[0.98 0.96 0.92]);\nplot([0 55 55 0 0 ],[0 0 58 58 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');disp('not');\nfprintf('','COMMENT: end icon text');\n"
13736        }
13737        Block {
13738          BlockType           Reference
13739          Name            "Register"
13740          Ports           [3, 1]
13741          Position            [150, 70, 195, 120]
13742          SourceBlock         "xbsIndex_r4/Register"
13743          SourceType          "Xilinx Register Block"
13744          init            "0"
13745          rst             "on"
13746          en              "on"
13747          dbl_ovrd            "off"
13748          xl_use_area         "off"
13749          xl_area             "[1 1 0 0 0 0 0]"
13750          has_advanced_control    "0"
13751          sggui_pos           "20,20,348,193"
13752          block_type          "register"
13753          block_version       "VER_STRING_GOES_HERE"
13754          sg_icon_stat        "45,50,1,1,white,blue,0,923c1847,right"
13755          sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 ],[0 0 56 56 ],[0.77 0.82 0.91]);\npatch([15 6 19 6 15 30 34 38 54 41 29 20 32 20 29 41 54 38 34 30 15 ],[6 15 28 41 50 50 46 50 50 37 49 40 28 16 7 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 56 56 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1,'d');\ncolor('black');port_label('input',2,'rst');\ncolor('black');port_label('input',3,'en');\ncolor('black');port_label('output',1,'q');\ncolor('black');disp('\\bf{z^{-1}}','texmode','on');\nfprintf('','COMMENT: end icon text');\n"
13756        }
13757        Block {
13758          BlockType           Outport
13759          Name            "Q"
13760          Position            [285, 63, 315, 77]
13761          IconDisplay         "Port number"
13762          OutDataType         "sfix(16)"
13763          OutScaling          "2^0"
13764        }
13765        Line {
13766          SrcBlock            "Register"
13767          SrcPort             1
13768          Points              [0, 0; 5, 0]
13769          Branch {
13770        DstBlock        "Inverter"
13771        DstPort         1
13772          }
13773          Branch {
13774        Points          [0, -25]
13775        DstBlock        "Q"
13776        DstPort         1
13777          }
13778        }
13779        Line {
13780          SrcBlock            "Inverter"
13781          SrcPort             1
13782          Points              [10, 0; 0, 55; -130, 0]
13783          DstBlock            "Register"
13784          DstPort             3
13785        }
13786        Line {
13787          SrcBlock            "S"
13788          SrcPort             1
13789          DstBlock            "Register"
13790          DstPort             1
13791        }
13792        Line {
13793          SrcBlock            "R"
13794          SrcPort             1
13795          DstBlock            "Register"
13796          DstPort             2
13797        }
13798      }
13799    }
13800    Block {
13801      BlockType       SubSystem
13802      Name            "S-R_Latch2"
13803      Ports           [2, 1]
13804      Position        [1285, 245, 1325, 305]
13805      MinAlgLoopOccurrences   off
13806      PropExecContextOutsideSubsystem off
13807      RTWSystemCode       "Auto"
13808      FunctionWithSeparateData off
13809      Opaque          off
13810      RequestExecContextInheritance off
13811      MaskHideContents    off
13812      System {
13813        Name            "S-R_Latch2"
13814        Location            [521, 318, 918, 591]
13815        Open            off
13816        ModelBrowserVisibility  on
13817        ModelBrowserWidth       200
13818        ScreenColor         "white"
13819        PaperOrientation        "landscape"
13820        PaperPositionMode       "auto"
13821        PaperType           "usletter"
13822        PaperUnits          "inches"
13823        TiledPaperMargins       [0.500000, 0.500000, 0.500000, 0.500000]
13824        TiledPageScale      1
13825        ShowPageBoundaries      off
13826        ZoomFactor          "100"
13827        Block {
13828          BlockType           Inport
13829          Name            "S"
13830          Position            [95, 58, 125, 72]
13831          IconDisplay         "Port number"
13832          OutDataType         "sfix(16)"
13833          OutScaling          "2^0"
13834        }
13835        Block {
13836          BlockType           Inport
13837          Name            "R"
13838          Position            [95, 88, 125, 102]
13839          Port            "2"
13840          IconDisplay         "Port number"
13841          OutDataType         "sfix(16)"
13842          OutScaling          "2^0"
13843        }
13844        Block {
13845          BlockType           Reference
13846          Name            "Inverter"
13847          Ports           [1, 1]
13848          Position            [220, 82, 250, 108]
13849          SourceBlock         "xbsIndex_r4/Inverter"
13850          SourceType          "Xilinx Inverter Block"
13851          infoedit            "Bitwise logical negation (one's complement) operator."
13852          en              "off"
13853          latency             "0"
13854          dbl_ovrd            "off"
13855          xl_use_area         "off"
13856          xl_area             "[0 0 0 0 0 0 0]"
13857          has_advanced_control    "0"
13858          sggui_pos           "-1,-1,-1,-1"
13859          block_type          "inv"
13860          block_version       "VER_STRING_GOES_HERE"
13861          sg_icon_stat        "30,26,1,1,white,blue,0,1ab4a85f,right"
13862          sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 ],[0 0 58 58 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13 28 32 36 52 40 28 19 32 19 28 40 52 36 32 28 13 ],[7 16 29 42 51 51 47 51 51 39 51 42 29 16 7 19 7 7 11 7 7 ],[0.98 0.96 0.92]);\nplot([0 55 55 0 0 ],[0 0 58 58 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');disp('not');\nfprintf('','COMMENT: end icon text');\n"
13863        }
13864        Block {
13865          BlockType           Reference
13866          Name            "Register"
13867          Ports           [3, 1]
13868          Position            [150, 70, 195, 120]
13869          SourceBlock         "xbsIndex_r4/Register"
13870          SourceType          "Xilinx Register Block"
13871          init            "0"
13872          rst             "on"
13873          en              "on"
13874          dbl_ovrd            "off"
13875          xl_use_area         "off"
13876          xl_area             "[1 1 0 0 0 0 0]"
13877          has_advanced_control    "0"
13878          sggui_pos           "-1,-1,-1,-1"
13879          block_type          "register"
13880          block_version       "VER_STRING_GOES_HERE"
13881          sg_icon_stat        "45,50,1,1,white,blue,0,923c1847,right"
13882          sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 ],[0 0 56 56 ],[0.77 0.82 0.91]);\npatch([15 6 19 6 15 30 34 38 54 41 29 20 32 20 29 41 54 38 34 30 15 ],[6 15 28 41 50 50 46 50 50 37 49 40 28 16 7 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 56 56 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1,'d');\ncolor('black');port_label('input',2,'rst');\ncolor('black');port_label('input',3,'en');\ncolor('black');port_label('output',1,'q');\ncolor('black');disp('\\bf{z^{-1}}','texmode','on');\nfprintf('','COMMENT: end icon text');\n"
13883        }
13884        Block {
13885          BlockType           Outport
13886          Name            "Q"
13887          Position            [285, 63, 315, 77]
13888          IconDisplay         "Port number"
13889          OutDataType         "sfix(16)"
13890          OutScaling          "2^0"
13891        }
13892        Line {
13893          SrcBlock            "R"
13894          SrcPort             1
13895          DstBlock            "Register"
13896          DstPort             2
13897        }
13898        Line {
13899          SrcBlock            "S"
13900          SrcPort             1
13901          DstBlock            "Register"
13902          DstPort             1
13903        }
13904        Line {
13905          SrcBlock            "Inverter"
13906          SrcPort             1
13907          Points              [10, 0; 0, 55; -130, 0]
13908          DstBlock            "Register"
13909          DstPort             3
13910        }
13911        Line {
13912          SrcBlock            "Register"
13913          SrcPort             1
13914          Points              [0, 0; 5, 0]
13915          Branch {
13916        Points          [0, -25]
13917        DstBlock        "Q"
13918        DstPort         1
13919          }
13920          Branch {
13921        DstBlock        "Inverter"
13922        DstPort         1
13923          }
13924        }
13925      }
13926    }
13927    Block {
13928      BlockType       SubSystem
13929      Name            "S-R_Latch3"
13930      Ports           [2, 1]
13931      Position        [665, 167, 705, 238]
13932      MinAlgLoopOccurrences   off
13933      PropExecContextOutsideSubsystem off
13934      RTWSystemCode       "Auto"
13935      FunctionWithSeparateData off
13936      Opaque          off
13937      RequestExecContextInheritance off
13938      MaskHideContents    off
13939      System {
13940        Name            "S-R_Latch3"
13941        Location            [202, 70, 1438, 850]
13942        Open            off
13943        ModelBrowserVisibility  on
13944        ModelBrowserWidth       200
13945        ScreenColor         "white"
13946        PaperOrientation        "landscape"
13947        PaperPositionMode       "auto"
13948        PaperType           "usletter"
13949        PaperUnits          "inches"
13950        TiledPaperMargins       [0.500000, 0.500000, 0.500000, 0.500000]
13951        TiledPageScale      1
13952        ShowPageBoundaries      off
13953        ZoomFactor          "100"
13954        Block {
13955          BlockType           Inport
13956          Name            "S"
13957          Position            [95, 58, 125, 72]
13958          IconDisplay         "Port number"
13959          OutDataType         "sfix(16)"
13960          OutScaling          "2^0"
13961        }
13962        Block {
13963          BlockType           Inport
13964          Name            "R"
13965          Position            [95, 88, 125, 102]
13966          Port            "2"
13967          IconDisplay         "Port number"
13968          OutDataType         "sfix(16)"
13969          OutScaling          "2^0"
13970        }
13971        Block {
13972          BlockType           Reference
13973          Name            "Inverter"
13974          Ports           [1, 1]
13975          Position            [220, 82, 250, 108]
13976          SourceBlock         "xbsIndex_r4/Inverter"
13977          SourceType          "Xilinx Inverter Block"
13978          infoedit            "Bitwise logical negation (one's complement) operator."
13979          en              "off"
13980          latency             "0"
13981          dbl_ovrd            "off"
13982          xl_use_area         "off"
13983          xl_area             "[0 0 0 0 0 0 0]"
13984          has_advanced_control    "0"
13985          sggui_pos           "-1,-1,-1,-1"
13986          block_type          "inv"
13987          block_version       "VER_STRING_GOES_HERE"
13988          sg_icon_stat        "30,26,1,1,white,blue,0,1ab4a85f,right"
13989          sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 ],[0 0 58 58 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13 28 32 36 52 40 28 19 32 19 28 40 52 36 32 28 13 ],[7 16 29 42 51 51 47 51 51 39 51 42 29 16 7 19 7 7 11 7 7 ],[0.98 0.96 0.92]);\nplot([0 55 55 0 0 ],[0 0 58 58 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');disp('not');\nfprintf('','COMMENT: end icon text');\n"
13990        }
13991        Block {
13992          BlockType           Reference
13993          Name            "Register"
13994          Ports           [3, 1]
13995          Position            [150, 70, 195, 120]
13996          SourceBlock         "xbsIndex_r4/Register"
13997          SourceType          "Xilinx Register Block"
13998          init            "0"
13999          rst             "on"
14000          en              "on"
14001          dbl_ovrd            "off"
14002          xl_use_area         "off"
14003          xl_area             "[1 1 0 0 0 0 0]"
14004          has_advanced_control    "0"
14005          sggui_pos           "20,20,348,193"
14006          block_type          "register"
14007          block_version       "VER_STRING_GOES_HERE"
14008          sg_icon_stat        "45,50,1,1,white,blue,0,923c1847,right"
14009          sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 ],[0 0 56 56 ],[0.77 0.82 0.91]);\npatch([15 6 19 6 15 30 34 38 54 41 29 20 32 20 29 41 54 38 34 30 15 ],[6 15 28 41 50 50 46 50 50 37 49 40 28 16 7 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 56 56 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1,'d');\ncolor('black');port_label('input',2,'rst');\ncolor('black');port_label('input',3,'en');\ncolor('black');port_label('output',1,'q');\ncolor('black');disp('\\bf{z^{-1}}','texmode','on');\nfprintf('','COMMENT: end icon text');\n"
14010        }
14011        Block {
14012          BlockType           Outport
14013          Name            "Q"
14014          Position            [285, 63, 315, 77]
14015          IconDisplay         "Port number"
14016          OutDataType         "sfix(16)"
14017          OutScaling          "2^0"
14018        }
14019        Line {
14020          SrcBlock            "R"
14021          SrcPort             1
14022          DstBlock            "Register"
14023          DstPort             2
14024        }
14025        Line {
14026          SrcBlock            "S"
14027          SrcPort             1
14028          DstBlock            "Register"
14029          DstPort             1
14030        }
14031        Line {
14032          SrcBlock            "Inverter"
14033          SrcPort             1
14034          Points              [10, 0; 0, 55; -130, 0]
14035          DstBlock            "Register"
14036          DstPort             3
14037        }
14038        Line {
14039          SrcBlock            "Register"
14040          SrcPort             1
14041          Points              [0, 0; 5, 0]
14042          Branch {
14043        Points          [0, -25]
14044        DstBlock        "Q"
14045        DstPort         1
14046          }
14047          Branch {
14048        DstBlock        "Inverter"
14049        DstPort         1
14050          }
14051        }
14052      }
14053    }
14054    Block {
14055      BlockType       Scope
14056      Name            "Scope"
14057      Ports           [3]
14058      Position        [1530, 305, 1560, 405]
14059      Floating        off
14060      Location        [1, 45, 1441, 869]
14061      Open            off
14062      NumInputPorts       "3"
14063      ZoomMode        "xonly"
14064      List {
14065        ListType            AxesTitles
14066        axes1           "%<SignalLabel>"
14067        axes2           "%<SignalLabel>"
14068        axes3           "%<SignalLabel>"
14069      }
14070      YMin            "-5~-5~-5"
14071      YMax            "5~5~5"
14072      DataFormat          "StructureWithTime"
14073      SampleTime          "0"
14074    }
14075    Block {
14076      BlockType       Reference
14077      Name            "Sim Mux"
14078      Ports           [2, 1]
14079      Position        [405, 261, 445, 299]
14080      NamePlacement       "alternate"
14081      SourceBlock         "xbsIndex_r4/Simulation Multiplexer"
14082      SourceType          "Xilinx Simulation Multiplexer Block"
14083      infoedit        "Distinguish input subsystems as \"simulation only\" and \"generation only\".  The input specified For Simulation will be used during Simulink simulation.  The input specified For Generation will be used during code generation.  This block will typically be used on the output of a HW Co-Simulation, Black Box, or ModelSim subsystem.<P><P>Hardware Notes: This block costs nothing."
14084      sim_sel         "1"
14085      hw_sel          "2"
14086      has_advanced_control    "0"
14087      sggui_pos       "20,20,336,197"
14088      block_type          "simmux"
14089      block_version       "10.1.3"
14090      sg_icon_stat        "40,38,2,1,white,blue,0,c4f98ccb,right"
14091      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 50 50 0 ],[0 0 51 51 ],[0.77 0.82 0.91]);\npatch([11 3 15 3 11 24 28 32 46 35 24 16 28 16 24 35 46 32 28 24 11 ],[6 14 26 38 46 46 42 46 46 35 46 38 26 14 6 17 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 50 50 0 0 ],[0 0 51 51 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\n\nfprintf('','COMMENT: end icon text');\ncolor('red');\nplot(swLineX,simSwLineY);\ncolor('black');\nplot(swLineX,hwSwLineY);\n"
14092    }
14093    Block {
14094      BlockType       Reference
14095      Name            "Sim Mux1"
14096      Ports           [2, 1]
14097      Position        [470, 576, 510, 614]
14098      NamePlacement       "alternate"
14099      SourceBlock         "xbsIndex_r4/Simulation Multiplexer"
14100      SourceType          "Xilinx Simulation Multiplexer Block"
14101      infoedit        "Distinguish input subsystems as \"simulation only\" and \"generation only\".  The input specified For Simulation will be used during Simulink simulation.  The input specified For Generation will be used during code generation.  This block will typically be used on the output of a HW Co-Simulation, Black Box, or ModelSim subsystem.<P><P>Hardware Notes: This block costs nothing."
14102      sim_sel         "1"
14103      hw_sel          "2"
14104      has_advanced_control    "0"
14105      sggui_pos       "20,20,336,197"
14106      block_type          "simmux"
14107      block_version       "10.1.3"
14108      sg_icon_stat        "40,38,2,1,white,blue,0,c4f98ccb,right"
14109      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 50 50 0 ],[0 0 51 51 ],[0.77 0.82 0.91]);\npatch([11 3 15 3 11 24 28 32 46 35 24 16 28 16 24 35 46 32 28 24 11 ],[6 14 26 38 46 46 42 46 46 35 46 38 26 14 6 17 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 50 50 0 0 ],[0 0 51 51 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\n\nfprintf('','COMMENT: end icon text');\ncolor('red');\nplot(swLineX,simSwLineY);\ncolor('black');\nplot(swLineX,hwSwLineY);\n"
14110    }
14111    Block {
14112      BlockType       SubSystem
14113      Name            "Sim-only\nGateway In"
14114      Ports           [1, 1]
14115      Position        [305, 260, 350, 280]
14116      NamePlacement       "alternate"
14117      MinAlgLoopOccurrences   off
14118      PropExecContextOutsideSubsystem off
14119      RTWSystemCode       "Auto"
14120      FunctionWithSeparateData off
14121      Opaque          off
14122      RequestExecContextInheritance off
14123      MaskHideContents    off
14124      System {
14125        Name            "Sim-only\nGateway In"
14126        Location            [269, 280, 494, 362]
14127        Open            off
14128        ModelBrowserVisibility  on
14129        ModelBrowserWidth       200
14130        ScreenColor         "white"
14131        PaperOrientation        "landscape"
14132        PaperPositionMode       "auto"
14133        PaperType           "usletter"
14134        PaperUnits          "inches"
14135        TiledPaperMargins       [0.500000, 0.500000, 0.500000, 0.500000]
14136        TiledPageScale      1
14137        ShowPageBoundaries      off
14138        ZoomFactor          "100"
14139        Block {
14140          BlockType           Inport
14141          Name            "D"
14142          Position            [20, 33, 50, 47]
14143          IconDisplay         "Port number"
14144          OutDataType         "sfix(16)"
14145          OutScaling          "2^0"
14146        }
14147        Block {
14148          BlockType           Reference
14149          Name            "Disregard Subsystem"
14150          Tag             "discardX"
14151          Ports           []
14152          Position            [86, 85, 144, 143]
14153          ShowName            off
14154          AttributesFormatString  "Disregard Subsystem\\nFor Generation"
14155          SourceBlock         "xbsIndex_r4/Disregard Subsystem"
14156          SourceType          "Xilinx Disregard Subsystem For Generation Block"
14157          infoedit            "Place this block into a subsystem to have System Generator ignore the subsystem during code generation. This block can be used in combination with the Simulation Multiplexer block to provide an alternative simulation model for another subsystem (e.g., to provide a simulation model for a black box)."
14158          has_advanced_control    "0"
14159          sggui_pos           "-1,-1,-1,-1"
14160          block_type          "disregard"
14161          block_version       "10.1.3"
14162          sg_icon_stat        "58,58,-1,-1,darkgray,black,0,0,right"
14163          sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npatch([0 58 58 0 ],[0 0 58 58 ],[0.1 0.1 0.1]);\npatch([14 4 18 4 14 29 33 37 53 40 28 19 33 19 28 40 53 37 33 29 14 ],[6 16 30 44 54 54 50 54 54 41 53 44 30 16 7 19 6 6 10 6 6 ],[0.33 0.33 0.33]);\nplot([0 58 58 0 0 ],[0 0 58 58 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\nfprintf('','COMMENT: end icon text');\n"
14164        }
14165        Block {
14166          BlockType           Reference
14167          Name            "Gateway In"
14168          Ports           [1, 1]
14169          Position            [80, 30, 145, 50]
14170          SourceBlock         "xbsIndex_r4/Gateway In"
14171          SourceType          "Xilinx Gateway In Block"
14172          infoedit            "Gateway in block.  Converts inputs of type Simulink integer, double and fixed point to  Xilinx fixed point type.<P><P>Hardware notes:  In hardware these blocks become top level input ports."
14173          arith_type          "Boolean"
14174          n_bits              "1"
14175          bin_pt              "0"
14176          quantization        "Round  (unbiased: +/- Inf)"
14177          overflow            "Saturate"
14178          period              "1"
14179          dbl_ovrd            "off"
14180          timing_constraint       "None"
14181          locs_specified          "off"
14182          LOCs            "{}"
14183          xl_use_area         "off"
14184          xl_area             "[0 0 0 0 1 0 0]"
14185          has_advanced_control    "0"
14186          sggui_pos           "20,20,348,406"
14187          block_type          "gatewayin"
14188          block_version       "10.1.3"
14189          sg_icon_stat        "65,20,1,1,white,yellow,0,bc55d28f,right"
14190          sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npatch([0 65 65 0 ],[0 0 20 20 ],[0.95 0.93 0.65]);\npatch([27 24 29 24 27 32 33 34 40 36 32 29 34 29 32 36 40 34 33 32 27 ],[2 5 10 15 18 18 17 18 18 14 18 15 10 5 2 6 2 2 3 2 2 ],[0.98 0.96 0.92]);\nplot([0 65 65 0 0 ],[0 0 20 20 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1,'\\fontsize{11pt}\\bf In ','texmode','on');\ncolor('black');port_label('output',1,' ');\nfprintf('','COMMENT: end icon text');\n"
14191        }
14192        Block {
14193          BlockType           Outport
14194          Name            "Q"
14195          Position            [170, 33, 200, 47]
14196          IconDisplay         "Port number"
14197        }
14198        Line {
14199          SrcBlock            "Gateway In"
14200          SrcPort             1
14201          DstBlock            "Q"
14202          DstPort             1
14203        }
14204        Line {
14205          SrcBlock            "D"
14206          SrcPort             1
14207          DstBlock            "Gateway In"
14208          DstPort             1
14209        }
14210      }
14211    }
14212    Block {
14213      BlockType       SubSystem
14214      Name            "Sim-only\nGateway In2"
14215      Ports           [1, 1]
14216      Position        [360, 575, 405, 595]
14217      NamePlacement       "alternate"
14218      MinAlgLoopOccurrences   off
14219      PropExecContextOutsideSubsystem off
14220      RTWSystemCode       "Auto"
14221      FunctionWithSeparateData off
14222      Opaque          off
14223      RequestExecContextInheritance off
14224      MaskHideContents    off
14225      System {
14226        Name            "Sim-only\nGateway In2"
14227        Location            [214, 70, 1918, 1152]
14228        Open            off
14229        ModelBrowserVisibility  on
14230        ModelBrowserWidth       200
14231        ScreenColor         "white"
14232        PaperOrientation        "landscape"
14233        PaperPositionMode       "auto"
14234        PaperType           "usletter"
14235        PaperUnits          "inches"
14236        TiledPaperMargins       [0.500000, 0.500000, 0.500000, 0.500000]
14237        TiledPageScale      1
14238        ShowPageBoundaries      off
14239        ZoomFactor          "100"
14240        Block {
14241          BlockType           Inport
14242          Name            "D"
14243          Position            [20, 33, 50, 47]
14244          IconDisplay         "Port number"
14245          OutDataType         "sfix(16)"
14246          OutScaling          "2^0"
14247        }
14248        Block {
14249          BlockType           Reference
14250          Name            "Disregard Subsystem"
14251          Tag             "discardX"
14252          Ports           []
14253          Position            [71, 110, 129, 168]
14254          ShowName            off
14255          AttributesFormatString  "Disregard Subsystem\\nFor Generation"
14256          SourceBlock         "xbsIndex_r4/Disregard Subsystem"
14257          SourceType          "Xilinx Disregard Subsystem For Generation Block"
14258          infoedit            "Place this block into a subsystem to have System Generator ignore the subsystem during code generation. This block can be used in combination with the Simulation Multiplexer block to provide an alternative simulation model for another subsystem (e.g., to provide a simulation model for a black box)."
14259          has_advanced_control    "0"
14260          sggui_pos           "-1,-1,-1,-1"
14261          block_type          "disregard"
14262          block_version       "10.1.3"
14263          sg_icon_stat        "58,58,-1,-1,darkgray,black,0,0,right"
14264          sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npatch([0 58 58 0 ],[0 0 58 58 ],[0.1 0.1 0.1]);\npatch([14 4 18 4 14 29 33 37 53 40 28 19 33 19 28 40 53 37 33 29 14 ],[6 16 30 44 54 54 50 54 54 41 53 44 30 16 7 19 6 6 10 6 6 ],[0.33 0.33 0.33]);\nplot([0 58 58 0 0 ],[0 0 58 58 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\nfprintf('','COMMENT: end icon text');\n"
14265        }
14266        Block {
14267          BlockType           Reference
14268          Name            "Gateway In"
14269          Ports           [1, 1]
14270          Position            [80, 30, 145, 50]
14271          SourceBlock         "xbsIndex_r4/Gateway In"
14272          SourceType          "Xilinx Gateway In Block"
14273          infoedit            "Gateway in block.  Converts inputs of type Simulink integer, double and fixed point to  Xilinx fixed point type.<P><P>Hardware notes:  In hardware these blocks become top level input ports."
14274          arith_type          "Boolean"
14275          n_bits              "1"
14276          bin_pt              "0"
14277          quantization        "Round  (unbiased: +/- Inf)"
14278          overflow            "Saturate"
14279          period              "1"
14280          dbl_ovrd            "off"
14281          timing_constraint       "None"
14282          locs_specified          "off"
14283          LOCs            "{}"
14284          xl_use_area         "off"
14285          xl_area             "[0 0 0 0 1 0 0]"
14286          has_advanced_control    "0"
14287          sggui_pos           "20,20,348,406"
14288          block_type          "gatewayin"
14289          block_version       "10.1.3"
14290          sg_icon_stat        "65,20,1,1,white,yellow,0,bc55d28f,right"
14291          sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npatch([0 65 65 0 ],[0 0 20 20 ],[0.95 0.93 0.65]);\npatch([27 24 29 24 27 32 33 34 40 36 32 29 34 29 32 36 40 34 33 32 27 ],[2 5 10 15 18 18 17 18 18 14 18 15 10 5 2 6 2 2 3 2 2 ],[0.98 0.96 0.92]);\nplot([0 65 65 0 0 ],[0 0 20 20 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1,'\\fontsize{11pt}\\bf In ','texmode','on');\ncolor('black');port_label('output',1,' ');\nfprintf('','COMMENT: end icon text');\n"
14292        }
14293        Block {
14294          BlockType           Outport
14295          Name            "Q"
14296          Position            [170, 33, 200, 47]
14297          IconDisplay         "Port number"
14298        }
14299        Line {
14300          SrcBlock            "D"
14301          SrcPort             1
14302          DstBlock            "Gateway In"
14303          DstPort             1
14304        }
14305        Line {
14306          SrcBlock            "Gateway In"
14307          SrcPort             1
14308          DstBlock            "Q"
14309          DstPort             1
14310        }
14311      }
14312    }
14313    Block {
14314      BlockType       SubSystem
14315      Name            "negedge"
14316      Ports           [1, 1]
14317      Position        [495, 288, 525, 302]
14318      MinAlgLoopOccurrences   off
14319      PropExecContextOutsideSubsystem off
14320      RTWSystemCode       "Auto"
14321      FunctionWithSeparateData off
14322      Opaque          off
14323      RequestExecContextInheritance off
14324      MaskHideContents    off
14325      System {
14326        Name            "negedge"
14327        Location            [459, 339, 854, 490]
14328        Open            off
14329        ModelBrowserVisibility  on
14330        ModelBrowserWidth       200
14331        ScreenColor         "white"
14332        PaperOrientation        "landscape"
14333        PaperPositionMode       "auto"
14334        PaperType           "usletter"
14335        PaperUnits          "inches"
14336        TiledPaperMargins       [0.500000, 0.500000, 0.500000, 0.500000]
14337        TiledPageScale      1
14338        ShowPageBoundaries      off
14339        ZoomFactor          "100"
14340        Block {
14341          BlockType           Inport
14342          Name            "In"
14343          Position            [170, 213, 200, 227]
14344          IconDisplay         "Port number"
14345          OutDataType         "sfix(16)"
14346          OutScaling          "2^0"
14347        }
14348        Block {
14349          BlockType           Reference
14350          Name            "Delay"
14351          Ports           [1, 1]
14352          Position            [230, 247, 290, 303]
14353          SourceBlock         "xbsIndex_r4/Delay"
14354          SourceType          "Xilinx Delay Block"
14355          infoedit            "Hardware notes: A delay line is a chain, each link of which is an SRL16 followed by a flip-flop. If register retiming is enabled, the delay line is a chain of flip-flops."
14356          en              "off"
14357          latency             "1"
14358          dbl_ovrd            "off"
14359          reg_retiming        "off"
14360          xl_use_area         "off"
14361          xl_area             "[1 1 0 0 0 0 0]"
14362          has_advanced_control    "0"
14363          sggui_pos           "-1,-1,-1,-1"
14364          block_type          "delay"
14365          block_version       "8.2"
14366          sg_icon_stat        "60,56,1,1,white,blue,0,fc531c0e,right"
14367          sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 ],[0 0 56 56 ],[0.77 0.82 0.91]);\npatch([15 6 19 6 15 30 34 38 54 41 29 20 32 20 29 41 54 38 34 30 15 ],[6 15 28 41 50 50 46 50 50 37 49 40 28 16 7 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 56 56 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');disp('z^{-1}','texmode','on');\nfprintf('','COMMENT: end icon text');\n"
14368        }
14369        Block {
14370          BlockType           Reference
14371          Name            "Inverter"
14372          Ports           [1, 1]
14373          Position            [290, 191, 345, 249]
14374          NamePlacement       "alternate"
14375          SourceBlock         "xbsIndex_r4/Inverter"
14376          SourceType          "Xilinx Inverter Block"
14377          infoedit            "Bitwise logical negation (one's complement) operator."
14378          en              "off"
14379          latency             "0"
14380          dbl_ovrd            "off"
14381          xl_use_area         "off"
14382          xl_area             "[0 0 0 0 0 0 0]"
14383          has_advanced_control    "0"
14384          sggui_pos           "-1,-1,-1,-1"
14385          block_type          "inv"
14386          block_version       "8.2"
14387          sg_icon_stat        "55,58,1,1,white,blue,0,1ab4a85f,right"
14388          sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 ],[0 0 58 58 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13 28 32 36 52 40 28 19 32 19 28 40 52 36 32 28 13 ],[7 16 29 42 51 51 47 51 51 39 51 42 29 16 7 19 7 7 11 7 7 ],[0.98 0.96 0.92]);\nplot([0 55 55 0 0 ],[0 0 58 58 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');disp('not');\nfprintf('','COMMENT: end icon text');\n"
14389        }
14390        Block {
14391          BlockType           Reference
14392          Name            "Logical"
14393          Ports           [2, 1]
14394          Position            [410, 205, 465, 265]
14395          SourceBlock         "xbsIndex_r4/Logical"
14396          SourceType          "Xilinx Logical Block Block"
14397          logical_function        "AND"
14398          inputs              "2"
14399          en              "off"
14400          latency             "0"
14401          precision           "Full"
14402          arith_type          "Unsigned"
14403          n_bits              "16"
14404          bin_pt              "0"
14405          align_bp            "on"
14406          dbl_ovrd            "off"
14407          xl_use_area         "off"
14408          xl_area             "[1 0 0 1 0 0 0]"
14409          has_advanced_control    "0"
14410          sggui_pos           "-1,-1,-1,-1"
14411          block_type          "logical"
14412          block_version       "8.2"
14413          sg_icon_stat        "55,60,1,1,white,blue,0,087b5522,right"
14414          sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 ],[0 0 60 60 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13 28 32 36 52 40 28 19 32 19 28 40 52 36 32 28 13 ],[8 17 30 43 52 52 48 52 52 40 52 43 30 17 8 20 8 8 12 8 8 ],[0.98 0.96 0.92]);\nplot([0 55 55 0 0 ],[0 0 60 60 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');disp('\\newlineand\\newlinez^{-0}','texmode','on');\nfprintf('','COMMENT: end icon text');\n"
14415        }
14416        Block {
14417          BlockType           Outport
14418          Name            "Out"
14419          Position            [490, 228, 520, 242]
14420          IconDisplay         "Port number"
14421          OutDataType         "sfix(16)"
14422          OutScaling          "2^0"
14423        }
14424        Line {
14425          SrcBlock            "Inverter"
14426          SrcPort             1
14427          DstBlock            "Logical"
14428          DstPort             1
14429        }
14430        Line {
14431          SrcBlock            "Delay"
14432          SrcPort             1
14433          Points              [90, 0; 0, -25]
14434          DstBlock            "Logical"
14435          DstPort             2
14436        }
14437        Line {
14438          SrcBlock            "In"
14439          SrcPort             1
14440          Points              [5, 0]
14441          Branch {
14442        DstBlock        "Inverter"
14443        DstPort         1
14444          }
14445          Branch {
14446        Points          [0, 55]
14447        DstBlock        "Delay"
14448        DstPort         1
14449          }
14450        }
14451        Line {
14452          SrcBlock            "Logical"
14453          SrcPort             1
14454          DstBlock            "Out"
14455          DstPort             1
14456        }
14457      }
14458    }
14459    Block {
14460      BlockType       SubSystem
14461      Name            "posedge"
14462      Ports           [1, 1]
14463      Position        [495, 273, 525, 287]
14464      NamePlacement       "alternate"
14465      MinAlgLoopOccurrences   off
14466      PropExecContextOutsideSubsystem off
14467      RTWSystemCode       "Auto"
14468      FunctionWithSeparateData off
14469      Opaque          off
14470      RequestExecContextInheritance off
14471      MaskHideContents    off
14472      System {
14473        Name            "posedge"
14474        Location            [459, 339, 854, 490]
14475        Open            off
14476        ModelBrowserVisibility  on
14477        ModelBrowserWidth       200
14478        ScreenColor         "white"
14479        PaperOrientation        "landscape"
14480        PaperPositionMode       "auto"
14481        PaperType           "usletter"
14482        PaperUnits          "inches"
14483        TiledPaperMargins       [0.500000, 0.500000, 0.500000, 0.500000]
14484        TiledPageScale      1
14485        ShowPageBoundaries      off
14486        ZoomFactor          "100"
14487        Block {
14488          BlockType           Inport
14489          Name            "In"
14490          Position            [20, 33, 50, 47]
14491          IconDisplay         "Port number"
14492          OutDataType         "sfix(16)"
14493          OutScaling          "2^0"
14494        }
14495        Block {
14496          BlockType           Reference
14497          Name            "Delay"
14498          Ports           [1, 1]
14499          Position            [80, 67, 140, 123]
14500          SourceBlock         "xbsIndex_r4/Delay"
14501          SourceType          "Xilinx Delay Block"
14502          infoedit            "Hardware notes: A delay line is a chain, each link of which is an SRL16 followed by a flip-flop. If register retiming is enabled, the delay line is a chain of flip-flops."
14503          en              "off"
14504          latency             "1"
14505          dbl_ovrd            "off"
14506          reg_retiming        "off"
14507          xl_use_area         "off"
14508          xl_area             "[1 1 0 0 0 0 0]"
14509          has_advanced_control    "0"
14510          sggui_pos           "-1,-1,-1,-1"
14511          block_type          "delay"
14512          block_version       "8.2"
14513          sg_icon_stat        "60,56,1,1,white,blue,0,fc531c0e,right"
14514          sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 ],[0 0 56 56 ],[0.77 0.82 0.91]);\npatch([15 6 19 6 15 30 34 38 54 41 29 20 32 20 29 41 54 38 34 30 15 ],[6 15 28 41 50 50 46 50 50 37 49 40 28 16 7 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 56 56 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');disp('z^{-1}','texmode','on');\nfprintf('','COMMENT: end icon text');\n"
14515        }
14516        Block {
14517          BlockType           Reference
14518          Name            "Inverter"
14519          Ports           [1, 1]
14520          Position            [170, 66, 225, 124]
14521          SourceBlock         "xbsIndex_r4/Inverter"
14522          SourceType          "Xilinx Inverter Block"
14523          infoedit            "Bitwise logical negation (one's complement) operator."
14524          en              "off"
14525          latency             "0"
14526          dbl_ovrd            "off"
14527          xl_use_area         "off"
14528          xl_area             "[0 0 0 0 0 0 0]"
14529          has_advanced_control    "0"
14530          sggui_pos           "-1,-1,-1,-1"
14531          block_type          "inv"
14532          block_version       "8.2"
14533          sg_icon_stat        "55,58,1,1,white,blue,0,1ab4a85f,right"
14534          sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 ],[0 0 58 58 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13 28 32 36 52 40 28 19 32 19 28 40 52 36 32 28 13 ],[7 16 29 42 51 51 47 51 51 39 51 42 29 16 7 19 7 7 11 7 7 ],[0.98 0.96 0.92]);\nplot([0 55 55 0 0 ],[0 0 58 58 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');disp('not');\nfprintf('','COMMENT: end icon text');\n"
14535        }
14536        Block {
14537          BlockType           Reference
14538          Name            "Logical"
14539          Ports           [2, 1]
14540          Position            [260, 25, 315, 85]
14541          SourceBlock         "xbsIndex_r4/Logical"
14542          SourceType          "Xilinx Logical Block Block"
14543          logical_function        "AND"
14544          inputs              "2"
14545          en              "off"
14546          latency             "0"
14547          precision           "Full"
14548          arith_type          "Unsigned"
14549          n_bits              "16"
14550          bin_pt              "0"
14551          align_bp            "on"
14552          dbl_ovrd            "off"
14553          xl_use_area         "off"
14554          xl_area             "[1 0 0 1 0 0 0]"
14555          has_advanced_control    "0"
14556          sggui_pos           "-1,-1,-1,-1"
14557          block_type          "logical"
14558          block_version       "8.2"
14559          sg_icon_stat        "55,60,1,1,white,blue,0,087b5522,right"
14560          sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 ],[0 0 60 60 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13 28 32 36 52 40 28 19 32 19 28 40 52 36 32 28 13 ],[8 17 30 43 52 52 48 52 52 40 52 43 30 17 8 20 8 8 12 8 8 ],[0.98 0.96 0.92]);\nplot([0 55 55 0 0 ],[0 0 60 60 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');disp('\\newlineand\\newlinez^{-0}','texmode','on');\nfprintf('','COMMENT: end icon text');\n"
14561        }
14562        Block {
14563          BlockType           Outport
14564          Name            "Out"
14565          Position            [340, 48, 370, 62]
14566          IconDisplay         "Port number"
14567          OutDataType         "sfix(16)"
14568          OutScaling          "2^0"
14569        }
14570        Line {
14571          SrcBlock            "Logical"
14572          SrcPort             1
14573          Points              [0, 0]
14574          DstBlock            "Out"
14575          DstPort             1
14576        }
14577        Line {
14578          SrcBlock            "In"
14579          SrcPort             1
14580          Points              [0, 0; 5, 0]
14581          Branch {
14582        Points          [0, 55]
14583        DstBlock        "Delay"
14584        DstPort         1
14585          }
14586          Branch {
14587        DstBlock        "Logical"
14588        DstPort         1
14589          }
14590        }
14591        Line {
14592          SrcBlock            "Inverter"
14593          SrcPort             1
14594          Points              [5, 0; 0, -25]
14595          DstBlock            "Logical"
14596          DstPort             2
14597        }
14598        Line {
14599          SrcBlock            "Delay"
14600          SrcPort             1
14601          Points              [0, 0]
14602          DstBlock            "Inverter"
14603          DstPort             1
14604        }
14605      }
14606    }
14607    Block {
14608      BlockType       SubSystem
14609      Name            "posedge2"
14610      Ports           [1, 1]
14611      Position        [495, 323, 525, 337]
14612      MinAlgLoopOccurrences   off
14613      PropExecContextOutsideSubsystem off
14614      RTWSystemCode       "Auto"
14615      FunctionWithSeparateData off
14616      Opaque          off
14617      RequestExecContextInheritance off
14618      MaskHideContents    off
14619      System {
14620        Name            "posedge2"
14621        Location            [459, 339, 854, 490]
14622        Open            off
14623        ModelBrowserVisibility  on
14624        ModelBrowserWidth       200
14625        ScreenColor         "white"
14626        PaperOrientation        "landscape"
14627        PaperPositionMode       "auto"
14628        PaperType           "usletter"
14629        PaperUnits          "inches"
14630        TiledPaperMargins       [0.500000, 0.500000, 0.500000, 0.500000]
14631        TiledPageScale      1
14632        ShowPageBoundaries      off
14633        ZoomFactor          "100"
14634        Block {
14635          BlockType           Inport
14636          Name            "In"
14637          Position            [20, 33, 50, 47]
14638          IconDisplay         "Port number"
14639          OutDataType         "sfix(16)"
14640          OutScaling          "2^0"
14641        }
14642        Block {
14643          BlockType           Reference
14644          Name            "Delay"
14645          Ports           [1, 1]
14646          Position            [80, 67, 140, 123]
14647          SourceBlock         "xbsIndex_r4/Delay"
14648          SourceType          "Xilinx Delay Block"
14649          infoedit            "Hardware notes: A delay line is a chain, each link of which is an SRL16 followed by a flip-flop. If register retiming is enabled, the delay line is a chain of flip-flops."
14650          en              "off"
14651          latency             "1"
14652          dbl_ovrd            "off"
14653          reg_retiming        "off"
14654          xl_use_area         "off"
14655          xl_area             "[1 1 0 0 0 0 0]"
14656          has_advanced_control    "0"
14657          sggui_pos           "-1,-1,-1,-1"
14658          block_type          "delay"
14659          block_version       "8.2"
14660          sg_icon_stat        "60,56,1,1,white,blue,0,fc531c0e,right"
14661          sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 ],[0 0 56 56 ],[0.77 0.82 0.91]);\npatch([15 6 19 6 15 30 34 38 54 41 29 20 32 20 29 41 54 38 34 30 15 ],[6 15 28 41 50 50 46 50 50 37 49 40 28 16 7 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 56 56 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');disp('z^{-1}','texmode','on');\nfprintf('','COMMENT: end icon text');\n"
14662        }
14663        Block {
14664          BlockType           Reference
14665          Name            "Inverter"
14666          Ports           [1, 1]
14667          Position            [170, 66, 225, 124]
14668          SourceBlock         "xbsIndex_r4/Inverter"
14669          SourceType          "Xilinx Inverter Block"
14670          infoedit            "Bitwise logical negation (one's complement) operator."
14671          en              "off"
14672          latency             "0"
14673          dbl_ovrd            "off"
14674          xl_use_area         "off"
14675          xl_area             "[0 0 0 0 0 0 0]"
14676          has_advanced_control    "0"
14677          sggui_pos           "-1,-1,-1,-1"
14678          block_type          "inv"
14679          block_version       "8.2"
14680          sg_icon_stat        "55,58,1,1,white,blue,0,1ab4a85f,right"
14681          sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 ],[0 0 58 58 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13 28 32 36 52 40 28 19 32 19 28 40 52 36 32 28 13 ],[7 16 29 42 51 51 47 51 51 39 51 42 29 16 7 19 7 7 11 7 7 ],[0.98 0.96 0.92]);\nplot([0 55 55 0 0 ],[0 0 58 58 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');disp('not');\nfprintf('','COMMENT: end icon text');\n"
14682        }
14683        Block {
14684          BlockType           Reference
14685          Name            "Logical"
14686          Ports           [2, 1]
14687          Position            [260, 25, 315, 85]
14688          SourceBlock         "xbsIndex_r4/Logical"
14689          SourceType          "Xilinx Logical Block Block"
14690          logical_function        "AND"
14691          inputs              "2"
14692          en              "off"
14693          latency             "0"
14694          precision           "Full"
14695          arith_type          "Unsigned"
14696          n_bits              "16"
14697          bin_pt              "0"
14698          align_bp            "on"
14699          dbl_ovrd            "off"
14700          xl_use_area         "off"
14701          xl_area             "[1 0 0 1 0 0 0]"
14702          has_advanced_control    "0"
14703          sggui_pos           "-1,-1,-1,-1"
14704          block_type          "logical"
14705          block_version       "8.2"
14706          sg_icon_stat        "55,60,1,1,white,blue,0,087b5522,right"
14707          sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 ],[0 0 60 60 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13 28 32 36 52 40 28 19 32 19 28 40 52 36 32 28 13 ],[8 17 30 43 52 52 48 52 52 40 52 43 30 17 8 20 8 8 12 8 8 ],[0.98 0.96 0.92]);\nplot([0 55 55 0 0 ],[0 0 60 60 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');disp('\\newlineand\\newlinez^{-0}','texmode','on');\nfprintf('','COMMENT: end icon text');\n"
14708        }
14709        Block {
14710          BlockType           Outport
14711          Name            "Out"
14712          Position            [340, 48, 370, 62]
14713          IconDisplay         "Port number"
14714          OutDataType         "sfix(16)"
14715          OutScaling          "2^0"
14716        }
14717        Line {
14718          SrcBlock            "Logical"
14719          SrcPort             1
14720          Points              [0, 0]
14721          DstBlock            "Out"
14722          DstPort             1
14723        }
14724        Line {
14725          SrcBlock            "In"
14726          SrcPort             1
14727          Points              [0, 0; 5, 0]
14728          Branch {
14729        Points          [0, 55]
14730        DstBlock        "Delay"
14731        DstPort         1
14732          }
14733          Branch {
14734        DstBlock        "Logical"
14735        DstPort         1
14736          }
14737        }
14738        Line {
14739          SrcBlock            "Inverter"
14740          SrcPort             1
14741          Points              [5, 0; 0, -25]
14742          DstBlock            "Logical"
14743          DstPort             2
14744        }
14745        Line {
14746          SrcBlock            "Delay"
14747          SrcPort             1
14748          Points              [0, 0]
14749          DstBlock            "Inverter"
14750          DstPort             1
14751        }
14752      }
14753    }
14754    Block {
14755      BlockType       Outport
14756      Name            "done"
14757      Position        [1350, 268, 1380, 282]
14758      IconDisplay         "Port number"
14759      OutDataType         "sfix(16)"
14760      OutScaling          "2^0"
14761    }
14762    Block {
14763      BlockType       Outport
14764      Name            "running"
14765      Position        [1245, 518, 1275, 532]
14766      Port            "2"
14767      IconDisplay         "Port number"
14768      OutDataType         "sfix(16)"
14769      OutScaling          "2^0"
14770    }
14771    Block {
14772      BlockType       Outport
14773      Name            "paused"
14774      Position        [1240, 463, 1270, 477]
14775      Port            "3"
14776      IconDisplay         "Port number"
14777      OutDataType         "sfix(16)"
14778      OutScaling          "2^0"
14779    }
14780    Block {
14781      BlockType       Outport
14782      Name            "timeLeft"
14783      Position        [1285, 358, 1315, 372]
14784      Port            "4"
14785      IconDisplay         "Port number"
14786      OutDataType         "sfix(16)"
14787      OutScaling          "2^0"
14788    }
14789    Line {
14790      SrcBlock        "Delay"
14791      SrcPort         1
14792      Points          [195, 0; 0, -75]
14793      DstBlock        "Logical7"
14794      DstPort         3
14795    }
14796    Line {
14797      SrcBlock        "S-R_Latch3"
14798      SrcPort         1
14799      Points          [70, 0; 0, -50; 350, 0; 0, 95]
14800      DstBlock        "Logical7"
14801      DstPort         1
14802    }
14803    Line {
14804      SrcBlock        "Logical7"
14805      SrcPort         1
14806      DstBlock        "S-R_Latch2"
14807      DstPort         1
14808    }
14809    Line {
14810      SrcBlock        "Sim-only\nGateway In2"
14811      SrcPort         1
14812      DstBlock        "Sim Mux1"
14813      DstPort         1
14814    }
14815    Line {
14816      SrcBlock        "Constant4"
14817      SrcPort         1
14818      DstBlock        "Sim-only\nGateway In2"
14819      DstPort         1
14820    }
14821    Line {
14822      SrcBlock        "Logical2"
14823      SrcPort         1
14824      DstBlock        "S-R_Latch1"
14825      DstPort         2
14826    }
14827    Line {
14828      SrcBlock        "posedge2"
14829      SrcPort         1
14830      Points          [25, 0; 0, -15]
14831      DstBlock        "Logical2"
14832      DstPort         1
14833    }
14834    Line {
14835      SrcBlock        "Constant2"
14836      SrcPort         1
14837      DstBlock        "Sim-only\nGateway In"
14838      DstPort         1
14839    }
14840    Line {
14841      SrcBlock        "Sim Mux1"
14842      SrcPort         1
14843      Points          [60, 0]
14844      Branch {
14845        Points          [260, 0; 0, -250]
14846        Branch {
14847          DstBlock            "Delay"
14848          DstPort             1
14849        }
14850        Branch {
14851          DstBlock            "Logical6"
14852          DstPort             3
14853        }
14854      }
14855      Branch {
14856        DstBlock            "Inverter1"
14857        DstPort         1
14858      }
14859    }
14860    Line {
14861      SrcBlock        "Convert1"
14862      SrcPort         1
14863      Points          [25, 0; 0, -75]
14864      DstBlock        "Sim Mux1"
14865      DstPort         2
14866    }
14867    Line {
14868      SrcBlock        "Logical6"
14869      SrcPort         1
14870      DstBlock        "Counter"
14871      DstPort         2
14872    }
14873    Line {
14874      SrcBlock        "Inverter1"
14875      SrcPort         1
14876      DstBlock        "Logical5"
14877      DstPort         2
14878    }
14879    Line {
14880      SrcBlock        "Relational2"
14881      SrcPort         1
14882      Points          [20, 0; 0, -60]
14883      Branch {
14884        Points          [380, 0; 0, -265]
14885        DstBlock            "Scope"
14886        DstPort         2
14887      }
14888      Branch {
14889        Points          [-295, 0]
14890        Branch {
14891          Points              [0, -320]
14892          DstBlock            "Logical6"
14893          DstPort             2
14894        }
14895        Branch {
14896          DstBlock            "Logical5"
14897          DstPort             1
14898        }
14899      }
14900    }
14901    Line {
14902      SrcBlock        "Logical5"
14903      SrcPort         1
14904      DstBlock        "Counter1"
14905      DstPort         1
14906    }
14907    Line {
14908      SrcBlock        "Counter1"
14909      SrcPort         1
14910      Points          [35, 0]
14911      Branch {
14912        Points          [0, 35; 505, 0; 0, -340]
14913        DstBlock            "Scope"
14914        DstPort         3
14915      }
14916      Branch {
14917        DstBlock            "Relational2"
14918        DstPort         2
14919      }
14920    }
14921    Line {
14922      SrcBlock        "slotTime"
14923      SrcPort         1
14924      DstBlock        "Relational2"
14925      DstPort         1
14926    }
14927    Line {
14928      SrcBlock        "Sim-only\nGateway In"
14929      SrcPort         1
14930      DstBlock        "Sim Mux"
14931      DstPort         1
14932    }
14933    Line {
14934      SrcBlock        "pause"
14935      SrcPort         1
14936      Points          [115, 0]
14937      Branch {
14938        Points          [0, -35]
14939        DstBlock            "negedge"
14940        DstPort         1
14941      }
14942      Branch {
14943        DstBlock            "posedge2"
14944        DstPort         1
14945      }
14946    }
14947    Line {
14948      SrcBlock        "negedge"
14949      SrcPort         1
14950      DstBlock        "Logical3"
14951      DstPort         2
14952    }
14953    Line {
14954      SrcBlock        "Logical3"
14955      SrcPort         1
14956      DstBlock        "S-R_Latch1"
14957      DstPort         1
14958    }
14959    Line {
14960      SrcBlock        "posedge"
14961      SrcPort         1
14962      Points          [15, 0]
14963      Branch {
14964        Points          [0, -25]
14965        Branch {
14966          Points              [0, -70]
14967          DstBlock            "S-R_Latch3"
14968          DstPort             1
14969        }
14970        Branch {
14971          DstBlock            "Logical1"
14972          DstPort             2
14973        }
14974      }
14975      Branch {
14976        Points          [0, 400]
14977        DstBlock            "Logical5"
14978        DstPort         3
14979      }
14980      Branch {
14981        DstBlock            "Logical3"
14982        DstPort         1
14983      }
14984    }
14985    Line {
14986      SrcBlock        "done_reset"
14987      SrcPort         1
14988      Points          [15, 0]
14989      Branch {
14990        Points          [0, -145; -625, 0; 0, 75]
14991        DstBlock            "S-R_Latch3"
14992        DstPort         2
14993      }
14994      Branch {
14995        DstBlock            "S-R_Latch2"
14996        DstPort         2
14997      }
14998    }
14999    Line {
15000      SrcBlock        "Relational"
15001      SrcPort         1
15002      Points          [10, 0]
15003      Branch {
15004        DstBlock            "Logical7"
15005        DstPort         2
15006      }
15007      Branch {
15008        Points          [0, -80; -290, 0; 0, 60]
15009        DstBlock            "Logical1"
15010        DstPort         1
15011      }
15012      Branch {
15013        Points          [0, 110; -550, 0; 0, -40]
15014        DstBlock            "Logical2"
15015        DstPort         2
15016      }
15017    }
15018    Line {
15019      SrcBlock        "S-R_Latch2"
15020      SrcPort         1
15021      DstBlock        "done"
15022      DstPort         1
15023    }
15024    Line {
15025      SrcBlock        "Logical1"
15026      SrcPort         1
15027      DstBlock        "Counter"
15028      DstPort         1
15029    }
15030    Line {
15031      SrcBlock        "Counter"
15032      SrcPort         1
15033      Points          [0, 0]
15034      Branch {
15035        Points          [0, 100]
15036        Branch {
15037          Points              [0, 30]
15038          Branch {
15039        Points          [445, 0; 0, -85]
15040        DstBlock        "Scope"
15041        DstPort         1
15042          }
15043          Branch {
15044        Points          [0, 35]
15045        DstBlock        "Relational1"
15046        DstPort         1
15047          }
15048        }
15049        Branch {
15050          DstBlock            "AddSub"
15051          DstPort             2
15052        }
15053      }
15054      Branch {
15055        Labels          [1, 0]
15056        DstBlock            "Relational"
15057        DstPort         2
15058      }
15059    }
15060    Line {
15061      SrcBlock        "slotCount"
15062      SrcPort         1
15063      Points          [10, 0]
15064      Branch {
15065        DstBlock            "Relational"
15066        DstPort         1
15067      }
15068      Branch {
15069        Points          [0, 105]
15070        DstBlock            "AddSub"
15071        DstPort         1
15072      }
15073    }
15074    Line {
15075      SrcBlock        "S-R_Latch1"
15076      SrcPort         1
15077      Points          [25, 0]
15078      Branch {
15079        Points          [0, -20]
15080        DstBlock            "Logical6"
15081        DstPort         1
15082      }
15083      Branch {
15084        Points          [0, 175]
15085        Branch {
15086          Points              [290, 0]
15087          Branch {
15088        Points          [0, 40]
15089        DstBlock        "running"
15090        DstPort         1
15091          }
15092          Branch {
15093        DstBlock        "Inverter"
15094        DstPort         1
15095          }
15096        }
15097        Branch {
15098          Points              [0, 235]
15099          DstBlock            "Counter1"
15100          DstPort             2
15101        }
15102      }
15103    }
15104    Line {
15105      SrcBlock        "Inverter"
15106      SrcPort         1
15107      DstBlock        "Logical4"
15108      DstPort         2
15109    }
15110    Line {
15111      SrcBlock        "Relational1"
15112      SrcPort         1
15113      DstBlock        "Logical4"
15114      DstPort         1
15115    }
15116    Line {
15117      SrcBlock        "Constant"
15118      SrcPort         1
15119      DstBlock        "Relational1"
15120      DstPort         2
15121    }
15122    Line {
15123      SrcBlock        "AddSub"
15124      SrcPort         1
15125      DstBlock        "timeLeft"
15126      DstPort         1
15127    }
15128    Line {
15129      SrcBlock        "Sim Mux"
15130      SrcPort         1
15131      DstBlock        "posedge"
15132      DstPort         1
15133    }
15134    Line {
15135      SrcBlock        "start"
15136      SrcPort         1
15137      DstBlock        "Sim Mux"
15138      DstPort         2
15139    }
15140    Line {
15141      SrcBlock        "Logical4"
15142      SrcPort         1
15143      DstBlock        "paused"
15144      DstPort         1
15145    }
15146    Line {
15147      SrcBlock        "Mux"
15148      SrcPort         1
15149      DstBlock        "Convert1"
15150      DstPort         1
15151    }
15152    Line {
15153      SrcBlock        "Medium Idle"
15154      SrcPort         1
15155      DstBlock        "Mux"
15156      DstPort         3
15157    }
15158    Line {
15159      SrcBlock        "Constant1"
15160      SrcPort         1
15161      DstBlock        "Mux"
15162      DstPort         2
15163    }
15164    Line {
15165      SrcBlock        "mode"
15166      SrcPort         1
15167      DstBlock        "Mux"
15168      DstPort         1
15169    }
15170    Annotation {
15171      Name            "This SR latch handles the case of a zero-slot-count\ntimer event. When the user code sets the slotCount to 0,\nthe comparison is immedilatey true. The timer done\nsignal then asserts when:\nif(carrier sensing) after IDLE AND\nafter user asserts start\nDone de-assets when the user clears the done bit\n*but does not re-assert* until the next user-initiated start."
15172      Position        [697, 92]
15173    }
15174      }
15175    }
15176    Block {
15177      BlockType           SubSystem
15178      Name            "Timer 4"
15179      Ports           [7, 4]
15180      Position            [855, 70, 960, 190]
15181      MinAlgLoopOccurrences   off
15182      PropExecContextOutsideSubsystem off
15183      RTWSystemCode       "Auto"
15184      FunctionWithSeparateData off
15185      Opaque              off
15186      RequestExecContextInheritance off
15187      MaskHideContents        off
15188      System {
15189    Name            "Timer 4"
15190    Location        [214, 74, 1910, 1156]
15191    Open            off
15192    ModelBrowserVisibility  on
15193    ModelBrowserWidth   200
15194    ScreenColor     "white"
15195    PaperOrientation    "landscape"
15196    PaperPositionMode   "auto"
15197    PaperType       "usletter"
15198    PaperUnits      "inches"
15199    TiledPaperMargins   [0.500000, 0.500000, 0.500000, 0.500000]
15200    TiledPageScale      1
15201    ShowPageBoundaries  off
15202    ZoomFactor      "100"
15203    Block {
15204      BlockType       Inport
15205      Name            "start"
15206      Position        [310, 283, 340, 297]
15207      IconDisplay         "Port number"
15208      OutDataType         "sfix(16)"
15209      OutScaling          "2^0"
15210    }
15211    Block {
15212      BlockType       Inport
15213      Name            "pause"
15214      Position        [310, 323, 340, 337]
15215      Port            "2"
15216      IconDisplay         "Port number"
15217      OutDataType         "sfix(16)"
15218      OutScaling          "2^0"
15219    }
15220    Block {
15221      BlockType       Inport
15222      Name            "mode"
15223      Position        [255, 648, 285, 662]
15224      NamePlacement       "alternate"
15225      Port            "3"
15226      IconDisplay         "Port number"
15227      OutDataType         "sfix(16)"
15228      OutScaling          "2^0"
15229    }
15230    Block {
15231      BlockType       Inport
15232      Name            "done_reset"
15233      Position        [1175, 283, 1205, 297]
15234      Port            "4"
15235      IconDisplay         "Port number"
15236      OutDataType         "sfix(16)"
15237      OutScaling          "2^0"
15238    }
15239    Block {
15240      BlockType       Inport
15241      Name            "slotCount"
15242      Position        [980, 238, 1010, 252]
15243      NamePlacement       "alternate"
15244      Port            "5"
15245      IconDisplay         "Port number"
15246      OutDataType         "sfix(16)"
15247      OutScaling          "2^0"
15248    }
15249    Block {
15250      BlockType       Inport
15251      Name            "slotTime"
15252      Position        [930, 658, 960, 672]
15253      Port            "6"
15254      IconDisplay         "Port number"
15255      OutDataType         "sfix(16)"
15256      OutScaling          "2^0"
15257    }
15258    Block {
15259      BlockType       Inport
15260      Name            "Medium Idle"
15261      Position        [250, 698, 280, 712]
15262      Port            "7"
15263      IconDisplay         "Port number"
15264      OutDataType         "sfix(16)"
15265      OutScaling          "2^0"
15266    }
15267    Block {
15268      BlockType       Reference
15269      Name            "AddSub"
15270      Ports           [2, 1]
15271      Position        [1210, 339, 1255, 386]
15272      ShowName        off
15273      SourceBlock         "xbsIndex_r4/AddSub"
15274      SourceType          "Xilinx Adder/Subtractor Block"
15275      mode            "Subtraction"
15276      use_carryin         off
15277      use_carryout        off
15278      en              off
15279      latency         "0"
15280      precision       "User Defined"
15281      arith_type          "Unsigned"
15282      n_bits          "32"
15283      bin_pt          "0"
15284      quantization        "Truncate"
15285      overflow        "Wrap"
15286      dbl_ovrd        off
15287      use_behavioral_HDL      off
15288      pipelined       off
15289      use_rpm         on
15290      hw_selection        "Fabric"
15291      xl_use_area         off
15292      xl_area         "[16 0 0 32 0 0 0]"
15293      has_advanced_control    "0"
15294      sggui_pos       "-1,-1,-1,-1"
15295      block_type          "addsub"
15296      block_version       "8.2"
15297      sg_icon_stat        "45,47,2,1,white,blue,0,46b4c804,right"
15298      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 ],[0 0 58 58 ],[0.77 0.82 0.91]);\npatch([15 5 19 5 15 30 34 38 54 41 29 20 34 20 29 41 54 38 34 30 15 ],[6 16 30 44 54 54 50 54 54 41 53 44 30 16 7 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 58 58 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1,'a');\ncolor('black');port_label('input',2,'b');\ncolor('black');port_label('output',1,'\\bf{a - b}','texmode','on');\nfprintf('','COMMENT: end icon text');\n"
15299    }
15300    Block {
15301      BlockType       Reference
15302      Name            "Constant"
15303      Ports           [0, 1]
15304      Position        [940, 454, 970, 466]
15305      ShowName        off
15306      SourceBlock         "xbsIndex_r4/Constant"
15307      SourceType          "Xilinx Constant Block Block"
15308      arith_type          "Unsigned"
15309      const           "0"
15310      n_bits          "32"
15311      bin_pt          "0"
15312      explicit_period     off
15313      period          "1"
15314      dsp48_infoedit      "The use of this block for DSP48 instructions is deprecated.  Please use the Opmode block."
15315      equ             "P=C"
15316      opselect        "C"
15317      inp2            "PCIN>>17"
15318      opr             "+"
15319      inp1            "P"
15320      carry           "CIN"
15321      dbl_ovrd        off
15322      has_advanced_control    "0"
15323      sggui_pos       "50,50,400,346"
15324      block_type          "constant"
15325      block_version       "10.1.2"
15326      sg_icon_stat        "30,12,0,1,white,blue,0,72d575a1,right"
15327      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 ],[0 0 26 26 ],[0.77 0.82 0.91]);\npatch([20 16 22 16 20 27 29 31 38 32 26 22 28 22 26 32 38 31 29 27 20 ],[3 7 13 19 23 23 21 23 23 17 23 19 13 7 3 9 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 55 55 0 0 ],[0 0 26 26 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('output',1,'0');\nfprintf('','COMMENT: end icon text');\n"
15328    }
15329    Block {
15330      BlockType       Reference
15331      Name            "Constant1"
15332      Ports           [0, 1]
15333      Position        [255, 672, 290, 688]
15334      ShowName        off
15335      SourceBlock         "xbsIndex_r4/Constant"
15336      SourceType          "Xilinx Constant Block Block"
15337      arith_type          "Unsigned"
15338      const           "1"
15339      n_bits          "1"
15340      bin_pt          "0"
15341      explicit_period     off
15342      period          "1"
15343      dsp48_infoedit      "The use of this block for DSP48 instructions is deprecated.  Please use the Opmode block."
15344      equ             "P=C"
15345      opselect        "C"
15346      inp2            "PCIN>>17"
15347      opr             "+"
15348      inp1            "P"
15349      carry           "CIN"
15350      dbl_ovrd        off
15351      has_advanced_control    "0"
15352      sggui_pos       "-1,-1,-1,-1"
15353      block_type          "constant"
15354      block_version       "8.2"
15355      sg_icon_stat        "35,16,0,1,white,blue,0,06094819,right"
15356      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 ],[0 0 26 26 ],[0.77 0.82 0.91]);\npatch([20 16 22 16 20 27 29 31 38 32 26 22 28 22 26 32 38 31 29 27 20 ],[3 7 13 19 23 23 21 23 23 17 23 19 13 7 3 9 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 55 55 0 0 ],[0 0 26 26 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('output',1,'1');\nfprintf('','COMMENT: end icon text');\n"
15357    }
15358    Block {
15359      BlockType       Constant
15360      Name            "Constant2"
15361      Position        [260, 261, 275, 279]
15362      ShowName        off
15363      OutDataType         "sfix(16)"
15364      OutScaling          "2^0"
15365    }
15366    Block {
15367      BlockType       Constant
15368      Name            "Constant4"
15369      Position        [315, 576, 330, 594]
15370      ShowName        off
15371      Value           "0"
15372      OutDataType         "sfix(16)"
15373      OutScaling          "2^0"
15374    }
15375    Block {
15376      BlockType       Reference
15377      Name            "Convert1"
15378      Ports           [1, 1]
15379      Position        [380, 670, 415, 690]
15380      ShowName        off
15381      SourceBlock         "xbsIndex_r4/Convert"
15382      SourceType          "Xilinx Type Converter Block"
15383      infoedit        "Hardware notes: rounding and saturating require hardware resources; truncating and wrapping do not."
15384      arith_type          "Boolean"
15385      n_bits          "16"
15386      bin_pt          "14"
15387      quantization        "Truncate"
15388      overflow        "Wrap"
15389      latency         "0"
15390      dbl_ovrd        off
15391      pipeline        off
15392      xl_use_area         off
15393      xl_area         "[0 0 0 0 0 0 0]"
15394      has_advanced_control    "0"
15395      sggui_pos       "20,20,461,375"
15396      block_type          "convert"
15397      block_version       "8.2"
15398      sg_icon_stat        "35,20,1,1,white,blue,0,74901e60,right"
15399      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 45 45 0 ],[0 0 30 30 ],[0.77 0.82 0.91]);\npatch([15 10 17 10 15 23 25 27 35 28 22 17 23 17 22 28 35 27 25 23 15 ],[3 8 15 22 27 27 25 27 27 20 26 21 15 9 4 10 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 45 45 0 0 ],[0 0 30 30 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('output',1,'cast');\nfprintf('','COMMENT: end icon text');\n"
15400    }
15401    Block {
15402      BlockType       Reference
15403      Name            "Counter"
15404      Ports           [2, 1]
15405      Position        [905, 224, 965, 326]
15406      NamePlacement       "alternate"
15407      SourceBlock         "xbsIndex_r4/Counter"
15408      SourceType          "Xilinx Counter Block"
15409      infoedit        "Hardware notes: Free running counters are the least expensive in hardware.  A count limited counter is implemented by combining a counter with a comparator."
15410      cnt_type        "Free Running"
15411      cnt_to          "Inf"
15412      operation       "Up"
15413      start_count         "0"
15414      cnt_by_val          "1"
15415      arith_type          "Unsigned"
15416      n_bits          "32"
15417      bin_pt          "0"
15418      load_pin        off
15419      rst             on
15420      en              on
15421      explicit_period     "on"
15422      period          "1"
15423      dbl_ovrd        off
15424      use_behavioral_HDL      off
15425      use_rpm         off
15426      implementation      "Fabric"
15427      xl_use_area         off
15428      xl_area         "[17 32 0 32 0 0 0]"
15429      has_advanced_control    "0"
15430      sggui_pos       "20,20,356,630"
15431      block_type          "counter"
15432      block_version       "8.2"
15433      sg_icon_stat        "60,102,2,1,white,blue,0,46c73e85,right"
15434      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 ],[0 0 60 60 ],[0.77 0.82 0.91]);\npatch([14 4 18 4 14 30 34 38 55 42 29 20 35 20 29 42 55 38 34 30 14 ],[6 16 30 44 54 54 50 54 54 41 54 45 30 15 6 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 60 60 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1,'rst');\ncolor('black');port_label('input',2,'en');\ncolor('black');port_label('output',1,'out');\nfprintf('','COMMENT: end icon text');\n"
15435    }
15436    Block {
15437      BlockType       Reference
15438      Name            "Counter1"
15439      Ports           [2, 1]
15440      Position        [835, 644, 895, 746]
15441      SourceBlock         "xbsIndex_r4/Counter"
15442      SourceType          "Xilinx Counter Block"
15443      infoedit        "Hardware notes: Free running counters are the least expensive in hardware.  A count limited counter is implemented by combining a counter with a comparator."
15444      cnt_type        "Free Running"
15445      cnt_to          "Inf"
15446      operation       "Up"
15447      start_count         "0"
15448      cnt_by_val          "1"
15449      arith_type          "Unsigned"
15450      n_bits          "16"
15451      bin_pt          "0"
15452      load_pin        off
15453      rst             on
15454      en              on
15455      explicit_period     "on"
15456      period          "1"
15457      dbl_ovrd        off
15458      use_behavioral_HDL      off
15459      use_rpm         off
15460      implementation      "Fabric"
15461      xl_use_area         off
15462      xl_area         "[17 32 0 32 0 0 0]"
15463      has_advanced_control    "0"
15464      sggui_pos       "20,20,356,630"
15465      block_type          "counter"
15466      block_version       "8.2"
15467      sg_icon_stat        "60,102,2,1,white,blue,0,46c73e85,right"
15468      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 ],[0 0 60 60 ],[0.77 0.82 0.91]);\npatch([14 4 18 4 14 30 34 38 55 42 29 20 35 20 29 42 55 38 34 30 14 ],[6 16 30 44 54 54 50 54 54 41 54 45 30 15 6 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 60 60 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1,'rst');\ncolor('black');port_label('input',2,'en');\ncolor('black');port_label('output',1,'out');\nfprintf('','COMMENT: end icon text');\n"
15469    }
15470    Block {
15471      BlockType       Reference
15472      Name            "Delay"
15473      Ports           [1, 1]
15474      Position        [925, 331, 950, 359]
15475      ShowName        off
15476      SourceBlock         "xbsIndex_r4/Delay"
15477      SourceType          "Xilinx Delay Block"
15478      infoedit        "Hardware notes: A delay line is a chain, each link of which is an SRL16 followed by a flip-flop."
15479      en              off
15480      latency         "1"
15481      dbl_ovrd        off
15482      reg_retiming        off
15483      xl_use_area         off
15484      xl_area         "[0,0,0,0,0,0,0]"
15485      has_advanced_control    "0"
15486      sggui_pos       "-1,-1,-1,-1"
15487      block_type          "delay"
15488      block_version       "10.1.3"
15489      sg_icon_stat        "25,28,1,1,white,blue,0,fc531c0e,right"
15490      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 25 25 0 ],[0 0 28 28 ],[0.77 0.82 0.91]);\npatch([6 2 8 2 6 13 15 17 24 18 13 9 14 9 13 18 24 17 15 13 6 ],[4 8 14 20 24 24 22 24 24 18 23 19 14 9 5 10 4 4 6 4 4 ],[0.98 0.96 0.92]);\nplot([0 25 25 0 0 ],[0 0 28 28 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');disp('z^{-1}','texmode','on');\nfprintf('','COMMENT: end icon text');\n"
15491    }
15492    Block {
15493      BlockType       Reference
15494      Name            "Inverter"
15495      Ports           [1, 1]
15496      Position        [1080, 476, 1125, 494]
15497      ShowName        off
15498      SourceBlock         "xbsIndex_r4/Inverter"
15499      SourceType          "Xilinx Inverter Block"
15500      infoedit        "Bitwise logical negation (one's complement) operator."
15501      en              off
15502      latency         "0"
15503      dbl_ovrd        off
15504      xl_use_area         off
15505      xl_area         "[0 0 0 0 0 0 0]"
15506      has_advanced_control    "0"
15507      sggui_pos       "-1,-1,-1,-1"
15508      block_type          "inv"
15509      block_version       "10.1.2"
15510      sg_icon_stat        "45,18,1,1,white,blue,0,1ab4a85f,right"
15511      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 ],[0 0 58 58 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13 28 32 36 52 40 28 19 32 19 28 40 52 36 32 28 13 ],[7 16 29 42 51 51 47 51 51 39 51 42 29 16 7 19 7 7 11 7 7 ],[0.98 0.96 0.92]);\nplot([0 55 55 0 0 ],[0 0 58 58 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');disp('not');\nfprintf('','COMMENT: end icon text');\n"
15512    }
15513    Block {
15514      BlockType       Reference
15515      Name            "Inverter1"
15516      Ports           [1, 1]
15517      Position        [590, 661, 635, 679]
15518      ShowName        off
15519      SourceBlock         "xbsIndex_r4/Inverter"
15520      SourceType          "Xilinx Inverter Block"
15521      infoedit        "Bitwise logical negation (one's complement) operator."
15522      en              off
15523      latency         "0"
15524      dbl_ovrd        off
15525      xl_use_area         off
15526      xl_area         "[0 0 0 0 0 0 0]"
15527      has_advanced_control    "0"
15528      sggui_pos       "-1,-1,-1,-1"
15529      block_type          "inv"
15530      block_version       "10.1.2"
15531      sg_icon_stat        "45,18,1,1,white,blue,0,1ab4a85f,right"
15532      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 ],[0 0 58 58 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13 28 32 36 52 40 28 19 32 19 28 40 52 36 32 28 13 ],[7 16 29 42 51 51 47 51 51 39 51 42 29 16 7 19 7 7 11 7 7 ],[0.98 0.96 0.92]);\nplot([0 55 55 0 0 ],[0 0 58 58 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');disp('not');\nfprintf('','COMMENT: end icon text');\n"
15533    }
15534    Block {
15535      BlockType       Reference
15536      Name            "Logical1"
15537      Ports           [2, 1]
15538      Position        [855, 231, 885, 264]
15539      ShowName        off
15540      SourceBlock         "xbsIndex_r4/Logical"
15541      SourceType          "Xilinx Logical Block Block"
15542      logical_function    "OR"
15543      inputs          "2"
15544      en              off
15545      latency         "0"
15546      precision       "Full"
15547      arith_type          "Unsigned"
15548      n_bits          "16"
15549      bin_pt          "0"
15550      align_bp        on
15551      dbl_ovrd        off
15552      xl_use_area         off
15553      xl_area         "[1 0 0 1 0 0 0]"
15554      has_advanced_control    "0"
15555      sggui_pos       "-1,-1,-1,-1"
15556      block_type          "logical"
15557      block_version       "9.1.01"
15558      sg_icon_stat        "30,33,2,1,white,blue,0,f4a65842,right"
15559      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 ],[0 0 60 60 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13 28 32 36 52 40 28 19 32 19 28 40 52 36 32 28 13 ],[8 17 30 43 52 52 48 52 52 40 52 43 30 17 8 20 8 8 12 8 8 ],[0.98 0.96 0.92]);\nplot([0 55 55 0 0 ],[0 0 60 60 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');disp('\\newlineor\\newlinez^{-0}','texmode','on');\nfprintf('','COMMENT: end icon text');\n"
15560    }
15561    Block {
15562      BlockType       Reference
15563      Name            "Logical2"
15564      Ports           [2, 1]
15565      Position        [590, 306, 620, 339]
15566      ShowName        off
15567      SourceBlock         "xbsIndex_r4/Logical"
15568      SourceType          "Xilinx Logical Block Block"
15569      logical_function    "OR"
15570      inputs          "2"
15571      en              off
15572      latency         "0"
15573      precision       "Full"
15574      arith_type          "Unsigned"
15575      n_bits          "16"
15576      bin_pt          "0"
15577      align_bp        on
15578      dbl_ovrd        off
15579      xl_use_area         off
15580      xl_area         "[1 0 0 1 0 0 0]"
15581      has_advanced_control    "0"
15582      sggui_pos       "-1,-1,-1,-1"
15583      block_type          "logical"
15584      block_version       "9.1.01"
15585      sg_icon_stat        "30,33,2,1,white,blue,0,f4a65842,right"
15586      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 ],[0 0 60 60 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13 28 32 36 52 40 28 19 32 19 28 40 52 36 32 28 13 ],[8 17 30 43 52 52 48 52 52 40 52 43 30 17 8 20 8 8 12 8 8 ],[0.98 0.96 0.92]);\nplot([0 55 55 0 0 ],[0 0 60 60 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');disp('\\newlineor\\newlinez^{-0}','texmode','on');\nfprintf('','COMMENT: end icon text');\n"
15587    }
15588    Block {
15589      BlockType       Reference
15590      Name            "Logical3"
15591      Ports           [2, 1]
15592      Position        [590, 271, 620, 304]
15593      ShowName        off
15594      SourceBlock         "xbsIndex_r4/Logical"
15595      SourceType          "Xilinx Logical Block Block"
15596      logical_function    "OR"
15597      inputs          "2"
15598      en              off
15599      latency         "0"
15600      precision       "Full"
15601      arith_type          "Unsigned"
15602      n_bits          "16"
15603      bin_pt          "0"
15604      align_bp        on
15605      dbl_ovrd        off
15606      xl_use_area         off
15607      xl_area         "[1 0 0 1 0 0 0]"
15608      has_advanced_control    "0"
15609      sggui_pos       "-1,-1,-1,-1"
15610      block_type          "logical"
15611      block_version       "9.1.01"
15612      sg_icon_stat        "30,33,2,1,white,blue,0,f4a65842,right"
15613      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 ],[0 0 60 60 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13 28 32 36 52 40 28 19 32 19 28 40 52 36 32 28 13 ],[8 17 30 43 52 52 48 52 52 40 52 43 30 17 8 20 8 8 12 8 8 ],[0.98 0.96 0.92]);\nplot([0 55 55 0 0 ],[0 0 60 60 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');disp('\\newlineor\\newlinez^{-0}','texmode','on');\nfprintf('','COMMENT: end icon text');\n"
15614    }
15615    Block {
15616      BlockType       Reference
15617      Name            "Logical4"
15618      Ports           [2, 1]
15619      Position        [1155, 433, 1200, 502]
15620      ShowName        off
15621      SourceBlock         "xbsIndex_r4/Logical"
15622      SourceType          "Xilinx Logical Block Block"
15623      logical_function    "AND"
15624      inputs          "2"
15625      en              off
15626      latency         "0"
15627      precision       "Full"
15628      arith_type          "Unsigned"
15629      n_bits          "16"
15630      bin_pt          "0"
15631      align_bp        on
15632      dbl_ovrd        off
15633      xl_use_area         off
15634      xl_area         "[1 0 0 1 0 0 0]"
15635      has_advanced_control    "0"
15636      sggui_pos       "-1,-1,-1,-1"
15637      block_type          "logical"
15638      block_version       "10.1.2"
15639      sg_icon_stat        "45,69,2,1,white,blue,0,087b5522,right"
15640      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 ],[0 0 60 60 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13 28 32 36 52 40 28 19 32 19 28 40 52 36 32 28 13 ],[8 17 30 43 52 52 48 52 52 40 52 43 30 17 8 20 8 8 12 8 8 ],[0.98 0.96 0.92]);\nplot([0 55 55 0 0 ],[0 0 60 60 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');disp('\\newlineand\\newlinez^{-0}','texmode','on');\nfprintf('','COMMENT: end icon text');\n"
15641    }
15642    Block {
15643      BlockType       Reference
15644      Name            "Logical5"
15645      Ports           [3, 1]
15646      Position        [770, 652, 805, 688]
15647      ShowName        off
15648      SourceBlock         "xbsIndex_r4/Logical"
15649      SourceType          "Xilinx Logical Block Block"
15650      logical_function    "OR"
15651      inputs          "3"
15652      en              off
15653      latency         "0"
15654      precision       "Full"
15655      arith_type          "Unsigned"
15656      n_bits          "16"
15657      bin_pt          "0"
15658      align_bp        on
15659      dbl_ovrd        off
15660      xl_use_area         off
15661      xl_area         "[1 0 0 1 0 0 0]"
15662      has_advanced_control    "0"
15663      sggui_pos       "20,20,348,261"
15664      block_type          "logical"
15665      block_version       "8.2"
15666      sg_icon_stat        "35,36,3,1,white,blue,0,bd50cad4,right"
15667      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 ],[0 0 60 60 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13 28 32 36 52 40 28 19 32 19 28 40 52 36 32 28 13 ],[8 17 30 43 52 52 48 52 52 40 52 43 30 17 8 20 8 8 12 8 8 ],[0.98 0.96 0.92]);\nplot([0 55 55 0 0 ],[0 0 60 60 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');disp('\\newlineor\\newlinez^{-0}','texmode','on');\nfprintf('','COMMENT: end icon text');\n"
15668    }
15669    Block {
15670      BlockType       Reference
15671      Name            "Logical6"
15672      Ports           [3, 1]
15673      Position        [850, 282, 885, 318]
15674      ShowName        off
15675      SourceBlock         "xbsIndex_r4/Logical"
15676      SourceType          "Xilinx Logical Block Block"
15677      logical_function    "AND"
15678      inputs          "3"
15679      en              off
15680      latency         "0"
15681      precision       "Full"
15682      arith_type          "Unsigned"
15683      n_bits          "16"
15684      bin_pt          "0"
15685      align_bp        on
15686      dbl_ovrd        off
15687      xl_use_area         off
15688      xl_area         "[1 0 0 1 0 0 0]"
15689      has_advanced_control    "0"
15690      sggui_pos       "20,20,348,261"
15691      block_type          "logical"
15692      block_version       "8.2"
15693      sg_icon_stat        "35,36,3,1,white,blue,0,5c2bfaa2,right"
15694      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 ],[0 0 60 60 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13 28 32 36 52 40 28 19 32 19 28 40 52 36 32 28 13 ],[8 17 30 43 52 52 48 52 52 40 52 43 30 17 8 20 8 8 12 8 8 ],[0.98 0.96 0.92]);\nplot([0 55 55 0 0 ],[0 0 60 60 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');disp('\\newlineand\\newlinez^{-0}','texmode','on');\nfprintf('','COMMENT: end icon text');\n"
15695    }
15696    Block {
15697      BlockType       Reference
15698      Name            "Logical7"
15699      Ports           [3, 1]
15700      Position        [1175, 243, 1205, 277]
15701      ShowName        off
15702      SourceBlock         "xbsIndex_r4/Logical"
15703      SourceType          "Xilinx Logical Block Block"
15704      logical_function    "AND"
15705      inputs          "3"
15706      en              off
15707      latency         "0"
15708      precision       "Full"
15709      arith_type          "Unsigned"
15710      n_bits          "16"
15711      bin_pt          "0"
15712      align_bp        on
15713      dbl_ovrd        off
15714      xl_use_area         off
15715      xl_area         "[1 0 0 1 0 0 0]"
15716      has_advanced_control    "0"
15717      sggui_pos       "20,20,348,261"
15718      block_type          "logical"
15719      block_version       "9.1.01"
15720      sg_icon_stat        "30,34,3,1,white,blue,0,5c2bfaa2,right"
15721      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 30 30 0 ],[0 0 34 34 ],[0.77 0.82 0.91]);\npatch([7 2 9 2 7 15 17 19 27 20 14 9 15 9 14 20 27 19 17 15 7 ],[5 10 17 24 29 29 27 29 29 22 28 23 17 11 6 12 5 5 7 5 5 ],[0.98 0.96 0.92]);\nplot([0 30 30 0 0 ],[0 0 34 34 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');disp('\\newlineand\\newlinez^{-0}','texmode','on');\nfprintf('','COMMENT: end icon text');\n"
15722    }
15723    Block {
15724      BlockType       Reference
15725      Name            "Mux"
15726      Ports           [3, 1]
15727      Position        [320, 643, 345, 717]
15728      ShowName        off
15729      SourceBlock         "xbsIndex_r4/Mux"
15730      SourceType          "Xilinx Bus Multiplexer Block"
15731      inputs          "2"
15732      en              off
15733      latency         "0"
15734      precision       "Full"
15735      arith_type          "Unsigned"
15736      n_bits          "16"
15737      bin_pt          "14"
15738      quantization        "Truncate"
15739      overflow        "Wrap"
15740      dbl_ovrd        off
15741      xl_use_area         off
15742      xl_area         "[1 0 0 1 0 0 0]"
15743      has_advanced_control    "0"
15744      sggui_pos       "-1,-1,-1,-1"
15745      block_type          "mux"
15746      block_version       "8.2"
15747      sg_icon_stat        "25,74,3,1,white,blue,3,613f58e1,right"
15748      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 45 45 0 ],[0 14.8571 89.1429 104 ],[0.77 0.82 0.91]);\npatch([10 3 14 3 10 22 25 28 41 31 21 14 25 14 21 31 41 28 25 22 10 ],[34 41 52 63 70 70 67 70 70 60 70 63 52 41 34 44 34 34 37 34 34 ],[0.98 0.96 0.92]);\nplot([0 45 45 0 0 ],[0 14.8571 89.1429 104 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1,'sel');\ncolor('black');port_label('input',2,'d0');\ncolor('black');port_label('input',3,'d1');\ncolor('black');disp('\\bf{}','texmode','on');\nfprintf('','COMMENT: end icon text');\n"
15749    }
15750    Block {
15751      BlockType       Reference
15752      Name            "Relational"
15753      Ports           [2, 1]
15754      Position        [1060, 231, 1100, 289]
15755      ShowName        off
15756      SourceBlock         "xbsIndex_r4/Relational"
15757      SourceType          "Xilinx Arithmetic Relational Operator Block"
15758      mode            "a<=b"
15759      en              off
15760      latency         "0"
15761      dbl_ovrd        off
15762      xl_use_area         off
15763      xl_area         "[8 0 0 16 0 0 0]"
15764      has_advanced_control    "0"
15765      sggui_pos       "20,20,348,193"
15766      block_type          "relational"
15767      block_version       "8.2"
15768      sg_icon_stat        "40,58,2,1,white,blue,0,07808d72,right"
15769      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 ],[0 0 56 56 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13 28 32 36 52 40 28 19 32 19 28 40 52 36 32 28 13 ],[6 15 28 41 50 50 46 50 50 38 50 41 28 15 6 18 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 55 55 0 0 ],[0 0 56 56 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1,'a');\ncolor('black');port_label('input',2,'b');\ncolor('black');disp('\\newline\\bf{a<=b}\\newlinez^{-0}','texmode','on');\nfprintf('','COMMENT: end icon text');\n"
15770    }
15771    Block {
15772      BlockType       Reference
15773      Name            "Relational1"
15774      Ports           [2, 1]
15775      Position        [1005, 431, 1050, 469]
15776      ShowName        off
15777      SourceBlock         "xbsIndex_r4/Relational"
15778      SourceType          "Xilinx Arithmetic Relational Operator Block"
15779      mode            "a>b"
15780      en              off
15781      latency         "0"
15782      dbl_ovrd        off
15783      xl_use_area         off
15784      xl_area         "[16 1 0 32 0 0 0]"
15785      has_advanced_control    "0"
15786      sggui_pos       "20,20,348,193"
15787      block_type          "relational"
15788      block_version       "10.1.2"
15789      sg_icon_stat        "45,38,2,1,white,blue,0,064af3a6,right"
15790      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 ],[0 0 56 56 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13 28 32 36 52 40 28 19 32 19 28 40 52 36 32 28 13 ],[6 15 28 41 50 50 46 50 50 38 50 41 28 15 6 18 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 55 55 0 0 ],[0 0 56 56 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1,'a');\ncolor('black');port_label('input',2,'b');\ncolor('black');disp('\\newline\\bf{a>b}\\newlinez^{-0}','texmode','on');\nfprintf('','COMMENT: end icon text');\n"
15791    }
15792    Block {
15793      BlockType       Reference
15794      Name            "Relational2"
15795      Ports           [2, 1]
15796      Position        [985, 651, 1025, 709]
15797      ShowName        off
15798      SourceBlock         "xbsIndex_r4/Relational"
15799      SourceType          "Xilinx Arithmetic Relational Operator Block"
15800      mode            "a<=b"
15801      en              off
15802      latency         "0"
15803      dbl_ovrd        off
15804      xl_use_area         off
15805      xl_area         "[8 0 0 16 0 0 0]"
15806      has_advanced_control    "0"
15807      sggui_pos       "20,20,348,193"
15808      block_type          "relational"
15809      block_version       "8.2"
15810      sg_icon_stat        "40,58,2,1,white,blue,0,07808d72,right"
15811      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 ],[0 0 56 56 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13 28 32 36 52 40 28 19 32 19 28 40 52 36 32 28 13 ],[6 15 28 41 50 50 46 50 50 38 50 41 28 15 6 18 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 55 55 0 0 ],[0 0 56 56 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1,'a');\ncolor('black');port_label('input',2,'b');\ncolor('black');disp('\\newline\\bf{a<=b}\\newlinez^{-0}','texmode','on');\nfprintf('','COMMENT: end icon text');\n"
15812    }
15813    Block {
15814      BlockType       SubSystem
15815      Name            "S-R_Latch1"
15816      Ports           [2, 1]
15817      Position        [665, 272, 705, 343]
15818      MinAlgLoopOccurrences   off
15819      PropExecContextOutsideSubsystem off
15820      RTWSystemCode       "Auto"
15821      FunctionWithSeparateData off
15822      Opaque          off
15823      RequestExecContextInheritance off
15824      MaskHideContents    off
15825      System {
15826        Name            "S-R_Latch1"
15827        Location            [202, 70, 1438, 850]
15828        Open            off
15829        ModelBrowserVisibility  on
15830        ModelBrowserWidth       200
15831        ScreenColor         "white"
15832        PaperOrientation        "landscape"
15833        PaperPositionMode       "auto"
15834        PaperType           "usletter"
15835        PaperUnits          "inches"
15836        TiledPaperMargins       [0.500000, 0.500000, 0.500000, 0.500000]
15837        TiledPageScale      1
15838        ShowPageBoundaries      off
15839        ZoomFactor          "100"
15840        Block {
15841          BlockType           Inport
15842          Name            "S"
15843          Position            [95, 58, 125, 72]
15844          IconDisplay         "Port number"
15845          OutDataType         "sfix(16)"
15846          OutScaling          "2^0"
15847        }
15848        Block {
15849          BlockType           Inport
15850          Name            "R"
15851          Position            [95, 88, 125, 102]
15852          Port            "2"
15853          IconDisplay         "Port number"
15854          OutDataType         "sfix(16)"
15855          OutScaling          "2^0"
15856        }
15857        Block {
15858          BlockType           Reference
15859          Name            "Inverter"
15860          Ports           [1, 1]
15861          Position            [220, 82, 250, 108]
15862          SourceBlock         "xbsIndex_r4/Inverter"
15863          SourceType          "Xilinx Inverter Block"
15864          infoedit            "Bitwise logical negation (one's complement) operator."
15865          en              "off"
15866          latency             "0"
15867          dbl_ovrd            "off"
15868          xl_use_area         "off"
15869          xl_area             "[0 0 0 0 0 0 0]"
15870          has_advanced_control    "0"
15871          sggui_pos           "-1,-1,-1,-1"
15872          block_type          "inv"
15873          block_version       "VER_STRING_GOES_HERE"
15874          sg_icon_stat        "30,26,1,1,white,blue,0,1ab4a85f,right"
15875          sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 ],[0 0 58 58 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13 28 32 36 52 40 28 19 32 19 28 40 52 36 32 28 13 ],[7 16 29 42 51 51 47 51 51 39 51 42 29 16 7 19 7 7 11 7 7 ],[0.98 0.96 0.92]);\nplot([0 55 55 0 0 ],[0 0 58 58 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');disp('not');\nfprintf('','COMMENT: end icon text');\n"
15876        }
15877        Block {
15878          BlockType           Reference
15879          Name            "Register"
15880          Ports           [3, 1]
15881          Position            [150, 70, 195, 120]
15882          SourceBlock         "xbsIndex_r4/Register"
15883          SourceType          "Xilinx Register Block"
15884          init            "0"
15885          rst             "on"
15886          en              "on"
15887          dbl_ovrd            "off"
15888          xl_use_area         "off"
15889          xl_area             "[1 1 0 0 0 0 0]"
15890          has_advanced_control    "0"
15891          sggui_pos           "20,20,348,193"
15892          block_type          "register"
15893          block_version       "VER_STRING_GOES_HERE"
15894          sg_icon_stat        "45,50,1,1,white,blue,0,923c1847,right"
15895          sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 ],[0 0 56 56 ],[0.77 0.82 0.91]);\npatch([15 6 19 6 15 30 34 38 54 41 29 20 32 20 29 41 54 38 34 30 15 ],[6 15 28 41 50 50 46 50 50 37 49 40 28 16 7 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 56 56 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1,'d');\ncolor('black');port_label('input',2,'rst');\ncolor('black');port_label('input',3,'en');\ncolor('black');port_label('output',1,'q');\ncolor('black');disp('\\bf{z^{-1}}','texmode','on');\nfprintf('','COMMENT: end icon text');\n"
15896        }
15897        Block {
15898          BlockType           Outport
15899          Name            "Q"
15900          Position            [285, 63, 315, 77]
15901          IconDisplay         "Port number"
15902          OutDataType         "sfix(16)"
15903          OutScaling          "2^0"
15904        }
15905        Line {
15906          SrcBlock            "R"
15907          SrcPort             1
15908          DstBlock            "Register"
15909          DstPort             2
15910        }
15911        Line {
15912          SrcBlock            "S"
15913          SrcPort             1
15914          DstBlock            "Register"
15915          DstPort             1
15916        }
15917        Line {
15918          SrcBlock            "Inverter"
15919          SrcPort             1
15920          Points              [10, 0; 0, 55; -130, 0]
15921          DstBlock            "Register"
15922          DstPort             3
15923        }
15924        Line {
15925          SrcBlock            "Register"
15926          SrcPort             1
15927          Points              [0, 0; 5, 0]
15928          Branch {
15929        Points          [0, -25]
15930        DstBlock        "Q"
15931        DstPort         1
15932          }
15933          Branch {
15934        DstBlock        "Inverter"
15935        DstPort         1
15936          }
15937        }
15938      }
15939    }
15940    Block {
15941      BlockType       SubSystem
15942      Name            "S-R_Latch2"
15943      Ports           [2, 1]
15944      Position        [1285, 245, 1325, 305]
15945      MinAlgLoopOccurrences   off
15946      PropExecContextOutsideSubsystem off
15947      RTWSystemCode       "Auto"
15948      FunctionWithSeparateData off
15949      Opaque          off
15950      RequestExecContextInheritance off
15951      MaskHideContents    off
15952      System {
15953        Name            "S-R_Latch2"
15954        Location            [521, 318, 918, 591]
15955        Open            off
15956        ModelBrowserVisibility  on
15957        ModelBrowserWidth       200
15958        ScreenColor         "white"
15959        PaperOrientation        "landscape"
15960        PaperPositionMode       "auto"
15961        PaperType           "usletter"
15962        PaperUnits          "inches"
15963        TiledPaperMargins       [0.500000, 0.500000, 0.500000, 0.500000]
15964        TiledPageScale      1
15965        ShowPageBoundaries      off
15966        ZoomFactor          "100"
15967        Block {
15968          BlockType           Inport
15969          Name            "S"
15970          Position            [95, 58, 125, 72]
15971          IconDisplay         "Port number"
15972          OutDataType         "sfix(16)"
15973          OutScaling          "2^0"
15974        }
15975        Block {
15976          BlockType           Inport
15977          Name            "R"
15978          Position            [95, 88, 125, 102]
15979          Port            "2"
15980          IconDisplay         "Port number"
15981          OutDataType         "sfix(16)"
15982          OutScaling          "2^0"
15983        }
15984        Block {
15985          BlockType           Reference
15986          Name            "Inverter"
15987          Ports           [1, 1]
15988          Position            [220, 82, 250, 108]
15989          SourceBlock         "xbsIndex_r4/Inverter"
15990          SourceType          "Xilinx Inverter Block"
15991          infoedit            "Bitwise logical negation (one's complement) operator."
15992          en              "off"
15993          latency             "0"
15994          dbl_ovrd            "off"
15995          xl_use_area         "off"
15996          xl_area             "[0 0 0 0 0 0 0]"
15997          has_advanced_control    "0"
15998          sggui_pos           "-1,-1,-1,-1"
15999          block_type          "inv"
16000          block_version       "VER_STRING_GOES_HERE"
16001          sg_icon_stat        "30,26,1,1,white,blue,0,1ab4a85f,right"
16002          sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 ],[0 0 58 58 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13 28 32 36 52 40 28 19 32 19 28 40 52 36 32 28 13 ],[7 16 29 42 51 51 47 51 51 39 51 42 29 16 7 19 7 7 11 7 7 ],[0.98 0.96 0.92]);\nplot([0 55 55 0 0 ],[0 0 58 58 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');disp('not');\nfprintf('','COMMENT: end icon text');\n"
16003        }
16004        Block {
16005          BlockType           Reference
16006          Name            "Register"
16007          Ports           [3, 1]
16008          Position            [150, 70, 195, 120]
16009          SourceBlock         "xbsIndex_r4/Register"
16010          SourceType          "Xilinx Register Block"
16011          init            "0"
16012          rst             "on"
16013          en              "on"
16014          dbl_ovrd            "off"
16015          xl_use_area         "off"
16016          xl_area             "[1 1 0 0 0 0 0]"
16017          has_advanced_control    "0"
16018          sggui_pos           "-1,-1,-1,-1"
16019          block_type          "register"
16020          block_version       "VER_STRING_GOES_HERE"
16021          sg_icon_stat        "45,50,1,1,white,blue,0,923c1847,right"
16022          sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 ],[0 0 56 56 ],[0.77 0.82 0.91]);\npatch([15 6 19 6 15 30 34 38 54 41 29 20 32 20 29 41 54 38 34 30 15 ],[6 15 28 41 50 50 46 50 50 37 49 40 28 16 7 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 56 56 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1,'d');\ncolor('black');port_label('input',2,'rst');\ncolor('black');port_label('input',3,'en');\ncolor('black');port_label('output',1,'q');\ncolor('black');disp('\\bf{z^{-1}}','texmode','on');\nfprintf('','COMMENT: end icon text');\n"
16023        }
16024        Block {
16025          BlockType           Outport
16026          Name            "Q"
16027          Position            [285, 63, 315, 77]
16028          IconDisplay         "Port number"
16029          OutDataType         "sfix(16)"
16030          OutScaling          "2^0"
16031        }
16032        Line {
16033          SrcBlock            "Register"
16034          SrcPort             1
16035          Points              [0, 0; 5, 0]
16036          Branch {
16037        DstBlock        "Inverter"
16038        DstPort         1
16039          }
16040          Branch {
16041        Points          [0, -25]
16042        DstBlock        "Q"
16043        DstPort         1
16044          }
16045        }
16046        Line {
16047          SrcBlock            "Inverter"
16048          SrcPort             1
16049          Points              [10, 0; 0, 55; -130, 0]
16050          DstBlock            "Register"
16051          DstPort             3
16052        }
16053        Line {
16054          SrcBlock            "S"
16055          SrcPort             1
16056          DstBlock            "Register"
16057          DstPort             1
16058        }
16059        Line {
16060          SrcBlock            "R"
16061          SrcPort             1
16062          DstBlock            "Register"
16063          DstPort             2
16064        }
16065      }
16066    }
16067    Block {
16068      BlockType       SubSystem
16069      Name            "S-R_Latch3"
16070      Ports           [2, 1]
16071      Position        [665, 167, 705, 238]
16072      MinAlgLoopOccurrences   off
16073      PropExecContextOutsideSubsystem off
16074      RTWSystemCode       "Auto"
16075      FunctionWithSeparateData off
16076      Opaque          off
16077      RequestExecContextInheritance off
16078      MaskHideContents    off
16079      System {
16080        Name            "S-R_Latch3"
16081        Location            [202, 70, 1438, 850]
16082        Open            off
16083        ModelBrowserVisibility  on
16084        ModelBrowserWidth       200
16085        ScreenColor         "white"
16086        PaperOrientation        "landscape"
16087        PaperPositionMode       "auto"
16088        PaperType           "usletter"
16089        PaperUnits          "inches"
16090        TiledPaperMargins       [0.500000, 0.500000, 0.500000, 0.500000]
16091        TiledPageScale      1
16092        ShowPageBoundaries      off
16093        ZoomFactor          "100"
16094        Block {
16095          BlockType           Inport
16096          Name            "S"
16097          Position            [95, 58, 125, 72]
16098          IconDisplay         "Port number"
16099          OutDataType         "sfix(16)"
16100          OutScaling          "2^0"
16101        }
16102        Block {
16103          BlockType           Inport
16104          Name            "R"
16105          Position            [95, 88, 125, 102]
16106          Port            "2"
16107          IconDisplay         "Port number"
16108          OutDataType         "sfix(16)"
16109          OutScaling          "2^0"
16110        }
16111        Block {
16112          BlockType           Reference
16113          Name            "Inverter"
16114          Ports           [1, 1]
16115          Position            [220, 82, 250, 108]
16116          SourceBlock         "xbsIndex_r4/Inverter"
16117          SourceType          "Xilinx Inverter Block"
16118          infoedit            "Bitwise logical negation (one's complement) operator."
16119          en              "off"
16120          latency             "0"
16121          dbl_ovrd            "off"
16122          xl_use_area         "off"
16123          xl_area             "[0 0 0 0 0 0 0]"
16124          has_advanced_control    "0"
16125          sggui_pos           "-1,-1,-1,-1"
16126          block_type          "inv"
16127          block_version       "VER_STRING_GOES_HERE"
16128          sg_icon_stat        "30,26,1,1,white,blue,0,1ab4a85f,right"
16129          sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 ],[0 0 58 58 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13 28 32 36 52 40 28 19 32 19 28 40 52 36 32 28 13 ],[7 16 29 42 51 51 47 51 51 39 51 42 29 16 7 19 7 7 11 7 7 ],[0.98 0.96 0.92]);\nplot([0 55 55 0 0 ],[0 0 58 58 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');disp('not');\nfprintf('','COMMENT: end icon text');\n"
16130        }
16131        Block {
16132          BlockType           Reference
16133          Name            "Register"
16134          Ports           [3, 1]
16135          Position            [150, 70, 195, 120]
16136          SourceBlock         "xbsIndex_r4/Register"
16137          SourceType          "Xilinx Register Block"
16138          init            "0"
16139          rst             "on"
16140          en              "on"
16141          dbl_ovrd            "off"
16142          xl_use_area         "off"
16143          xl_area             "[1 1 0 0 0 0 0]"
16144          has_advanced_control    "0"
16145          sggui_pos           "20,20,348,193"
16146          block_type          "register"
16147          block_version       "VER_STRING_GOES_HERE"
16148          sg_icon_stat        "45,50,1,1,white,blue,0,923c1847,right"
16149          sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 ],[0 0 56 56 ],[0.77 0.82 0.91]);\npatch([15 6 19 6 15 30 34 38 54 41 29 20 32 20 29 41 54 38 34 30 15 ],[6 15 28 41 50 50 46 50 50 37 49 40 28 16 7 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 56 56 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1,'d');\ncolor('black');port_label('input',2,'rst');\ncolor('black');port_label('input',3,'en');\ncolor('black');port_label('output',1,'q');\ncolor('black');disp('\\bf{z^{-1}}','texmode','on');\nfprintf('','COMMENT: end icon text');\n"
16150        }
16151        Block {
16152          BlockType           Outport
16153          Name            "Q"
16154          Position            [285, 63, 315, 77]
16155          IconDisplay         "Port number"
16156          OutDataType         "sfix(16)"
16157          OutScaling          "2^0"
16158        }
16159        Line {
16160          SrcBlock            "Register"
16161          SrcPort             1
16162          Points              [0, 0; 5, 0]
16163          Branch {
16164        DstBlock        "Inverter"
16165        DstPort         1
16166          }
16167          Branch {
16168        Points          [0, -25]
16169        DstBlock        "Q"
16170        DstPort         1
16171          }
16172        }
16173        Line {
16174          SrcBlock            "Inverter"
16175          SrcPort             1
16176          Points              [10, 0; 0, 55; -130, 0]
16177          DstBlock            "Register"
16178          DstPort             3
16179        }
16180        Line {
16181          SrcBlock            "S"
16182          SrcPort             1
16183          DstBlock            "Register"
16184          DstPort             1
16185        }
16186        Line {
16187          SrcBlock            "R"
16188          SrcPort             1
16189          DstBlock            "Register"
16190          DstPort             2
16191        }
16192      }
16193    }
16194    Block {
16195      BlockType       Scope
16196      Name            "Scope"
16197      Ports           [3]
16198      Position        [1530, 305, 1560, 405]
16199      Floating        off
16200      Location        [1, 45, 1441, 869]
16201      Open            off
16202      NumInputPorts       "3"
16203      ZoomMode        "xonly"
16204      List {
16205        ListType            AxesTitles
16206        axes1           "%<SignalLabel>"
16207        axes2           "%<SignalLabel>"
16208        axes3           "%<SignalLabel>"
16209      }
16210      YMin            "-5~-5~-5"
16211      YMax            "5~5~5"
16212      DataFormat          "StructureWithTime"
16213      SampleTime          "0"
16214    }
16215    Block {
16216      BlockType       Reference
16217      Name            "Sim Mux"
16218      Ports           [2, 1]
16219      Position        [405, 261, 445, 299]
16220      NamePlacement       "alternate"
16221      SourceBlock         "xbsIndex_r4/Simulation Multiplexer"
16222      SourceType          "Xilinx Simulation Multiplexer Block"
16223      infoedit        "Distinguish input subsystems as \"simulation only\" and \"generation only\".  The input specified For Simulation will be used during Simulink simulation.  The input specified For Generation will be used during code generation.  This block will typically be used on the output of a HW Co-Simulation, Black Box, or ModelSim subsystem.<P><P>Hardware Notes: This block costs nothing."
16224      sim_sel         "1"
16225      hw_sel          "2"
16226      has_advanced_control    "0"
16227      sggui_pos       "20,20,336,197"
16228      block_type          "simmux"
16229      block_version       "10.1.3"
16230      sg_icon_stat        "40,38,2,1,white,blue,0,c4f98ccb,right"
16231      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 50 50 0 ],[0 0 51 51 ],[0.77 0.82 0.91]);\npatch([11 3 15 3 11 24 28 32 46 35 24 16 28 16 24 35 46 32 28 24 11 ],[6 14 26 38 46 46 42 46 46 35 46 38 26 14 6 17 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 50 50 0 0 ],[0 0 51 51 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\n\nfprintf('','COMMENT: end icon text');\ncolor('red');\nplot(swLineX,simSwLineY);\ncolor('black');\nplot(swLineX,hwSwLineY);\n"
16232    }
16233    Block {
16234      BlockType       Reference
16235      Name            "Sim Mux1"
16236      Ports           [2, 1]
16237      Position        [470, 576, 510, 614]
16238      NamePlacement       "alternate"
16239      SourceBlock         "xbsIndex_r4/Simulation Multiplexer"
16240      SourceType          "Xilinx Simulation Multiplexer Block"
16241      infoedit        "Distinguish input subsystems as \"simulation only\" and \"generation only\".  The input specified For Simulation will be used during Simulink simulation.  The input specified For Generation will be used during code generation.  This block will typically be used on the output of a HW Co-Simulation, Black Box, or ModelSim subsystem.<P><P>Hardware Notes: This block costs nothing."
16242      sim_sel         "1"
16243      hw_sel          "2"
16244      has_advanced_control    "0"
16245      sggui_pos       "20,20,336,197"
16246      block_type          "simmux"
16247      block_version       "10.1.3"
16248      sg_icon_stat        "40,38,2,1,white,blue,0,c4f98ccb,right"
16249      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 50 50 0 ],[0 0 51 51 ],[0.77 0.82 0.91]);\npatch([11 3 15 3 11 24 28 32 46 35 24 16 28 16 24 35 46 32 28 24 11 ],[6 14 26 38 46 46 42 46 46 35 46 38 26 14 6 17 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 50 50 0 0 ],[0 0 51 51 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\n\nfprintf('','COMMENT: end icon text');\ncolor('red');\nplot(swLineX,simSwLineY);\ncolor('black');\nplot(swLineX,hwSwLineY);\n"
16250    }
16251    Block {
16252      BlockType       SubSystem
16253      Name            "Sim-only\nGateway In"
16254      Ports           [1, 1]
16255      Position        [305, 260, 350, 280]
16256      NamePlacement       "alternate"
16257      MinAlgLoopOccurrences   off
16258      PropExecContextOutsideSubsystem off
16259      RTWSystemCode       "Auto"
16260      FunctionWithSeparateData off
16261      Opaque          off
16262      RequestExecContextInheritance off
16263      MaskHideContents    off
16264      System {
16265        Name            "Sim-only\nGateway In"
16266        Location            [269, 280, 494, 362]
16267        Open            off
16268        ModelBrowserVisibility  on
16269        ModelBrowserWidth       200
16270        ScreenColor         "white"
16271        PaperOrientation        "landscape"
16272        PaperPositionMode       "auto"
16273        PaperType           "usletter"
16274        PaperUnits          "inches"
16275        TiledPaperMargins       [0.500000, 0.500000, 0.500000, 0.500000]
16276        TiledPageScale      1
16277        ShowPageBoundaries      off
16278        ZoomFactor          "100"
16279        Block {
16280          BlockType           Inport
16281          Name            "D"
16282          Position            [20, 33, 50, 47]
16283          IconDisplay         "Port number"
16284          OutDataType         "sfix(16)"
16285          OutScaling          "2^0"
16286        }
16287        Block {
16288          BlockType           Reference
16289          Name            "Disregard Subsystem"
16290          Tag             "discardX"
16291          Ports           []
16292          Position            [86, 85, 144, 143]
16293          ShowName            off
16294          AttributesFormatString  "Disregard Subsystem\\nFor Generation"
16295          SourceBlock         "xbsIndex_r4/Disregard Subsystem"
16296          SourceType          "Xilinx Disregard Subsystem For Generation Block"
16297          infoedit            "Place this block into a subsystem to have System Generator ignore the subsystem during code generation. This block can be used in combination with the Simulation Multiplexer block to provide an alternative simulation model for another subsystem (e.g., to provide a simulation model for a black box)."
16298          has_advanced_control    "0"
16299          sggui_pos           "-1,-1,-1,-1"
16300          block_type          "disregard"
16301          block_version       "10.1.3"
16302          sg_icon_stat        "58,58,-1,-1,darkgray,black,0,0,right"
16303          sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npatch([0 58 58 0 ],[0 0 58 58 ],[0.1 0.1 0.1]);\npatch([14 4 18 4 14 29 33 37 53 40 28 19 33 19 28 40 53 37 33 29 14 ],[6 16 30 44 54 54 50 54 54 41 53 44 30 16 7 19 6 6 10 6 6 ],[0.33 0.33 0.33]);\nplot([0 58 58 0 0 ],[0 0 58 58 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\nfprintf('','COMMENT: end icon text');\n"
16304        }
16305        Block {
16306          BlockType           Reference
16307          Name            "Gateway In"
16308          Ports           [1, 1]
16309          Position            [80, 30, 145, 50]
16310          SourceBlock         "xbsIndex_r4/Gateway In"
16311          SourceType          "Xilinx Gateway In Block"
16312          infoedit            "Gateway in block.  Converts inputs of type Simulink integer, double and fixed point to  Xilinx fixed point type.<P><P>Hardware notes:  In hardware these blocks become top level input ports."
16313          arith_type          "Boolean"
16314          n_bits              "1"
16315          bin_pt              "0"
16316          quantization        "Round  (unbiased: +/- Inf)"
16317          overflow            "Saturate"
16318          period              "1"
16319          dbl_ovrd            "off"
16320          timing_constraint       "None"
16321          locs_specified          "off"
16322          LOCs            "{}"
16323          xl_use_area         "off"
16324          xl_area             "[0 0 0 0 1 0 0]"
16325          has_advanced_control    "0"
16326          sggui_pos           "20,20,348,406"
16327          block_type          "gatewayin"
16328          block_version       "10.1.3"
16329          sg_icon_stat        "65,20,1,1,white,yellow,0,bc55d28f,right"
16330          sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npatch([0 65 65 0 ],[0 0 20 20 ],[0.95 0.93 0.65]);\npatch([27 24 29 24 27 32 33 34 40 36 32 29 34 29 32 36 40 34 33 32 27 ],[2 5 10 15 18 18 17 18 18 14 18 15 10 5 2 6 2 2 3 2 2 ],[0.98 0.96 0.92]);\nplot([0 65 65 0 0 ],[0 0 20 20 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1,'\\fontsize{11pt}\\bf In ','texmode','on');\ncolor('black');port_label('output',1,' ');\nfprintf('','COMMENT: end icon text');\n"
16331        }
16332        Block {
16333          BlockType           Outport
16334          Name            "Q"
16335          Position            [170, 33, 200, 47]
16336          IconDisplay         "Port number"
16337        }
16338        Line {
16339          SrcBlock            "D"
16340          SrcPort             1
16341          DstBlock            "Gateway In"
16342          DstPort             1
16343        }
16344        Line {
16345          SrcBlock            "Gateway In"
16346          SrcPort             1
16347          DstBlock            "Q"
16348          DstPort             1
16349        }
16350      }
16351    }
16352    Block {
16353      BlockType       SubSystem
16354      Name            "Sim-only\nGateway In2"
16355      Ports           [1, 1]
16356      Position        [360, 575, 405, 595]
16357      NamePlacement       "alternate"
16358      MinAlgLoopOccurrences   off
16359      PropExecContextOutsideSubsystem off
16360      RTWSystemCode       "Auto"
16361      FunctionWithSeparateData off
16362      Opaque          off
16363      RequestExecContextInheritance off
16364      MaskHideContents    off
16365      System {
16366        Name            "Sim-only\nGateway In2"
16367        Location            [214, 70, 1918, 1152]
16368        Open            off
16369        ModelBrowserVisibility  on
16370        ModelBrowserWidth       200
16371        ScreenColor         "white"
16372        PaperOrientation        "landscape"
16373        PaperPositionMode       "auto"
16374        PaperType           "usletter"
16375        PaperUnits          "inches"
16376        TiledPaperMargins       [0.500000, 0.500000, 0.500000, 0.500000]
16377        TiledPageScale      1
16378        ShowPageBoundaries      off
16379        ZoomFactor          "100"
16380        Block {
16381          BlockType           Inport
16382          Name            "D"
16383          Position            [20, 33, 50, 47]
16384          IconDisplay         "Port number"
16385          OutDataType         "sfix(16)"
16386          OutScaling          "2^0"
16387        }
16388        Block {
16389          BlockType           Reference
16390          Name            "Disregard Subsystem"
16391          Tag             "discardX"
16392          Ports           []
16393          Position            [71, 110, 129, 168]
16394          ShowName            off
16395          AttributesFormatString  "Disregard Subsystem\\nFor Generation"
16396          SourceBlock         "xbsIndex_r4/Disregard Subsystem"
16397          SourceType          "Xilinx Disregard Subsystem For Generation Block"
16398          infoedit            "Place this block into a subsystem to have System Generator ignore the subsystem during code generation. This block can be used in combination with the Simulation Multiplexer block to provide an alternative simulation model for another subsystem (e.g., to provide a simulation model for a black box)."
16399          has_advanced_control    "0"
16400          sggui_pos           "-1,-1,-1,-1"
16401          block_type          "disregard"
16402          block_version       "10.1.3"
16403          sg_icon_stat        "58,58,-1,-1,darkgray,black,0,0,right"
16404          sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npatch([0 58 58 0 ],[0 0 58 58 ],[0.1 0.1 0.1]);\npatch([14 4 18 4 14 29 33 37 53 40 28 19 33 19 28 40 53 37 33 29 14 ],[6 16 30 44 54 54 50 54 54 41 53 44 30 16 7 19 6 6 10 6 6 ],[0.33 0.33 0.33]);\nplot([0 58 58 0 0 ],[0 0 58 58 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\nfprintf('','COMMENT: end icon text');\n"
16405        }
16406        Block {
16407          BlockType           Reference
16408          Name            "Gateway In"
16409          Ports           [1, 1]
16410          Position            [80, 30, 145, 50]
16411          SourceBlock         "xbsIndex_r4/Gateway In"
16412          SourceType          "Xilinx Gateway In Block"
16413          infoedit            "Gateway in block.  Converts inputs of type Simulink integer, double and fixed point to  Xilinx fixed point type.<P><P>Hardware notes:  In hardware these blocks become top level input ports."
16414          arith_type          "Boolean"
16415          n_bits              "1"
16416          bin_pt              "0"
16417          quantization        "Round  (unbiased: +/- Inf)"
16418          overflow            "Saturate"
16419          period              "1"
16420          dbl_ovrd            "off"
16421          timing_constraint       "None"
16422          locs_specified          "off"
16423          LOCs            "{}"
16424          xl_use_area         "off"
16425          xl_area             "[0 0 0 0 1 0 0]"
16426          has_advanced_control    "0"
16427          sggui_pos           "20,20,348,406"
16428          block_type          "gatewayin"
16429          block_version       "10.1.3"
16430          sg_icon_stat        "65,20,1,1,white,yellow,0,bc55d28f,right"
16431          sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npatch([0 65 65 0 ],[0 0 20 20 ],[0.95 0.93 0.65]);\npatch([27 24 29 24 27 32 33 34 40 36 32 29 34 29 32 36 40 34 33 32 27 ],[2 5 10 15 18 18 17 18 18 14 18 15 10 5 2 6 2 2 3 2 2 ],[0.98 0.96 0.92]);\nplot([0 65 65 0 0 ],[0 0 20 20 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1,'\\fontsize{11pt}\\bf In ','texmode','on');\ncolor('black');port_label('output',1,' ');\nfprintf('','COMMENT: end icon text');\n"
16432        }
16433        Block {
16434          BlockType           Outport
16435          Name            "Q"
16436          Position            [170, 33, 200, 47]
16437          IconDisplay         "Port number"
16438        }
16439        Line {
16440          SrcBlock            "Gateway In"
16441          SrcPort             1
16442          DstBlock            "Q"
16443          DstPort             1
16444        }
16445        Line {
16446          SrcBlock            "D"
16447          SrcPort             1
16448          DstBlock            "Gateway In"
16449          DstPort             1
16450        }
16451      }
16452    }
16453    Block {
16454      BlockType       SubSystem
16455      Name            "negedge"
16456      Ports           [1, 1]
16457      Position        [495, 288, 525, 302]
16458      MinAlgLoopOccurrences   off
16459      PropExecContextOutsideSubsystem off
16460      RTWSystemCode       "Auto"
16461      FunctionWithSeparateData off
16462      Opaque          off
16463      RequestExecContextInheritance off
16464      MaskHideContents    off
16465      System {
16466        Name            "negedge"
16467        Location            [459, 339, 854, 490]
16468        Open            off
16469        ModelBrowserVisibility  on
16470        ModelBrowserWidth       200
16471        ScreenColor         "white"
16472        PaperOrientation        "landscape"
16473        PaperPositionMode       "auto"
16474        PaperType           "usletter"
16475        PaperUnits          "inches"
16476        TiledPaperMargins       [0.500000, 0.500000, 0.500000, 0.500000]
16477        TiledPageScale      1
16478        ShowPageBoundaries      off
16479        ZoomFactor          "100"
16480        Block {
16481          BlockType           Inport
16482          Name            "In"
16483          Position            [170, 213, 200, 227]
16484          IconDisplay         "Port number"
16485          OutDataType         "sfix(16)"
16486          OutScaling          "2^0"
16487        }
16488        Block {
16489          BlockType           Reference
16490          Name            "Delay"
16491          Ports           [1, 1]
16492          Position            [230, 247, 290, 303]
16493          SourceBlock         "xbsIndex_r4/Delay"
16494          SourceType          "Xilinx Delay Block"
16495          infoedit            "Hardware notes: A delay line is a chain, each link of which is an SRL16 followed by a flip-flop. If register retiming is enabled, the delay line is a chain of flip-flops."
16496          en              "off"
16497          latency             "1"
16498          dbl_ovrd            "off"
16499          reg_retiming        "off"
16500          xl_use_area         "off"
16501          xl_area             "[1 1 0 0 0 0 0]"
16502          has_advanced_control    "0"
16503          sggui_pos           "-1,-1,-1,-1"
16504          block_type          "delay"
16505          block_version       "8.2"
16506          sg_icon_stat        "60,56,1,1,white,blue,0,fc531c0e,right"
16507          sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 ],[0 0 56 56 ],[0.77 0.82 0.91]);\npatch([15 6 19 6 15 30 34 38 54 41 29 20 32 20 29 41 54 38 34 30 15 ],[6 15 28 41 50 50 46 50 50 37 49 40 28 16 7 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 56 56 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');disp('z^{-1}','texmode','on');\nfprintf('','COMMENT: end icon text');\n"
16508        }
16509        Block {
16510          BlockType           Reference
16511          Name            "Inverter"
16512          Ports           [1, 1]
16513          Position            [290, 191, 345, 249]
16514          NamePlacement       "alternate"
16515          SourceBlock         "xbsIndex_r4/Inverter"
16516          SourceType          "Xilinx Inverter Block"
16517          infoedit            "Bitwise logical negation (one's complement) operator."
16518          en              "off"
16519          latency             "0"
16520          dbl_ovrd            "off"
16521          xl_use_area         "off"
16522          xl_area             "[0 0 0 0 0 0 0]"
16523          has_advanced_control    "0"
16524          sggui_pos           "-1,-1,-1,-1"
16525          block_type          "inv"
16526          block_version       "8.2"
16527          sg_icon_stat        "55,58,1,1,white,blue,0,1ab4a85f,right"
16528          sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 ],[0 0 58 58 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13 28 32 36 52 40 28 19 32 19 28 40 52 36 32 28 13 ],[7 16 29 42 51 51 47 51 51 39 51 42 29 16 7 19 7 7 11 7 7 ],[0.98 0.96 0.92]);\nplot([0 55 55 0 0 ],[0 0 58 58 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');disp('not');\nfprintf('','COMMENT: end icon text');\n"
16529        }
16530        Block {
16531          BlockType           Reference
16532          Name            "Logical"
16533          Ports           [2, 1]
16534          Position            [410, 205, 465, 265]
16535          SourceBlock         "xbsIndex_r4/Logical"
16536          SourceType          "Xilinx Logical Block Block"
16537          logical_function        "AND"
16538          inputs              "2"
16539          en              "off"
16540          latency             "0"
16541          precision           "Full"
16542          arith_type          "Unsigned"
16543          n_bits              "16"
16544          bin_pt              "0"
16545          align_bp            "on"
16546          dbl_ovrd            "off"
16547          xl_use_area         "off"
16548          xl_area             "[1 0 0 1 0 0 0]"
16549          has_advanced_control    "0"
16550          sggui_pos           "-1,-1,-1,-1"
16551          block_type          "logical"
16552          block_version       "8.2"
16553          sg_icon_stat        "55,60,1,1,white,blue,0,087b5522,right"
16554          sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 ],[0 0 60 60 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13 28 32 36 52 40 28 19 32 19 28 40 52 36 32 28 13 ],[8 17 30 43 52 52 48 52 52 40 52 43 30 17 8 20 8 8 12 8 8 ],[0.98 0.96 0.92]);\nplot([0 55 55 0 0 ],[0 0 60 60 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');disp('\\newlineand\\newlinez^{-0}','texmode','on');\nfprintf('','COMMENT: end icon text');\n"
16555        }
16556        Block {
16557          BlockType           Outport
16558          Name            "Out"
16559          Position            [490, 228, 520, 242]
16560          IconDisplay         "Port number"
16561          OutDataType         "sfix(16)"
16562          OutScaling          "2^0"
16563        }
16564        Line {
16565          SrcBlock            "Logical"
16566          SrcPort             1
16567          DstBlock            "Out"
16568          DstPort             1
16569        }
16570        Line {
16571          SrcBlock            "In"
16572          SrcPort             1
16573          Points              [5, 0]
16574          Branch {
16575        Points          [0, 55]
16576        DstBlock        "Delay"
16577        DstPort         1
16578          }
16579          Branch {
16580        DstBlock        "Inverter"
16581        DstPort         1
16582          }
16583        }
16584        Line {
16585          SrcBlock            "Delay"
16586          SrcPort             1
16587          Points              [90, 0; 0, -25]
16588          DstBlock            "Logical"
16589          DstPort             2
16590        }
16591        Line {
16592          SrcBlock            "Inverter"
16593          SrcPort             1
16594          DstBlock            "Logical"
16595          DstPort             1
16596        }
16597      }
16598    }
16599    Block {
16600      BlockType       SubSystem
16601      Name            "posedge"
16602      Ports           [1, 1]
16603      Position        [495, 273, 525, 287]
16604      NamePlacement       "alternate"
16605      MinAlgLoopOccurrences   off
16606      PropExecContextOutsideSubsystem off
16607      RTWSystemCode       "Auto"
16608      FunctionWithSeparateData off
16609      Opaque          off
16610      RequestExecContextInheritance off
16611      MaskHideContents    off
16612      System {
16613        Name            "posedge"
16614        Location            [459, 339, 854, 490]
16615        Open            off
16616        ModelBrowserVisibility  on
16617        ModelBrowserWidth       200
16618        ScreenColor         "white"
16619        PaperOrientation        "landscape"
16620        PaperPositionMode       "auto"
16621        PaperType           "usletter"
16622        PaperUnits          "inches"
16623        TiledPaperMargins       [0.500000, 0.500000, 0.500000, 0.500000]
16624        TiledPageScale      1
16625        ShowPageBoundaries      off
16626        ZoomFactor          "100"
16627        Block {
16628          BlockType           Inport
16629          Name            "In"
16630          Position            [20, 33, 50, 47]
16631          IconDisplay         "Port number"
16632          OutDataType         "sfix(16)"
16633          OutScaling          "2^0"
16634        }
16635        Block {
16636          BlockType           Reference
16637          Name            "Delay"
16638          Ports           [1, 1]
16639          Position            [80, 67, 140, 123]
16640          SourceBlock         "xbsIndex_r4/Delay"
16641          SourceType          "Xilinx Delay Block"
16642          infoedit            "Hardware notes: A delay line is a chain, each link of which is an SRL16 followed by a flip-flop. If register retiming is enabled, the delay line is a chain of flip-flops."
16643          en              "off"
16644          latency             "1"
16645          dbl_ovrd            "off"
16646          reg_retiming        "off"
16647          xl_use_area         "off"
16648          xl_area             "[1 1 0 0 0 0 0]"
16649          has_advanced_control    "0"
16650          sggui_pos           "-1,-1,-1,-1"
16651          block_type          "delay"
16652          block_version       "8.2"
16653          sg_icon_stat        "60,56,1,1,white,blue,0,fc531c0e,right"
16654          sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 ],[0 0 56 56 ],[0.77 0.82 0.91]);\npatch([15 6 19 6 15 30 34 38 54 41 29 20 32 20 29 41 54 38 34 30 15 ],[6 15 28 41 50 50 46 50 50 37 49 40 28 16 7 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 56 56 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');disp('z^{-1}','texmode','on');\nfprintf('','COMMENT: end icon text');\n"
16655        }
16656        Block {
16657          BlockType           Reference
16658          Name            "Inverter"
16659          Ports           [1, 1]
16660          Position            [170, 66, 225, 124]
16661          SourceBlock         "xbsIndex_r4/Inverter"
16662          SourceType          "Xilinx Inverter Block"
16663          infoedit            "Bitwise logical negation (one's complement) operator."
16664          en              "off"
16665          latency             "0"
16666          dbl_ovrd            "off"
16667          xl_use_area         "off"
16668          xl_area             "[0 0 0 0 0 0 0]"
16669          has_advanced_control    "0"
16670          sggui_pos           "-1,-1,-1,-1"
16671          block_type          "inv"
16672          block_version       "8.2"
16673          sg_icon_stat        "55,58,1,1,white,blue,0,1ab4a85f,right"
16674          sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 ],[0 0 58 58 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13 28 32 36 52 40 28 19 32 19 28 40 52 36 32 28 13 ],[7 16 29 42 51 51 47 51 51 39 51 42 29 16 7 19 7 7 11 7 7 ],[0.98 0.96 0.92]);\nplot([0 55 55 0 0 ],[0 0 58 58 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');disp('not');\nfprintf('','COMMENT: end icon text');\n"
16675        }
16676        Block {
16677          BlockType           Reference
16678          Name            "Logical"
16679          Ports           [2, 1]
16680          Position            [260, 25, 315, 85]
16681          SourceBlock         "xbsIndex_r4/Logical"
16682          SourceType          "Xilinx Logical Block Block"
16683          logical_function        "AND"
16684          inputs              "2"
16685          en              "off"
16686          latency             "0"
16687          precision           "Full"
16688          arith_type          "Unsigned"
16689          n_bits              "16"
16690          bin_pt              "0"
16691          align_bp            "on"
16692          dbl_ovrd            "off"
16693          xl_use_area         "off"
16694          xl_area             "[1 0 0 1 0 0 0]"
16695          has_advanced_control    "0"
16696          sggui_pos           "-1,-1,-1,-1"
16697          block_type          "logical"
16698          block_version       "8.2"
16699          sg_icon_stat        "55,60,1,1,white,blue,0,087b5522,right"
16700          sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 ],[0 0 60 60 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13 28 32 36 52 40 28 19 32 19 28 40 52 36 32 28 13 ],[8 17 30 43 52 52 48 52 52 40 52 43 30 17 8 20 8 8 12 8 8 ],[0.98 0.96 0.92]);\nplot([0 55 55 0 0 ],[0 0 60 60 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');disp('\\newlineand\\newlinez^{-0}','texmode','on');\nfprintf('','COMMENT: end icon text');\n"
16701        }
16702        Block {
16703          BlockType           Outport
16704          Name            "Out"
16705          Position            [340, 48, 370, 62]
16706          IconDisplay         "Port number"
16707          OutDataType         "sfix(16)"
16708          OutScaling          "2^0"
16709        }
16710        Line {
16711          SrcBlock            "Delay"
16712          SrcPort             1
16713          Points              [0, 0]
16714          DstBlock            "Inverter"
16715          DstPort             1
16716        }
16717        Line {
16718          SrcBlock            "Inverter"
16719          SrcPort             1
16720          Points              [5, 0; 0, -25]
16721          DstBlock            "Logical"
16722          DstPort             2
16723        }
16724        Line {
16725          SrcBlock            "In"
16726          SrcPort             1
16727          Points              [0, 0; 5, 0]
16728          Branch {
16729        DstBlock        "Logical"
16730        DstPort         1
16731          }
16732          Branch {
16733        Points          [0, 55]
16734        DstBlock        "Delay"
16735        DstPort         1
16736          }
16737        }
16738        Line {
16739          SrcBlock            "Logical"
16740          SrcPort             1
16741          Points              [0, 0]
16742          DstBlock            "Out"
16743          DstPort             1
16744        }
16745      }
16746    }
16747    Block {
16748      BlockType       SubSystem
16749      Name            "posedge2"
16750      Ports           [1, 1]
16751      Position        [495, 323, 525, 337]
16752      MinAlgLoopOccurrences   off
16753      PropExecContextOutsideSubsystem off
16754      RTWSystemCode       "Auto"
16755      FunctionWithSeparateData off
16756      Opaque          off
16757      RequestExecContextInheritance off
16758      MaskHideContents    off
16759      System {
16760        Name            "posedge2"
16761        Location            [459, 339, 854, 490]
16762        Open            off
16763        ModelBrowserVisibility  on
16764        ModelBrowserWidth       200
16765        ScreenColor         "white"
16766        PaperOrientation        "landscape"
16767        PaperPositionMode       "auto"
16768        PaperType           "usletter"
16769        PaperUnits          "inches"
16770        TiledPaperMargins       [0.500000, 0.500000, 0.500000, 0.500000]
16771        TiledPageScale      1
16772        ShowPageBoundaries      off
16773        ZoomFactor          "100"
16774        Block {
16775          BlockType           Inport
16776          Name            "In"
16777          Position            [20, 33, 50, 47]
16778          IconDisplay         "Port number"
16779          OutDataType         "sfix(16)"
16780          OutScaling          "2^0"
16781        }
16782        Block {
16783          BlockType           Reference
16784          Name            "Delay"
16785          Ports           [1, 1]
16786          Position            [80, 67, 140, 123]
16787          SourceBlock         "xbsIndex_r4/Delay"
16788          SourceType          "Xilinx Delay Block"
16789          infoedit            "Hardware notes: A delay line is a chain, each link of which is an SRL16 followed by a flip-flop. If register retiming is enabled, the delay line is a chain of flip-flops."
16790          en              "off"
16791          latency             "1"
16792          dbl_ovrd            "off"
16793          reg_retiming        "off"
16794          xl_use_area         "off"
16795          xl_area             "[1 1 0 0 0 0 0]"
16796          has_advanced_control    "0"
16797          sggui_pos           "-1,-1,-1,-1"
16798          block_type          "delay"
16799          block_version       "8.2"
16800          sg_icon_stat        "60,56,1,1,white,blue,0,fc531c0e,right"
16801          sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 ],[0 0 56 56 ],[0.77 0.82 0.91]);\npatch([15 6 19 6 15 30 34 38 54 41 29 20 32 20 29 41 54 38 34 30 15 ],[6 15 28 41 50 50 46 50 50 37 49 40 28 16 7 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 56 56 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');disp('z^{-1}','texmode','on');\nfprintf('','COMMENT: end icon text');\n"
16802        }
16803        Block {
16804          BlockType           Reference
16805          Name            "Inverter"
16806          Ports           [1, 1]
16807          Position            [170, 66, 225, 124]
16808          SourceBlock         "xbsIndex_r4/Inverter"
16809          SourceType          "Xilinx Inverter Block"
16810          infoedit            "Bitwise logical negation (one's complement) operator."
16811          en              "off"
16812          latency             "0"
16813          dbl_ovrd            "off"
16814          xl_use_area         "off"
16815          xl_area             "[0 0 0 0 0 0 0]"
16816          has_advanced_control    "0"
16817          sggui_pos           "-1,-1,-1,-1"
16818          block_type          "inv"
16819          block_version       "8.2"
16820          sg_icon_stat        "55,58,1,1,white,blue,0,1ab4a85f,right"
16821          sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 ],[0 0 58 58 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13 28 32 36 52 40 28 19 32 19 28 40 52 36 32 28 13 ],[7 16 29 42 51 51 47 51 51 39 51 42 29 16 7 19 7 7 11 7 7 ],[0.98 0.96 0.92]);\nplot([0 55 55 0 0 ],[0 0 58 58 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');disp('not');\nfprintf('','COMMENT: end icon text');\n"
16822        }
16823        Block {
16824          BlockType           Reference
16825          Name            "Logical"
16826          Ports           [2, 1]
16827          Position            [260, 25, 315, 85]
16828          SourceBlock         "xbsIndex_r4/Logical"
16829          SourceType          "Xilinx Logical Block Block"
16830          logical_function        "AND"
16831          inputs              "2"
16832          en              "off"
16833          latency             "0"
16834          precision           "Full"
16835          arith_type          "Unsigned"
16836          n_bits              "16"
16837          bin_pt              "0"
16838          align_bp            "on"
16839          dbl_ovrd            "off"
16840          xl_use_area         "off"
16841          xl_area             "[1 0 0 1 0 0 0]"
16842          has_advanced_control    "0"
16843          sggui_pos           "-1,-1,-1,-1"
16844          block_type          "logical"
16845          block_version       "8.2"
16846          sg_icon_stat        "55,60,1,1,white,blue,0,087b5522,right"
16847          sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 ],[0 0 60 60 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13 28 32 36 52 40 28 19 32 19 28 40 52 36 32 28 13 ],[8 17 30 43 52 52 48 52 52 40 52 43 30 17 8 20 8 8 12 8 8 ],[0.98 0.96 0.92]);\nplot([0 55 55 0 0 ],[0 0 60 60 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');disp('\\newlineand\\newlinez^{-0}','texmode','on');\nfprintf('','COMMENT: end icon text');\n"
16848        }
16849        Block {
16850          BlockType           Outport
16851          Name            "Out"
16852          Position            [340, 48, 370, 62]
16853          IconDisplay         "Port number"
16854          OutDataType         "sfix(16)"
16855          OutScaling          "2^0"
16856        }
16857        Line {
16858          SrcBlock            "Delay"
16859          SrcPort             1
16860          Points              [0, 0]
16861          DstBlock            "Inverter"
16862          DstPort             1
16863        }
16864        Line {
16865          SrcBlock            "Inverter"
16866          SrcPort             1
16867          Points              [5, 0; 0, -25]
16868          DstBlock            "Logical"
16869          DstPort             2
16870        }
16871        Line {
16872          SrcBlock            "In"
16873          SrcPort             1
16874          Points              [0, 0; 5, 0]
16875          Branch {
16876        DstBlock        "Logical"
16877        DstPort         1
16878          }
16879          Branch {
16880        Points          [0, 55]
16881        DstBlock        "Delay"
16882        DstPort         1
16883          }
16884        }
16885        Line {
16886          SrcBlock            "Logical"
16887          SrcPort             1
16888          Points              [0, 0]
16889          DstBlock            "Out"
16890          DstPort             1
16891        }
16892      }
16893    }
16894    Block {
16895      BlockType       Outport
16896      Name            "done"
16897      Position        [1350, 268, 1380, 282]
16898      IconDisplay         "Port number"
16899      OutDataType         "sfix(16)"
16900      OutScaling          "2^0"
16901    }
16902    Block {
16903      BlockType       Outport
16904      Name            "running"
16905      Position        [1245, 518, 1275, 532]
16906      Port            "2"
16907      IconDisplay         "Port number"
16908      OutDataType         "sfix(16)"
16909      OutScaling          "2^0"
16910    }
16911    Block {
16912      BlockType       Outport
16913      Name            "paused"
16914      Position        [1240, 463, 1270, 477]
16915      Port            "3"
16916      IconDisplay         "Port number"
16917      OutDataType         "sfix(16)"
16918      OutScaling          "2^0"
16919    }
16920    Block {
16921      BlockType       Outport
16922      Name            "timeLeft"
16923      Position        [1285, 358, 1315, 372]
16924      Port            "4"
16925      IconDisplay         "Port number"
16926      OutDataType         "sfix(16)"
16927      OutScaling          "2^0"
16928    }
16929    Line {
16930      SrcBlock        "mode"
16931      SrcPort         1
16932      DstBlock        "Mux"
16933      DstPort         1
16934    }
16935    Line {
16936      SrcBlock        "Constant1"
16937      SrcPort         1
16938      DstBlock        "Mux"
16939      DstPort         2
16940    }
16941    Line {
16942      SrcBlock        "Medium Idle"
16943      SrcPort         1
16944      DstBlock        "Mux"
16945      DstPort         3
16946    }
16947    Line {
16948      SrcBlock        "Mux"
16949      SrcPort         1
16950      DstBlock        "Convert1"
16951      DstPort         1
16952    }
16953    Line {
16954      SrcBlock        "Logical4"
16955      SrcPort         1
16956      DstBlock        "paused"
16957      DstPort         1
16958    }
16959    Line {
16960      SrcBlock        "start"
16961      SrcPort         1
16962      DstBlock        "Sim Mux"
16963      DstPort         2
16964    }
16965    Line {
16966      SrcBlock        "Sim Mux"
16967      SrcPort         1
16968      DstBlock        "posedge"
16969      DstPort         1
16970    }
16971    Line {
16972      SrcBlock        "AddSub"
16973      SrcPort         1
16974      DstBlock        "timeLeft"
16975      DstPort         1
16976    }
16977    Line {
16978      SrcBlock        "Constant"
16979      SrcPort         1
16980      DstBlock        "Relational1"
16981      DstPort         2
16982    }
16983    Line {
16984      SrcBlock        "Relational1"
16985      SrcPort         1
16986      DstBlock        "Logical4"
16987      DstPort         1
16988    }
16989    Line {
16990      SrcBlock        "Inverter"
16991      SrcPort         1
16992      DstBlock        "Logical4"
16993      DstPort         2
16994    }
16995    Line {
16996      SrcBlock        "S-R_Latch1"
16997      SrcPort         1
16998      Points          [25, 0]
16999      Branch {
17000        Points          [0, 175]
17001        Branch {
17002          Points              [0, 235]
17003          DstBlock            "Counter1"
17004          DstPort             2
17005        }
17006        Branch {
17007          Points              [290, 0]
17008          Branch {
17009        DstBlock        "Inverter"
17010        DstPort         1
17011          }
17012          Branch {
17013        Points          [0, 40]
17014        DstBlock        "running"
17015        DstPort         1
17016          }
17017        }
17018      }
17019      Branch {
17020        Points          [0, -20]
17021        DstBlock            "Logical6"
17022        DstPort         1
17023      }
17024    }
17025    Line {
17026      SrcBlock        "slotCount"
17027      SrcPort         1
17028      Points          [10, 0]
17029      Branch {
17030        Points          [0, 105]
17031        DstBlock            "AddSub"
17032        DstPort         1
17033      }
17034      Branch {
17035        DstBlock            "Relational"
17036        DstPort         1
17037      }
17038    }
17039    Line {
17040      SrcBlock        "Counter"
17041      SrcPort         1
17042      Points          [0, 0]
17043      Branch {
17044        Labels          [1, 0]
17045        DstBlock            "Relational"
17046        DstPort         2
17047      }
17048      Branch {
17049        Points          [0, 100]
17050        Branch {
17051          DstBlock            "AddSub"
17052          DstPort             2
17053        }
17054        Branch {
17055          Points              [0, 30]
17056          Branch {
17057        Points          [0, 35]
17058        DstBlock        "Relational1"
17059        DstPort         1
17060          }
17061          Branch {
17062        Points          [445, 0; 0, -85]
17063        DstBlock        "Scope"
17064        DstPort         1
17065          }
17066        }
17067      }
17068    }
17069    Line {
17070      SrcBlock        "Logical1"
17071      SrcPort         1
17072      DstBlock        "Counter"
17073      DstPort         1
17074    }
17075    Line {
17076      SrcBlock        "S-R_Latch2"
17077      SrcPort         1
17078      DstBlock        "done"
17079      DstPort         1
17080    }
17081    Line {
17082      SrcBlock        "Relational"
17083      SrcPort         1
17084      Points          [10, 0]
17085      Branch {
17086        Points          [0, 110; -550, 0; 0, -40]
17087        DstBlock            "Logical2"
17088        DstPort         2
17089      }
17090      Branch {
17091        Points          [0, -80; -290, 0; 0, 60]
17092        DstBlock            "Logical1"
17093        DstPort         1
17094      }
17095      Branch {
17096        DstBlock            "Logical7"
17097        DstPort         2
17098      }
17099    }
17100    Line {
17101      SrcBlock        "done_reset"
17102      SrcPort         1
17103      Points          [15, 0]
17104      Branch {
17105        DstBlock            "S-R_Latch2"
17106        DstPort         2
17107      }
17108      Branch {
17109        Points          [0, -145; -625, 0; 0, 75]
17110        DstBlock            "S-R_Latch3"
17111        DstPort         2
17112      }
17113    }
17114    Line {
17115      SrcBlock        "posedge"
17116      SrcPort         1
17117      Points          [15, 0]
17118      Branch {
17119        DstBlock            "Logical3"
17120        DstPort         1
17121      }
17122      Branch {
17123        Points          [0, 400]
17124        DstBlock            "Logical5"
17125        DstPort         3
17126      }
17127      Branch {
17128        Points          [0, -25]
17129        Branch {
17130          DstBlock            "Logical1"
17131          DstPort             2
17132        }
17133        Branch {
17134          Points              [0, -70]
17135          DstBlock            "S-R_Latch3"
17136          DstPort             1
17137        }
17138      }
17139    }
17140    Line {
17141      SrcBlock        "Logical3"
17142      SrcPort         1
17143      DstBlock        "S-R_Latch1"
17144      DstPort         1
17145    }
17146    Line {
17147      SrcBlock        "negedge"
17148      SrcPort         1
17149      DstBlock        "Logical3"
17150      DstPort         2
17151    }
17152    Line {
17153      SrcBlock        "pause"
17154      SrcPort         1
17155      Points          [115, 0]
17156      Branch {
17157        DstBlock            "posedge2"
17158        DstPort         1
17159      }
17160      Branch {
17161        Points          [0, -35]
17162        DstBlock            "negedge"
17163        DstPort         1
17164      }
17165    }
17166    Line {
17167      SrcBlock        "Sim-only\nGateway In"
17168      SrcPort         1
17169      DstBlock        "Sim Mux"
17170      DstPort         1
17171    }
17172    Line {
17173      SrcBlock        "slotTime"
17174      SrcPort         1
17175      DstBlock        "Relational2"
17176      DstPort         1
17177    }
17178    Line {
17179      SrcBlock        "Counter1"
17180      SrcPort         1
17181      Points          [35, 0]
17182      Branch {
17183        DstBlock            "Relational2"
17184        DstPort         2
17185      }
17186      Branch {
17187        Points          [0, 35; 505, 0; 0, -340]
17188        DstBlock            "Scope"
17189        DstPort         3
17190      }
17191    }
17192    Line {
17193      SrcBlock        "Logical5"
17194      SrcPort         1
17195      DstBlock        "Counter1"
17196      DstPort         1
17197    }
17198    Line {
17199      SrcBlock        "Relational2"
17200      SrcPort         1
17201      Points          [20, 0; 0, -60]
17202      Branch {
17203        Points          [-295, 0]
17204        Branch {
17205          DstBlock            "Logical5"
17206          DstPort             1
17207        }
17208        Branch {
17209          Points              [0, -320]
17210          DstBlock            "Logical6"
17211          DstPort             2
17212        }
17213      }
17214      Branch {
17215        Points          [380, 0; 0, -265]
17216        DstBlock            "Scope"
17217        DstPort         2
17218      }
17219    }
17220    Line {
17221      SrcBlock        "Inverter1"
17222      SrcPort         1
17223      DstBlock        "Logical5"
17224      DstPort         2
17225    }
17226    Line {
17227      SrcBlock        "Logical6"
17228      SrcPort         1
17229      DstBlock        "Counter"
17230      DstPort         2
17231    }
17232    Line {
17233      SrcBlock        "Convert1"
17234      SrcPort         1
17235      Points          [25, 0; 0, -75]
17236      DstBlock        "Sim Mux1"
17237      DstPort         2
17238    }
17239    Line {
17240      SrcBlock        "Sim Mux1"
17241      SrcPort         1
17242      Points          [60, 0]
17243      Branch {
17244        DstBlock            "Inverter1"
17245        DstPort         1
17246      }
17247      Branch {
17248        Points          [260, 0; 0, -250]
17249        Branch {
17250          DstBlock            "Logical6"
17251          DstPort             3
17252        }
17253        Branch {
17254          DstBlock            "Delay"
17255          DstPort             1
17256        }
17257      }
17258    }
17259    Line {
17260      SrcBlock        "Constant2"
17261      SrcPort         1
17262      DstBlock        "Sim-only\nGateway In"
17263      DstPort         1
17264    }
17265    Line {
17266      SrcBlock        "posedge2"
17267      SrcPort         1
17268      Points          [25, 0; 0, -15]
17269      DstBlock        "Logical2"
17270      DstPort         1
17271    }
17272    Line {
17273      SrcBlock        "Logical2"
17274      SrcPort         1
17275      DstBlock        "S-R_Latch1"
17276      DstPort         2
17277    }
17278    Line {
17279      SrcBlock        "Constant4"
17280      SrcPort         1
17281      DstBlock        "Sim-only\nGateway In2"
17282      DstPort         1
17283    }
17284    Line {
17285      SrcBlock        "Sim-only\nGateway In2"
17286      SrcPort         1
17287      DstBlock        "Sim Mux1"
17288      DstPort         1
17289    }
17290    Line {
17291      SrcBlock        "Logical7"
17292      SrcPort         1
17293      DstBlock        "S-R_Latch2"
17294      DstPort         1
17295    }
17296    Line {
17297      SrcBlock        "S-R_Latch3"
17298      SrcPort         1
17299      Points          [70, 0; 0, -50; 350, 0; 0, 95]
17300      DstBlock        "Logical7"
17301      DstPort         1
17302    }
17303    Line {
17304      SrcBlock        "Delay"
17305      SrcPort         1
17306      Points          [195, 0; 0, -75]
17307      DstBlock        "Logical7"
17308      DstPort         3
17309    }
17310    Annotation {
17311      Name            "This SR latch handles the case of a zero-slot-count\ntimer event. When the user code sets the slotCount to 0,\nthe comparison is immedilatey true. The timer done\nsignal then asserts when:\nif(carrier sensing) after IDLE AND\nafter user asserts start\nDone de-assets when the user clears the done bit\n*but does not re-assert* until the next user-initiated start."
17312      Position        [697, 92]
17313    }
17314      }
17315    }
17316    Block {
17317      BlockType           SubSystem
17318      Name            "Timer 5"
17319      Ports           [7, 4]
17320      Position            [855, 205, 960, 325]
17321      MinAlgLoopOccurrences   off
17322      PropExecContextOutsideSubsystem off
17323      RTWSystemCode       "Auto"
17324      FunctionWithSeparateData off
17325      Opaque              off
17326      RequestExecContextInheritance off
17327      MaskHideContents        off
17328      System {
17329    Name            "Timer 5"
17330    Location        [214, 74, 1910, 1156]
17331    Open            off
17332    ModelBrowserVisibility  on
17333    ModelBrowserWidth   200
17334    ScreenColor     "white"
17335    PaperOrientation    "landscape"
17336    PaperPositionMode   "auto"
17337    PaperType       "usletter"
17338    PaperUnits      "inches"
17339    TiledPaperMargins   [0.500000, 0.500000, 0.500000, 0.500000]
17340    TiledPageScale      1
17341    ShowPageBoundaries  off
17342    ZoomFactor      "100"
17343    Block {
17344      BlockType       Inport
17345      Name            "start"
17346      Position        [310, 283, 340, 297]
17347      IconDisplay         "Port number"
17348      OutDataType         "sfix(16)"
17349      OutScaling          "2^0"
17350    }
17351    Block {
17352      BlockType       Inport
17353      Name            "pause"
17354      Position        [310, 323, 340, 337]
17355      Port            "2"
17356      IconDisplay         "Port number"
17357      OutDataType         "sfix(16)"
17358      OutScaling          "2^0"
17359    }
17360    Block {
17361      BlockType       Inport
17362      Name            "mode"
17363      Position        [255, 648, 285, 662]
17364      NamePlacement       "alternate"
17365      Port            "3"
17366      IconDisplay         "Port number"
17367      OutDataType         "sfix(16)"
17368      OutScaling          "2^0"
17369    }
17370    Block {
17371      BlockType       Inport
17372      Name            "done_reset"
17373      Position        [1175, 283, 1205, 297]
17374      Port            "4"
17375      IconDisplay         "Port number"
17376      OutDataType         "sfix(16)"
17377      OutScaling          "2^0"
17378    }
17379    Block {
17380      BlockType       Inport
17381      Name            "slotCount"
17382      Position        [980, 238, 1010, 252]
17383      NamePlacement       "alternate"
17384      Port            "5"
17385      IconDisplay         "Port number"
17386      OutDataType         "sfix(16)"
17387      OutScaling          "2^0"
17388    }
17389    Block {
17390      BlockType       Inport
17391      Name            "slotTime"
17392      Position        [930, 658, 960, 672]
17393      Port            "6"
17394      IconDisplay         "Port number"
17395      OutDataType         "sfix(16)"
17396      OutScaling          "2^0"
17397    }
17398    Block {
17399      BlockType       Inport
17400      Name            "Medium Idle"
17401      Position        [250, 698, 280, 712]
17402      Port            "7"
17403      IconDisplay         "Port number"
17404      OutDataType         "sfix(16)"
17405      OutScaling          "2^0"
17406    }
17407    Block {
17408      BlockType       Reference
17409      Name            "AddSub"
17410      Ports           [2, 1]
17411      Position        [1210, 339, 1255, 386]
17412      ShowName        off
17413      SourceBlock         "xbsIndex_r4/AddSub"
17414      SourceType          "Xilinx Adder/Subtractor Block"
17415      mode            "Subtraction"
17416      use_carryin         off
17417      use_carryout        off
17418      en              off
17419      latency         "0"
17420      precision       "User Defined"
17421      arith_type          "Unsigned"
17422      n_bits          "32"
17423      bin_pt          "0"
17424      quantization        "Truncate"
17425      overflow        "Wrap"
17426      dbl_ovrd        off
17427      use_behavioral_HDL      off
17428      pipelined       off
17429      use_rpm         on
17430      hw_selection        "Fabric"
17431      xl_use_area         off
17432      xl_area         "[16 0 0 32 0 0 0]"
17433      has_advanced_control    "0"
17434      sggui_pos       "-1,-1,-1,-1"
17435      block_type          "addsub"
17436      block_version       "8.2"
17437      sg_icon_stat        "45,47,2,1,white,blue,0,46b4c804,right"
17438      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 ],[0 0 58 58 ],[0.77 0.82 0.91]);\npatch([15 5 19 5 15 30 34 38 54 41 29 20 34 20 29 41 54 38 34 30 15 ],[6 16 30 44 54 54 50 54 54 41 53 44 30 16 7 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 58 58 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1,'a');\ncolor('black');port_label('input',2,'b');\ncolor('black');port_label('output',1,'\\bf{a - b}','texmode','on');\nfprintf('','COMMENT: end icon text');\n"
17439    }
17440    Block {
17441      BlockType       Reference
17442      Name            "Constant"
17443      Ports           [0, 1]
17444      Position        [940, 454, 970, 466]
17445      ShowName        off
17446      SourceBlock         "xbsIndex_r4/Constant"
17447      SourceType          "Xilinx Constant Block Block"
17448      arith_type          "Unsigned"
17449      const           "0"
17450      n_bits          "32"
17451      bin_pt          "0"
17452      explicit_period     off
17453      period          "1"
17454      dsp48_infoedit      "The use of this block for DSP48 instructions is deprecated.  Please use the Opmode block."
17455      equ             "P=C"
17456      opselect        "C"
17457      inp2            "PCIN>>17"
17458      opr             "+"
17459      inp1            "P"
17460      carry           "CIN"
17461      dbl_ovrd        off
17462      has_advanced_control    "0"
17463      sggui_pos       "50,50,400,346"
17464      block_type          "constant"
17465      block_version       "10.1.2"
17466      sg_icon_stat        "30,12,0,1,white,blue,0,72d575a1,right"
17467      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 ],[0 0 26 26 ],[0.77 0.82 0.91]);\npatch([20 16 22 16 20 27 29 31 38 32 26 22 28 22 26 32 38 31 29 27 20 ],[3 7 13 19 23 23 21 23 23 17 23 19 13 7 3 9 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 55 55 0 0 ],[0 0 26 26 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('output',1,'0');\nfprintf('','COMMENT: end icon text');\n"
17468    }
17469    Block {
17470      BlockType       Reference
17471      Name            "Constant1"
17472      Ports           [0, 1]
17473      Position        [255, 672, 290, 688]
17474      ShowName        off
17475      SourceBlock         "xbsIndex_r4/Constant"
17476      SourceType          "Xilinx Constant Block Block"
17477      arith_type          "Unsigned"
17478      const           "1"
17479      n_bits          "1"
17480      bin_pt          "0"
17481      explicit_period     off
17482      period          "1"
17483      dsp48_infoedit      "The use of this block for DSP48 instructions is deprecated.  Please use the Opmode block."
17484      equ             "P=C"
17485      opselect        "C"
17486      inp2            "PCIN>>17"
17487      opr             "+"
17488      inp1            "P"
17489      carry           "CIN"
17490      dbl_ovrd        off
17491      has_advanced_control    "0"
17492      sggui_pos       "-1,-1,-1,-1"
17493      block_type          "constant"
17494      block_version       "8.2"
17495      sg_icon_stat        "35,16,0,1,white,blue,0,06094819,right"
17496      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 ],[0 0 26 26 ],[0.77 0.82 0.91]);\npatch([20 16 22 16 20 27 29 31 38 32 26 22 28 22 26 32 38 31 29 27 20 ],[3 7 13 19 23 23 21 23 23 17 23 19 13 7 3 9 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 55 55 0 0 ],[0 0 26 26 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('output',1,'1');\nfprintf('','COMMENT: end icon text');\n"
17497    }
17498    Block {
17499      BlockType       Constant
17500      Name            "Constant2"
17501      Position        [260, 261, 275, 279]
17502      ShowName        off
17503      OutDataType         "sfix(16)"
17504      OutScaling          "2^0"
17505    }
17506    Block {
17507      BlockType       Constant
17508      Name            "Constant4"
17509      Position        [315, 576, 330, 594]
17510      ShowName        off
17511      Value           "0"
17512      OutDataType         "sfix(16)"
17513      OutScaling          "2^0"
17514    }
17515    Block {
17516      BlockType       Reference
17517      Name            "Convert1"
17518      Ports           [1, 1]
17519      Position        [380, 670, 415, 690]
17520      ShowName        off
17521      SourceBlock         "xbsIndex_r4/Convert"
17522      SourceType          "Xilinx Type Converter Block"
17523      infoedit        "Hardware notes: rounding and saturating require hardware resources; truncating and wrapping do not."
17524      arith_type          "Boolean"
17525      n_bits          "16"
17526      bin_pt          "14"
17527      quantization        "Truncate"
17528      overflow        "Wrap"
17529      latency         "0"
17530      dbl_ovrd        off
17531      pipeline        off
17532      xl_use_area         off
17533      xl_area         "[0 0 0 0 0 0 0]"
17534      has_advanced_control    "0"
17535      sggui_pos       "20,20,461,375"
17536      block_type          "convert"
17537      block_version       "8.2"
17538      sg_icon_stat        "35,20,1,1,white,blue,0,74901e60,right"
17539      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 45 45 0 ],[0 0 30 30 ],[0.77 0.82 0.91]);\npatch([15 10 17 10 15 23 25 27 35 28 22 17 23 17 22 28 35 27 25 23 15 ],[3 8 15 22 27 27 25 27 27 20 26 21 15 9 4 10 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 45 45 0 0 ],[0 0 30 30 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('output',1,'cast');\nfprintf('','COMMENT: end icon text');\n"
17540    }
17541    Block {
17542      BlockType       Reference
17543      Name            "Counter"
17544      Ports           [2, 1]
17545      Position        [905, 224, 965, 326]
17546      NamePlacement       "alternate"
17547      SourceBlock         "xbsIndex_r4/Counter"
17548      SourceType          "Xilinx Counter Block"
17549      infoedit        "Hardware notes: Free running counters are the least expensive in hardware.  A count limited counter is implemented by combining a counter with a comparator."
17550      cnt_type        "Free Running"
17551      cnt_to          "Inf"
17552      operation       "Up"
17553      start_count         "0"
17554      cnt_by_val          "1"
17555      arith_type          "Unsigned"
17556      n_bits          "32"
17557      bin_pt          "0"
17558      load_pin        off
17559      rst             on
17560      en              on
17561      explicit_period     "on"
17562      period          "1"
17563      dbl_ovrd        off
17564      use_behavioral_HDL      off
17565      use_rpm         off
17566      implementation      "Fabric"
17567      xl_use_area         off
17568      xl_area         "[17 32 0 32 0 0 0]"
17569      has_advanced_control    "0"
17570      sggui_pos       "20,20,356,630"
17571      block_type          "counter"
17572      block_version       "8.2"
17573      sg_icon_stat        "60,102,2,1,white,blue,0,46c73e85,right"
17574      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 ],[0 0 60 60 ],[0.77 0.82 0.91]);\npatch([14 4 18 4 14 30 34 38 55 42 29 20 35 20 29 42 55 38 34 30 14 ],[6 16 30 44 54 54 50 54 54 41 54 45 30 15 6 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 60 60 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1,'rst');\ncolor('black');port_label('input',2,'en');\ncolor('black');port_label('output',1,'out');\nfprintf('','COMMENT: end icon text');\n"
17575    }
17576    Block {
17577      BlockType       Reference
17578      Name            "Counter1"
17579      Ports           [2, 1]
17580      Position        [835, 644, 895, 746]
17581      SourceBlock         "xbsIndex_r4/Counter"
17582      SourceType          "Xilinx Counter Block"
17583      infoedit        "Hardware notes: Free running counters are the least expensive in hardware.  A count limited counter is implemented by combining a counter with a comparator."
17584      cnt_type        "Free Running"
17585      cnt_to          "Inf"
17586      operation       "Up"
17587      start_count         "0"
17588      cnt_by_val          "1"
17589      arith_type          "Unsigned"
17590      n_bits          "16"
17591      bin_pt          "0"
17592      load_pin        off
17593      rst             on
17594      en              on
17595      explicit_period     "on"
17596      period          "1"
17597      dbl_ovrd        off
17598      use_behavioral_HDL      off
17599      use_rpm         off
17600      implementation      "Fabric"
17601      xl_use_area         off
17602      xl_area         "[17 32 0 32 0 0 0]"
17603      has_advanced_control    "0"
17604      sggui_pos       "20,20,356,630"
17605      block_type          "counter"
17606      block_version       "8.2"
17607      sg_icon_stat        "60,102,2,1,white,blue,0,46c73e85,right"
17608      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 ],[0 0 60 60 ],[0.77 0.82 0.91]);\npatch([14 4 18 4 14 30 34 38 55 42 29 20 35 20 29 42 55 38 34 30 14 ],[6 16 30 44 54 54 50 54 54 41 54 45 30 15 6 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 60 60 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1,'rst');\ncolor('black');port_label('input',2,'en');\ncolor('black');port_label('output',1,'out');\nfprintf('','COMMENT: end icon text');\n"
17609    }
17610    Block {
17611      BlockType       Reference
17612      Name            "Delay"
17613      Ports           [1, 1]
17614      Position        [925, 331, 950, 359]
17615      ShowName        off
17616      SourceBlock         "xbsIndex_r4/Delay"
17617      SourceType          "Xilinx Delay Block"
17618      infoedit        "Hardware notes: A delay line is a chain, each link of which is an SRL16 followed by a flip-flop."
17619      en              off
17620      latency         "1"
17621      dbl_ovrd        off
17622      reg_retiming        off
17623      xl_use_area         off
17624      xl_area         "[0,0,0,0,0,0,0]"
17625      has_advanced_control    "0"
17626      sggui_pos       "-1,-1,-1,-1"
17627      block_type          "delay"
17628      block_version       "10.1.3"
17629      sg_icon_stat        "25,28,1,1,white,blue,0,fc531c0e,right"
17630      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 25 25 0 ],[0 0 28 28 ],[0.77 0.82 0.91]);\npatch([6 2 8 2 6 13 15 17 24 18 13 9 14 9 13 18 24 17 15 13 6 ],[4 8 14 20 24 24 22 24 24 18 23 19 14 9 5 10 4 4 6 4 4 ],[0.98 0.96 0.92]);\nplot([0 25 25 0 0 ],[0 0 28 28 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');disp('z^{-1}','texmode','on');\nfprintf('','COMMENT: end icon text');\n"
17631    }
17632    Block {
17633      BlockType       Reference
17634      Name            "Inverter"
17635      Ports           [1, 1]
17636      Position        [1080, 476, 1125, 494]
17637      ShowName        off
17638      SourceBlock         "xbsIndex_r4/Inverter"
17639      SourceType          "Xilinx Inverter Block"
17640      infoedit        "Bitwise logical negation (one's complement) operator."
17641      en              off
17642      latency         "0"
17643      dbl_ovrd        off
17644      xl_use_area         off
17645      xl_area         "[0 0 0 0 0 0 0]"
17646      has_advanced_control    "0"
17647      sggui_pos       "-1,-1,-1,-1"
17648      block_type          "inv"
17649      block_version       "10.1.2"
17650      sg_icon_stat        "45,18,1,1,white,blue,0,1ab4a85f,right"
17651      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 ],[0 0 58 58 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13 28 32 36 52 40 28 19 32 19 28 40 52 36 32 28 13 ],[7 16 29 42 51 51 47 51 51 39 51 42 29 16 7 19 7 7 11 7 7 ],[0.98 0.96 0.92]);\nplot([0 55 55 0 0 ],[0 0 58 58 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');disp('not');\nfprintf('','COMMENT: end icon text');\n"
17652    }
17653    Block {
17654      BlockType       Reference
17655      Name            "Inverter1"
17656      Ports           [1, 1]
17657      Position        [590, 661, 635, 679]
17658      ShowName        off
17659      SourceBlock         "xbsIndex_r4/Inverter"
17660      SourceType          "Xilinx Inverter Block"
17661      infoedit        "Bitwise logical negation (one's complement) operator."
17662      en              off
17663      latency         "0"
17664      dbl_ovrd        off
17665      xl_use_area         off
17666      xl_area         "[0 0 0 0 0 0 0]"
17667      has_advanced_control    "0"
17668      sggui_pos       "-1,-1,-1,-1"
17669      block_type          "inv"
17670      block_version       "10.1.2"
17671      sg_icon_stat        "45,18,1,1,white,blue,0,1ab4a85f,right"
17672      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 ],[0 0 58 58 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13 28 32 36 52 40 28 19 32 19 28 40 52 36 32 28 13 ],[7 16 29 42 51 51 47 51 51 39 51 42 29 16 7 19 7 7 11 7 7 ],[0.98 0.96 0.92]);\nplot([0 55 55 0 0 ],[0 0 58 58 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');disp('not');\nfprintf('','COMMENT: end icon text');\n"
17673    }
17674    Block {
17675      BlockType       Reference
17676      Name            "Logical1"
17677      Ports           [2, 1]
17678      Position        [855, 231, 885, 264]
17679      ShowName        off
17680      SourceBlock         "xbsIndex_r4/Logical"
17681      SourceType          "Xilinx Logical Block Block"
17682      logical_function    "OR"
17683      inputs          "2"
17684      en              off
17685      latency         "0"
17686      precision       "Full"
17687      arith_type          "Unsigned"
17688      n_bits          "16"
17689      bin_pt          "0"
17690      align_bp        on
17691      dbl_ovrd        off
17692      xl_use_area         off
17693      xl_area         "[1 0 0 1 0 0 0]"
17694      has_advanced_control    "0"
17695      sggui_pos       "-1,-1,-1,-1"
17696      block_type          "logical"
17697      block_version       "9.1.01"
17698      sg_icon_stat        "30,33,2,1,white,blue,0,f4a65842,right"
17699      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 ],[0 0 60 60 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13 28 32 36 52 40 28 19 32 19 28 40 52 36 32 28 13 ],[8 17 30 43 52 52 48 52 52 40 52 43 30 17 8 20 8 8 12 8 8 ],[0.98 0.96 0.92]);\nplot([0 55 55 0 0 ],[0 0 60 60 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');disp('\\newlineor\\newlinez^{-0}','texmode','on');\nfprintf('','COMMENT: end icon text');\n"
17700    }
17701    Block {
17702      BlockType       Reference
17703      Name            "Logical2"
17704      Ports           [2, 1]
17705      Position        [590, 306, 620, 339]
17706      ShowName        off
17707      SourceBlock         "xbsIndex_r4/Logical"
17708      SourceType          "Xilinx Logical Block Block"
17709      logical_function    "OR"
17710      inputs          "2"
17711      en              off
17712      latency         "0"
17713      precision       "Full"
17714      arith_type          "Unsigned"
17715      n_bits          "16"
17716      bin_pt          "0"
17717      align_bp        on
17718      dbl_ovrd        off
17719      xl_use_area         off
17720      xl_area         "[1 0 0 1 0 0 0]"
17721      has_advanced_control    "0"
17722      sggui_pos       "-1,-1,-1,-1"
17723      block_type          "logical"
17724      block_version       "9.1.01"
17725      sg_icon_stat        "30,33,2,1,white,blue,0,f4a65842,right"
17726      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 ],[0 0 60 60 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13 28 32 36 52 40 28 19 32 19 28 40 52 36 32 28 13 ],[8 17 30 43 52 52 48 52 52 40 52 43 30 17 8 20 8 8 12 8 8 ],[0.98 0.96 0.92]);\nplot([0 55 55 0 0 ],[0 0 60 60 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');disp('\\newlineor\\newlinez^{-0}','texmode','on');\nfprintf('','COMMENT: end icon text');\n"
17727    }
17728    Block {
17729      BlockType       Reference
17730      Name            "Logical3"
17731      Ports           [2, 1]
17732      Position        [590, 271, 620, 304]
17733      ShowName        off
17734      SourceBlock         "xbsIndex_r4/Logical"
17735      SourceType          "Xilinx Logical Block Block"
17736      logical_function    "OR"
17737      inputs          "2"
17738      en              off
17739      latency         "0"
17740      precision       "Full"
17741      arith_type          "Unsigned"
17742      n_bits          "16"
17743      bin_pt          "0"
17744      align_bp        on
17745      dbl_ovrd        off
17746      xl_use_area         off
17747      xl_area         "[1 0 0 1 0 0 0]"
17748      has_advanced_control    "0"
17749      sggui_pos       "-1,-1,-1,-1"
17750      block_type          "logical"
17751      block_version       "9.1.01"
17752      sg_icon_stat        "30,33,2,1,white,blue,0,f4a65842,right"
17753      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 ],[0 0 60 60 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13 28 32 36 52 40 28 19 32 19 28 40 52 36 32 28 13 ],[8 17 30 43 52 52 48 52 52 40 52 43 30 17 8 20 8 8 12 8 8 ],[0.98 0.96 0.92]);\nplot([0 55 55 0 0 ],[0 0 60 60 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');disp('\\newlineor\\newlinez^{-0}','texmode','on');\nfprintf('','COMMENT: end icon text');\n"
17754    }
17755    Block {
17756      BlockType       Reference
17757      Name            "Logical4"
17758      Ports           [2, 1]
17759      Position        [1155, 433, 1200, 502]
17760      ShowName        off
17761      SourceBlock         "xbsIndex_r4/Logical"
17762      SourceType          "Xilinx Logical Block Block"
17763      logical_function    "AND"
17764      inputs          "2"
17765      en              off
17766      latency         "0"
17767      precision       "Full"
17768      arith_type          "Unsigned"
17769      n_bits          "16"
17770      bin_pt          "0"
17771      align_bp        on
17772      dbl_ovrd        off
17773      xl_use_area         off
17774      xl_area         "[1 0 0 1 0 0 0]"
17775      has_advanced_control    "0"
17776      sggui_pos       "-1,-1,-1,-1"
17777      block_type          "logical"
17778      block_version       "10.1.2"
17779      sg_icon_stat        "45,69,2,1,white,blue,0,087b5522,right"
17780      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 ],[0 0 60 60 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13 28 32 36 52 40 28 19 32 19 28 40 52 36 32 28 13 ],[8 17 30 43 52 52 48 52 52 40 52 43 30 17 8 20 8 8 12 8 8 ],[0.98 0.96 0.92]);\nplot([0 55 55 0 0 ],[0 0 60 60 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');disp('\\newlineand\\newlinez^{-0}','texmode','on');\nfprintf('','COMMENT: end icon text');\n"
17781    }
17782    Block {
17783      BlockType       Reference
17784      Name            "Logical5"
17785      Ports           [3, 1]
17786      Position        [770, 652, 805, 688]
17787      ShowName        off
17788      SourceBlock         "xbsIndex_r4/Logical"
17789      SourceType          "Xilinx Logical Block Block"
17790      logical_function    "OR"
17791      inputs          "3"
17792      en              off
17793      latency         "0"
17794      precision       "Full"
17795      arith_type          "Unsigned"
17796      n_bits          "16"
17797      bin_pt          "0"
17798      align_bp        on
17799      dbl_ovrd        off
17800      xl_use_area         off
17801      xl_area         "[1 0 0 1 0 0 0]"
17802      has_advanced_control    "0"
17803      sggui_pos       "20,20,348,261"
17804      block_type          "logical"
17805      block_version       "8.2"
17806      sg_icon_stat        "35,36,3,1,white,blue,0,bd50cad4,right"
17807      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 ],[0 0 60 60 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13 28 32 36 52 40 28 19 32 19 28 40 52 36 32 28 13 ],[8 17 30 43 52 52 48 52 52 40 52 43 30 17 8 20 8 8 12 8 8 ],[0.98 0.96 0.92]);\nplot([0 55 55 0 0 ],[0 0 60 60 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');disp('\\newlineor\\newlinez^{-0}','texmode','on');\nfprintf('','COMMENT: end icon text');\n"
17808    }
17809    Block {
17810      BlockType       Reference
17811      Name            "Logical6"
17812      Ports           [3, 1]
17813      Position        [850, 282, 885, 318]
17814      ShowName        off
17815      SourceBlock         "xbsIndex_r4/Logical"
17816      SourceType          "Xilinx Logical Block Block"
17817      logical_function    "AND"
17818      inputs          "3"
17819      en              off
17820      latency         "0"
17821      precision       "Full"
17822      arith_type          "Unsigned"
17823      n_bits          "16"
17824      bin_pt          "0"
17825      align_bp        on
17826      dbl_ovrd        off
17827      xl_use_area         off
17828      xl_area         "[1 0 0 1 0 0 0]"
17829      has_advanced_control    "0"
17830      sggui_pos       "20,20,348,261"
17831      block_type          "logical"
17832      block_version       "8.2"
17833      sg_icon_stat        "35,36,3,1,white,blue,0,5c2bfaa2,right"
17834      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 ],[0 0 60 60 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13 28 32 36 52 40 28 19 32 19 28 40 52 36 32 28 13 ],[8 17 30 43 52 52 48 52 52 40 52 43 30 17 8 20 8 8 12 8 8 ],[0.98 0.96 0.92]);\nplot([0 55 55 0 0 ],[0 0 60 60 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');disp('\\newlineand\\newlinez^{-0}','texmode','on');\nfprintf('','COMMENT: end icon text');\n"
17835    }
17836    Block {
17837      BlockType       Reference
17838      Name            "Logical7"
17839      Ports           [3, 1]
17840      Position        [1175, 243, 1205, 277]
17841      ShowName        off
17842      SourceBlock         "xbsIndex_r4/Logical"
17843      SourceType          "Xilinx Logical Block Block"
17844      logical_function    "AND"
17845      inputs          "3"
17846      en              off
17847      latency         "0"
17848      precision       "Full"
17849      arith_type          "Unsigned"
17850      n_bits          "16"
17851      bin_pt          "0"
17852      align_bp        on
17853      dbl_ovrd        off
17854      xl_use_area         off
17855      xl_area         "[1 0 0 1 0 0 0]"
17856      has_advanced_control    "0"
17857      sggui_pos       "20,20,348,261"
17858      block_type          "logical"
17859      block_version       "9.1.01"
17860      sg_icon_stat        "30,34,3,1,white,blue,0,5c2bfaa2,right"
17861      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 30 30 0 ],[0 0 34 34 ],[0.77 0.82 0.91]);\npatch([7 2 9 2 7 15 17 19 27 20 14 9 15 9 14 20 27 19 17 15 7 ],[5 10 17 24 29 29 27 29 29 22 28 23 17 11 6 12 5 5 7 5 5 ],[0.98 0.96 0.92]);\nplot([0 30 30 0 0 ],[0 0 34 34 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');disp('\\newlineand\\newlinez^{-0}','texmode','on');\nfprintf('','COMMENT: end icon text');\n"
17862    }
17863    Block {
17864      BlockType       Reference
17865      Name            "Mux"
17866      Ports           [3, 1]
17867      Position        [320, 643, 345, 717]
17868      ShowName        off
17869      SourceBlock         "xbsIndex_r4/Mux"
17870      SourceType          "Xilinx Bus Multiplexer Block"
17871      inputs          "2"
17872      en              off
17873      latency         "0"
17874      precision       "Full"
17875      arith_type          "Unsigned"
17876      n_bits          "16"
17877      bin_pt          "14"
17878      quantization        "Truncate"
17879      overflow        "Wrap"
17880      dbl_ovrd        off
17881      xl_use_area         off
17882      xl_area         "[1 0 0 1 0 0 0]"
17883      has_advanced_control    "0"
17884      sggui_pos       "-1,-1,-1,-1"
17885      block_type          "mux"
17886      block_version       "8.2"
17887      sg_icon_stat        "25,74,3,1,white,blue,3,613f58e1,right"
17888      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 45 45 0 ],[0 14.8571 89.1429 104 ],[0.77 0.82 0.91]);\npatch([10 3 14 3 10 22 25 28 41 31 21 14 25 14 21 31 41 28 25 22 10 ],[34 41 52 63 70 70 67 70 70 60 70 63 52 41 34 44 34 34 37 34 34 ],[0.98 0.96 0.92]);\nplot([0 45 45 0 0 ],[0 14.8571 89.1429 104 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1,'sel');\ncolor('black');port_label('input',2,'d0');\ncolor('black');port_label('input',3,'d1');\ncolor('black');disp('\\bf{}','texmode','on');\nfprintf('','COMMENT: end icon text');\n"
17889    }
17890    Block {
17891      BlockType       Reference
17892      Name            "Relational"
17893      Ports           [2, 1]
17894      Position        [1060, 231, 1100, 289]
17895      ShowName        off
17896      SourceBlock         "xbsIndex_r4/Relational"
17897      SourceType          "Xilinx Arithmetic Relational Operator Block"
17898      mode            "a<=b"
17899      en              off
17900      latency         "0"
17901      dbl_ovrd        off
17902      xl_use_area         off
17903      xl_area         "[8 0 0 16 0 0 0]"
17904      has_advanced_control    "0"
17905      sggui_pos       "20,20,348,193"
17906      block_type          "relational"
17907      block_version       "8.2"
17908      sg_icon_stat        "40,58,2,1,white,blue,0,07808d72,right"
17909      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 ],[0 0 56 56 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13 28 32 36 52 40 28 19 32 19 28 40 52 36 32 28 13 ],[6 15 28 41 50 50 46 50 50 38 50 41 28 15 6 18 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 55 55 0 0 ],[0 0 56 56 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1,'a');\ncolor('black');port_label('input',2,'b');\ncolor('black');disp('\\newline\\bf{a<=b}\\newlinez^{-0}','texmode','on');\nfprintf('','COMMENT: end icon text');\n"
17910    }
17911    Block {
17912      BlockType       Reference
17913      Name            "Relational1"
17914      Ports           [2, 1]
17915      Position        [1005, 431, 1050, 469]
17916      ShowName        off
17917      SourceBlock         "xbsIndex_r4/Relational"
17918      SourceType          "Xilinx Arithmetic Relational Operator Block"
17919      mode            "a>b"
17920      en              off
17921      latency         "0"
17922      dbl_ovrd        off
17923      xl_use_area         off
17924      xl_area         "[16 1 0 32 0 0 0]"
17925      has_advanced_control    "0"
17926      sggui_pos       "20,20,348,193"
17927      block_type          "relational"
17928      block_version       "10.1.2"
17929      sg_icon_stat        "45,38,2,1,white,blue,0,064af3a6,right"
17930      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 ],[0 0 56 56 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13 28 32 36 52 40 28 19 32 19 28 40 52 36 32 28 13 ],[6 15 28 41 50 50 46 50 50 38 50 41 28 15 6 18 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 55 55 0 0 ],[0 0 56 56 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1,'a');\ncolor('black');port_label('input',2,'b');\ncolor('black');disp('\\newline\\bf{a>b}\\newlinez^{-0}','texmode','on');\nfprintf('','COMMENT: end icon text');\n"
17931    }
17932    Block {
17933      BlockType       Reference
17934      Name            "Relational2"
17935      Ports           [2, 1]
17936      Position        [985, 651, 1025, 709]
17937      ShowName        off
17938      SourceBlock         "xbsIndex_r4/Relational"
17939      SourceType          "Xilinx Arithmetic Relational Operator Block"
17940      mode            "a<=b"
17941      en              off
17942      latency         "0"
17943      dbl_ovrd        off
17944      xl_use_area         off
17945      xl_area         "[8 0 0 16 0 0 0]"
17946      has_advanced_control    "0"
17947      sggui_pos       "20,20,348,193"
17948      block_type          "relational"
17949      block_version       "8.2"
17950      sg_icon_stat        "40,58,2,1,white,blue,0,07808d72,right"
17951      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 ],[0 0 56 56 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13 28 32 36 52 40 28 19 32 19 28 40 52 36 32 28 13 ],[6 15 28 41 50 50 46 50 50 38 50 41 28 15 6 18 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 55 55 0 0 ],[0 0 56 56 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1,'a');\ncolor('black');port_label('input',2,'b');\ncolor('black');disp('\\newline\\bf{a<=b}\\newlinez^{-0}','texmode','on');\nfprintf('','COMMENT: end icon text');\n"
17952    }
17953    Block {
17954      BlockType       SubSystem
17955      Name            "S-R_Latch1"
17956      Ports           [2, 1]
17957      Position        [665, 272, 705, 343]
17958      MinAlgLoopOccurrences   off
17959      PropExecContextOutsideSubsystem off
17960      RTWSystemCode       "Auto"
17961      FunctionWithSeparateData off
17962      Opaque          off
17963      RequestExecContextInheritance off
17964      MaskHideContents    off
17965      System {
17966        Name            "S-R_Latch1"
17967        Location            [202, 70, 1438, 850]
17968        Open            off
17969        ModelBrowserVisibility  on
17970        ModelBrowserWidth       200
17971        ScreenColor         "white"
17972        PaperOrientation        "landscape"
17973        PaperPositionMode       "auto"
17974        PaperType           "usletter"
17975        PaperUnits          "inches"
17976        TiledPaperMargins       [0.500000, 0.500000, 0.500000, 0.500000]
17977        TiledPageScale      1
17978        ShowPageBoundaries      off
17979        ZoomFactor          "100"
17980        Block {
17981          BlockType           Inport
17982          Name            "S"
17983          Position            [95, 58, 125, 72]
17984          IconDisplay         "Port number"
17985          OutDataType         "sfix(16)"
17986          OutScaling          "2^0"
17987        }
17988        Block {
17989          BlockType           Inport
17990          Name            "R"
17991          Position            [95, 88, 125, 102]
17992          Port            "2"
17993          IconDisplay         "Port number"
17994          OutDataType         "sfix(16)"
17995          OutScaling          "2^0"
17996        }
17997        Block {
17998          BlockType           Reference
17999          Name            "Inverter"
18000          Ports           [1, 1]
18001          Position            [220, 82, 250, 108]
18002          SourceBlock         "xbsIndex_r4/Inverter"
18003          SourceType          "Xilinx Inverter Block"
18004          infoedit            "Bitwise logical negation (one's complement) operator."
18005          en              "off"
18006          latency             "0"
18007          dbl_ovrd            "off"
18008          xl_use_area         "off"
18009          xl_area             "[0 0 0 0 0 0 0]"
18010          has_advanced_control    "0"
18011          sggui_pos           "-1,-1,-1,-1"
18012          block_type          "inv"
18013          block_version       "VER_STRING_GOES_HERE"
18014          sg_icon_stat        "30,26,1,1,white,blue,0,1ab4a85f,right"
18015          sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 ],[0 0 58 58 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13 28 32 36 52 40 28 19 32 19 28 40 52 36 32 28 13 ],[7 16 29 42 51 51 47 51 51 39 51 42 29 16 7 19 7 7 11 7 7 ],[0.98 0.96 0.92]);\nplot([0 55 55 0 0 ],[0 0 58 58 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');disp('not');\nfprintf('','COMMENT: end icon text');\n"
18016        }
18017        Block {
18018          BlockType           Reference
18019          Name            "Register"
18020          Ports           [3, 1]
18021          Position            [150, 70, 195, 120]
18022          SourceBlock         "xbsIndex_r4/Register"
18023          SourceType          "Xilinx Register Block"
18024          init            "0"
18025          rst             "on"
18026          en              "on"
18027          dbl_ovrd            "off"
18028          xl_use_area         "off"
18029          xl_area             "[1 1 0 0 0 0 0]"
18030          has_advanced_control    "0"
18031          sggui_pos           "20,20,348,193"
18032          block_type          "register"
18033          block_version       "VER_STRING_GOES_HERE"
18034          sg_icon_stat        "45,50,1,1,white,blue,0,923c1847,right"
18035          sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 ],[0 0 56 56 ],[0.77 0.82 0.91]);\npatch([15 6 19 6 15 30 34 38 54 41 29 20 32 20 29 41 54 38 34 30 15 ],[6 15 28 41 50 50 46 50 50 37 49 40 28 16 7 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 56 56 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1,'d');\ncolor('black');port_label('input',2,'rst');\ncolor('black');port_label('input',3,'en');\ncolor('black');port_label('output',1,'q');\ncolor('black');disp('\\bf{z^{-1}}','texmode','on');\nfprintf('','COMMENT: end icon text');\n"
18036        }
18037        Block {
18038          BlockType           Outport
18039          Name            "Q"
18040          Position            [285, 63, 315, 77]
18041          IconDisplay         "Port number"
18042          OutDataType         "sfix(16)"
18043          OutScaling          "2^0"
18044        }
18045        Line {
18046          SrcBlock            "Register"
18047          SrcPort             1
18048          Points              [0, 0; 5, 0]
18049          Branch {
18050        DstBlock        "Inverter"
18051        DstPort         1
18052          }
18053          Branch {
18054        Points          [0, -25]
18055        DstBlock        "Q"
18056        DstPort         1
18057          }
18058        }
18059        Line {
18060          SrcBlock            "Inverter"
18061          SrcPort             1
18062          Points              [10, 0; 0, 55; -130, 0]
18063          DstBlock            "Register"
18064          DstPort             3
18065        }
18066        Line {
18067          SrcBlock            "S"
18068          SrcPort             1
18069          DstBlock            "Register"
18070          DstPort             1
18071        }
18072        Line {
18073          SrcBlock            "R"
18074          SrcPort             1
18075          DstBlock            "Register"
18076          DstPort             2
18077        }
18078      }
18079    }
18080    Block {
18081      BlockType       SubSystem
18082      Name            "S-R_Latch2"
18083      Ports           [2, 1]
18084      Position        [1285, 245, 1325, 305]
18085      MinAlgLoopOccurrences   off
18086      PropExecContextOutsideSubsystem off
18087      RTWSystemCode       "Auto"
18088      FunctionWithSeparateData off
18089      Opaque          off
18090      RequestExecContextInheritance off
18091      MaskHideContents    off
18092      System {
18093        Name            "S-R_Latch2"
18094        Location            [521, 318, 918, 591]
18095        Open            off
18096        ModelBrowserVisibility  on
18097        ModelBrowserWidth       200
18098        ScreenColor         "white"
18099        PaperOrientation        "landscape"
18100        PaperPositionMode       "auto"
18101        PaperType           "usletter"
18102        PaperUnits          "inches"
18103        TiledPaperMargins       [0.500000, 0.500000, 0.500000, 0.500000]
18104        TiledPageScale      1
18105        ShowPageBoundaries      off
18106        ZoomFactor          "100"
18107        Block {
18108          BlockType           Inport
18109          Name            "S"
18110          Position            [95, 58, 125, 72]
18111          IconDisplay         "Port number"
18112          OutDataType         "sfix(16)"
18113          OutScaling          "2^0"
18114        }
18115        Block {
18116          BlockType           Inport
18117          Name            "R"
18118          Position            [95, 88, 125, 102]
18119          Port            "2"
18120          IconDisplay         "Port number"
18121          OutDataType         "sfix(16)"
18122          OutScaling          "2^0"
18123        }
18124        Block {
18125          BlockType           Reference
18126          Name            "Inverter"
18127          Ports           [1, 1]
18128          Position            [220, 82, 250, 108]
18129          SourceBlock         "xbsIndex_r4/Inverter"
18130          SourceType          "Xilinx Inverter Block"
18131          infoedit            "Bitwise logical negation (one's complement) operator."
18132          en              "off"
18133          latency             "0"
18134          dbl_ovrd            "off"
18135          xl_use_area         "off"
18136          xl_area             "[0 0 0 0 0 0 0]"
18137          has_advanced_control    "0"
18138          sggui_pos           "-1,-1,-1,-1"
18139          block_type          "inv"
18140          block_version       "VER_STRING_GOES_HERE"
18141          sg_icon_stat        "30,26,1,1,white,blue,0,1ab4a85f,right"
18142          sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 ],[0 0 58 58 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13 28 32 36 52 40 28 19 32 19 28 40 52 36 32 28 13 ],[7 16 29 42 51 51 47 51 51 39 51 42 29 16 7 19 7 7 11 7 7 ],[0.98 0.96 0.92]);\nplot([0 55 55 0 0 ],[0 0 58 58 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');disp('not');\nfprintf('','COMMENT: end icon text');\n"
18143        }
18144        Block {
18145          BlockType           Reference
18146          Name            "Register"
18147          Ports           [3, 1]
18148          Position            [150, 70, 195, 120]
18149          SourceBlock         "xbsIndex_r4/Register"
18150          SourceType          "Xilinx Register Block"
18151          init            "0"
18152          rst             "on"
18153          en              "on"
18154          dbl_ovrd            "off"
18155          xl_use_area         "off"
18156          xl_area             "[1 1 0 0 0 0 0]"
18157          has_advanced_control    "0"
18158          sggui_pos           "-1,-1,-1,-1"
18159          block_type          "register"
18160          block_version       "VER_STRING_GOES_HERE"
18161          sg_icon_stat        "45,50,1,1,white,blue,0,923c1847,right"
18162          sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 ],[0 0 56 56 ],[0.77 0.82 0.91]);\npatch([15 6 19 6 15 30 34 38 54 41 29 20 32 20 29 41 54 38 34 30 15 ],[6 15 28 41 50 50 46 50 50 37 49 40 28 16 7 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 56 56 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1,'d');\ncolor('black');port_label('input',2,'rst');\ncolor('black');port_label('input',3,'en');\ncolor('black');port_label('output',1,'q');\ncolor('black');disp('\\bf{z^{-1}}','texmode','on');\nfprintf('','COMMENT: end icon text');\n"
18163        }
18164        Block {
18165          BlockType           Outport
18166          Name            "Q"
18167          Position            [285, 63, 315, 77]
18168          IconDisplay         "Port number"
18169          OutDataType         "sfix(16)"
18170          OutScaling          "2^0"
18171        }
18172        Line {
18173          SrcBlock            "R"
18174          SrcPort             1
18175          DstBlock            "Register"
18176          DstPort             2
18177        }
18178        Line {
18179          SrcBlock            "S"
18180          SrcPort             1
18181          DstBlock            "Register"
18182          DstPort             1
18183        }
18184        Line {
18185          SrcBlock            "Inverter"
18186          SrcPort             1
18187          Points              [10, 0; 0, 55; -130, 0]
18188          DstBlock            "Register"
18189          DstPort             3
18190        }
18191        Line {
18192          SrcBlock            "Register"
18193          SrcPort             1
18194          Points              [0, 0; 5, 0]
18195          Branch {
18196        Points          [0, -25]
18197        DstBlock        "Q"
18198        DstPort         1
18199          }
18200          Branch {
18201        DstBlock        "Inverter"
18202        DstPort         1
18203          }
18204        }
18205      }
18206    }
18207    Block {
18208      BlockType       SubSystem
18209      Name            "S-R_Latch3"
18210      Ports           [2, 1]
18211      Position        [665, 167, 705, 238]
18212      MinAlgLoopOccurrences   off
18213      PropExecContextOutsideSubsystem off
18214      RTWSystemCode       "Auto"
18215      FunctionWithSeparateData off
18216      Opaque          off
18217      RequestExecContextInheritance off
18218      MaskHideContents    off
18219      System {
18220        Name            "S-R_Latch3"
18221        Location            [202, 70, 1438, 850]
18222        Open            off
18223        ModelBrowserVisibility  on
18224        ModelBrowserWidth       200
18225        ScreenColor         "white"
18226        PaperOrientation        "landscape"
18227        PaperPositionMode       "auto"
18228        PaperType           "usletter"
18229        PaperUnits          "inches"
18230        TiledPaperMargins       [0.500000, 0.500000, 0.500000, 0.500000]
18231        TiledPageScale      1
18232        ShowPageBoundaries      off
18233        ZoomFactor          "100"
18234        Block {
18235          BlockType           Inport
18236          Name            "S"
18237          Position            [95, 58, 125, 72]
18238          IconDisplay         "Port number"
18239          OutDataType         "sfix(16)"
18240          OutScaling          "2^0"
18241        }
18242        Block {
18243          BlockType           Inport
18244          Name            "R"
18245          Position            [95, 88, 125, 102]
18246          Port            "2"
18247          IconDisplay         "Port number"
18248          OutDataType         "sfix(16)"
18249          OutScaling          "2^0"
18250        }
18251        Block {
18252          BlockType           Reference
18253          Name            "Inverter"
18254          Ports           [1, 1]
18255          Position            [220, 82, 250, 108]
18256          SourceBlock         "xbsIndex_r4/Inverter"
18257          SourceType          "Xilinx Inverter Block"
18258          infoedit            "Bitwise logical negation (one's complement) operator."
18259          en              "off"
18260          latency             "0"
18261          dbl_ovrd            "off"
18262          xl_use_area         "off"
18263          xl_area             "[0 0 0 0 0 0 0]"
18264          has_advanced_control    "0"
18265          sggui_pos           "-1,-1,-1,-1"
18266          block_type          "inv"
18267          block_version       "VER_STRING_GOES_HERE"
18268          sg_icon_stat        "30,26,1,1,white,blue,0,1ab4a85f,right"
18269          sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 ],[0 0 58 58 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13 28 32 36 52 40 28 19 32 19 28 40 52 36 32 28 13 ],[7 16 29 42 51 51 47 51 51 39 51 42 29 16 7 19 7 7 11 7 7 ],[0.98 0.96 0.92]);\nplot([0 55 55 0 0 ],[0 0 58 58 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');disp('not');\nfprintf('','COMMENT: end icon text');\n"
18270        }
18271        Block {
18272          BlockType           Reference
18273          Name            "Register"
18274          Ports           [3, 1]
18275          Position            [150, 70, 195, 120]
18276          SourceBlock         "xbsIndex_r4/Register"
18277          SourceType          "Xilinx Register Block"
18278          init            "0"
18279          rst             "on"
18280          en              "on"
18281          dbl_ovrd            "off"
18282          xl_use_area         "off"
18283          xl_area             "[1 1 0 0 0 0 0]"
18284          has_advanced_control    "0"
18285          sggui_pos           "20,20,348,193"
18286          block_type          "register"
18287          block_version       "VER_STRING_GOES_HERE"
18288          sg_icon_stat        "45,50,1,1,white,blue,0,923c1847,right"
18289          sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 ],[0 0 56 56 ],[0.77 0.82 0.91]);\npatch([15 6 19 6 15 30 34 38 54 41 29 20 32 20 29 41 54 38 34 30 15 ],[6 15 28 41 50 50 46 50 50 37 49 40 28 16 7 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 56 56 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1,'d');\ncolor('black');port_label('input',2,'rst');\ncolor('black');port_label('input',3,'en');\ncolor('black');port_label('output',1,'q');\ncolor('black');disp('\\bf{z^{-1}}','texmode','on');\nfprintf('','COMMENT: end icon text');\n"
18290        }
18291        Block {
18292          BlockType           Outport
18293          Name            "Q"
18294          Position            [285, 63, 315, 77]
18295          IconDisplay         "Port number"
18296          OutDataType         "sfix(16)"
18297          OutScaling          "2^0"
18298        }
18299        Line {
18300          SrcBlock            "R"
18301          SrcPort             1
18302          DstBlock            "Register"
18303          DstPort             2
18304        }
18305        Line {
18306          SrcBlock            "S"
18307          SrcPort             1
18308          DstBlock            "Register"
18309          DstPort             1
18310        }
18311        Line {
18312          SrcBlock            "Inverter"
18313          SrcPort             1
18314          Points              [10, 0; 0, 55; -130, 0]
18315          DstBlock            "Register"
18316          DstPort             3
18317        }
18318        Line {
18319          SrcBlock            "Register"
18320          SrcPort             1
18321          Points              [0, 0; 5, 0]
18322          Branch {
18323        Points          [0, -25]
18324        DstBlock        "Q"
18325        DstPort         1
18326          }
18327          Branch {
18328        DstBlock        "Inverter"
18329        DstPort         1
18330          }
18331        }
18332      }
18333    }
18334    Block {
18335      BlockType       Scope
18336      Name            "Scope"
18337      Ports           [3]
18338      Position        [1530, 305, 1560, 405]
18339      Floating        off
18340      Location        [1, 45, 1441, 869]
18341      Open            off
18342      NumInputPorts       "3"
18343      ZoomMode        "xonly"
18344      List {
18345        ListType            AxesTitles
18346        axes1           "%<SignalLabel>"
18347        axes2           "%<SignalLabel>"
18348        axes3           "%<SignalLabel>"
18349      }
18350      YMin            "-5~-5~-5"
18351      YMax            "5~5~5"
18352      DataFormat          "StructureWithTime"
18353      SampleTime          "0"
18354    }
18355    Block {
18356      BlockType       Reference
18357      Name            "Sim Mux"
18358      Ports           [2, 1]
18359      Position        [405, 261, 445, 299]
18360      NamePlacement       "alternate"
18361      SourceBlock         "xbsIndex_r4/Simulation Multiplexer"
18362      SourceType          "Xilinx Simulation Multiplexer Block"
18363      infoedit        "Distinguish input subsystems as \"simulation only\" and \"generation only\".  The input specified For Simulation will be used during Simulink simulation.  The input specified For Generation will be used during code generation.  This block will typically be used on the output of a HW Co-Simulation, Black Box, or ModelSim subsystem.<P><P>Hardware Notes: This block costs nothing."
18364      sim_sel         "1"
18365      hw_sel          "2"
18366      has_advanced_control    "0"
18367      sggui_pos       "20,20,336,197"
18368      block_type          "simmux"
18369      block_version       "10.1.3"
18370      sg_icon_stat        "40,38,2,1,white,blue,0,c4f98ccb,right"
18371      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 50 50 0 ],[0 0 51 51 ],[0.77 0.82 0.91]);\npatch([11 3 15 3 11 24 28 32 46 35 24 16 28 16 24 35 46 32 28 24 11 ],[6 14 26 38 46 46 42 46 46 35 46 38 26 14 6 17 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 50 50 0 0 ],[0 0 51 51 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\n\nfprintf('','COMMENT: end icon text');\ncolor('red');\nplot(swLineX,simSwLineY);\ncolor('black');\nplot(swLineX,hwSwLineY);\n"
18372    }
18373    Block {
18374      BlockType       Reference
18375      Name            "Sim Mux1"
18376      Ports           [2, 1]
18377      Position        [470, 576, 510, 614]
18378      NamePlacement       "alternate"
18379      SourceBlock         "xbsIndex_r4/Simulation Multiplexer"
18380      SourceType          "Xilinx Simulation Multiplexer Block"
18381      infoedit        "Distinguish input subsystems as \"simulation only\" and \"generation only\".  The input specified For Simulation will be used during Simulink simulation.  The input specified For Generation will be used during code generation.  This block will typically be used on the output of a HW Co-Simulation, Black Box, or ModelSim subsystem.<P><P>Hardware Notes: This block costs nothing."
18382      sim_sel         "1"
18383      hw_sel          "2"
18384      has_advanced_control    "0"
18385      sggui_pos       "20,20,336,197"
18386      block_type          "simmux"
18387      block_version       "10.1.3"
18388      sg_icon_stat        "40,38,2,1,white,blue,0,c4f98ccb,right"
18389      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 50 50 0 ],[0 0 51 51 ],[0.77 0.82 0.91]);\npatch([11 3 15 3 11 24 28 32 46 35 24 16 28 16 24 35 46 32 28 24 11 ],[6 14 26 38 46 46 42 46 46 35 46 38 26 14 6 17 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 50 50 0 0 ],[0 0 51 51 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\n\nfprintf('','COMMENT: end icon text');\ncolor('red');\nplot(swLineX,simSwLineY);\ncolor('black');\nplot(swLineX,hwSwLineY);\n"
18390    }
18391    Block {
18392      BlockType       SubSystem
18393      Name            "Sim-only\nGateway In"
18394      Ports           [1, 1]
18395      Position        [305, 260, 350, 280]
18396      NamePlacement       "alternate"
18397      MinAlgLoopOccurrences   off
18398      PropExecContextOutsideSubsystem off
18399      RTWSystemCode       "Auto"
18400      FunctionWithSeparateData off
18401      Opaque          off
18402      RequestExecContextInheritance off
18403      MaskHideContents    off
18404      System {
18405        Name            "Sim-only\nGateway In"
18406        Location            [269, 280, 494, 362]
18407        Open            off
18408        ModelBrowserVisibility  on
18409        ModelBrowserWidth       200
18410        ScreenColor         "white"
18411        PaperOrientation        "landscape"
18412        PaperPositionMode       "auto"
18413        PaperType           "usletter"
18414        PaperUnits          "inches"
18415        TiledPaperMargins       [0.500000, 0.500000, 0.500000, 0.500000]
18416        TiledPageScale      1
18417        ShowPageBoundaries      off
18418        ZoomFactor          "100"
18419        Block {
18420          BlockType           Inport
18421          Name            "D"
18422          Position            [20, 33, 50, 47]
18423          IconDisplay         "Port number"
18424          OutDataType         "sfix(16)"
18425          OutScaling          "2^0"
18426        }
18427        Block {
18428          BlockType           Reference
18429          Name            "Disregard Subsystem"
18430          Tag             "discardX"
18431          Ports           []
18432          Position            [86, 85, 144, 143]
18433          ShowName            off
18434          AttributesFormatString  "Disregard Subsystem\\nFor Generation"
18435          SourceBlock         "xbsIndex_r4/Disregard Subsystem"
18436          SourceType          "Xilinx Disregard Subsystem For Generation Block"
18437          infoedit            "Place this block into a subsystem to have System Generator ignore the subsystem during code generation. This block can be used in combination with the Simulation Multiplexer block to provide an alternative simulation model for another subsystem (e.g., to provide a simulation model for a black box)."
18438          has_advanced_control    "0"
18439          sggui_pos           "-1,-1,-1,-1"
18440          block_type          "disregard"
18441          block_version       "10.1.3"
18442          sg_icon_stat        "58,58,-1,-1,darkgray,black,0,0,right"
18443          sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npatch([0 58 58 0 ],[0 0 58 58 ],[0.1 0.1 0.1]);\npatch([14 4 18 4 14 29 33 37 53 40 28 19 33 19 28 40 53 37 33 29 14 ],[6 16 30 44 54 54 50 54 54 41 53 44 30 16 7 19 6 6 10 6 6 ],[0.33 0.33 0.33]);\nplot([0 58 58 0 0 ],[0 0 58 58 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\nfprintf('','COMMENT: end icon text');\n"
18444        }
18445        Block {
18446          BlockType           Reference
18447          Name            "Gateway In"
18448          Ports           [1, 1]
18449          Position            [80, 30, 145, 50]
18450          SourceBlock         "xbsIndex_r4/Gateway In"
18451          SourceType          "Xilinx Gateway In Block"
18452          infoedit            "Gateway in block.  Converts inputs of type Simulink integer, double and fixed point to  Xilinx fixed point type.<P><P>Hardware notes:  In hardware these blocks become top level input ports."
18453          arith_type          "Boolean"
18454          n_bits              "1"
18455          bin_pt              "0"
18456          quantization        "Round  (unbiased: +/- Inf)"
18457          overflow            "Saturate"
18458          period              "1"
18459          dbl_ovrd            "off"
18460          timing_constraint       "None"
18461          locs_specified          "off"
18462          LOCs            "{}"
18463          xl_use_area         "off"
18464          xl_area             "[0 0 0 0 1 0 0]"
18465          has_advanced_control    "0"
18466          sggui_pos           "20,20,348,406"
18467          block_type          "gatewayin"
18468          block_version       "10.1.3"
18469          sg_icon_stat        "65,20,1,1,white,yellow,0,bc55d28f,right"
18470          sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npatch([0 65 65 0 ],[0 0 20 20 ],[0.95 0.93 0.65]);\npatch([27 24 29 24 27 32 33 34 40 36 32 29 34 29 32 36 40 34 33 32 27 ],[2 5 10 15 18 18 17 18 18 14 18 15 10 5 2 6 2 2 3 2 2 ],[0.98 0.96 0.92]);\nplot([0 65 65 0 0 ],[0 0 20 20 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1,'\\fontsize{11pt}\\bf In ','texmode','on');\ncolor('black');port_label('output',1,' ');\nfprintf('','COMMENT: end icon text');\n"
18471        }
18472        Block {
18473          BlockType           Outport
18474          Name            "Q"
18475          Position            [170, 33, 200, 47]
18476          IconDisplay         "Port number"
18477        }
18478        Line {
18479          SrcBlock            "Gateway In"
18480          SrcPort             1
18481          DstBlock            "Q"
18482          DstPort             1
18483        }
18484        Line {
18485          SrcBlock            "D"
18486          SrcPort             1
18487          DstBlock            "Gateway In"
18488          DstPort             1
18489        }
18490      }
18491    }
18492    Block {
18493      BlockType       SubSystem
18494      Name            "Sim-only\nGateway In2"
18495      Ports           [1, 1]
18496      Position        [360, 575, 405, 595]
18497      NamePlacement       "alternate"
18498      MinAlgLoopOccurrences   off
18499      PropExecContextOutsideSubsystem off
18500      RTWSystemCode       "Auto"
18501      FunctionWithSeparateData off
18502      Opaque          off
18503      RequestExecContextInheritance off
18504      MaskHideContents    off
18505      System {
18506        Name            "Sim-only\nGateway In2"
18507        Location            [214, 70, 1918, 1152]
18508        Open            off
18509        ModelBrowserVisibility  on
18510        ModelBrowserWidth       200
18511        ScreenColor         "white"
18512        PaperOrientation        "landscape"
18513        PaperPositionMode       "auto"
18514        PaperType           "usletter"
18515        PaperUnits          "inches"
18516        TiledPaperMargins       [0.500000, 0.500000, 0.500000, 0.500000]
18517        TiledPageScale      1
18518        ShowPageBoundaries      off
18519        ZoomFactor          "100"
18520        Block {
18521          BlockType           Inport
18522          Name            "D"
18523          Position            [20, 33, 50, 47]
18524          IconDisplay         "Port number"
18525          OutDataType         "sfix(16)"
18526          OutScaling          "2^0"
18527        }
18528        Block {
18529          BlockType           Reference
18530          Name            "Disregard Subsystem"
18531          Tag             "discardX"
18532          Ports           []
18533          Position            [71, 110, 129, 168]
18534          ShowName            off
18535          AttributesFormatString  "Disregard Subsystem\\nFor Generation"
18536          SourceBlock         "xbsIndex_r4/Disregard Subsystem"
18537          SourceType          "Xilinx Disregard Subsystem For Generation Block"
18538          infoedit            "Place this block into a subsystem to have System Generator ignore the subsystem during code generation. This block can be used in combination with the Simulation Multiplexer block to provide an alternative simulation model for another subsystem (e.g., to provide a simulation model for a black box)."
18539          has_advanced_control    "0"
18540          sggui_pos           "-1,-1,-1,-1"
18541          block_type          "disregard"
18542          block_version       "10.1.3"
18543          sg_icon_stat        "58,58,-1,-1,darkgray,black,0,0,right"
18544          sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npatch([0 58 58 0 ],[0 0 58 58 ],[0.1 0.1 0.1]);\npatch([14 4 18 4 14 29 33 37 53 40 28 19 33 19 28 40 53 37 33 29 14 ],[6 16 30 44 54 54 50 54 54 41 53 44 30 16 7 19 6 6 10 6 6 ],[0.33 0.33 0.33]);\nplot([0 58 58 0 0 ],[0 0 58 58 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\nfprintf('','COMMENT: end icon text');\n"
18545        }
18546        Block {
18547          BlockType           Reference
18548          Name            "Gateway In"
18549          Ports           [1, 1]
18550          Position            [80, 30, 145, 50]
18551          SourceBlock         "xbsIndex_r4/Gateway In"
18552          SourceType          "Xilinx Gateway In Block"
18553          infoedit            "Gateway in block.  Converts inputs of type Simulink integer, double and fixed point to  Xilinx fixed point type.<P><P>Hardware notes:  In hardware these blocks become top level input ports."
18554          arith_type          "Boolean"
18555          n_bits              "1"
18556          bin_pt              "0"
18557          quantization        "Round  (unbiased: +/- Inf)"
18558          overflow            "Saturate"
18559          period              "1"
18560          dbl_ovrd            "off"
18561          timing_constraint       "None"
18562          locs_specified          "off"
18563          LOCs            "{}"
18564          xl_use_area         "off"
18565          xl_area             "[0 0 0 0 1 0 0]"
18566          has_advanced_control    "0"
18567          sggui_pos           "20,20,348,406"
18568          block_type          "gatewayin"
18569          block_version       "10.1.3"
18570          sg_icon_stat        "65,20,1,1,white,yellow,0,bc55d28f,right"
18571          sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npatch([0 65 65 0 ],[0 0 20 20 ],[0.95 0.93 0.65]);\npatch([27 24 29 24 27 32 33 34 40 36 32 29 34 29 32 36 40 34 33 32 27 ],[2 5 10 15 18 18 17 18 18 14 18 15 10 5 2 6 2 2 3 2 2 ],[0.98 0.96 0.92]);\nplot([0 65 65 0 0 ],[0 0 20 20 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1,'\\fontsize{11pt}\\bf In ','texmode','on');\ncolor('black');port_label('output',1,' ');\nfprintf('','COMMENT: end icon text');\n"
18572        }
18573        Block {
18574          BlockType           Outport
18575          Name            "Q"
18576          Position            [170, 33, 200, 47]
18577          IconDisplay         "Port number"
18578        }
18579        Line {
18580          SrcBlock            "D"
18581          SrcPort             1
18582          DstBlock            "Gateway In"
18583          DstPort             1
18584        }
18585        Line {
18586          SrcBlock            "Gateway In"
18587          SrcPort             1
18588          DstBlock            "Q"
18589          DstPort             1
18590        }
18591      }
18592    }
18593    Block {
18594      BlockType       SubSystem
18595      Name            "negedge"
18596      Ports           [1, 1]
18597      Position        [495, 288, 525, 302]
18598      MinAlgLoopOccurrences   off
18599      PropExecContextOutsideSubsystem off
18600      RTWSystemCode       "Auto"
18601      FunctionWithSeparateData off
18602      Opaque          off
18603      RequestExecContextInheritance off
18604      MaskHideContents    off
18605      System {
18606        Name            "negedge"
18607        Location            [459, 339, 854, 490]
18608        Open            off
18609        ModelBrowserVisibility  on
18610        ModelBrowserWidth       200
18611        ScreenColor         "white"
18612        PaperOrientation        "landscape"
18613        PaperPositionMode       "auto"
18614        PaperType           "usletter"
18615        PaperUnits          "inches"
18616        TiledPaperMargins       [0.500000, 0.500000, 0.500000, 0.500000]
18617        TiledPageScale      1
18618        ShowPageBoundaries      off
18619        ZoomFactor          "100"
18620        Block {
18621          BlockType           Inport
18622          Name            "In"
18623          Position            [170, 213, 200, 227]
18624          IconDisplay         "Port number"
18625          OutDataType         "sfix(16)"
18626          OutScaling          "2^0"
18627        }
18628        Block {
18629          BlockType           Reference
18630          Name            "Delay"
18631          Ports           [1, 1]
18632          Position            [230, 247, 290, 303]
18633          SourceBlock         "xbsIndex_r4/Delay"
18634          SourceType          "Xilinx Delay Block"
18635          infoedit            "Hardware notes: A delay line is a chain, each link of which is an SRL16 followed by a flip-flop. If register retiming is enabled, the delay line is a chain of flip-flops."
18636          en              "off"
18637          latency             "1"
18638          dbl_ovrd            "off"
18639          reg_retiming        "off"
18640          xl_use_area         "off"
18641          xl_area             "[1 1 0 0 0 0 0]"
18642          has_advanced_control    "0"
18643          sggui_pos           "-1,-1,-1,-1"
18644          block_type          "delay"
18645          block_version       "8.2"
18646          sg_icon_stat        "60,56,1,1,white,blue,0,fc531c0e,right"
18647          sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 ],[0 0 56 56 ],[0.77 0.82 0.91]);\npatch([15 6 19 6 15 30 34 38 54 41 29 20 32 20 29 41 54 38 34 30 15 ],[6 15 28 41 50 50 46 50 50 37 49 40 28 16 7 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 56 56 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');disp('z^{-1}','texmode','on');\nfprintf('','COMMENT: end icon text');\n"
18648        }
18649        Block {
18650          BlockType           Reference
18651          Name            "Inverter"
18652          Ports           [1, 1]
18653          Position            [290, 191, 345, 249]
18654          NamePlacement       "alternate"
18655          SourceBlock         "xbsIndex_r4/Inverter"
18656          SourceType          "Xilinx Inverter Block"
18657          infoedit            "Bitwise logical negation (one's complement) operator."
18658          en              "off"
18659          latency             "0"
18660          dbl_ovrd            "off"
18661          xl_use_area         "off"
18662          xl_area             "[0 0 0 0 0 0 0]"
18663          has_advanced_control    "0"
18664          sggui_pos           "-1,-1,-1,-1"
18665          block_type          "inv"
18666          block_version       "8.2"
18667          sg_icon_stat        "55,58,1,1,white,blue,0,1ab4a85f,right"
18668          sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 ],[0 0 58 58 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13 28 32 36 52 40 28 19 32 19 28 40 52 36 32 28 13 ],[7 16 29 42 51 51 47 51 51 39 51 42 29 16 7 19 7 7 11 7 7 ],[0.98 0.96 0.92]);\nplot([0 55 55 0 0 ],[0 0 58 58 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');disp('not');\nfprintf('','COMMENT: end icon text');\n"
18669        }
18670        Block {
18671          BlockType           Reference
18672          Name            "Logical"
18673          Ports           [2, 1]
18674          Position            [410, 205, 465, 265]
18675          SourceBlock         "xbsIndex_r4/Logical"
18676          SourceType          "Xilinx Logical Block Block"
18677          logical_function        "AND"
18678          inputs              "2"
18679          en              "off"
18680          latency             "0"
18681          precision           "Full"
18682          arith_type          "Unsigned"
18683          n_bits              "16"
18684          bin_pt              "0"
18685          align_bp            "on"
18686          dbl_ovrd            "off"
18687          xl_use_area         "off"
18688          xl_area             "[1 0 0 1 0 0 0]"
18689          has_advanced_control    "0"
18690          sggui_pos           "-1,-1,-1,-1"
18691          block_type          "logical"
18692          block_version       "8.2"
18693          sg_icon_stat        "55,60,1,1,white,blue,0,087b5522,right"
18694          sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 ],[0 0 60 60 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13 28 32 36 52 40 28 19 32 19 28 40 52 36 32 28 13 ],[8 17 30 43 52 52 48 52 52 40 52 43 30 17 8 20 8 8 12 8 8 ],[0.98 0.96 0.92]);\nplot([0 55 55 0 0 ],[0 0 60 60 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');disp('\\newlineand\\newlinez^{-0}','texmode','on');\nfprintf('','COMMENT: end icon text');\n"
18695        }
18696        Block {
18697          BlockType           Outport
18698          Name            "Out"
18699          Position            [490, 228, 520, 242]
18700          IconDisplay         "Port number"
18701          OutDataType         "sfix(16)"
18702          OutScaling          "2^0"
18703        }
18704        Line {
18705          SrcBlock            "Inverter"
18706          SrcPort             1
18707          DstBlock            "Logical"
18708          DstPort             1
18709        }
18710        Line {
18711          SrcBlock            "Delay"
18712          SrcPort             1
18713          Points              [90, 0; 0, -25]
18714          DstBlock            "Logical"
18715          DstPort             2
18716        }
18717        Line {
18718          SrcBlock            "In"
18719          SrcPort             1
18720          Points              [5, 0]
18721          Branch {
18722        DstBlock        "Inverter"
18723        DstPort         1
18724          }
18725          Branch {
18726        Points          [0, 55]
18727        DstBlock        "Delay"
18728        DstPort         1
18729          }
18730        }
18731        Line {
18732          SrcBlock            "Logical"
18733          SrcPort             1
18734          DstBlock            "Out"
18735          DstPort             1
18736        }
18737      }
18738    }
18739    Block {
18740      BlockType       SubSystem
18741      Name            "posedge"
18742      Ports           [1, 1]
18743      Position        [495, 273, 525, 287]
18744      NamePlacement       "alternate"
18745      MinAlgLoopOccurrences   off
18746      PropExecContextOutsideSubsystem off
18747      RTWSystemCode       "Auto"
18748      FunctionWithSeparateData off
18749      Opaque          off
18750      RequestExecContextInheritance off
18751      MaskHideContents    off
18752      System {
18753        Name            "posedge"
18754        Location            [459, 339, 854, 490]
18755        Open            off
18756        ModelBrowserVisibility  on
18757        ModelBrowserWidth       200
18758        ScreenColor         "white"
18759        PaperOrientation        "landscape"
18760        PaperPositionMode       "auto"
18761        PaperType           "usletter"
18762        PaperUnits          "inches"
18763        TiledPaperMargins       [0.500000, 0.500000, 0.500000, 0.500000]
18764        TiledPageScale      1
18765        ShowPageBoundaries      off
18766        ZoomFactor          "100"
18767        Block {
18768          BlockType           Inport
18769          Name            "In"
18770          Position            [20, 33, 50, 47]
18771          IconDisplay         "Port number"
18772          OutDataType         "sfix(16)"
18773          OutScaling          "2^0"
18774        }
18775        Block {
18776          BlockType           Reference
18777          Name            "Delay"
18778          Ports           [1, 1]
18779          Position            [80, 67, 140, 123]
18780          SourceBlock         "xbsIndex_r4/Delay"
18781          SourceType          "Xilinx Delay Block"
18782          infoedit            "Hardware notes: A delay line is a chain, each link of which is an SRL16 followed by a flip-flop. If register retiming is enabled, the delay line is a chain of flip-flops."
18783          en              "off"
18784          latency             "1"
18785          dbl_ovrd            "off"
18786          reg_retiming        "off"
18787          xl_use_area         "off"
18788          xl_area             "[1 1 0 0 0 0 0]"
18789          has_advanced_control    "0"
18790          sggui_pos           "-1,-1,-1,-1"
18791          block_type          "delay"
18792          block_version       "8.2"
18793          sg_icon_stat        "60,56,1,1,white,blue,0,fc531c0e,right"
18794          sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 ],[0 0 56 56 ],[0.77 0.82 0.91]);\npatch([15 6 19 6 15 30 34 38 54 41 29 20 32 20 29 41 54 38 34 30 15 ],[6 15 28 41 50 50 46 50 50 37 49 40 28 16 7 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 56 56 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');disp('z^{-1}','texmode','on');\nfprintf('','COMMENT: end icon text');\n"
18795        }
18796        Block {
18797          BlockType           Reference
18798          Name            "Inverter"
18799          Ports           [1, 1]
18800          Position            [170, 66, 225, 124]
18801          SourceBlock         "xbsIndex_r4/Inverter"
18802          SourceType          "Xilinx Inverter Block"
18803          infoedit            "Bitwise logical negation (one's complement) operator."
18804          en              "off"
18805          latency             "0"
18806          dbl_ovrd            "off"
18807          xl_use_area         "off"
18808          xl_area             "[0 0 0 0 0 0 0]"
18809          has_advanced_control    "0"
18810          sggui_pos           "-1,-1,-1,-1"
18811          block_type          "inv"
18812          block_version       "8.2"
18813          sg_icon_stat        "55,58,1,1,white,blue,0,1ab4a85f,right"
18814          sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 ],[0 0 58 58 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13 28 32 36 52 40 28 19 32 19 28 40 52 36 32 28 13 ],[7 16 29 42 51 51 47 51 51 39 51 42 29 16 7 19 7 7 11 7 7 ],[0.98 0.96 0.92]);\nplot([0 55 55 0 0 ],[0 0 58 58 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');disp('not');\nfprintf('','COMMENT: end icon text');\n"
18815        }
18816        Block {
18817          BlockType           Reference
18818          Name            "Logical"
18819          Ports           [2, 1]
18820          Position            [260, 25, 315, 85]
18821          SourceBlock         "xbsIndex_r4/Logical"
18822          SourceType          "Xilinx Logical Block Block"
18823          logical_function        "AND"
18824          inputs              "2"
18825          en              "off"
18826          latency             "0"
18827          precision           "Full"
18828          arith_type          "Unsigned"
18829          n_bits              "16"
18830          bin_pt              "0"
18831          align_bp            "on"
18832          dbl_ovrd            "off"
18833          xl_use_area         "off"
18834          xl_area             "[1 0 0 1 0 0 0]"
18835          has_advanced_control    "0"
18836          sggui_pos           "-1,-1,-1,-1"
18837          block_type          "logical"
18838          block_version       "8.2"
18839          sg_icon_stat        "55,60,1,1,white,blue,0,087b5522,right"
18840          sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 ],[0 0 60 60 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13 28 32 36 52 40 28 19 32 19 28 40 52 36 32 28 13 ],[8 17 30 43 52 52 48 52 52 40 52 43 30 17 8 20 8 8 12 8 8 ],[0.98 0.96 0.92]);\nplot([0 55 55 0 0 ],[0 0 60 60 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');disp('\\newlineand\\newlinez^{-0}','texmode','on');\nfprintf('','COMMENT: end icon text');\n"
18841        }
18842        Block {
18843          BlockType           Outport
18844          Name            "Out"
18845          Position            [340, 48, 370, 62]
18846          IconDisplay         "Port number"
18847          OutDataType         "sfix(16)"
18848          OutScaling          "2^0"
18849        }
18850        Line {
18851          SrcBlock            "Logical"
18852          SrcPort             1
18853          Points              [0, 0]
18854          DstBlock            "Out"
18855          DstPort             1
18856        }
18857        Line {
18858          SrcBlock            "In"
18859          SrcPort             1
18860          Points              [0, 0; 5, 0]
18861          Branch {
18862        Points          [0, 55]
18863        DstBlock        "Delay"
18864        DstPort         1
18865          }
18866          Branch {
18867        DstBlock        "Logical"
18868        DstPort         1
18869          }
18870        }
18871        Line {
18872          SrcBlock            "Inverter"
18873          SrcPort             1
18874          Points              [5, 0; 0, -25]
18875          DstBlock            "Logical"
18876          DstPort             2
18877        }
18878        Line {
18879          SrcBlock            "Delay"
18880          SrcPort             1
18881          Points              [0, 0]
18882          DstBlock            "Inverter"
18883          DstPort             1
18884        }
18885      }
18886    }
18887    Block {
18888      BlockType       SubSystem
18889      Name            "posedge2"
18890      Ports           [1, 1]
18891      Position        [495, 323, 525, 337]
18892      MinAlgLoopOccurrences   off
18893      PropExecContextOutsideSubsystem off
18894      RTWSystemCode       "Auto"
18895      FunctionWithSeparateData off
18896      Opaque          off
18897      RequestExecContextInheritance off
18898      MaskHideContents    off
18899      System {
18900        Name            "posedge2"
18901        Location            [459, 339, 854, 490]
18902        Open            off
18903        ModelBrowserVisibility  on
18904        ModelBrowserWidth       200
18905        ScreenColor         "white"
18906        PaperOrientation        "landscape"
18907        PaperPositionMode       "auto"
18908        PaperType           "usletter"
18909        PaperUnits          "inches"
18910        TiledPaperMargins       [0.500000, 0.500000, 0.500000, 0.500000]
18911        TiledPageScale      1
18912        ShowPageBoundaries      off
18913        ZoomFactor          "100"
18914        Block {
18915          BlockType           Inport
18916          Name            "In"
18917          Position            [20, 33, 50, 47]
18918          IconDisplay         "Port number"
18919          OutDataType         "sfix(16)"
18920          OutScaling          "2^0"
18921        }
18922        Block {
18923          BlockType           Reference
18924          Name            "Delay"
18925          Ports           [1, 1]
18926          Position            [80, 67, 140, 123]
18927          SourceBlock         "xbsIndex_r4/Delay"
18928          SourceType          "Xilinx Delay Block"
18929          infoedit            "Hardware notes: A delay line is a chain, each link of which is an SRL16 followed by a flip-flop. If register retiming is enabled, the delay line is a chain of flip-flops."
18930          en              "off"
18931          latency             "1"
18932          dbl_ovrd            "off"
18933          reg_retiming        "off"
18934          xl_use_area         "off"
18935          xl_area             "[1 1 0 0 0 0 0]"
18936          has_advanced_control    "0"
18937          sggui_pos           "-1,-1,-1,-1"
18938          block_type          "delay"
18939          block_version       "8.2"
18940          sg_icon_stat        "60,56,1,1,white,blue,0,fc531c0e,right"
18941          sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 ],[0 0 56 56 ],[0.77 0.82 0.91]);\npatch([15 6 19 6 15 30 34 38 54 41 29 20 32 20 29 41 54 38 34 30 15 ],[6 15 28 41 50 50 46 50 50 37 49 40 28 16 7 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 56 56 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');disp('z^{-1}','texmode','on');\nfprintf('','COMMENT: end icon text');\n"
18942        }
18943        Block {
18944          BlockType           Reference
18945          Name            "Inverter"
18946          Ports           [1, 1]
18947          Position            [170, 66, 225, 124]
18948          SourceBlock         "xbsIndex_r4/Inverter"
18949          SourceType          "Xilinx Inverter Block"
18950          infoedit            "Bitwise logical negation (one's complement) operator."
18951          en              "off"
18952          latency             "0"
18953          dbl_ovrd            "off"
18954          xl_use_area         "off"
18955          xl_area             "[0 0 0 0 0 0 0]"
18956          has_advanced_control    "0"
18957          sggui_pos           "-1,-1,-1,-1"
18958          block_type          "inv"
18959          block_version       "8.2"
18960          sg_icon_stat        "55,58,1,1,white,blue,0,1ab4a85f,right"
18961          sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 ],[0 0 58 58 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13 28 32 36 52 40 28 19 32 19 28 40 52 36 32 28 13 ],[7 16 29 42 51 51 47 51 51 39 51 42 29 16 7 19 7 7 11 7 7 ],[0.98 0.96 0.92]);\nplot([0 55 55 0 0 ],[0 0 58 58 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');disp('not');\nfprintf('','COMMENT: end icon text');\n"
18962        }
18963        Block {
18964          BlockType           Reference
18965          Name            "Logical"
18966          Ports           [2, 1]
18967          Position            [260, 25, 315, 85]
18968          SourceBlock         "xbsIndex_r4/Logical"
18969          SourceType          "Xilinx Logical Block Block"
18970          logical_function        "AND"
18971          inputs              "2"
18972          en              "off"
18973          latency             "0"
18974          precision           "Full"
18975          arith_type          "Unsigned"
18976          n_bits              "16"
18977          bin_pt              "0"
18978          align_bp            "on"
18979          dbl_ovrd            "off"
18980          xl_use_area         "off"
18981          xl_area             "[1 0 0 1 0 0 0]"
18982          has_advanced_control    "0"
18983          sggui_pos           "-1,-1,-1,-1"
18984          block_type          "logical"
18985          block_version       "8.2"
18986          sg_icon_stat        "55,60,1,1,white,blue,0,087b5522,right"
18987          sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 ],[0 0 60 60 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13 28 32 36 52 40 28 19 32 19 28 40 52 36 32 28 13 ],[8 17 30 43 52 52 48 52 52 40 52 43 30 17 8 20 8 8 12 8 8 ],[0.98 0.96 0.92]);\nplot([0 55 55 0 0 ],[0 0 60 60 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');disp('\\newlineand\\newlinez^{-0}','texmode','on');\nfprintf('','COMMENT: end icon text');\n"
18988        }
18989        Block {
18990          BlockType           Outport
18991          Name            "Out"
18992          Position            [340, 48, 370, 62]
18993          IconDisplay         "Port number"
18994          OutDataType         "sfix(16)"
18995          OutScaling          "2^0"
18996        }
18997        Line {
18998          SrcBlock            "Logical"
18999          SrcPort             1
19000          Points              [0, 0]
19001          DstBlock            "Out"
19002          DstPort             1
19003        }
19004        Line {
19005          SrcBlock            "In"
19006          SrcPort             1
19007          Points              [0, 0; 5, 0]
19008          Branch {
19009        Points          [0, 55]
19010        DstBlock        "Delay"
19011        DstPort         1
19012          }
19013          Branch {
19014        DstBlock        "Logical"
19015        DstPort         1
19016          }
19017        }
19018        Line {
19019          SrcBlock            "Inverter"
19020          SrcPort             1
19021          Points              [5, 0; 0, -25]
19022          DstBlock            "Logical"
19023          DstPort             2
19024        }
19025        Line {
19026          SrcBlock            "Delay"
19027          SrcPort             1
19028          Points              [0, 0]
19029          DstBlock            "Inverter"
19030          DstPort             1
19031        }
19032      }
19033    }
19034    Block {
19035      BlockType       Outport
19036      Name            "done"
19037      Position        [1350, 268, 1380, 282]
19038      IconDisplay         "Port number"
19039      OutDataType         "sfix(16)"
19040      OutScaling          "2^0"
19041    }
19042    Block {
19043      BlockType       Outport
19044      Name            "running"
19045      Position        [1245, 518, 1275, 532]
19046      Port            "2"
19047      IconDisplay         "Port number"
19048      OutDataType         "sfix(16)"
19049      OutScaling          "2^0"
19050    }
19051    Block {
19052      BlockType       Outport
19053      Name            "paused"
19054      Position        [1240, 463, 1270, 477]
19055      Port            "3"
19056      IconDisplay         "Port number"
19057      OutDataType         "sfix(16)"
19058      OutScaling          "2^0"
19059    }
19060    Block {
19061      BlockType       Outport
19062      Name            "timeLeft"
19063      Position        [1285, 358, 1315, 372]
19064      Port            "4"
19065      IconDisplay         "Port number"
19066      OutDataType         "sfix(16)"
19067      OutScaling          "2^0"
19068    }
19069    Line {
19070      SrcBlock        "Delay"
19071      SrcPort         1
19072      Points          [195, 0; 0, -75]
19073      DstBlock        "Logical7"
19074      DstPort         3
19075    }
19076    Line {
19077      SrcBlock        "S-R_Latch3"
19078      SrcPort         1
19079      Points          [70, 0; 0, -50; 350, 0; 0, 95]
19080      DstBlock        "Logical7"
19081      DstPort         1
19082    }
19083    Line {
19084      SrcBlock        "Logical7"
19085      SrcPort         1
19086      DstBlock        "S-R_Latch2"
19087      DstPort         1
19088    }
19089    Line {
19090      SrcBlock        "Sim-only\nGateway In2"
19091      SrcPort         1
19092      DstBlock        "Sim Mux1"
19093      DstPort         1
19094    }
19095    Line {
19096      SrcBlock        "Constant4"
19097      SrcPort         1
19098      DstBlock        "Sim-only\nGateway In2"
19099      DstPort         1
19100    }
19101    Line {
19102      SrcBlock        "Logical2"
19103      SrcPort         1
19104      DstBlock        "S-R_Latch1"
19105      DstPort         2
19106    }
19107    Line {
19108      SrcBlock        "posedge2"
19109      SrcPort         1
19110      Points          [25, 0; 0, -15]
19111      DstBlock        "Logical2"
19112      DstPort         1
19113    }
19114    Line {
19115      SrcBlock        "Constant2"
19116      SrcPort         1
19117      DstBlock        "Sim-only\nGateway In"
19118      DstPort         1
19119    }
19120    Line {
19121      SrcBlock        "Sim Mux1"
19122      SrcPort         1
19123      Points          [60, 0]
19124      Branch {
19125        Points          [260, 0; 0, -250]
19126        Branch {
19127          DstBlock            "Delay"
19128          DstPort             1
19129        }
19130        Branch {
19131          DstBlock            "Logical6"
19132          DstPort             3
19133        }
19134      }
19135      Branch {
19136        DstBlock            "Inverter1"
19137        DstPort         1
19138      }
19139    }
19140    Line {
19141      SrcBlock        "Convert1"
19142      SrcPort         1
19143      Points          [25, 0; 0, -75]
19144      DstBlock        "Sim Mux1"
19145      DstPort         2
19146    }
19147    Line {
19148      SrcBlock        "Logical6"
19149      SrcPort         1
19150      DstBlock        "Counter"
19151      DstPort         2
19152    }
19153    Line {
19154      SrcBlock        "Inverter1"
19155      SrcPort         1
19156      DstBlock        "Logical5"
19157      DstPort         2
19158    }
19159    Line {
19160      SrcBlock        "Relational2"
19161      SrcPort         1
19162      Points          [20, 0; 0, -60]
19163      Branch {
19164        Points          [380, 0; 0, -265]
19165        DstBlock            "Scope"
19166        DstPort         2
19167      }
19168      Branch {
19169        Points          [-295, 0]
19170        Branch {
19171          Points              [0, -320]
19172          DstBlock            "Logical6"
19173          DstPort             2
19174        }
19175        Branch {
19176          DstBlock            "Logical5"
19177          DstPort             1
19178        }
19179      }
19180    }
19181    Line {
19182      SrcBlock        "Logical5"
19183      SrcPort         1
19184      DstBlock        "Counter1"
19185      DstPort         1
19186    }
19187    Line {
19188      SrcBlock        "Counter1"
19189      SrcPort         1
19190      Points          [35, 0]
19191      Branch {
19192        Points          [0, 35; 505, 0; 0, -340]
19193        DstBlock            "Scope"
19194        DstPort         3
19195      }
19196      Branch {
19197        DstBlock            "Relational2"
19198        DstPort         2
19199      }
19200    }
19201    Line {
19202      SrcBlock        "slotTime"
19203      SrcPort         1
19204      DstBlock        "Relational2"
19205      DstPort         1
19206    }
19207    Line {
19208      SrcBlock        "Sim-only\nGateway In"
19209      SrcPort         1
19210      DstBlock        "Sim Mux"
19211      DstPort         1
19212    }
19213    Line {
19214      SrcBlock        "pause"
19215      SrcPort         1
19216      Points          [115, 0]
19217      Branch {
19218        Points          [0, -35]
19219        DstBlock            "negedge"
19220        DstPort         1
19221      }
19222      Branch {
19223        DstBlock            "posedge2"
19224        DstPort         1
19225      }
19226    }
19227    Line {
19228      SrcBlock        "negedge"
19229      SrcPort         1
19230      DstBlock        "Logical3"
19231      DstPort         2
19232    }
19233    Line {
19234      SrcBlock        "Logical3"
19235      SrcPort         1
19236      DstBlock        "S-R_Latch1"
19237      DstPort         1
19238    }
19239    Line {
19240      SrcBlock        "posedge"
19241      SrcPort         1
19242      Points          [15, 0]
19243      Branch {
19244        Points          [0, -25]
19245        Branch {
19246          Points              [0, -70]
19247          DstBlock            "S-R_Latch3"
19248          DstPort             1
19249        }
19250        Branch {
19251          DstBlock            "Logical1"
19252          DstPort             2
19253        }
19254      }
19255      Branch {
19256        Points          [0, 400]
19257        DstBlock            "Logical5"
19258        DstPort         3
19259      }
19260      Branch {
19261        DstBlock            "Logical3"
19262        DstPort         1
19263      }
19264    }
19265    Line {
19266      SrcBlock        "done_reset"
19267      SrcPort         1
19268      Points          [15, 0]
19269      Branch {
19270        Points          [0, -145; -625, 0; 0, 75]
19271        DstBlock            "S-R_Latch3"
19272        DstPort         2
19273      }
19274      Branch {
19275        DstBlock            "S-R_Latch2"
19276        DstPort         2
19277      }
19278    }
19279    Line {
19280      SrcBlock        "Relational"
19281      SrcPort         1
19282      Points          [10, 0]
19283      Branch {
19284        DstBlock            "Logical7"
19285        DstPort         2
19286      }
19287      Branch {
19288        Points          [0, -80; -290, 0; 0, 60]
19289        DstBlock            "Logical1"
19290        DstPort         1
19291      }
19292      Branch {
19293        Points          [0, 110; -550, 0; 0, -40]
19294        DstBlock            "Logical2"
19295        DstPort         2
19296      }
19297    }
19298    Line {
19299      SrcBlock        "S-R_Latch2"
19300      SrcPort         1
19301      DstBlock        "done"
19302      DstPort         1
19303    }
19304    Line {
19305      SrcBlock        "Logical1"
19306      SrcPort         1
19307      DstBlock        "Counter"
19308      DstPort         1
19309    }
19310    Line {
19311      SrcBlock        "Counter"
19312      SrcPort         1
19313      Points          [0, 0]
19314      Branch {
19315        Points          [0, 100]
19316        Branch {
19317          Points              [0, 30]
19318          Branch {
19319        Points          [445, 0; 0, -85]
19320        DstBlock        "Scope"
19321        DstPort         1
19322          }
19323          Branch {
19324        Points          [0, 35]
19325        DstBlock        "Relational1"
19326        DstPort         1
19327          }
19328        }
19329        Branch {
19330          DstBlock            "AddSub"
19331          DstPort             2
19332        }
19333      }
19334      Branch {
19335        Labels          [1, 0]
19336        DstBlock            "Relational"
19337        DstPort         2
19338      }
19339    }
19340    Line {
19341      SrcBlock        "slotCount"
19342      SrcPort         1
19343      Points          [10, 0]
19344      Branch {
19345        DstBlock            "Relational"
19346        DstPort         1
19347      }
19348      Branch {
19349        Points          [0, 105]
19350        DstBlock            "AddSub"
19351        DstPort         1
19352      }
19353    }
19354    Line {
19355      SrcBlock        "S-R_Latch1"
19356      SrcPort         1
19357      Points          [25, 0]
19358      Branch {
19359        Points          [0, -20]
19360        DstBlock            "Logical6"
19361        DstPort         1
19362      }
19363      Branch {
19364        Points          [0, 175]
19365        Branch {
19366          Points              [290, 0]
19367          Branch {
19368        Points          [0, 40]
19369        DstBlock        "running"
19370        DstPort         1
19371          }
19372          Branch {
19373        DstBlock        "Inverter"
19374        DstPort         1
19375          }
19376        }
19377        Branch {
19378          Points              [0, 235]
19379          DstBlock            "Counter1"
19380          DstPort             2
19381        }
19382      }
19383    }
19384    Line {
19385      SrcBlock        "Inverter"
19386      SrcPort         1
19387      DstBlock        "Logical4"
19388      DstPort         2
19389    }
19390    Line {
19391      SrcBlock        "Relational1"
19392      SrcPort         1
19393      DstBlock        "Logical4"
19394      DstPort         1
19395    }
19396    Line {
19397      SrcBlock        "Constant"
19398      SrcPort         1
19399      DstBlock        "Relational1"
19400      DstPort         2
19401    }
19402    Line {
19403      SrcBlock        "AddSub"
19404      SrcPort         1
19405      DstBlock        "timeLeft"
19406      DstPort         1
19407    }
19408    Line {
19409      SrcBlock        "Sim Mux"
19410      SrcPort         1
19411      DstBlock        "posedge"
19412      DstPort         1
19413    }
19414    Line {
19415      SrcBlock        "start"
19416      SrcPort         1
19417      DstBlock        "Sim Mux"
19418      DstPort         2
19419    }
19420    Line {
19421      SrcBlock        "Logical4"
19422      SrcPort         1
19423      DstBlock        "paused"
19424      DstPort         1
19425    }
19426    Line {
19427      SrcBlock        "Mux"
19428      SrcPort         1
19429      DstBlock        "Convert1"
19430      DstPort         1
19431    }
19432    Line {
19433      SrcBlock        "Medium Idle"
19434      SrcPort         1
19435      DstBlock        "Mux"
19436      DstPort         3
19437    }
19438    Line {
19439      SrcBlock        "Constant1"
19440      SrcPort         1
19441      DstBlock        "Mux"
19442      DstPort         2
19443    }
19444    Line {
19445      SrcBlock        "mode"
19446      SrcPort         1
19447      DstBlock        "Mux"
19448      DstPort         1
19449    }
19450    Annotation {
19451      Name            "This SR latch handles the case of a zero-slot-count\ntimer event. When the user code sets the slotCount to 0,\nthe comparison is immedilatey true. The timer done\nsignal then asserts when:\nif(carrier sensing) after IDLE AND\nafter user asserts start\nDone de-assets when the user clears the done bit\n*but does not re-assert* until the next user-initiated start."
19452      Position        [697, 92]
19453    }
19454      }
19455    }
19456    Block {
19457      BlockType           SubSystem
19458      Name            "Timer 6"
19459      Ports           [7, 4]
19460      Position            [860, 340, 965, 460]
19461      MinAlgLoopOccurrences   off
19462      PropExecContextOutsideSubsystem off
19463      RTWSystemCode       "Auto"
19464      FunctionWithSeparateData off
19465      Opaque              off
19466      RequestExecContextInheritance off
19467      MaskHideContents        off
19468      System {
19469    Name            "Timer 6"
19470    Location        [214, 74, 1910, 1156]
19471    Open            off
19472    ModelBrowserVisibility  on
19473    ModelBrowserWidth   200
19474    ScreenColor     "white"
19475    PaperOrientation    "landscape"
19476    PaperPositionMode   "auto"
19477    PaperType       "usletter"
19478    PaperUnits      "inches"
19479    TiledPaperMargins   [0.500000, 0.500000, 0.500000, 0.500000]
19480    TiledPageScale      1
19481    ShowPageBoundaries  off
19482    ZoomFactor      "100"
19483    Block {
19484      BlockType       Inport
19485      Name            "start"
19486      Position        [310, 283, 340, 297]
19487      IconDisplay         "Port number"
19488      OutDataType         "sfix(16)"
19489      OutScaling          "2^0"
19490    }
19491    Block {
19492      BlockType       Inport
19493      Name            "pause"
19494      Position        [310, 323, 340, 337]
19495      Port            "2"
19496      IconDisplay         "Port number"
19497      OutDataType         "sfix(16)"
19498      OutScaling          "2^0"
19499    }
19500    Block {
19501      BlockType       Inport
19502      Name            "mode"
19503      Position        [255, 648, 285, 662]
19504      NamePlacement       "alternate"
19505      Port            "3"
19506      IconDisplay         "Port number"
19507      OutDataType         "sfix(16)"
19508      OutScaling          "2^0"
19509    }
19510    Block {
19511      BlockType       Inport
19512      Name            "done_reset"
19513      Position        [1175, 283, 1205, 297]
19514      Port            "4"
19515      IconDisplay         "Port number"
19516      OutDataType         "sfix(16)"
19517      OutScaling          "2^0"
19518    }
19519    Block {
19520      BlockType       Inport
19521      Name            "slotCount"
19522      Position        [980, 238, 1010, 252]
19523      NamePlacement       "alternate"
19524      Port            "5"
19525      IconDisplay         "Port number"
19526      OutDataType         "sfix(16)"
19527      OutScaling          "2^0"
19528    }
19529    Block {
19530      BlockType       Inport
19531      Name            "slotTime"
19532      Position        [930, 658, 960, 672]
19533      Port            "6"
19534      IconDisplay         "Port number"
19535      OutDataType         "sfix(16)"
19536      OutScaling          "2^0"
19537    }
19538    Block {
19539      BlockType       Inport
19540      Name            "Medium Idle"
19541      Position        [250, 698, 280, 712]
19542      Port            "7"
19543      IconDisplay         "Port number"
19544      OutDataType         "sfix(16)"
19545      OutScaling          "2^0"
19546    }
19547    Block {
19548      BlockType       Reference
19549      Name            "AddSub"
19550      Ports           [2, 1]
19551      Position        [1210, 339, 1255, 386]
19552      ShowName        off
19553      SourceBlock         "xbsIndex_r4/AddSub"
19554      SourceType          "Xilinx Adder/Subtractor Block"
19555      mode            "Subtraction"
19556      use_carryin         off
19557      use_carryout        off
19558      en              off
19559      latency         "0"
19560      precision       "User Defined"
19561      arith_type          "Unsigned"
19562      n_bits          "32"
19563      bin_pt          "0"
19564      quantization        "Truncate"
19565      overflow        "Wrap"
19566      dbl_ovrd        off
19567      use_behavioral_HDL      off
19568      pipelined       off
19569      use_rpm         on
19570      hw_selection        "Fabric"
19571      xl_use_area         off
19572      xl_area         "[16 0 0 32 0 0 0]"
19573      has_advanced_control    "0"
19574      sggui_pos       "-1,-1,-1,-1"
19575      block_type          "addsub"
19576      block_version       "8.2"
19577      sg_icon_stat        "45,47,2,1,white,blue,0,46b4c804,right"
19578      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 ],[0 0 58 58 ],[0.77 0.82 0.91]);\npatch([15 5 19 5 15 30 34 38 54 41 29 20 34 20 29 41 54 38 34 30 15 ],[6 16 30 44 54 54 50 54 54 41 53 44 30 16 7 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 58 58 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1,'a');\ncolor('black');port_label('input',2,'b');\ncolor('black');port_label('output',1,'\\bf{a - b}','texmode','on');\nfprintf('','COMMENT: end icon text');\n"
19579    }
19580    Block {
19581      BlockType       Reference
19582      Name            "Constant"
19583      Ports           [0, 1]
19584      Position        [940, 454, 970, 466]
19585      ShowName        off
19586      SourceBlock         "xbsIndex_r4/Constant"
19587      SourceType          "Xilinx Constant Block Block"
19588      arith_type          "Unsigned"
19589      const           "0"
19590      n_bits          "32"
19591      bin_pt          "0"
19592      explicit_period     off
19593      period          "1"
19594      dsp48_infoedit      "The use of this block for DSP48 instructions is deprecated.  Please use the Opmode block."
19595      equ             "P=C"
19596      opselect        "C"
19597      inp2            "PCIN>>17"
19598      opr             "+"
19599      inp1            "P"
19600      carry           "CIN"
19601      dbl_ovrd        off
19602      has_advanced_control    "0"
19603      sggui_pos       "50,50,400,346"
19604      block_type          "constant"
19605      block_version       "10.1.2"
19606      sg_icon_stat        "30,12,0,1,white,blue,0,72d575a1,right"
19607      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 ],[0 0 26 26 ],[0.77 0.82 0.91]);\npatch([20 16 22 16 20 27 29 31 38 32 26 22 28 22 26 32 38 31 29 27 20 ],[3 7 13 19 23 23 21 23 23 17 23 19 13 7 3 9 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 55 55 0 0 ],[0 0 26 26 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('output',1,'0');\nfprintf('','COMMENT: end icon text');\n"
19608    }
19609    Block {
19610      BlockType       Reference
19611      Name            "Constant1"
19612      Ports           [0, 1]
19613      Position        [255, 672, 290, 688]
19614      ShowName        off
19615      SourceBlock         "xbsIndex_r4/Constant"
19616      SourceType          "Xilinx Constant Block Block"
19617      arith_type          "Unsigned"
19618      const           "1"
19619      n_bits          "1"
19620      bin_pt          "0"
19621      explicit_period     off
19622      period          "1"
19623      dsp48_infoedit      "The use of this block for DSP48 instructions is deprecated.  Please use the Opmode block."
19624      equ             "P=C"
19625      opselect        "C"
19626      inp2            "PCIN>>17"
19627      opr             "+"
19628      inp1            "P"
19629      carry           "CIN"
19630      dbl_ovrd        off
19631      has_advanced_control    "0"
19632      sggui_pos       "-1,-1,-1,-1"
19633      block_type          "constant"
19634      block_version       "8.2"
19635      sg_icon_stat        "35,16,0,1,white,blue,0,06094819,right"
19636      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 ],[0 0 26 26 ],[0.77 0.82 0.91]);\npatch([20 16 22 16 20 27 29 31 38 32 26 22 28 22 26 32 38 31 29 27 20 ],[3 7 13 19 23 23 21 23 23 17 23 19 13 7 3 9 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 55 55 0 0 ],[0 0 26 26 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('output',1,'1');\nfprintf('','COMMENT: end icon text');\n"
19637    }
19638    Block {
19639      BlockType       Constant
19640      Name            "Constant2"
19641      Position        [260, 261, 275, 279]
19642      ShowName        off
19643      OutDataType         "sfix(16)"
19644      OutScaling          "2^0"
19645    }
19646    Block {
19647      BlockType       Constant
19648      Name            "Constant4"
19649      Position        [315, 576, 330, 594]
19650      ShowName        off
19651      Value           "0"
19652      OutDataType         "sfix(16)"
19653      OutScaling          "2^0"
19654    }
19655    Block {
19656      BlockType       Reference
19657      Name            "Convert1"
19658      Ports           [1, 1]
19659      Position        [380, 670, 415, 690]
19660      ShowName        off
19661      SourceBlock         "xbsIndex_r4/Convert"
19662      SourceType          "Xilinx Type Converter Block"
19663      infoedit        "Hardware notes: rounding and saturating require hardware resources; truncating and wrapping do not."
19664      arith_type          "Boolean"
19665      n_bits          "16"
19666      bin_pt          "14"
19667      quantization        "Truncate"
19668      overflow        "Wrap"
19669      latency         "0"
19670      dbl_ovrd        off
19671      pipeline        off
19672      xl_use_area         off
19673      xl_area         "[0 0 0 0 0 0 0]"
19674      has_advanced_control    "0"
19675      sggui_pos       "20,20,461,375"
19676      block_type          "convert"
19677      block_version       "8.2"
19678      sg_icon_stat        "35,20,1,1,white,blue,0,74901e60,right"
19679      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 45 45 0 ],[0 0 30 30 ],[0.77 0.82 0.91]);\npatch([15 10 17 10 15 23 25 27 35 28 22 17 23 17 22 28 35 27 25 23 15 ],[3 8 15 22 27 27 25 27 27 20 26 21 15 9 4 10 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 45 45 0 0 ],[0 0 30 30 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('output',1,'cast');\nfprintf('','COMMENT: end icon text');\n"
19680    }
19681    Block {
19682      BlockType       Reference
19683      Name            "Counter"
19684      Ports           [2, 1]
19685      Position        [905, 224, 965, 326]
19686      NamePlacement       "alternate"
19687      SourceBlock         "xbsIndex_r4/Counter"
19688      SourceType          "Xilinx Counter Block"
19689      infoedit        "Hardware notes: Free running counters are the least expensive in hardware.  A count limited counter is implemented by combining a counter with a comparator."
19690      cnt_type        "Free Running"
19691      cnt_to          "Inf"
19692      operation       "Up"
19693      start_count         "0"
19694      cnt_by_val          "1"
19695      arith_type          "Unsigned"
19696      n_bits          "32"
19697      bin_pt          "0"
19698      load_pin        off
19699      rst             on
19700      en              on
19701      explicit_period     "on"
19702      period          "1"
19703      dbl_ovrd        off
19704      use_behavioral_HDL      off
19705      use_rpm         off
19706      implementation      "Fabric"
19707      xl_use_area         off
19708      xl_area         "[17 32 0 32 0 0 0]"
19709      has_advanced_control    "0"
19710      sggui_pos       "20,20,356,630"
19711      block_type          "counter"
19712      block_version       "8.2"
19713      sg_icon_stat        "60,102,2,1,white,blue,0,46c73e85,right"
19714      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 ],[0 0 60 60 ],[0.77 0.82 0.91]);\npatch([14 4 18 4 14 30 34 38 55 42 29 20 35 20 29 42 55 38 34 30 14 ],[6 16 30 44 54 54 50 54 54 41 54 45 30 15 6 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 60 60 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1,'rst');\ncolor('black');port_label('input',2,'en');\ncolor('black');port_label('output',1,'out');\nfprintf('','COMMENT: end icon text');\n"
19715    }
19716    Block {
19717      BlockType       Reference
19718      Name            "Counter1"
19719      Ports           [2, 1]
19720      Position        [835, 644, 895, 746]
19721      SourceBlock         "xbsIndex_r4/Counter"
19722      SourceType          "Xilinx Counter Block"
19723      infoedit        "Hardware notes: Free running counters are the least expensive in hardware.  A count limited counter is implemented by combining a counter with a comparator."
19724      cnt_type        "Free Running"
19725      cnt_to          "Inf"
19726      operation       "Up"
19727      start_count         "0"
19728      cnt_by_val          "1"
19729      arith_type          "Unsigned"
19730      n_bits          "16"
19731      bin_pt          "0"
19732      load_pin        off
19733      rst             on
19734      en              on
19735      explicit_period     "on"
19736      period          "1"
19737      dbl_ovrd        off
19738      use_behavioral_HDL      off
19739      use_rpm         off
19740      implementation      "Fabric"
19741      xl_use_area         off
19742      xl_area         "[17 32 0 32 0 0 0]"
19743      has_advanced_control    "0"
19744      sggui_pos       "20,20,356,630"
19745      block_type          "counter"
19746      block_version       "8.2"
19747      sg_icon_stat        "60,102,2,1,white,blue,0,46c73e85,right"
19748      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 ],[0 0 60 60 ],[0.77 0.82 0.91]);\npatch([14 4 18 4 14 30 34 38 55 42 29 20 35 20 29 42 55 38 34 30 14 ],[6 16 30 44 54 54 50 54 54 41 54 45 30 15 6 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 60 60 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1,'rst');\ncolor('black');port_label('input',2,'en');\ncolor('black');port_label('output',1,'out');\nfprintf('','COMMENT: end icon text');\n"
19749    }
19750    Block {
19751      BlockType       Reference
19752      Name            "Delay"
19753      Ports           [1, 1]
19754      Position        [925, 331, 950, 359]
19755      ShowName        off
19756      SourceBlock         "xbsIndex_r4/Delay"
19757      SourceType          "Xilinx Delay Block"
19758      infoedit        "Hardware notes: A delay line is a chain, each link of which is an SRL16 followed by a flip-flop."
19759      en              off
19760      latency         "1"
19761      dbl_ovrd        off
19762      reg_retiming        off
19763      xl_use_area         off
19764      xl_area         "[0,0,0,0,0,0,0]"
19765      has_advanced_control    "0"
19766      sggui_pos       "-1,-1,-1,-1"
19767      block_type          "delay"
19768      block_version       "10.1.3"
19769      sg_icon_stat        "25,28,1,1,white,blue,0,fc531c0e,right"
19770      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 25 25 0 ],[0 0 28 28 ],[0.77 0.82 0.91]);\npatch([6 2 8 2 6 13 15 17 24 18 13 9 14 9 13 18 24 17 15 13 6 ],[4 8 14 20 24 24 22 24 24 18 23 19 14 9 5 10 4 4 6 4 4 ],[0.98 0.96 0.92]);\nplot([0 25 25 0 0 ],[0 0 28 28 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');disp('z^{-1}','texmode','on');\nfprintf('','COMMENT: end icon text');\n"
19771    }
19772    Block {
19773      BlockType       Reference
19774      Name            "Inverter"
19775      Ports           [1, 1]
19776      Position        [1080, 476, 1125, 494]
19777      ShowName        off
19778      SourceBlock         "xbsIndex_r4/Inverter"
19779      SourceType          "Xilinx Inverter Block"
19780      infoedit        "Bitwise logical negation (one's complement) operator."
19781      en              off
19782      latency         "0"
19783      dbl_ovrd        off
19784      xl_use_area         off
19785      xl_area         "[0 0 0 0 0 0 0]"
19786      has_advanced_control    "0"
19787      sggui_pos       "-1,-1,-1,-1"
19788      block_type          "inv"
19789      block_version       "10.1.2"
19790      sg_icon_stat        "45,18,1,1,white,blue,0,1ab4a85f,right"
19791      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 ],[0 0 58 58 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13 28 32 36 52 40 28 19 32 19 28 40 52 36 32 28 13 ],[7 16 29 42 51 51 47 51 51 39 51 42 29 16 7 19 7 7 11 7 7 ],[0.98 0.96 0.92]);\nplot([0 55 55 0 0 ],[0 0 58 58 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');disp('not');\nfprintf('','COMMENT: end icon text');\n"
19792    }
19793    Block {
19794      BlockType       Reference
19795      Name            "Inverter1"
19796      Ports           [1, 1]
19797      Position        [590, 661, 635, 679]
19798      ShowName        off
19799      SourceBlock         "xbsIndex_r4/Inverter"
19800      SourceType          "Xilinx Inverter Block"
19801      infoedit        "Bitwise logical negation (one's complement) operator."
19802      en              off
19803      latency         "0"
19804      dbl_ovrd        off
19805      xl_use_area         off
19806      xl_area         "[0 0 0 0 0 0 0]"
19807      has_advanced_control    "0"
19808      sggui_pos       "-1,-1,-1,-1"
19809      block_type          "inv"
19810      block_version       "10.1.2"
19811      sg_icon_stat        "45,18,1,1,white,blue,0,1ab4a85f,right"
19812      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 ],[0 0 58 58 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13 28 32 36 52 40 28 19 32 19 28 40 52 36 32 28 13 ],[7 16 29 42 51 51 47 51 51 39 51 42 29 16 7 19 7 7 11 7 7 ],[0.98 0.96 0.92]);\nplot([0 55 55 0 0 ],[0 0 58 58 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');disp('not');\nfprintf('','COMMENT: end icon text');\n"
19813    }
19814    Block {
19815      BlockType       Reference
19816      Name            "Logical1"
19817      Ports           [2, 1]
19818      Position        [855, 231, 885, 264]
19819      ShowName        off
19820      SourceBlock         "xbsIndex_r4/Logical"
19821      SourceType          "Xilinx Logical Block Block"
19822      logical_function    "OR"
19823      inputs          "2"
19824      en              off
19825      latency         "0"
19826      precision       "Full"
19827      arith_type          "Unsigned"
19828      n_bits          "16"
19829      bin_pt          "0"
19830      align_bp        on
19831      dbl_ovrd        off
19832      xl_use_area         off
19833      xl_area         "[1 0 0 1 0 0 0]"
19834      has_advanced_control    "0"
19835      sggui_pos       "-1,-1,-1,-1"
19836      block_type          "logical"
19837      block_version       "9.1.01"
19838      sg_icon_stat        "30,33,2,1,white,blue,0,f4a65842,right"
19839      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 ],[0 0 60 60 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13 28 32 36 52 40 28 19 32 19 28 40 52 36 32 28 13 ],[8 17 30 43 52 52 48 52 52 40 52 43 30 17 8 20 8 8 12 8 8 ],[0.98 0.96 0.92]);\nplot([0 55 55 0 0 ],[0 0 60 60 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');disp('\\newlineor\\newlinez^{-0}','texmode','on');\nfprintf('','COMMENT: end icon text');\n"
19840    }
19841    Block {
19842      BlockType       Reference
19843      Name            "Logical2"
19844      Ports           [2, 1]
19845      Position        [590, 306, 620, 339]
19846      ShowName        off
19847      SourceBlock         "xbsIndex_r4/Logical"
19848      SourceType          "Xilinx Logical Block Block"
19849      logical_function    "OR"
19850      inputs          "2"
19851      en              off
19852      latency         "0"
19853      precision       "Full"
19854      arith_type          "Unsigned"
19855      n_bits          "16"
19856      bin_pt          "0"
19857      align_bp        on
19858      dbl_ovrd        off
19859      xl_use_area         off
19860      xl_area         "[1 0 0 1 0 0 0]"
19861      has_advanced_control    "0"
19862      sggui_pos       "-1,-1,-1,-1"
19863      block_type          "logical"
19864      block_version       "9.1.01"
19865      sg_icon_stat        "30,33,2,1,white,blue,0,f4a65842,right"
19866      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 ],[0 0 60 60 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13 28 32 36 52 40 28 19 32 19 28 40 52 36 32 28 13 ],[8 17 30 43 52 52 48 52 52 40 52 43 30 17 8 20 8 8 12 8 8 ],[0.98 0.96 0.92]);\nplot([0 55 55 0 0 ],[0 0 60 60 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');disp('\\newlineor\\newlinez^{-0}','texmode','on');\nfprintf('','COMMENT: end icon text');\n"
19867    }
19868    Block {
19869      BlockType       Reference
19870      Name            "Logical3"
19871      Ports           [2, 1]
19872      Position        [590, 271, 620, 304]
19873      ShowName        off
19874      SourceBlock         "xbsIndex_r4/Logical"
19875      SourceType          "Xilinx Logical Block Block"
19876      logical_function    "OR"
19877      inputs          "2"
19878      en              off
19879      latency         "0"
19880      precision       "Full"
19881      arith_type          "Unsigned"
19882      n_bits          "16"
19883      bin_pt          "0"
19884      align_bp        on
19885      dbl_ovrd        off
19886      xl_use_area         off
19887      xl_area         "[1 0 0 1 0 0 0]"
19888      has_advanced_control    "0"
19889      sggui_pos       "-1,-1,-1,-1"
19890      block_type          "logical"
19891      block_version       "9.1.01"
19892      sg_icon_stat        "30,33,2,1,white,blue,0,f4a65842,right"
19893      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 ],[0 0 60 60 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13 28 32 36 52 40 28 19 32 19 28 40 52 36 32 28 13 ],[8 17 30 43 52 52 48 52 52 40 52 43 30 17 8 20 8 8 12 8 8 ],[0.98 0.96 0.92]);\nplot([0 55 55 0 0 ],[0 0 60 60 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');disp('\\newlineor\\newlinez^{-0}','texmode','on');\nfprintf('','COMMENT: end icon text');\n"
19894    }
19895    Block {
19896      BlockType       Reference
19897      Name            "Logical4"
19898      Ports           [2, 1]
19899      Position        [1155, 433, 1200, 502]
19900      ShowName        off
19901      SourceBlock         "xbsIndex_r4/Logical"
19902      SourceType          "Xilinx Logical Block Block"
19903      logical_function    "AND"
19904      inputs          "2"
19905      en              off
19906      latency         "0"
19907      precision       "Full"
19908      arith_type          "Unsigned"
19909      n_bits          "16"
19910      bin_pt          "0"
19911      align_bp        on
19912      dbl_ovrd        off
19913      xl_use_area         off
19914      xl_area         "[1 0 0 1 0 0 0]"
19915      has_advanced_control    "0"
19916      sggui_pos       "-1,-1,-1,-1"
19917      block_type          "logical"
19918      block_version       "10.1.2"
19919      sg_icon_stat        "45,69,2,1,white,blue,0,087b5522,right"
19920      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 ],[0 0 60 60 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13 28 32 36 52 40 28 19 32 19 28 40 52 36 32 28 13 ],[8 17 30 43 52 52 48 52 52 40 52 43 30 17 8 20 8 8 12 8 8 ],[0.98 0.96 0.92]);\nplot([0 55 55 0 0 ],[0 0 60 60 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');disp('\\newlineand\\newlinez^{-0}','texmode','on');\nfprintf('','COMMENT: end icon text');\n"
19921    }
19922    Block {
19923      BlockType       Reference
19924      Name            "Logical5"
19925      Ports           [3, 1]
19926      Position        [770, 652, 805, 688]
19927      ShowName        off
19928      SourceBlock         "xbsIndex_r4/Logical"
19929      SourceType          "Xilinx Logical Block Block"
19930      logical_function    "OR"
19931      inputs          "3"
19932      en              off
19933      latency         "0"
19934      precision       "Full"
19935      arith_type          "Unsigned"
19936      n_bits          "16"
19937      bin_pt          "0"
19938      align_bp        on
19939      dbl_ovrd        off
19940      xl_use_area         off
19941      xl_area         "[1 0 0 1 0 0 0]"
19942      has_advanced_control    "0"
19943      sggui_pos       "20,20,348,261"
19944      block_type          "logical"
19945      block_version       "8.2"
19946      sg_icon_stat        "35,36,3,1,white,blue,0,bd50cad4,right"
19947      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 ],[0 0 60 60 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13 28 32 36 52 40 28 19 32 19 28 40 52 36 32 28 13 ],[8 17 30 43 52 52 48 52 52 40 52 43 30 17 8 20 8 8 12 8 8 ],[0.98 0.96 0.92]);\nplot([0 55 55 0 0 ],[0 0 60 60 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');disp('\\newlineor\\newlinez^{-0}','texmode','on');\nfprintf('','COMMENT: end icon text');\n"
19948    }
19949    Block {
19950      BlockType       Reference
19951      Name            "Logical6"
19952      Ports           [3, 1]
19953      Position        [850, 282, 885, 318]
19954      ShowName        off
19955      SourceBlock         "xbsIndex_r4/Logical"
19956      SourceType          "Xilinx Logical Block Block"
19957      logical_function    "AND"
19958      inputs          "3"
19959      en              off
19960      latency         "0"
19961      precision       "Full"
19962      arith_type          "Unsigned"
19963      n_bits          "16"
19964      bin_pt          "0"
19965      align_bp        on
19966      dbl_ovrd        off
19967      xl_use_area         off
19968      xl_area         "[1 0 0 1 0 0 0]"
19969      has_advanced_control    "0"
19970      sggui_pos       "20,20,348,261"
19971      block_type          "logical"
19972      block_version       "8.2"
19973      sg_icon_stat        "35,36,3,1,white,blue,0,5c2bfaa2,right"
19974      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 ],[0 0 60 60 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13 28 32 36 52 40 28 19 32 19 28 40 52 36 32 28 13 ],[8 17 30 43 52 52 48 52 52 40 52 43 30 17 8 20 8 8 12 8 8 ],[0.98 0.96 0.92]);\nplot([0 55 55 0 0 ],[0 0 60 60 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');disp('\\newlineand\\newlinez^{-0}','texmode','on');\nfprintf('','COMMENT: end icon text');\n"
19975    }
19976    Block {
19977      BlockType       Reference
19978      Name            "Logical7"
19979      Ports           [3, 1]
19980      Position        [1175, 243, 1205, 277]
19981      ShowName        off
19982      SourceBlock         "xbsIndex_r4/Logical"
19983      SourceType          "Xilinx Logical Block Block"
19984      logical_function    "AND"
19985      inputs          "3"
19986      en              off
19987      latency         "0"
19988      precision       "Full"
19989      arith_type          "Unsigned"
19990      n_bits          "16"
19991      bin_pt          "0"
19992      align_bp        on
19993      dbl_ovrd        off
19994      xl_use_area         off
19995      xl_area         "[1 0 0 1 0 0 0]"
19996      has_advanced_control    "0"
19997      sggui_pos       "20,20,348,261"
19998      block_type          "logical"
19999      block_version       "9.1.01"
20000      sg_icon_stat        "30,34,3,1,white,blue,0,5c2bfaa2,right"
20001      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 30 30 0 ],[0 0 34 34 ],[0.77 0.82 0.91]);\npatch([7 2 9 2 7 15 17 19 27 20 14 9 15 9 14 20 27 19 17 15 7 ],[5 10 17 24 29 29 27 29 29 22 28 23 17 11 6 12 5 5 7 5 5 ],[0.98 0.96 0.92]);\nplot([0 30 30 0 0 ],[0 0 34 34 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');disp('\\newlineand\\newlinez^{-0}','texmode','on');\nfprintf('','COMMENT: end icon text');\n"
20002    }
20003    Block {
20004      BlockType       Reference
20005      Name            "Mux"
20006      Ports           [3, 1]
20007      Position        [320, 643, 345, 717]
20008      ShowName        off
20009      SourceBlock         "xbsIndex_r4/Mux"
20010      SourceType          "Xilinx Bus Multiplexer Block"
20011      inputs          "2"
20012      en              off
20013      latency         "0"
20014      precision       "Full"
20015      arith_type          "Unsigned"
20016      n_bits          "16"
20017      bin_pt          "14"
20018      quantization        "Truncate"
20019      overflow        "Wrap"
20020      dbl_ovrd        off
20021      xl_use_area         off
20022      xl_area         "[1 0 0 1 0 0 0]"
20023      has_advanced_control    "0"
20024      sggui_pos       "-1,-1,-1,-1"
20025      block_type          "mux"
20026      block_version       "8.2"
20027      sg_icon_stat        "25,74,3,1,white,blue,3,613f58e1,right"
20028      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 45 45 0 ],[0 14.8571 89.1429 104 ],[0.77 0.82 0.91]);\npatch([10 3 14 3 10 22 25 28 41 31 21 14 25 14 21 31 41 28 25 22 10 ],[34 41 52 63 70 70 67 70 70 60 70 63 52 41 34 44 34 34 37 34 34 ],[0.98 0.96 0.92]);\nplot([0 45 45 0 0 ],[0 14.8571 89.1429 104 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1,'sel');\ncolor('black');port_label('input',2,'d0');\ncolor('black');port_label('input',3,'d1');\ncolor('black');disp('\\bf{}','texmode','on');\nfprintf('','COMMENT: end icon text');\n"
20029    }
20030    Block {
20031      BlockType       Reference
20032      Name            "Relational"
20033      Ports           [2, 1]
20034      Position        [1060, 231, 1100, 289]
20035      ShowName        off
20036      SourceBlock         "xbsIndex_r4/Relational"
20037      SourceType          "Xilinx Arithmetic Relational Operator Block"
20038      mode            "a<=b"
20039      en              off
20040      latency         "0"
20041      dbl_ovrd        off
20042      xl_use_area         off
20043      xl_area         "[8 0 0 16 0 0 0]"
20044      has_advanced_control    "0"
20045      sggui_pos       "20,20,348,193"
20046      block_type          "relational"
20047      block_version       "8.2"
20048      sg_icon_stat        "40,58,2,1,white,blue,0,07808d72,right"
20049      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 ],[0 0 56 56 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13 28 32 36 52 40 28 19 32 19 28 40 52 36 32 28 13 ],[6 15 28 41 50 50 46 50 50 38 50 41 28 15 6 18 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 55 55 0 0 ],[0 0 56 56 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1,'a');\ncolor('black');port_label('input',2,'b');\ncolor('black');disp('\\newline\\bf{a<=b}\\newlinez^{-0}','texmode','on');\nfprintf('','COMMENT: end icon text');\n"
20050    }
20051    Block {
20052      BlockType       Reference
20053      Name            "Relational1"
20054      Ports           [2, 1]
20055      Position        [1005, 431, 1050, 469]
20056      ShowName        off
20057      SourceBlock         "xbsIndex_r4/Relational"
20058      SourceType          "Xilinx Arithmetic Relational Operator Block"
20059      mode            "a>b"
20060      en              off
20061      latency         "0"
20062      dbl_ovrd        off
20063      xl_use_area         off
20064      xl_area         "[16 1 0 32 0 0 0]"
20065      has_advanced_control    "0"
20066      sggui_pos       "20,20,348,193"
20067      block_type          "relational"
20068      block_version       "10.1.2"
20069      sg_icon_stat        "45,38,2,1,white,blue,0,064af3a6,right"
20070      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 ],[0 0 56 56 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13 28 32 36 52 40 28 19 32 19 28 40 52 36 32 28 13 ],[6 15 28 41 50 50 46 50 50 38 50 41 28 15 6 18 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 55 55 0 0 ],[0 0 56 56 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1,'a');\ncolor('black');port_label('input',2,'b');\ncolor('black');disp('\\newline\\bf{a>b}\\newlinez^{-0}','texmode','on');\nfprintf('','COMMENT: end icon text');\n"
20071    }
20072    Block {
20073      BlockType       Reference
20074      Name            "Relational2"
20075      Ports           [2, 1]
20076      Position        [985, 651, 1025, 709]
20077      ShowName        off
20078      SourceBlock         "xbsIndex_r4/Relational"
20079      SourceType          "Xilinx Arithmetic Relational Operator Block"
20080      mode            "a<=b"
20081      en              off
20082      latency         "0"
20083      dbl_ovrd        off
20084      xl_use_area         off
20085      xl_area         "[8 0 0 16 0 0 0]"
20086      has_advanced_control    "0"
20087      sggui_pos       "20,20,348,193"
20088      block_type          "relational"
20089      block_version       "8.2"
20090      sg_icon_stat        "40,58,2,1,white,blue,0,07808d72,right"
20091      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 ],[0 0 56 56 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13 28 32 36 52 40 28 19 32 19 28 40 52 36 32 28 13 ],[6 15 28 41 50 50 46 50 50 38 50 41 28 15 6 18 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 55 55 0 0 ],[0 0 56 56 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1,'a');\ncolor('black');port_label('input',2,'b');\ncolor('black');disp('\\newline\\bf{a<=b}\\newlinez^{-0}','texmode','on');\nfprintf('','COMMENT: end icon text');\n"
20092    }
20093    Block {
20094      BlockType       SubSystem
20095      Name            "S-R_Latch1"
20096      Ports           [2, 1]
20097      Position        [665, 272, 705, 343]
20098      MinAlgLoopOccurrences   off
20099      PropExecContextOutsideSubsystem off
20100      RTWSystemCode       "Auto"
20101      FunctionWithSeparateData off
20102      Opaque          off
20103      RequestExecContextInheritance off
20104      MaskHideContents    off
20105      System {
20106        Name            "S-R_Latch1"
20107        Location            [202, 70, 1438, 850]
20108        Open            off
20109        ModelBrowserVisibility  on
20110        ModelBrowserWidth       200
20111        ScreenColor         "white"
20112        PaperOrientation        "landscape"
20113        PaperPositionMode       "auto"
20114        PaperType           "usletter"
20115        PaperUnits          "inches"
20116        TiledPaperMargins       [0.500000, 0.500000, 0.500000, 0.500000]
20117        TiledPageScale      1
20118        ShowPageBoundaries      off
20119        ZoomFactor          "100"
20120        Block {
20121          BlockType           Inport
20122          Name            "S"
20123          Position            [95, 58, 125, 72]
20124          IconDisplay         "Port number"
20125          OutDataType         "sfix(16)"
20126          OutScaling          "2^0"
20127        }
20128        Block {
20129          BlockType           Inport
20130          Name            "R"
20131          Position            [95, 88, 125, 102]
20132          Port            "2"
20133          IconDisplay         "Port number"
20134          OutDataType         "sfix(16)"
20135          OutScaling          "2^0"
20136        }
20137        Block {
20138          BlockType           Reference
20139          Name            "Inverter"
20140          Ports           [1, 1]
20141          Position            [220, 82, 250, 108]
20142          SourceBlock         "xbsIndex_r4/Inverter"
20143          SourceType          "Xilinx Inverter Block"
20144          infoedit            "Bitwise logical negation (one's complement) operator."
20145          en              "off"
20146          latency             "0"
20147          dbl_ovrd            "off"
20148          xl_use_area         "off"
20149          xl_area             "[0 0 0 0 0 0 0]"
20150          has_advanced_control    "0"
20151          sggui_pos           "-1,-1,-1,-1"
20152          block_type          "inv"
20153          block_version       "VER_STRING_GOES_HERE"
20154          sg_icon_stat        "30,26,1,1,white,blue,0,1ab4a85f,right"
20155          sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 ],[0 0 58 58 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13 28 32 36 52 40 28 19 32 19 28 40 52 36 32 28 13 ],[7 16 29 42 51 51 47 51 51 39 51 42 29 16 7 19 7 7 11 7 7 ],[0.98 0.96 0.92]);\nplot([0 55 55 0 0 ],[0 0 58 58 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');disp('not');\nfprintf('','COMMENT: end icon text');\n"
20156        }
20157        Block {
20158          BlockType           Reference
20159          Name            "Register"
20160          Ports           [3, 1]
20161          Position            [150, 70, 195, 120]
20162          SourceBlock         "xbsIndex_r4/Register"
20163          SourceType          "Xilinx Register Block"
20164          init            "0"
20165          rst             "on"
20166          en              "on"
20167          dbl_ovrd            "off"
20168          xl_use_area         "off"
20169          xl_area             "[1 1 0 0 0 0 0]"
20170          has_advanced_control    "0"
20171          sggui_pos           "20,20,348,193"
20172          block_type          "register"
20173          block_version       "VER_STRING_GOES_HERE"
20174          sg_icon_stat        "45,50,1,1,white,blue,0,923c1847,right"
20175          sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 ],[0 0 56 56 ],[0.77 0.82 0.91]);\npatch([15 6 19 6 15 30 34 38 54 41 29 20 32 20 29 41 54 38 34 30 15 ],[6 15 28 41 50 50 46 50 50 37 49 40 28 16 7 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 56 56 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1,'d');\ncolor('black');port_label('input',2,'rst');\ncolor('black');port_label('input',3,'en');\ncolor('black');port_label('output',1,'q');\ncolor('black');disp('\\bf{z^{-1}}','texmode','on');\nfprintf('','COMMENT: end icon text');\n"
20176        }
20177        Block {
20178          BlockType           Outport
20179          Name            "Q"
20180          Position            [285, 63, 315, 77]
20181          IconDisplay         "Port number"
20182          OutDataType         "sfix(16)"
20183          OutScaling          "2^0"
20184        }
20185        Line {
20186          SrcBlock            "R"
20187          SrcPort             1
20188          DstBlock            "Register"
20189          DstPort             2
20190        }
20191        Line {
20192          SrcBlock            "S"
20193          SrcPort             1
20194          DstBlock            "Register"
20195          DstPort             1
20196        }
20197        Line {
20198          SrcBlock            "Inverter"
20199          SrcPort             1
20200          Points              [10, 0; 0, 55; -130, 0]
20201          DstBlock            "Register"
20202          DstPort             3
20203        }
20204        Line {
20205          SrcBlock            "Register"
20206          SrcPort             1
20207          Points              [0, 0; 5, 0]
20208          Branch {
20209        Points          [0, -25]
20210        DstBlock        "Q"
20211        DstPort         1
20212          }
20213          Branch {
20214        DstBlock        "Inverter"
20215        DstPort         1
20216          }
20217        }
20218      }
20219    }
20220    Block {
20221      BlockType       SubSystem
20222      Name            "S-R_Latch2"
20223      Ports           [2, 1]
20224      Position        [1285, 245, 1325, 305]
20225      MinAlgLoopOccurrences   off
20226      PropExecContextOutsideSubsystem off
20227      RTWSystemCode       "Auto"
20228      FunctionWithSeparateData off
20229      Opaque          off
20230      RequestExecContextInheritance off
20231      MaskHideContents    off
20232      System {
20233        Name            "S-R_Latch2"
20234        Location            [521, 318, 918, 591]
20235        Open            off
20236        ModelBrowserVisibility  on
20237        ModelBrowserWidth       200
20238        ScreenColor         "white"
20239        PaperOrientation        "landscape"
20240        PaperPositionMode       "auto"
20241        PaperType           "usletter"
20242        PaperUnits          "inches"
20243        TiledPaperMargins       [0.500000, 0.500000, 0.500000, 0.500000]
20244        TiledPageScale      1
20245        ShowPageBoundaries      off
20246        ZoomFactor          "100"
20247        Block {
20248          BlockType           Inport
20249          Name            "S"
20250          Position            [95, 58, 125, 72]
20251          IconDisplay         "Port number"
20252          OutDataType         "sfix(16)"
20253          OutScaling          "2^0"
20254        }
20255        Block {
20256          BlockType           Inport
20257          Name            "R"
20258          Position            [95, 88, 125, 102]
20259          Port            "2"
20260          IconDisplay         "Port number"
20261          OutDataType         "sfix(16)"
20262          OutScaling          "2^0"
20263        }
20264        Block {
20265          BlockType           Reference
20266          Name            "Inverter"
20267          Ports           [1, 1]
20268          Position            [220, 82, 250, 108]
20269          SourceBlock         "xbsIndex_r4/Inverter"
20270          SourceType          "Xilinx Inverter Block"
20271          infoedit            "Bitwise logical negation (one's complement) operator."
20272          en              "off"
20273          latency             "0"
20274          dbl_ovrd            "off"
20275          xl_use_area         "off"
20276          xl_area             "[0 0 0 0 0 0 0]"
20277          has_advanced_control    "0"
20278          sggui_pos           "-1,-1,-1,-1"
20279          block_type          "inv"
20280          block_version       "VER_STRING_GOES_HERE"
20281          sg_icon_stat        "30,26,1,1,white,blue,0,1ab4a85f,right"
20282          sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 ],[0 0 58 58 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13 28 32 36 52 40 28 19 32 19 28 40 52 36 32 28 13 ],[7 16 29 42 51 51 47 51 51 39 51 42 29 16 7 19 7 7 11 7 7 ],[0.98 0.96 0.92]);\nplot([0 55 55 0 0 ],[0 0 58 58 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');disp('not');\nfprintf('','COMMENT: end icon text');\n"
20283        }
20284        Block {
20285          BlockType           Reference
20286          Name            "Register"
20287          Ports           [3, 1]
20288          Position            [150, 70, 195, 120]
20289          SourceBlock         "xbsIndex_r4/Register"
20290          SourceType          "Xilinx Register Block"
20291          init            "0"
20292          rst             "on"
20293          en              "on"
20294          dbl_ovrd            "off"
20295          xl_use_area         "off"
20296          xl_area             "[1 1 0 0 0 0 0]"
20297          has_advanced_control    "0"
20298          sggui_pos           "-1,-1,-1,-1"
20299          block_type          "register"
20300          block_version       "VER_STRING_GOES_HERE"
20301          sg_icon_stat        "45,50,1,1,white,blue,0,923c1847,right"
20302          sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 ],[0 0 56 56 ],[0.77 0.82 0.91]);\npatch([15 6 19 6 15 30 34 38 54 41 29 20 32 20 29 41 54 38 34 30 15 ],[6 15 28 41 50 50 46 50 50 37 49 40 28 16 7 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 56 56 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1,'d');\ncolor('black');port_label('input',2,'rst');\ncolor('black');port_label('input',3,'en');\ncolor('black');port_label('output',1,'q');\ncolor('black');disp('\\bf{z^{-1}}','texmode','on');\nfprintf('','COMMENT: end icon text');\n"
20303        }
20304        Block {
20305          BlockType           Outport
20306          Name            "Q"
20307          Position            [285, 63, 315, 77]
20308          IconDisplay         "Port number"
20309          OutDataType         "sfix(16)"
20310          OutScaling          "2^0"
20311        }
20312        Line {
20313          SrcBlock            "Register"
20314          SrcPort             1
20315          Points              [0, 0; 5, 0]
20316          Branch {
20317        DstBlock        "Inverter"
20318        DstPort         1
20319          }
20320          Branch {
20321        Points          [0, -25]
20322        DstBlock        "Q"
20323        DstPort         1
20324          }
20325        }
20326        Line {
20327          SrcBlock            "Inverter"
20328          SrcPort             1
20329          Points              [10, 0; 0, 55; -130, 0]
20330          DstBlock            "Register"
20331          DstPort             3
20332        }
20333        Line {
20334          SrcBlock            "S"
20335          SrcPort             1
20336          DstBlock            "Register"
20337          DstPort             1
20338        }
20339        Line {
20340          SrcBlock            "R"
20341          SrcPort             1
20342          DstBlock            "Register"
20343          DstPort             2
20344        }
20345      }
20346    }
20347    Block {
20348      BlockType       SubSystem
20349      Name            "S-R_Latch3"
20350      Ports           [2, 1]
20351      Position        [665, 167, 705, 238]
20352      MinAlgLoopOccurrences   off
20353      PropExecContextOutsideSubsystem off
20354      RTWSystemCode       "Auto"
20355      FunctionWithSeparateData off
20356      Opaque          off
20357      RequestExecContextInheritance off
20358      MaskHideContents    off
20359      System {
20360        Name            "S-R_Latch3"
20361        Location            [202, 70, 1438, 850]
20362        Open            off
20363        ModelBrowserVisibility  on
20364        ModelBrowserWidth       200
20365        ScreenColor         "white"
20366        PaperOrientation        "landscape"
20367        PaperPositionMode       "auto"
20368        PaperType           "usletter"
20369        PaperUnits          "inches"
20370        TiledPaperMargins       [0.500000, 0.500000, 0.500000, 0.500000]
20371        TiledPageScale      1
20372        ShowPageBoundaries      off
20373        ZoomFactor          "100"
20374        Block {
20375          BlockType           Inport
20376          Name            "S"
20377          Position            [95, 58, 125, 72]
20378          IconDisplay         "Port number"
20379          OutDataType         "sfix(16)"
20380          OutScaling          "2^0"
20381        }
20382        Block {
20383          BlockType           Inport
20384          Name            "R"
20385          Position            [95, 88, 125, 102]
20386          Port            "2"
20387          IconDisplay         "Port number"
20388          OutDataType         "sfix(16)"
20389          OutScaling          "2^0"
20390        }
20391        Block {
20392          BlockType           Reference
20393          Name            "Inverter"
20394          Ports           [1, 1]
20395          Position            [220, 82, 250, 108]
20396          SourceBlock         "xbsIndex_r4/Inverter"
20397          SourceType          "Xilinx Inverter Block"
20398          infoedit            "Bitwise logical negation (one's complement) operator."
20399          en              "off"
20400          latency             "0"
20401          dbl_ovrd            "off"
20402          xl_use_area         "off"
20403          xl_area             "[0 0 0 0 0 0 0]"
20404          has_advanced_control    "0"
20405          sggui_pos           "-1,-1,-1,-1"
20406          block_type          "inv"
20407          block_version       "VER_STRING_GOES_HERE"
20408          sg_icon_stat        "30,26,1,1,white,blue,0,1ab4a85f,right"
20409          sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 ],[0 0 58 58 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13 28 32 36 52 40 28 19 32 19 28 40 52 36 32 28 13 ],[7 16 29 42 51 51 47 51 51 39 51 42 29 16 7 19 7 7 11 7 7 ],[0.98 0.96 0.92]);\nplot([0 55 55 0 0 ],[0 0 58 58 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');disp('not');\nfprintf('','COMMENT: end icon text');\n"
20410        }
20411        Block {
20412          BlockType           Reference
20413          Name            "Register"
20414          Ports           [3, 1]
20415          Position            [150, 70, 195, 120]
20416          SourceBlock         "xbsIndex_r4/Register"
20417          SourceType          "Xilinx Register Block"
20418          init            "0"
20419          rst             "on"
20420          en              "on"
20421          dbl_ovrd            "off"
20422          xl_use_area         "off"
20423          xl_area             "[1 1 0 0 0 0 0]"
20424          has_advanced_control    "0"
20425          sggui_pos           "20,20,348,193"
20426          block_type          "register"
20427          block_version       "VER_STRING_GOES_HERE"
20428          sg_icon_stat        "45,50,1,1,white,blue,0,923c1847,right"
20429          sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 ],[0 0 56 56 ],[0.77 0.82 0.91]);\npatch([15 6 19 6 15 30 34 38 54 41 29 20 32 20 29 41 54 38 34 30 15 ],[6 15 28 41 50 50 46 50 50 37 49 40 28 16 7 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 56 56 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1,'d');\ncolor('black');port_label('input',2,'rst');\ncolor('black');port_label('input',3,'en');\ncolor('black');port_label('output',1,'q');\ncolor('black');disp('\\bf{z^{-1}}','texmode','on');\nfprintf('','COMMENT: end icon text');\n"
20430        }
20431        Block {
20432          BlockType           Outport
20433          Name            "Q"
20434          Position            [285, 63, 315, 77]
20435          IconDisplay         "Port number"
20436          OutDataType         "sfix(16)"
20437          OutScaling          "2^0"
20438        }
20439        Line {
20440          SrcBlock            "Register"
20441          SrcPort             1
20442          Points              [0, 0; 5, 0]
20443          Branch {
20444        DstBlock        "Inverter"
20445        DstPort         1
20446          }
20447          Branch {
20448        Points          [0, -25]
20449        DstBlock        "Q"
20450        DstPort         1
20451          }
20452        }
20453        Line {
20454          SrcBlock            "Inverter"
20455          SrcPort             1
20456          Points              [10, 0; 0, 55; -130, 0]
20457          DstBlock            "Register"
20458          DstPort             3
20459        }
20460        Line {
20461          SrcBlock            "S"
20462          SrcPort             1
20463          DstBlock            "Register"
20464          DstPort             1
20465        }
20466        Line {
20467          SrcBlock            "R"
20468          SrcPort             1
20469          DstBlock            "Register"
20470          DstPort             2
20471        }
20472      }
20473    }
20474    Block {
20475      BlockType       Scope
20476      Name            "Scope"
20477      Ports           [3]
20478      Position        [1530, 305, 1560, 405]
20479      Floating        off
20480      Location        [1, 45, 1441, 869]
20481      Open            off
20482      NumInputPorts       "3"
20483      ZoomMode        "xonly"
20484      List {
20485        ListType            AxesTitles
20486        axes1           "%<SignalLabel>"
20487        axes2           "%<SignalLabel>"
20488        axes3           "%<SignalLabel>"
20489      }
20490      YMin            "-5~-5~-5"
20491      YMax            "5~5~5"
20492      DataFormat          "StructureWithTime"
20493      SampleTime          "0"
20494    }
20495    Block {
20496      BlockType       Reference
20497      Name            "Sim Mux"
20498      Ports           [2, 1]
20499      Position        [405, 261, 445, 299]
20500      NamePlacement       "alternate"
20501      SourceBlock         "xbsIndex_r4/Simulation Multiplexer"
20502      SourceType          "Xilinx Simulation Multiplexer Block"
20503      infoedit        "Distinguish input subsystems as \"simulation only\" and \"generation only\".  The input specified For Simulation will be used during Simulink simulation.  The input specified For Generation will be used during code generation.  This block will typically be used on the output of a HW Co-Simulation, Black Box, or ModelSim subsystem.<P><P>Hardware Notes: This block costs nothing."
20504      sim_sel         "1"
20505      hw_sel          "2"
20506      has_advanced_control    "0"
20507      sggui_pos       "20,20,336,197"
20508      block_type          "simmux"
20509      block_version       "10.1.3"
20510      sg_icon_stat        "40,38,2,1,white,blue,0,c4f98ccb,right"
20511      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 50 50 0 ],[0 0 51 51 ],[0.77 0.82 0.91]);\npatch([11 3 15 3 11 24 28 32 46 35 24 16 28 16 24 35 46 32 28 24 11 ],[6 14 26 38 46 46 42 46 46 35 46 38 26 14 6 17 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 50 50 0 0 ],[0 0 51 51 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\n\nfprintf('','COMMENT: end icon text');\ncolor('red');\nplot(swLineX,simSwLineY);\ncolor('black');\nplot(swLineX,hwSwLineY);\n"
20512    }
20513    Block {
20514      BlockType       Reference
20515      Name            "Sim Mux1"
20516      Ports           [2, 1]
20517      Position        [470, 576, 510, 614]
20518      NamePlacement       "alternate"
20519      SourceBlock         "xbsIndex_r4/Simulation Multiplexer"
20520      SourceType          "Xilinx Simulation Multiplexer Block"
20521      infoedit        "Distinguish input subsystems as \"simulation only\" and \"generation only\".  The input specified For Simulation will be used during Simulink simulation.  The input specified For Generation will be used during code generation.  This block will typically be used on the output of a HW Co-Simulation, Black Box, or ModelSim subsystem.<P><P>Hardware Notes: This block costs nothing."
20522      sim_sel         "1"
20523      hw_sel          "2"
20524      has_advanced_control    "0"
20525      sggui_pos       "20,20,336,197"
20526      block_type          "simmux"
20527      block_version       "10.1.3"
20528      sg_icon_stat        "40,38,2,1,white,blue,0,c4f98ccb,right"
20529      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 50 50 0 ],[0 0 51 51 ],[0.77 0.82 0.91]);\npatch([11 3 15 3 11 24 28 32 46 35 24 16 28 16 24 35 46 32 28 24 11 ],[6 14 26 38 46 46 42 46 46 35 46 38 26 14 6 17 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 50 50 0 0 ],[0 0 51 51 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\n\nfprintf('','COMMENT: end icon text');\ncolor('red');\nplot(swLineX,simSwLineY);\ncolor('black');\nplot(swLineX,hwSwLineY);\n"
20530    }
20531    Block {
20532      BlockType       SubSystem
20533      Name            "Sim-only\nGateway In"
20534      Ports           [1, 1]
20535      Position        [305, 260, 350, 280]
20536      NamePlacement       "alternate"
20537      MinAlgLoopOccurrences   off
20538      PropExecContextOutsideSubsystem off
20539      RTWSystemCode       "Auto"
20540      FunctionWithSeparateData off
20541      Opaque          off
20542      RequestExecContextInheritance off
20543      MaskHideContents    off
20544      System {
20545        Name            "Sim-only\nGateway In"
20546        Location            [269, 280, 494, 362]
20547        Open            off
20548        ModelBrowserVisibility  on
20549        ModelBrowserWidth       200
20550        ScreenColor         "white"
20551        PaperOrientation        "landscape"
20552        PaperPositionMode       "auto"
20553        PaperType           "usletter"
20554        PaperUnits          "inches"
20555        TiledPaperMargins       [0.500000, 0.500000, 0.500000, 0.500000]
20556        TiledPageScale      1
20557        ShowPageBoundaries      off
20558        ZoomFactor          "100"
20559        Block {
20560          BlockType           Inport
20561          Name            "D"
20562          Position            [20, 33, 50, 47]
20563          IconDisplay         "Port number"
20564          OutDataType         "sfix(16)"
20565          OutScaling          "2^0"
20566        }
20567        Block {
20568          BlockType           Reference
20569          Name            "Disregard Subsystem"
20570          Tag             "discardX"
20571          Ports           []
20572          Position            [86, 85, 144, 143]
20573          ShowName            off
20574          AttributesFormatString  "Disregard Subsystem\\nFor Generation"
20575          SourceBlock         "xbsIndex_r4/Disregard Subsystem"
20576          SourceType          "Xilinx Disregard Subsystem For Generation Block"
20577          infoedit            "Place this block into a subsystem to have System Generator ignore the subsystem during code generation. This block can be used in combination with the Simulation Multiplexer block to provide an alternative simulation model for another subsystem (e.g., to provide a simulation model for a black box)."
20578          has_advanced_control    "0"
20579          sggui_pos           "-1,-1,-1,-1"
20580          block_type          "disregard"
20581          block_version       "10.1.3"
20582          sg_icon_stat        "58,58,-1,-1,darkgray,black,0,0,right"
20583          sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npatch([0 58 58 0 ],[0 0 58 58 ],[0.1 0.1 0.1]);\npatch([14 4 18 4 14 29 33 37 53 40 28 19 33 19 28 40 53 37 33 29 14 ],[6 16 30 44 54 54 50 54 54 41 53 44 30 16 7 19 6 6 10 6 6 ],[0.33 0.33 0.33]);\nplot([0 58 58 0 0 ],[0 0 58 58 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\nfprintf('','COMMENT: end icon text');\n"
20584        }
20585        Block {
20586          BlockType           Reference
20587          Name            "Gateway In"
20588          Ports           [1, 1]
20589          Position            [80, 30, 145, 50]
20590          SourceBlock         "xbsIndex_r4/Gateway In"
20591          SourceType          "Xilinx Gateway In Block"
20592          infoedit            "Gateway in block.  Converts inputs of type Simulink integer, double and fixed point to  Xilinx fixed point type.<P><P>Hardware notes:  In hardware these blocks become top level input ports."
20593          arith_type          "Boolean"
20594          n_bits              "1"
20595          bin_pt              "0"
20596          quantization        "Round  (unbiased: +/- Inf)"
20597          overflow            "Saturate"
20598          period              "1"
20599          dbl_ovrd            "off"
20600          timing_constraint       "None"
20601          locs_specified          "off"
20602          LOCs            "{}"
20603          xl_use_area         "off"
20604          xl_area             "[0 0 0 0 1 0 0]"
20605          has_advanced_control    "0"
20606          sggui_pos           "20,20,348,406"
20607          block_type          "gatewayin"
20608          block_version       "10.1.3"
20609          sg_icon_stat        "65,20,1,1,white,yellow,0,bc55d28f,right"
20610          sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npatch([0 65 65 0 ],[0 0 20 20 ],[0.95 0.93 0.65]);\npatch([27 24 29 24 27 32 33 34 40 36 32 29 34 29 32 36 40 34 33 32 27 ],[2 5 10 15 18 18 17 18 18 14 18 15 10 5 2 6 2 2 3 2 2 ],[0.98 0.96 0.92]);\nplot([0 65 65 0 0 ],[0 0 20 20 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1,'\\fontsize{11pt}\\bf In ','texmode','on');\ncolor('black');port_label('output',1,' ');\nfprintf('','COMMENT: end icon text');\n"
20611        }
20612        Block {
20613          BlockType           Outport
20614          Name            "Q"
20615          Position            [170, 33, 200, 47]
20616          IconDisplay         "Port number"
20617        }
20618        Line {
20619          SrcBlock            "D"
20620          SrcPort             1
20621          DstBlock            "Gateway In"
20622          DstPort             1
20623        }
20624        Line {
20625          SrcBlock            "Gateway In"
20626          SrcPort             1
20627          DstBlock            "Q"
20628          DstPort             1
20629        }
20630      }
20631    }
20632    Block {
20633      BlockType       SubSystem
20634      Name            "Sim-only\nGateway In2"
20635      Ports           [1, 1]
20636      Position        [360, 575, 405, 595]
20637      NamePlacement       "alternate"
20638      MinAlgLoopOccurrences   off
20639      PropExecContextOutsideSubsystem off
20640      RTWSystemCode       "Auto"
20641      FunctionWithSeparateData off
20642      Opaque          off
20643      RequestExecContextInheritance off
20644      MaskHideContents    off
20645      System {
20646        Name            "Sim-only\nGateway In2"
20647        Location            [214, 70, 1918, 1152]
20648        Open            off
20649        ModelBrowserVisibility  on
20650        ModelBrowserWidth       200
20651        ScreenColor         "white"
20652        PaperOrientation        "landscape"
20653        PaperPositionMode       "auto"
20654        PaperType           "usletter"
20655        PaperUnits          "inches"
20656        TiledPaperMargins       [0.500000, 0.500000, 0.500000, 0.500000]
20657        TiledPageScale      1
20658        ShowPageBoundaries      off
20659        ZoomFactor          "100"
20660        Block {
20661          BlockType           Inport
20662          Name            "D"
20663          Position            [20, 33, 50, 47]
20664          IconDisplay         "Port number"
20665          OutDataType         "sfix(16)"
20666          OutScaling          "2^0"
20667        }
20668        Block {
20669          BlockType           Reference
20670          Name            "Disregard Subsystem"
20671          Tag             "discardX"
20672          Ports           []
20673          Position            [71, 110, 129, 168]
20674          ShowName            off
20675          AttributesFormatString  "Disregard Subsystem\\nFor Generation"
20676          SourceBlock         "xbsIndex_r4/Disregard Subsystem"
20677          SourceType          "Xilinx Disregard Subsystem For Generation Block"
20678          infoedit            "Place this block into a subsystem to have System Generator ignore the subsystem during code generation. This block can be used in combination with the Simulation Multiplexer block to provide an alternative simulation model for another subsystem (e.g., to provide a simulation model for a black box)."
20679          has_advanced_control    "0"
20680          sggui_pos           "-1,-1,-1,-1"
20681          block_type          "disregard"
20682          block_version       "10.1.3"
20683          sg_icon_stat        "58,58,-1,-1,darkgray,black,0,0,right"
20684          sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npatch([0 58 58 0 ],[0 0 58 58 ],[0.1 0.1 0.1]);\npatch([14 4 18 4 14 29 33 37 53 40 28 19 33 19 28 40 53 37 33 29 14 ],[6 16 30 44 54 54 50 54 54 41 53 44 30 16 7 19 6 6 10 6 6 ],[0.33 0.33 0.33]);\nplot([0 58 58 0 0 ],[0 0 58 58 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\nfprintf('','COMMENT: end icon text');\n"
20685        }
20686        Block {
20687          BlockType           Reference
20688          Name            "Gateway In"
20689          Ports           [1, 1]
20690          Position            [80, 30, 145, 50]
20691          SourceBlock         "xbsIndex_r4/Gateway In"
20692          SourceType          "Xilinx Gateway In Block"
20693          infoedit            "Gateway in block.  Converts inputs of type Simulink integer, double and fixed point to  Xilinx fixed point type.<P><P>Hardware notes:  In hardware these blocks become top level input ports."
20694          arith_type          "Boolean"
20695          n_bits              "1"
20696          bin_pt              "0"
20697          quantization        "Round  (unbiased: +/- Inf)"
20698          overflow            "Saturate"
20699          period              "1"
20700          dbl_ovrd            "off"
20701          timing_constraint       "None"
20702          locs_specified          "off"
20703          LOCs            "{}"
20704          xl_use_area         "off"
20705          xl_area             "[0 0 0 0 1 0 0]"
20706          has_advanced_control    "0"
20707          sggui_pos           "20,20,348,406"
20708          block_type          "gatewayin"
20709          block_version       "10.1.3"
20710          sg_icon_stat        "65,20,1,1,white,yellow,0,bc55d28f,right"
20711          sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npatch([0 65 65 0 ],[0 0 20 20 ],[0.95 0.93 0.65]);\npatch([27 24 29 24 27 32 33 34 40 36 32 29 34 29 32 36 40 34 33 32 27 ],[2 5 10 15 18 18 17 18 18 14 18 15 10 5 2 6 2 2 3 2 2 ],[0.98 0.96 0.92]);\nplot([0 65 65 0 0 ],[0 0 20 20 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1,'\\fontsize{11pt}\\bf In ','texmode','on');\ncolor('black');port_label('output',1,' ');\nfprintf('','COMMENT: end icon text');\n"
20712        }
20713        Block {
20714          BlockType           Outport
20715          Name            "Q"
20716          Position            [170, 33, 200, 47]
20717          IconDisplay         "Port number"
20718        }
20719        Line {
20720          SrcBlock            "Gateway In"
20721          SrcPort             1
20722          DstBlock            "Q"
20723          DstPort             1
20724        }
20725        Line {
20726          SrcBlock            "D"
20727          SrcPort             1
20728          DstBlock            "Gateway In"
20729          DstPort             1
20730        }
20731      }
20732    }
20733    Block {
20734      BlockType       SubSystem
20735      Name            "negedge"
20736      Ports           [1, 1]
20737      Position        [495, 288, 525, 302]
20738      MinAlgLoopOccurrences   off
20739      PropExecContextOutsideSubsystem off
20740      RTWSystemCode       "Auto"
20741      FunctionWithSeparateData off
20742      Opaque          off
20743      RequestExecContextInheritance off
20744      MaskHideContents    off
20745      System {
20746        Name            "negedge"
20747        Location            [459, 339, 854, 490]
20748        Open            off
20749        ModelBrowserVisibility  on
20750        ModelBrowserWidth       200
20751        ScreenColor         "white"
20752        PaperOrientation        "landscape"
20753        PaperPositionMode       "auto"
20754        PaperType           "usletter"
20755        PaperUnits          "inches"
20756        TiledPaperMargins       [0.500000, 0.500000, 0.500000, 0.500000]
20757        TiledPageScale      1
20758        ShowPageBoundaries      off
20759        ZoomFactor          "100"
20760        Block {
20761          BlockType           Inport
20762          Name            "In"
20763          Position            [170, 213, 200, 227]
20764          IconDisplay         "Port number"
20765          OutDataType         "sfix(16)"
20766          OutScaling          "2^0"
20767        }
20768        Block {
20769          BlockType           Reference
20770          Name            "Delay"
20771          Ports           [1, 1]
20772          Position            [230, 247, 290, 303]
20773          SourceBlock         "xbsIndex_r4/Delay"
20774          SourceType          "Xilinx Delay Block"
20775          infoedit            "Hardware notes: A delay line is a chain, each link of which is an SRL16 followed by a flip-flop. If register retiming is enabled, the delay line is a chain of flip-flops."
20776          en              "off"
20777          latency             "1"
20778          dbl_ovrd            "off"
20779          reg_retiming        "off"
20780          xl_use_area         "off"
20781          xl_area             "[1 1 0 0 0 0 0]"
20782          has_advanced_control    "0"
20783          sggui_pos           "-1,-1,-1,-1"
20784          block_type          "delay"
20785          block_version       "8.2"
20786          sg_icon_stat        "60,56,1,1,white,blue,0,fc531c0e,right"
20787          sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 ],[0 0 56 56 ],[0.77 0.82 0.91]);\npatch([15 6 19 6 15 30 34 38 54 41 29 20 32 20 29 41 54 38 34 30 15 ],[6 15 28 41 50 50 46 50 50 37 49 40 28 16 7 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 56 56 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');disp('z^{-1}','texmode','on');\nfprintf('','COMMENT: end icon text');\n"
20788        }
20789        Block {
20790          BlockType           Reference
20791          Name            "Inverter"
20792          Ports           [1, 1]
20793          Position            [290, 191, 345, 249]
20794          NamePlacement       "alternate"
20795          SourceBlock         "xbsIndex_r4/Inverter"
20796          SourceType          "Xilinx Inverter Block"
20797          infoedit            "Bitwise logical negation (one's complement) operator."
20798          en              "off"
20799          latency             "0"
20800          dbl_ovrd            "off"
20801          xl_use_area         "off"
20802          xl_area             "[0 0 0 0 0 0 0]"
20803          has_advanced_control    "0"
20804          sggui_pos           "-1,-1,-1,-1"
20805          block_type          "inv"
20806          block_version       "8.2"
20807          sg_icon_stat        "55,58,1,1,white,blue,0,1ab4a85f,right"
20808          sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 ],[0 0 58 58 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13 28 32 36 52 40 28 19 32 19 28 40 52 36 32 28 13 ],[7 16 29 42 51 51 47 51 51 39 51 42 29 16 7 19 7 7 11 7 7 ],[0.98 0.96 0.92]);\nplot([0 55 55 0 0 ],[0 0 58 58 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');disp('not');\nfprintf('','COMMENT: end icon text');\n"
20809        }
20810        Block {
20811          BlockType           Reference
20812          Name            "Logical"
20813          Ports           [2, 1]
20814          Position            [410, 205, 465, 265]
20815          SourceBlock         "xbsIndex_r4/Logical"
20816          SourceType          "Xilinx Logical Block Block"
20817          logical_function        "AND"
20818          inputs              "2"
20819          en              "off"
20820          latency             "0"
20821          precision           "Full"
20822          arith_type          "Unsigned"
20823          n_bits              "16"
20824          bin_pt              "0"
20825          align_bp            "on"
20826          dbl_ovrd            "off"
20827          xl_use_area         "off"
20828          xl_area             "[1 0 0 1 0 0 0]"
20829          has_advanced_control    "0"
20830          sggui_pos           "-1,-1,-1,-1"
20831          block_type          "logical"
20832          block_version       "8.2"
20833          sg_icon_stat        "55,60,1,1,white,blue,0,087b5522,right"
20834          sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 ],[0 0 60 60 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13 28 32 36 52 40 28 19 32 19 28 40 52 36 32 28 13 ],[8 17 30 43 52 52 48 52 52 40 52 43 30 17 8 20 8 8 12 8 8 ],[0.98 0.96 0.92]);\nplot([0 55 55 0 0 ],[0 0 60 60 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');disp('\\newlineand\\newlinez^{-0}','texmode','on');\nfprintf('','COMMENT: end icon text');\n"
20835        }
20836        Block {
20837          BlockType           Outport
20838          Name            "Out"
20839          Position            [490, 228, 520, 242]
20840          IconDisplay         "Port number"
20841          OutDataType         "sfix(16)"
20842          OutScaling          "2^0"
20843        }
20844        Line {
20845          SrcBlock            "Logical"
20846          SrcPort             1
20847          DstBlock            "Out"
20848          DstPort             1
20849        }
20850        Line {
20851          SrcBlock            "In"
20852          SrcPort             1
20853          Points              [5, 0]
20854          Branch {
20855        Points          [0, 55]
20856        DstBlock        "Delay"
20857        DstPort         1
20858          }
20859          Branch {
20860        DstBlock        "Inverter"
20861        DstPort         1
20862          }
20863        }
20864        Line {
20865          SrcBlock            "Delay"
20866          SrcPort             1
20867          Points              [90, 0; 0, -25]
20868          DstBlock            "Logical"
20869          DstPort             2
20870        }
20871        Line {
20872          SrcBlock            "Inverter"
20873          SrcPort             1
20874          DstBlock            "Logical"
20875          DstPort             1
20876        }
20877      }
20878    }
20879    Block {
20880      BlockType       SubSystem
20881      Name            "posedge"
20882      Ports           [1, 1]
20883      Position        [495, 273, 525, 287]
20884      NamePlacement       "alternate"
20885      MinAlgLoopOccurrences   off
20886      PropExecContextOutsideSubsystem off
20887      RTWSystemCode       "Auto"
20888      FunctionWithSeparateData off
20889      Opaque          off
20890      RequestExecContextInheritance off
20891      MaskHideContents    off
20892      System {
20893        Name            "posedge"
20894        Location            [459, 339, 854, 490]
20895        Open            off
20896        ModelBrowserVisibility  on
20897        ModelBrowserWidth       200
20898        ScreenColor         "white"
20899        PaperOrientation        "landscape"
20900        PaperPositionMode       "auto"
20901        PaperType           "usletter"
20902        PaperUnits          "inches"
20903        TiledPaperMargins       [0.500000, 0.500000, 0.500000, 0.500000]
20904        TiledPageScale      1
20905        ShowPageBoundaries      off
20906        ZoomFactor          "100"
20907        Block {
20908          BlockType           Inport
20909          Name            "In"
20910          Position            [20, 33, 50, 47]
20911          IconDisplay         "Port number"
20912          OutDataType         "sfix(16)"
20913          OutScaling          "2^0"
20914        }
20915        Block {
20916          BlockType           Reference
20917          Name            "Delay"
20918          Ports           [1, 1]
20919          Position            [80, 67, 140, 123]
20920          SourceBlock         "xbsIndex_r4/Delay"
20921          SourceType          "Xilinx Delay Block"
20922          infoedit            "Hardware notes: A delay line is a chain, each link of which is an SRL16 followed by a flip-flop. If register retiming is enabled, the delay line is a chain of flip-flops."
20923          en              "off"
20924          latency             "1"
20925          dbl_ovrd            "off"
20926          reg_retiming        "off"
20927          xl_use_area         "off"
20928          xl_area             "[1 1 0 0 0 0 0]"
20929          has_advanced_control    "0"
20930          sggui_pos           "-1,-1,-1,-1"
20931          block_type          "delay"
20932          block_version       "8.2"
20933          sg_icon_stat        "60,56,1,1,white,blue,0,fc531c0e,right"
20934          sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 ],[0 0 56 56 ],[0.77 0.82 0.91]);\npatch([15 6 19 6 15 30 34 38 54 41 29 20 32 20 29 41 54 38 34 30 15 ],[6 15 28 41 50 50 46 50 50 37 49 40 28 16 7 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 56 56 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');disp('z^{-1}','texmode','on');\nfprintf('','COMMENT: end icon text');\n"
20935        }
20936        Block {
20937          BlockType           Reference
20938          Name            "Inverter"
20939          Ports           [1, 1]
20940          Position            [170, 66, 225, 124]
20941          SourceBlock         "xbsIndex_r4/Inverter"
20942          SourceType          "Xilinx Inverter Block"
20943          infoedit            "Bitwise logical negation (one's complement) operator."
20944          en              "off"
20945          latency             "0"
20946          dbl_ovrd            "off"
20947          xl_use_area         "off"
20948          xl_area             "[0 0 0 0 0 0 0]"
20949          has_advanced_control    "0"
20950          sggui_pos           "-1,-1,-1,-1"
20951          block_type          "inv"
20952          block_version       "8.2"
20953          sg_icon_stat        "55,58,1,1,white,blue,0,1ab4a85f,right"
20954          sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 ],[0 0 58 58 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13 28 32 36 52 40 28 19 32 19 28 40 52 36 32 28 13 ],[7 16 29 42 51 51 47 51 51 39 51 42 29 16 7 19 7 7 11 7 7 ],[0.98 0.96 0.92]);\nplot([0 55 55 0 0 ],[0 0 58 58 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');disp('not');\nfprintf('','COMMENT: end icon text');\n"
20955        }
20956        Block {
20957          BlockType           Reference
20958          Name            "Logical"
20959          Ports           [2, 1]
20960          Position            [260, 25, 315, 85]
20961          SourceBlock         "xbsIndex_r4/Logical"
20962          SourceType          "Xilinx Logical Block Block"
20963          logical_function        "AND"
20964          inputs              "2"
20965          en              "off"
20966          latency             "0"
20967          precision           "Full"
20968          arith_type          "Unsigned"
20969          n_bits              "16"
20970          bin_pt              "0"
20971          align_bp            "on"
20972          dbl_ovrd            "off"
20973          xl_use_area         "off"
20974          xl_area             "[1 0 0 1 0 0 0]"
20975          has_advanced_control    "0"
20976          sggui_pos           "-1,-1,-1,-1"
20977          block_type          "logical"
20978          block_version       "8.2"
20979          sg_icon_stat        "55,60,1,1,white,blue,0,087b5522,right"
20980          sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 ],[0 0 60 60 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13 28 32 36 52 40 28 19 32 19 28 40 52 36 32 28 13 ],[8 17 30 43 52 52 48 52 52 40 52 43 30 17 8 20 8 8 12 8 8 ],[0.98 0.96 0.92]);\nplot([0 55 55 0 0 ],[0 0 60 60 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');disp('\\newlineand\\newlinez^{-0}','texmode','on');\nfprintf('','COMMENT: end icon text');\n"
20981        }
20982        Block {
20983          BlockType           Outport
20984          Name            "Out"
20985          Position            [340, 48, 370, 62]
20986          IconDisplay         "Port number"
20987          OutDataType         "sfix(16)"
20988          OutScaling          "2^0"
20989        }
20990        Line {
20991          SrcBlock            "Delay"
20992          SrcPort             1
20993          Points              [0, 0]
20994          DstBlock            "Inverter"
20995          DstPort             1
20996        }
20997        Line {
20998          SrcBlock            "Inverter"
20999          SrcPort             1
21000          Points              [5, 0; 0, -25]
21001          DstBlock            "Logical"
21002          DstPort             2
21003        }
21004        Line {
21005          SrcBlock            "In"
21006          SrcPort             1
21007          Points              [0, 0; 5, 0]
21008          Branch {
21009        DstBlock        "Logical"
21010        DstPort         1
21011          }
21012          Branch {
21013        Points          [0, 55]
21014        DstBlock        "Delay"
21015        DstPort         1
21016          }
21017        }
21018        Line {
21019          SrcBlock            "Logical"
21020          SrcPort             1
21021          Points              [0, 0]
21022          DstBlock            "Out"
21023          DstPort             1
21024        }
21025      }
21026    }
21027    Block {
21028      BlockType       SubSystem
21029      Name            "posedge2"
21030      Ports           [1, 1]
21031      Position        [495, 323, 525, 337]
21032      MinAlgLoopOccurrences   off
21033      PropExecContextOutsideSubsystem off
21034      RTWSystemCode       "Auto"
21035      FunctionWithSeparateData off
21036      Opaque          off
21037      RequestExecContextInheritance off
21038      MaskHideContents    off
21039      System {
21040        Name            "posedge2"
21041        Location            [459, 339, 854, 490]
21042        Open            off
21043        ModelBrowserVisibility  on
21044        ModelBrowserWidth       200
21045        ScreenColor         "white"
21046        PaperOrientation        "landscape"
21047        PaperPositionMode       "auto"
21048        PaperType           "usletter"
21049        PaperUnits          "inches"
21050        TiledPaperMargins       [0.500000, 0.500000, 0.500000, 0.500000]
21051        TiledPageScale      1
21052        ShowPageBoundaries      off
21053        ZoomFactor          "100"
21054        Block {
21055          BlockType           Inport
21056          Name            "In"
21057          Position            [20, 33, 50, 47]
21058          IconDisplay         "Port number"
21059          OutDataType         "sfix(16)"
21060          OutScaling          "2^0"
21061        }
21062        Block {
21063          BlockType           Reference
21064          Name            "Delay"
21065          Ports           [1, 1]
21066          Position            [80, 67, 140, 123]
21067          SourceBlock         "xbsIndex_r4/Delay"
21068          SourceType          "Xilinx Delay Block"
21069          infoedit            "Hardware notes: A delay line is a chain, each link of which is an SRL16 followed by a flip-flop. If register retiming is enabled, the delay line is a chain of flip-flops."
21070          en              "off"
21071          latency             "1"
21072          dbl_ovrd            "off"
21073          reg_retiming        "off"
21074          xl_use_area         "off"
21075          xl_area             "[1 1 0 0 0 0 0]"
21076          has_advanced_control    "0"
21077          sggui_pos           "-1,-1,-1,-1"
21078          block_type          "delay"
21079          block_version       "8.2"
21080          sg_icon_stat        "60,56,1,1,white,blue,0,fc531c0e,right"
21081          sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 ],[0 0 56 56 ],[0.77 0.82 0.91]);\npatch([15 6 19 6 15 30 34 38 54 41 29 20 32 20 29 41 54 38 34 30 15 ],[6 15 28 41 50 50 46 50 50 37 49 40 28 16 7 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 56 56 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');disp('z^{-1}','texmode','on');\nfprintf('','COMMENT: end icon text');\n"
21082        }
21083        Block {
21084          BlockType           Reference
21085          Name            "Inverter"
21086          Ports           [1, 1]
21087          Position            [170, 66, 225, 124]
21088          SourceBlock         "xbsIndex_r4/Inverter"
21089          SourceType          "Xilinx Inverter Block"
21090          infoedit            "Bitwise logical negation (one's complement) operator."
21091          en              "off"
21092          latency             "0"
21093          dbl_ovrd            "off"
21094          xl_use_area         "off"
21095          xl_area             "[0 0 0 0 0 0 0]"
21096          has_advanced_control    "0"
21097          sggui_pos           "-1,-1,-1,-1"
21098          block_type          "inv"
21099          block_version       "8.2"
21100          sg_icon_stat        "55,58,1,1,white,blue,0,1ab4a85f,right"
21101          sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 ],[0 0 58 58 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13 28 32 36 52 40 28 19 32 19 28 40 52 36 32 28 13 ],[7 16 29 42 51 51 47 51 51 39 51 42 29 16 7 19 7 7 11 7 7 ],[0.98 0.96 0.92]);\nplot([0 55 55 0 0 ],[0 0 58 58 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');disp('not');\nfprintf('','COMMENT: end icon text');\n"
21102        }
21103        Block {
21104          BlockType           Reference
21105          Name            "Logical"
21106          Ports           [2, 1]
21107          Position            [260, 25, 315, 85]
21108          SourceBlock         "xbsIndex_r4/Logical"
21109          SourceType          "Xilinx Logical Block Block"
21110          logical_function        "AND"
21111          inputs              "2"
21112          en              "off"
21113          latency             "0"
21114          precision           "Full"
21115          arith_type          "Unsigned"
21116          n_bits              "16"
21117          bin_pt              "0"
21118          align_bp            "on"
21119          dbl_ovrd            "off"
21120          xl_use_area         "off"
21121          xl_area             "[1 0 0 1 0 0 0]"
21122          has_advanced_control    "0"
21123          sggui_pos           "-1,-1,-1,-1"
21124          block_type          "logical"
21125          block_version       "8.2"
21126          sg_icon_stat        "55,60,1,1,white,blue,0,087b5522,right"
21127          sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 ],[0 0 60 60 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13 28 32 36 52 40 28 19 32 19 28 40 52 36 32 28 13 ],[8 17 30 43 52 52 48 52 52 40 52 43 30 17 8 20 8 8 12 8 8 ],[0.98 0.96 0.92]);\nplot([0 55 55 0 0 ],[0 0 60 60 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');disp('\\newlineand\\newlinez^{-0}','texmode','on');\nfprintf('','COMMENT: end icon text');\n"
21128        }
21129        Block {
21130          BlockType           Outport
21131          Name            "Out"
21132          Position            [340, 48, 370, 62]
21133          IconDisplay         "Port number"
21134          OutDataType         "sfix(16)"
21135          OutScaling          "2^0"
21136        }
21137        Line {
21138          SrcBlock            "Delay"
21139          SrcPort             1
21140          Points              [0, 0]
21141          DstBlock            "Inverter"
21142          DstPort             1
21143        }
21144        Line {
21145          SrcBlock            "Inverter"
21146          SrcPort             1
21147          Points              [5, 0; 0, -25]
21148          DstBlock            "Logical"
21149          DstPort             2
21150        }
21151        Line {
21152          SrcBlock            "In"
21153          SrcPort             1
21154          Points              [0, 0; 5, 0]
21155          Branch {
21156        DstBlock        "Logical"
21157        DstPort         1
21158          }
21159          Branch {
21160        Points          [0, 55]
21161        DstBlock        "Delay"
21162        DstPort         1
21163          }
21164        }
21165        Line {
21166          SrcBlock            "Logical"
21167          SrcPort             1
21168          Points              [0, 0]
21169          DstBlock            "Out"
21170          DstPort             1
21171        }
21172      }
21173    }
21174    Block {
21175      BlockType       Outport
21176      Name            "done"
21177      Position        [1350, 268, 1380, 282]
21178      IconDisplay         "Port number"
21179      OutDataType         "sfix(16)"
21180      OutScaling          "2^0"
21181    }
21182    Block {
21183      BlockType       Outport
21184      Name            "running"
21185      Position        [1245, 518, 1275, 532]
21186      Port            "2"
21187      IconDisplay         "Port number"
21188      OutDataType         "sfix(16)"
21189      OutScaling          "2^0"
21190    }
21191    Block {
21192      BlockType       Outport
21193      Name            "paused"
21194      Position        [1240, 463, 1270, 477]
21195      Port            "3"
21196      IconDisplay         "Port number"
21197      OutDataType         "sfix(16)"
21198      OutScaling          "2^0"
21199    }
21200    Block {
21201      BlockType       Outport
21202      Name            "timeLeft"
21203      Position        [1285, 358, 1315, 372]
21204      Port            "4"
21205      IconDisplay         "Port number"
21206      OutDataType         "sfix(16)"
21207      OutScaling          "2^0"
21208    }
21209    Line {
21210      SrcBlock        "mode"
21211      SrcPort         1
21212      DstBlock        "Mux"
21213      DstPort         1
21214    }
21215    Line {
21216      SrcBlock        "Constant1"
21217      SrcPort         1
21218      DstBlock        "Mux"
21219      DstPort         2
21220    }
21221    Line {
21222      SrcBlock        "Medium Idle"
21223      SrcPort         1
21224      DstBlock        "Mux"
21225      DstPort         3
21226    }
21227    Line {
21228      SrcBlock        "Mux"
21229      SrcPort         1
21230      DstBlock        "Convert1"
21231      DstPort         1
21232    }
21233    Line {
21234      SrcBlock        "Logical4"
21235      SrcPort         1
21236      DstBlock        "paused"
21237      DstPort         1
21238    }
21239    Line {
21240      SrcBlock        "start"
21241      SrcPort         1
21242      DstBlock        "Sim Mux"
21243      DstPort         2
21244    }
21245    Line {
21246      SrcBlock        "Sim Mux"
21247      SrcPort         1
21248      DstBlock        "posedge"
21249      DstPort         1
21250    }
21251    Line {
21252      SrcBlock        "AddSub"
21253      SrcPort         1
21254      DstBlock        "timeLeft"
21255      DstPort         1
21256    }
21257    Line {
21258      SrcBlock        "Constant"
21259      SrcPort         1
21260      DstBlock        "Relational1"
21261      DstPort         2
21262    }
21263    Line {
21264      SrcBlock        "Relational1"
21265      SrcPort         1
21266      DstBlock        "Logical4"
21267      DstPort         1
21268    }
21269    Line {
21270      SrcBlock        "Inverter"
21271      SrcPort         1
21272      DstBlock        "Logical4"
21273      DstPort         2
21274    }
21275    Line {
21276      SrcBlock        "S-R_Latch1"
21277      SrcPort         1
21278      Points          [25, 0]
21279      Branch {
21280        Points          [0, 175]
21281        Branch {
21282          Points              [0, 235]
21283          DstBlock            "Counter1"
21284          DstPort             2
21285        }
21286        Branch {
21287          Points              [290, 0]
21288          Branch {
21289        DstBlock        "Inverter"
21290        DstPort         1
21291          }
21292          Branch {
21293        Points          [0, 40]
21294        DstBlock        "running"
21295        DstPort         1
21296          }
21297        }
21298      }
21299      Branch {
21300        Points          [0, -20]
21301        DstBlock            "Logical6"
21302        DstPort         1
21303      }
21304    }
21305    Line {
21306      SrcBlock        "slotCount"
21307      SrcPort         1
21308      Points          [10, 0]
21309      Branch {
21310        Points          [0, 105]
21311        DstBlock            "AddSub"
21312        DstPort         1
21313      }
21314      Branch {
21315        DstBlock            "Relational"
21316        DstPort         1
21317      }
21318    }
21319    Line {
21320      SrcBlock        "Counter"
21321      SrcPort         1
21322      Points          [0, 0]
21323      Branch {
21324        Labels          [1, 0]
21325        DstBlock            "Relational"
21326        DstPort         2
21327      }
21328      Branch {
21329        Points          [0, 100]
21330        Branch {
21331          DstBlock            "AddSub"
21332          DstPort             2
21333        }
21334        Branch {
21335          Points              [0, 30]
21336          Branch {
21337        Points          [0, 35]
21338        DstBlock        "Relational1"
21339        DstPort         1
21340          }
21341          Branch {
21342        Points          [445, 0; 0, -85]
21343        DstBlock        "Scope"
21344        DstPort         1
21345          }
21346        }
21347      }
21348    }
21349    Line {
21350      SrcBlock        "Logical1"
21351      SrcPort         1
21352      DstBlock        "Counter"
21353      DstPort         1
21354    }
21355    Line {
21356      SrcBlock        "S-R_Latch2"
21357      SrcPort         1
21358      DstBlock        "done"
21359      DstPort         1
21360    }
21361    Line {
21362      SrcBlock        "Relational"
21363      SrcPort         1
21364      Points          [10, 0]
21365      Branch {
21366        Points          [0, 110; -550, 0; 0, -40]
21367        DstBlock            "Logical2"
21368        DstPort         2
21369      }
21370      Branch {
21371        Points          [0, -80; -290, 0; 0, 60]
21372        DstBlock            "Logical1"
21373        DstPort         1
21374      }
21375      Branch {
21376        DstBlock            "Logical7"
21377        DstPort         2
21378      }
21379    }
21380    Line {
21381      SrcBlock        "done_reset"
21382      SrcPort         1
21383      Points          [15, 0]
21384      Branch {
21385        DstBlock            "S-R_Latch2"
21386        DstPort         2
21387      }
21388      Branch {
21389        Points          [0, -145; -625, 0; 0, 75]
21390        DstBlock            "S-R_Latch3"
21391        DstPort         2
21392      }
21393    }
21394    Line {
21395      SrcBlock        "posedge"
21396      SrcPort         1
21397      Points          [15, 0]
21398      Branch {
21399        DstBlock            "Logical3"
21400        DstPort         1
21401      }
21402      Branch {
21403        Points          [0, 400]
21404        DstBlock            "Logical5"
21405        DstPort         3
21406      }
21407      Branch {
21408        Points          [0, -25]
21409        Branch {
21410          DstBlock            "Logical1"
21411          DstPort             2
21412        }
21413        Branch {
21414          Points              [0, -70]
21415          DstBlock            "S-R_Latch3"
21416          DstPort             1
21417        }
21418      }
21419    }
21420    Line {
21421      SrcBlock        "Logical3"
21422      SrcPort         1
21423      DstBlock        "S-R_Latch1"
21424      DstPort         1
21425    }
21426    Line {
21427      SrcBlock        "negedge"
21428      SrcPort         1
21429      DstBlock        "Logical3"
21430      DstPort         2
21431    }
21432    Line {
21433      SrcBlock        "pause"
21434      SrcPort         1
21435      Points          [115, 0]
21436      Branch {
21437        DstBlock            "posedge2"
21438        DstPort         1
21439      }
21440      Branch {
21441        Points          [0, -35]
21442        DstBlock            "negedge"
21443        DstPort         1
21444      }
21445    }
21446    Line {
21447      SrcBlock        "Sim-only\nGateway In"
21448      SrcPort         1
21449      DstBlock        "Sim Mux"
21450      DstPort         1
21451    }
21452    Line {
21453      SrcBlock        "slotTime"
21454      SrcPort         1
21455      DstBlock        "Relational2"
21456      DstPort         1
21457    }
21458    Line {
21459      SrcBlock        "Counter1"
21460      SrcPort         1
21461      Points          [35, 0]
21462      Branch {
21463        DstBlock            "Relational2"
21464        DstPort         2
21465      }
21466      Branch {
21467        Points          [0, 35; 505, 0; 0, -340]
21468        DstBlock            "Scope"
21469        DstPort         3
21470      }
21471    }
21472    Line {
21473      SrcBlock        "Logical5"
21474      SrcPort         1
21475      DstBlock        "Counter1"
21476      DstPort         1
21477    }
21478    Line {
21479      SrcBlock        "Relational2"
21480      SrcPort         1
21481      Points          [20, 0; 0, -60]
21482      Branch {
21483        Points          [-295, 0]
21484        Branch {
21485          DstBlock            "Logical5"
21486          DstPort             1
21487        }
21488        Branch {
21489          Points              [0, -320]
21490          DstBlock            "Logical6"
21491          DstPort             2
21492        }
21493      }
21494      Branch {
21495        Points          [380, 0; 0, -265]
21496        DstBlock            "Scope"
21497        DstPort         2
21498      }
21499    }
21500    Line {
21501      SrcBlock        "Inverter1"
21502      SrcPort         1
21503      DstBlock        "Logical5"
21504      DstPort         2
21505    }
21506    Line {
21507      SrcBlock        "Logical6"
21508      SrcPort         1
21509      DstBlock        "Counter"
21510      DstPort         2
21511    }
21512    Line {
21513      SrcBlock        "Convert1"
21514      SrcPort         1
21515      Points          [25, 0; 0, -75]
21516      DstBlock        "Sim Mux1"
21517      DstPort         2
21518    }
21519    Line {
21520      SrcBlock        "Sim Mux1"
21521      SrcPort         1
21522      Points          [60, 0]
21523      Branch {
21524        DstBlock            "Inverter1"
21525        DstPort         1
21526      }
21527      Branch {
21528        Points          [260, 0; 0, -250]
21529        Branch {
21530          DstBlock            "Logical6"
21531          DstPort             3
21532        }
21533        Branch {
21534          DstBlock            "Delay"
21535          DstPort             1
21536        }
21537      }
21538    }
21539    Line {
21540      SrcBlock        "Constant2"
21541      SrcPort         1
21542      DstBlock        "Sim-only\nGateway In"
21543      DstPort         1
21544    }
21545    Line {
21546      SrcBlock        "posedge2"
21547      SrcPort         1
21548      Points          [25, 0; 0, -15]
21549      DstBlock        "Logical2"
21550      DstPort         1
21551    }
21552    Line {
21553      SrcBlock        "Logical2"
21554      SrcPort         1
21555      DstBlock        "S-R_Latch1"
21556      DstPort         2
21557    }
21558    Line {
21559      SrcBlock        "Constant4"
21560      SrcPort         1
21561      DstBlock        "Sim-only\nGateway In2"
21562      DstPort         1
21563    }
21564    Line {
21565      SrcBlock        "Sim-only\nGateway In2"
21566      SrcPort         1
21567      DstBlock        "Sim Mux1"
21568      DstPort         1
21569    }
21570    Line {
21571      SrcBlock        "Logical7"
21572      SrcPort         1
21573      DstBlock        "S-R_Latch2"
21574      DstPort         1
21575    }
21576    Line {
21577      SrcBlock        "S-R_Latch3"
21578      SrcPort         1
21579      Points          [70, 0; 0, -50; 350, 0; 0, 95]
21580      DstBlock        "Logical7"
21581      DstPort         1
21582    }
21583    Line {
21584      SrcBlock        "Delay"
21585      SrcPort         1
21586      Points          [195, 0; 0, -75]
21587      DstBlock        "Logical7"
21588      DstPort         3
21589    }
21590    Annotation {
21591      Name            "This SR latch handles the case of a zero-slot-count\ntimer event. When the user code sets the slotCount to 0,\nthe comparison is immedilatey true. The timer done\nsignal then asserts when:\nif(carrier sensing) after IDLE AND\nafter user asserts start\nDone de-assets when the user clears the done bit\n*but does not re-assert* until the next user-initiated start."
21592      Position        [697, 92]
21593    }
21594      }
21595    }
21596    Block {
21597      BlockType           SubSystem
21598      Name            "Timer 7"
21599      Ports           [7, 4]
21600      Position            [860, 480, 965, 600]
21601      MinAlgLoopOccurrences   off
21602      PropExecContextOutsideSubsystem off
21603      RTWSystemCode       "Auto"
21604      FunctionWithSeparateData off
21605      Opaque              off
21606      RequestExecContextInheritance off
21607      MaskHideContents        off
21608      System {
21609    Name            "Timer 7"
21610    Location        [214, 74, 1910, 1156]
21611    Open            off
21612    ModelBrowserVisibility  on
21613    ModelBrowserWidth   200
21614    ScreenColor     "white"
21615    PaperOrientation    "landscape"
21616    PaperPositionMode   "auto"
21617    PaperType       "usletter"
21618    PaperUnits      "inches"
21619    TiledPaperMargins   [0.500000, 0.500000, 0.500000, 0.500000]
21620    TiledPageScale      1
21621    ShowPageBoundaries  off
21622    ZoomFactor      "100"
21623    Block {
21624      BlockType       Inport
21625      Name            "start"
21626      Position        [310, 283, 340, 297]
21627      IconDisplay         "Port number"
21628      OutDataType         "sfix(16)"
21629      OutScaling          "2^0"
21630    }
21631    Block {
21632      BlockType       Inport
21633      Name            "pause"
21634      Position        [310, 323, 340, 337]
21635      Port            "2"
21636      IconDisplay         "Port number"
21637      OutDataType         "sfix(16)"
21638      OutScaling          "2^0"
21639    }
21640    Block {
21641      BlockType       Inport
21642      Name            "mode"
21643      Position        [255, 648, 285, 662]
21644      NamePlacement       "alternate"
21645      Port            "3"
21646      IconDisplay         "Port number"
21647      OutDataType         "sfix(16)"
21648      OutScaling          "2^0"
21649    }
21650    Block {
21651      BlockType       Inport
21652      Name            "done_reset"
21653      Position        [1175, 283, 1205, 297]
21654      Port            "4"
21655      IconDisplay         "Port number"
21656      OutDataType         "sfix(16)"
21657      OutScaling          "2^0"
21658    }
21659    Block {
21660      BlockType       Inport
21661      Name            "slotCount"
21662      Position        [980, 238, 1010, 252]
21663      NamePlacement       "alternate"
21664      Port            "5"
21665      IconDisplay         "Port number"
21666      OutDataType         "sfix(16)"
21667      OutScaling          "2^0"
21668    }
21669    Block {
21670      BlockType       Inport
21671      Name            "slotTime"
21672      Position        [930, 658, 960, 672]
21673      Port            "6"
21674      IconDisplay         "Port number"
21675      OutDataType         "sfix(16)"
21676      OutScaling          "2^0"
21677    }
21678    Block {
21679      BlockType       Inport
21680      Name            "Medium Idle"
21681      Position        [250, 698, 280, 712]
21682      Port            "7"
21683      IconDisplay         "Port number"
21684      OutDataType         "sfix(16)"
21685      OutScaling          "2^0"
21686    }
21687    Block {
21688      BlockType       Reference
21689      Name            "AddSub"
21690      Ports           [2, 1]
21691      Position        [1210, 339, 1255, 386]
21692      ShowName        off
21693      SourceBlock         "xbsIndex_r4/AddSub"
21694      SourceType          "Xilinx Adder/Subtractor Block"
21695      mode            "Subtraction"
21696      use_carryin         off
21697      use_carryout        off
21698      en              off
21699      latency         "0"
21700      precision       "User Defined"
21701      arith_type          "Unsigned"
21702      n_bits          "32"
21703      bin_pt          "0"
21704      quantization        "Truncate"
21705      overflow        "Wrap"
21706      dbl_ovrd        off
21707      use_behavioral_HDL      off
21708      pipelined       off
21709      use_rpm         on
21710      hw_selection        "Fabric"
21711      xl_use_area         off
21712      xl_area         "[16 0 0 32 0 0 0]"
21713      has_advanced_control    "0"
21714      sggui_pos       "-1,-1,-1,-1"
21715      block_type          "addsub"
21716      block_version       "8.2"
21717      sg_icon_stat        "45,47,2,1,white,blue,0,46b4c804,right"
21718      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 ],[0 0 58 58 ],[0.77 0.82 0.91]);\npatch([15 5 19 5 15 30 34 38 54 41 29 20 34 20 29 41 54 38 34 30 15 ],[6 16 30 44 54 54 50 54 54 41 53 44 30 16 7 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 58 58 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1,'a');\ncolor('black');port_label('input',2,'b');\ncolor('black');port_label('output',1,'\\bf{a - b}','texmode','on');\nfprintf('','COMMENT: end icon text');\n"
21719    }
21720    Block {
21721      BlockType       Reference
21722      Name            "Constant"
21723      Ports           [0, 1]
21724      Position        [940, 454, 970, 466]
21725      ShowName        off
21726      SourceBlock         "xbsIndex_r4/Constant"
21727      SourceType          "Xilinx Constant Block Block"
21728      arith_type          "Unsigned"
21729      const           "0"
21730      n_bits          "32"
21731      bin_pt          "0"
21732      explicit_period     off
21733      period          "1"
21734      dsp48_infoedit      "The use of this block for DSP48 instructions is deprecated.  Please use the Opmode block."
21735      equ             "P=C"
21736      opselect        "C"
21737      inp2            "PCIN>>17"
21738      opr             "+"
21739      inp1            "P"
21740      carry           "CIN"
21741      dbl_ovrd        off
21742      has_advanced_control    "0"
21743      sggui_pos       "50,50,400,346"
21744      block_type          "constant"
21745      block_version       "10.1.2"
21746      sg_icon_stat        "30,12,0,1,white,blue,0,72d575a1,right"
21747      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 ],[0 0 26 26 ],[0.77 0.82 0.91]);\npatch([20 16 22 16 20 27 29 31 38 32 26 22 28 22 26 32 38 31 29 27 20 ],[3 7 13 19 23 23 21 23 23 17 23 19 13 7 3 9 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 55 55 0 0 ],[0 0 26 26 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('output',1,'0');\nfprintf('','COMMENT: end icon text');\n"
21748    }
21749    Block {
21750      BlockType       Reference
21751      Name            "Constant1"
21752      Ports           [0, 1]
21753      Position        [255, 672, 290, 688]
21754      ShowName        off
21755      SourceBlock         "xbsIndex_r4/Constant"
21756      SourceType          "Xilinx Constant Block Block"
21757      arith_type          "Unsigned"
21758      const           "1"
21759      n_bits          "1"
21760      bin_pt          "0"
21761      explicit_period     off
21762      period          "1"
21763      dsp48_infoedit      "The use of this block for DSP48 instructions is deprecated.  Please use the Opmode block."
21764      equ             "P=C"
21765      opselect        "C"
21766      inp2            "PCIN>>17"
21767      opr             "+"
21768      inp1            "P"
21769      carry           "CIN"
21770      dbl_ovrd        off
21771      has_advanced_control    "0"
21772      sggui_pos       "-1,-1,-1,-1"
21773      block_type          "constant"
21774      block_version       "8.2"
21775      sg_icon_stat        "35,16,0,1,white,blue,0,06094819,right"
21776      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 ],[0 0 26 26 ],[0.77 0.82 0.91]);\npatch([20 16 22 16 20 27 29 31 38 32 26 22 28 22 26 32 38 31 29 27 20 ],[3 7 13 19 23 23 21 23 23 17 23 19 13 7 3 9 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 55 55 0 0 ],[0 0 26 26 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('output',1,'1');\nfprintf('','COMMENT: end icon text');\n"
21777    }
21778    Block {
21779      BlockType       Constant
21780      Name            "Constant2"
21781      Position        [260, 261, 275, 279]
21782      ShowName        off
21783      OutDataType         "sfix(16)"
21784      OutScaling          "2^0"
21785    }
21786    Block {
21787      BlockType       Constant
21788      Name            "Constant4"
21789      Position        [315, 576, 330, 594]
21790      ShowName        off
21791      Value           "0"
21792      OutDataType         "sfix(16)"
21793      OutScaling          "2^0"
21794    }
21795    Block {
21796      BlockType       Reference
21797      Name            "Convert1"
21798      Ports           [1, 1]
21799      Position        [380, 670, 415, 690]
21800      ShowName        off
21801      SourceBlock         "xbsIndex_r4/Convert"
21802      SourceType          "Xilinx Type Converter Block"
21803      infoedit        "Hardware notes: rounding and saturating require hardware resources; truncating and wrapping do not."
21804      arith_type          "Boolean"
21805      n_bits          "16"
21806      bin_pt          "14"
21807      quantization        "Truncate"
21808      overflow        "Wrap"
21809      latency         "0"
21810      dbl_ovrd        off
21811      pipeline        off
21812      xl_use_area         off
21813      xl_area         "[0 0 0 0 0 0 0]"
21814      has_advanced_control    "0"
21815      sggui_pos       "20,20,461,375"
21816      block_type          "convert"
21817      block_version       "8.2"
21818      sg_icon_stat        "35,20,1,1,white,blue,0,74901e60,right"
21819      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 45 45 0 ],[0 0 30 30 ],[0.77 0.82 0.91]);\npatch([15 10 17 10 15 23 25 27 35 28 22 17 23 17 22 28 35 27 25 23 15 ],[3 8 15 22 27 27 25 27 27 20 26 21 15 9 4 10 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 45 45 0 0 ],[0 0 30 30 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('output',1,'cast');\nfprintf('','COMMENT: end icon text');\n"
21820    }
21821    Block {
21822      BlockType       Reference
21823      Name            "Counter"
21824      Ports           [2, 1]
21825      Position        [905, 224, 965, 326]
21826      NamePlacement       "alternate"
21827      SourceBlock         "xbsIndex_r4/Counter"
21828      SourceType          "Xilinx Counter Block"
21829      infoedit        "Hardware notes: Free running counters are the least expensive in hardware.  A count limited counter is implemented by combining a counter with a comparator."
21830      cnt_type        "Free Running"
21831      cnt_to          "Inf"
21832      operation       "Up"
21833      start_count         "0"
21834      cnt_by_val          "1"
21835      arith_type          "Unsigned"
21836      n_bits          "32"
21837      bin_pt          "0"
21838      load_pin        off
21839      rst             on
21840      en              on
21841      explicit_period     "on"
21842      period          "1"
21843      dbl_ovrd        off
21844      use_behavioral_HDL      off
21845      use_rpm         off
21846      implementation      "Fabric"
21847      xl_use_area         off
21848      xl_area         "[17 32 0 32 0 0 0]"
21849      has_advanced_control    "0"
21850      sggui_pos       "20,20,356,630"
21851      block_type          "counter"
21852      block_version       "8.2"
21853      sg_icon_stat        "60,102,2,1,white,blue,0,46c73e85,right"
21854      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 ],[0 0 60 60 ],[0.77 0.82 0.91]);\npatch([14 4 18 4 14 30 34 38 55 42 29 20 35 20 29 42 55 38 34 30 14 ],[6 16 30 44 54 54 50 54 54 41 54 45 30 15 6 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 60 60 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1,'rst');\ncolor('black');port_label('input',2,'en');\ncolor('black');port_label('output',1,'out');\nfprintf('','COMMENT: end icon text');\n"
21855    }
21856    Block {
21857      BlockType       Reference
21858      Name            "Counter1"
21859      Ports           [2, 1]
21860      Position        [835, 644, 895, 746]
21861      SourceBlock         "xbsIndex_r4/Counter"
21862      SourceType          "Xilinx Counter Block"
21863      infoedit        "Hardware notes: Free running counters are the least expensive in hardware.  A count limited counter is implemented by combining a counter with a comparator."
21864      cnt_type        "Free Running"
21865      cnt_to          "Inf"
21866      operation       "Up"
21867      start_count         "0"
21868      cnt_by_val          "1"
21869      arith_type          "Unsigned"
21870      n_bits          "16"
21871      bin_pt          "0"
21872      load_pin        off
21873      rst             on
21874      en              on
21875      explicit_period     "on"
21876      period          "1"
21877      dbl_ovrd        off
21878      use_behavioral_HDL      off
21879      use_rpm         off
21880      implementation      "Fabric"
21881      xl_use_area         off
21882      xl_area         "[17 32 0 32 0 0 0]"
21883      has_advanced_control    "0"
21884      sggui_pos       "20,20,356,630"
21885      block_type          "counter"
21886      block_version       "8.2"
21887      sg_icon_stat        "60,102,2,1,white,blue,0,46c73e85,right"
21888      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 ],[0 0 60 60 ],[0.77 0.82 0.91]);\npatch([14 4 18 4 14 30 34 38 55 42 29 20 35 20 29 42 55 38 34 30 14 ],[6 16 30 44 54 54 50 54 54 41 54 45 30 15 6 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 60 60 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1,'rst');\ncolor('black');port_label('input',2,'en');\ncolor('black');port_label('output',1,'out');\nfprintf('','COMMENT: end icon text');\n"
21889    }
21890    Block {
21891      BlockType       Reference
21892      Name            "Delay"
21893      Ports           [1, 1]
21894      Position        [925, 331, 950, 359]
21895      ShowName        off
21896      SourceBlock         "xbsIndex_r4/Delay"
21897      SourceType          "Xilinx Delay Block"
21898      infoedit        "Hardware notes: A delay line is a chain, each link of which is an SRL16 followed by a flip-flop."
21899      en              off
21900      latency         "1"
21901      dbl_ovrd        off
21902      reg_retiming        off
21903      xl_use_area         off
21904      xl_area         "[0,0,0,0,0,0,0]"
21905      has_advanced_control    "0"
21906      sggui_pos       "-1,-1,-1,-1"
21907      block_type          "delay"
21908      block_version       "10.1.3"
21909      sg_icon_stat        "25,28,1,1,white,blue,0,fc531c0e,right"
21910      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 25 25 0 ],[0 0 28 28 ],[0.77 0.82 0.91]);\npatch([6 2 8 2 6 13 15 17 24 18 13 9 14 9 13 18 24 17 15 13 6 ],[4 8 14 20 24 24 22 24 24 18 23 19 14 9 5 10 4 4 6 4 4 ],[0.98 0.96 0.92]);\nplot([0 25 25 0 0 ],[0 0 28 28 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');disp('z^{-1}','texmode','on');\nfprintf('','COMMENT: end icon text');\n"
21911    }
21912    Block {
21913      BlockType       Reference
21914      Name            "Inverter"
21915      Ports           [1, 1]
21916      Position        [1080, 476, 1125, 494]
21917      ShowName        off
21918      SourceBlock         "xbsIndex_r4/Inverter"
21919      SourceType          "Xilinx Inverter Block"
21920      infoedit        "Bitwise logical negation (one's complement) operator."
21921      en              off
21922      latency         "0"
21923      dbl_ovrd        off
21924      xl_use_area         off
21925      xl_area         "[0 0 0 0 0 0 0]"
21926      has_advanced_control    "0"
21927      sggui_pos       "-1,-1,-1,-1"
21928      block_type          "inv"
21929      block_version       "10.1.2"
21930      sg_icon_stat        "45,18,1,1,white,blue,0,1ab4a85f,right"
21931      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 ],[0 0 58 58 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13 28 32 36 52 40 28 19 32 19 28 40 52 36 32 28 13 ],[7 16 29 42 51 51 47 51 51 39 51 42 29 16 7 19 7 7 11 7 7 ],[0.98 0.96 0.92]);\nplot([0 55 55 0 0 ],[0 0 58 58 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');disp('not');\nfprintf('','COMMENT: end icon text');\n"
21932    }
21933    Block {
21934      BlockType       Reference
21935      Name            "Inverter1"
21936      Ports           [1, 1]
21937      Position        [590, 661, 635, 679]
21938      ShowName        off
21939      SourceBlock         "xbsIndex_r4/Inverter"
21940      SourceType          "Xilinx Inverter Block"
21941      infoedit        "Bitwise logical negation (one's complement) operator."
21942      en              off
21943      latency         "0"
21944      dbl_ovrd        off
21945      xl_use_area         off
21946      xl_area         "[0 0 0 0 0 0 0]"
21947      has_advanced_control    "0"
21948      sggui_pos       "-1,-1,-1,-1"
21949      block_type          "inv"
21950      block_version       "10.1.2"
21951      sg_icon_stat        "45,18,1,1,white,blue,0,1ab4a85f,right"
21952      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 ],[0 0 58 58 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13 28 32 36 52 40 28 19 32 19 28 40 52 36 32 28 13 ],[7 16 29 42 51 51 47 51 51 39 51 42 29 16 7 19 7 7 11 7 7 ],[0.98 0.96 0.92]);\nplot([0 55 55 0 0 ],[0 0 58 58 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');disp('not');\nfprintf('','COMMENT: end icon text');\n"
21953    }
21954    Block {
21955      BlockType       Reference
21956      Name            "Logical1"
21957      Ports           [2, 1]
21958      Position        [855, 231, 885, 264]
21959      ShowName        off
21960      SourceBlock         "xbsIndex_r4/Logical"
21961      SourceType          "Xilinx Logical Block Block"
21962      logical_function    "OR"
21963      inputs          "2"
21964      en              off
21965      latency         "0"
21966      precision       "Full"
21967      arith_type          "Unsigned"
21968      n_bits          "16"
21969      bin_pt          "0"
21970      align_bp        on
21971      dbl_ovrd        off
21972      xl_use_area         off
21973      xl_area         "[1 0 0 1 0 0 0]"
21974      has_advanced_control    "0"
21975      sggui_pos       "-1,-1,-1,-1"
21976      block_type          "logical"
21977      block_version       "9.1.01"
21978      sg_icon_stat        "30,33,2,1,white,blue,0,f4a65842,right"
21979      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 ],[0 0 60 60 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13 28 32 36 52 40 28 19 32 19 28 40 52 36 32 28 13 ],[8 17 30 43 52 52 48 52 52 40 52 43 30 17 8 20 8 8 12 8 8 ],[0.98 0.96 0.92]);\nplot([0 55 55 0 0 ],[0 0 60 60 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');disp('\\newlineor\\newlinez^{-0}','texmode','on');\nfprintf('','COMMENT: end icon text');\n"
21980    }
21981    Block {
21982      BlockType       Reference
21983      Name            "Logical2"
21984      Ports           [2, 1]
21985      Position        [590, 306, 620, 339]
21986      ShowName        off
21987      SourceBlock         "xbsIndex_r4/Logical"
21988      SourceType          "Xilinx Logical Block Block"
21989      logical_function    "OR"
21990      inputs          "2"
21991      en              off
21992      latency         "0"
21993      precision       "Full"
21994      arith_type          "Unsigned"
21995      n_bits          "16"
21996      bin_pt          "0"
21997      align_bp        on
21998      dbl_ovrd        off
21999      xl_use_area         off
22000      xl_area         "[1 0 0 1 0 0 0]"
22001      has_advanced_control    "0"
22002      sggui_pos       "-1,-1,-1,-1"
22003      block_type          "logical"
22004      block_version       "9.1.01"
22005      sg_icon_stat        "30,33,2,1,white,blue,0,f4a65842,right"
22006      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 ],[0 0 60 60 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13 28 32 36 52 40 28 19 32 19 28 40 52 36 32 28 13 ],[8 17 30 43 52 52 48 52 52 40 52 43 30 17 8 20 8 8 12 8 8 ],[0.98 0.96 0.92]);\nplot([0 55 55 0 0 ],[0 0 60 60 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');disp('\\newlineor\\newlinez^{-0}','texmode','on');\nfprintf('','COMMENT: end icon text');\n"
22007    }
22008    Block {
22009      BlockType       Reference
22010      Name            "Logical3"
22011      Ports           [2, 1]
22012      Position        [590, 271, 620, 304]
22013      ShowName        off
22014      SourceBlock         "xbsIndex_r4/Logical"
22015      SourceType          "Xilinx Logical Block Block"
22016      logical_function    "OR"
22017      inputs          "2"
22018      en              off
22019      latency         "0"
22020      precision       "Full"
22021      arith_type          "Unsigned"
22022      n_bits          "16"
22023      bin_pt          "0"
22024      align_bp        on
22025      dbl_ovrd        off
22026      xl_use_area         off
22027      xl_area         "[1 0 0 1 0 0 0]"
22028      has_advanced_control    "0"
22029      sggui_pos       "-1,-1,-1,-1"
22030      block_type          "logical"
22031      block_version       "9.1.01"
22032      sg_icon_stat        "30,33,2,1,white,blue,0,f4a65842,right"
22033      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 ],[0 0 60 60 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13 28 32 36 52 40 28 19 32 19 28 40 52 36 32 28 13 ],[8 17 30 43 52 52 48 52 52 40 52 43 30 17 8 20 8 8 12 8 8 ],[0.98 0.96 0.92]);\nplot([0 55 55 0 0 ],[0 0 60 60 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');disp('\\newlineor\\newlinez^{-0}','texmode','on');\nfprintf('','COMMENT: end icon text');\n"
22034    }
22035    Block {
22036      BlockType       Reference
22037      Name            "Logical4"
22038      Ports           [2, 1]
22039      Position        [1155, 433, 1200, 502]
22040      ShowName        off
22041      SourceBlock         "xbsIndex_r4/Logical"
22042      SourceType          "Xilinx Logical Block Block"
22043      logical_function    "AND"
22044      inputs          "2"
22045      en              off
22046      latency         "0"
22047      precision       "Full"
22048      arith_type          "Unsigned"
22049      n_bits          "16"
22050      bin_pt          "0"
22051      align_bp        on
22052      dbl_ovrd        off
22053      xl_use_area         off
22054      xl_area         "[1 0 0 1 0 0 0]"
22055      has_advanced_control    "0"
22056      sggui_pos       "-1,-1,-1,-1"
22057      block_type          "logical"
22058      block_version       "10.1.2"
22059      sg_icon_stat        "45,69,2,1,white,blue,0,087b5522,right"
22060      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 ],[0 0 60 60 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13 28 32 36 52 40 28 19 32 19 28 40 52 36 32 28 13 ],[8 17 30 43 52 52 48 52 52 40 52 43 30 17 8 20 8 8 12 8 8 ],[0.98 0.96 0.92]);\nplot([0 55 55 0 0 ],[0 0 60 60 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');disp('\\newlineand\\newlinez^{-0}','texmode','on');\nfprintf('','COMMENT: end icon text');\n"
22061    }
22062    Block {
22063      BlockType       Reference
22064      Name            "Logical5"
22065      Ports           [3, 1]
22066      Position        [770, 652, 805, 688]
22067      ShowName        off
22068      SourceBlock         "xbsIndex_r4/Logical"
22069      SourceType          "Xilinx Logical Block Block"
22070      logical_function    "OR"
22071      inputs          "3"
22072      en              off
22073      latency         "0"
22074      precision       "Full"
22075      arith_type          "Unsigned"
22076      n_bits          "16"
22077      bin_pt          "0"
22078      align_bp        on
22079      dbl_ovrd        off
22080      xl_use_area         off
22081      xl_area         "[1 0 0 1 0 0 0]"
22082      has_advanced_control    "0"
22083      sggui_pos       "20,20,348,261"
22084      block_type          "logical"
22085      block_version       "8.2"
22086      sg_icon_stat        "35,36,3,1,white,blue,0,bd50cad4,right"
22087      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 ],[0 0 60 60 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13 28 32 36 52 40 28 19 32 19 28 40 52 36 32 28 13 ],[8 17 30 43 52 52 48 52 52 40 52 43 30 17 8 20 8 8 12 8 8 ],[0.98 0.96 0.92]);\nplot([0 55 55 0 0 ],[0 0 60 60 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');disp('\\newlineor\\newlinez^{-0}','texmode','on');\nfprintf('','COMMENT: end icon text');\n"
22088    }
22089    Block {
22090      BlockType       Reference
22091      Name            "Logical6"
22092      Ports           [3, 1]
22093      Position        [850, 282, 885, 318]
22094      ShowName        off
22095      SourceBlock         "xbsIndex_r4/Logical"
22096      SourceType          "Xilinx Logical Block Block"
22097      logical_function    "AND"
22098      inputs          "3"
22099      en              off
22100      latency         "0"
22101      precision       "Full"
22102      arith_type          "Unsigned"
22103      n_bits          "16"
22104      bin_pt          "0"
22105      align_bp        on
22106      dbl_ovrd        off
22107      xl_use_area         off
22108      xl_area         "[1 0 0 1 0 0 0]"
22109      has_advanced_control    "0"
22110      sggui_pos       "20,20,348,261"
22111      block_type          "logical"
22112      block_version       "8.2"
22113      sg_icon_stat        "35,36,3,1,white,blue,0,5c2bfaa2,right"
22114      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 ],[0 0 60 60 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13 28 32 36 52 40 28 19 32 19 28 40 52 36 32 28 13 ],[8 17 30 43 52 52 48 52 52 40 52 43 30 17 8 20 8 8 12 8 8 ],[0.98 0.96 0.92]);\nplot([0 55 55 0 0 ],[0 0 60 60 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');disp('\\newlineand\\newlinez^{-0}','texmode','on');\nfprintf('','COMMENT: end icon text');\n"
22115    }
22116    Block {
22117      BlockType       Reference
22118      Name            "Logical7"
22119      Ports           [3, 1]
22120      Position        [1175, 243, 1205, 277]
22121      ShowName        off
22122      SourceBlock         "xbsIndex_r4/Logical"
22123      SourceType          "Xilinx Logical Block Block"
22124      logical_function    "AND"
22125      inputs          "3"
22126      en              off
22127      latency         "0"
22128      precision       "Full"
22129      arith_type          "Unsigned"
22130      n_bits          "16"
22131      bin_pt          "0"
22132      align_bp        on
22133      dbl_ovrd        off
22134      xl_use_area         off
22135      xl_area         "[1 0 0 1 0 0 0]"
22136      has_advanced_control    "0"
22137      sggui_pos       "20,20,348,261"
22138      block_type          "logical"
22139      block_version       "9.1.01"
22140      sg_icon_stat        "30,34,3,1,white,blue,0,5c2bfaa2,right"
22141      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 30 30 0 ],[0 0 34 34 ],[0.77 0.82 0.91]);\npatch([7 2 9 2 7 15 17 19 27 20 14 9 15 9 14 20 27 19 17 15 7 ],[5 10 17 24 29 29 27 29 29 22 28 23 17 11 6 12 5 5 7 5 5 ],[0.98 0.96 0.92]);\nplot([0 30 30 0 0 ],[0 0 34 34 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');disp('\\newlineand\\newlinez^{-0}','texmode','on');\nfprintf('','COMMENT: end icon text');\n"
22142    }
22143    Block {
22144      BlockType       Reference
22145      Name            "Mux"
22146      Ports           [3, 1]
22147      Position        [320, 643, 345, 717]
22148      ShowName        off
22149      SourceBlock         "xbsIndex_r4/Mux"
22150      SourceType          "Xilinx Bus Multiplexer Block"
22151      inputs          "2"
22152      en              off
22153      latency         "0"
22154      precision       "Full"
22155      arith_type          "Unsigned"
22156      n_bits          "16"
22157      bin_pt          "14"
22158      quantization        "Truncate"
22159      overflow        "Wrap"
22160      dbl_ovrd        off
22161      xl_use_area         off
22162      xl_area         "[1 0 0 1 0 0 0]"
22163      has_advanced_control    "0"
22164      sggui_pos       "-1,-1,-1,-1"
22165      block_type          "mux"
22166      block_version       "8.2"
22167      sg_icon_stat        "25,74,3,1,white,blue,3,613f58e1,right"
22168      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 45 45 0 ],[0 14.8571 89.1429 104 ],[0.77 0.82 0.91]);\npatch([10 3 14 3 10 22 25 28 41 31 21 14 25 14 21 31 41 28 25 22 10 ],[34 41 52 63 70 70 67 70 70 60 70 63 52 41 34 44 34 34 37 34 34 ],[0.98 0.96 0.92]);\nplot([0 45 45 0 0 ],[0 14.8571 89.1429 104 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1,'sel');\ncolor('black');port_label('input',2,'d0');\ncolor('black');port_label('input',3,'d1');\ncolor('black');disp('\\bf{}','texmode','on');\nfprintf('','COMMENT: end icon text');\n"
22169    }
22170    Block {
22171      BlockType       Reference
22172      Name            "Relational"
22173      Ports           [2, 1]
22174      Position        [1060, 231, 1100, 289]
22175      ShowName        off
22176      SourceBlock         "xbsIndex_r4/Relational"
22177      SourceType          "Xilinx Arithmetic Relational Operator Block"
22178      mode            "a<=b"
22179      en              off
22180      latency         "0"
22181      dbl_ovrd        off
22182      xl_use_area         off
22183      xl_area         "[8 0 0 16 0 0 0]"
22184      has_advanced_control    "0"
22185      sggui_pos       "20,20,348,193"
22186      block_type          "relational"
22187      block_version       "8.2"
22188      sg_icon_stat        "40,58,2,1,white,blue,0,07808d72,right"
22189      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 ],[0 0 56 56 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13 28 32 36 52 40 28 19 32 19 28 40 52 36 32 28 13 ],[6 15 28 41 50 50 46 50 50 38 50 41 28 15 6 18 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 55 55 0 0 ],[0 0 56 56 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1,'a');\ncolor('black');port_label('input',2,'b');\ncolor('black');disp('\\newline\\bf{a<=b}\\newlinez^{-0}','texmode','on');\nfprintf('','COMMENT: end icon text');\n"
22190    }
22191    Block {
22192      BlockType       Reference
22193      Name            "Relational1"
22194      Ports           [2, 1]
22195      Position        [1005, 431, 1050, 469]
22196      ShowName        off
22197      SourceBlock         "xbsIndex_r4/Relational"
22198      SourceType          "Xilinx Arithmetic Relational Operator Block"
22199      mode            "a>b"
22200      en              off
22201      latency         "0"
22202      dbl_ovrd        off
22203      xl_use_area         off
22204      xl_area         "[16 1 0 32 0 0 0]"
22205      has_advanced_control    "0"
22206      sggui_pos       "20,20,348,193"
22207      block_type          "relational"
22208      block_version       "10.1.2"
22209      sg_icon_stat        "45,38,2,1,white,blue,0,064af3a6,right"
22210      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 ],[0 0 56 56 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13 28 32 36 52 40 28 19 32 19 28 40 52 36 32 28 13 ],[6 15 28 41 50 50 46 50 50 38 50 41 28 15 6 18 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 55 55 0 0 ],[0 0 56 56 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1,'a');\ncolor('black');port_label('input',2,'b');\ncolor('black');disp('\\newline\\bf{a>b}\\newlinez^{-0}','texmode','on');\nfprintf('','COMMENT: end icon text');\n"
22211    }
22212    Block {
22213      BlockType       Reference
22214      Name            "Relational2"
22215      Ports           [2, 1]
22216      Position        [985, 651, 1025, 709]
22217      ShowName        off
22218      SourceBlock         "xbsIndex_r4/Relational"
22219      SourceType          "Xilinx Arithmetic Relational Operator Block"
22220      mode            "a<=b"
22221      en              off
22222      latency         "0"
22223      dbl_ovrd        off
22224      xl_use_area         off
22225      xl_area         "[8 0 0 16 0 0 0]"
22226      has_advanced_control    "0"
22227      sggui_pos       "20,20,348,193"
22228      block_type          "relational"
22229      block_version       "8.2"
22230      sg_icon_stat        "40,58,2,1,white,blue,0,07808d72,right"
22231      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 ],[0 0 56 56 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13 28 32 36 52 40 28 19 32 19 28 40 52 36 32 28 13 ],[6 15 28 41 50 50 46 50 50 38 50 41 28 15 6 18 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 55 55 0 0 ],[0 0 56 56 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1,'a');\ncolor('black');port_label('input',2,'b');\ncolor('black');disp('\\newline\\bf{a<=b}\\newlinez^{-0}','texmode','on');\nfprintf('','COMMENT: end icon text');\n"
22232    }
22233    Block {
22234      BlockType       SubSystem
22235      Name            "S-R_Latch1"
22236      Ports           [2, 1]
22237      Position        [665, 272, 705, 343]
22238      MinAlgLoopOccurrences   off
22239      PropExecContextOutsideSubsystem off
22240      RTWSystemCode       "Auto"
22241      FunctionWithSeparateData off
22242      Opaque          off
22243      RequestExecContextInheritance off
22244      MaskHideContents    off
22245      System {
22246        Name            "S-R_Latch1"
22247        Location            [202, 70, 1438, 850]
22248        Open            off
22249        ModelBrowserVisibility  on
22250        ModelBrowserWidth       200
22251        ScreenColor         "white"
22252        PaperOrientation        "landscape"
22253        PaperPositionMode       "auto"
22254        PaperType           "usletter"
22255        PaperUnits          "inches"
22256        TiledPaperMargins       [0.500000, 0.500000, 0.500000, 0.500000]
22257        TiledPageScale      1
22258        ShowPageBoundaries      off
22259        ZoomFactor          "100"
22260        Block {
22261          BlockType           Inport
22262          Name            "S"
22263          Position            [95, 58, 125, 72]
22264          IconDisplay         "Port number"
22265          OutDataType         "sfix(16)"
22266          OutScaling          "2^0"
22267        }
22268        Block {
22269          BlockType           Inport
22270          Name            "R"
22271          Position            [95, 88, 125, 102]
22272          Port            "2"
22273          IconDisplay         "Port number"
22274          OutDataType         "sfix(16)"
22275          OutScaling          "2^0"
22276        }
22277        Block {
22278          BlockType           Reference
22279          Name            "Inverter"
22280          Ports           [1, 1]
22281          Position            [220, 82, 250, 108]
22282          SourceBlock         "xbsIndex_r4/Inverter"
22283          SourceType          "Xilinx Inverter Block"
22284          infoedit            "Bitwise logical negation (one's complement) operator."
22285          en              "off"
22286          latency             "0"
22287          dbl_ovrd            "off"
22288          xl_use_area         "off"
22289          xl_area             "[0 0 0 0 0 0 0]"
22290          has_advanced_control    "0"
22291          sggui_pos           "-1,-1,-1,-1"
22292          block_type          "inv"
22293          block_version       "VER_STRING_GOES_HERE"
22294          sg_icon_stat        "30,26,1,1,white,blue,0,1ab4a85f,right"
22295          sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 ],[0 0 58 58 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13 28 32 36 52 40 28 19 32 19 28 40 52 36 32 28 13 ],[7 16 29 42 51 51 47 51 51 39 51 42 29 16 7 19 7 7 11 7 7 ],[0.98 0.96 0.92]);\nplot([0 55 55 0 0 ],[0 0 58 58 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');disp('not');\nfprintf('','COMMENT: end icon text');\n"
22296        }
22297        Block {
22298          BlockType           Reference
22299          Name            "Register"
22300          Ports           [3, 1]
22301          Position            [150, 70, 195, 120]
22302          SourceBlock         "xbsIndex_r4/Register"
22303          SourceType          "Xilinx Register Block"
22304          init            "0"
22305          rst             "on"
22306          en              "on"
22307          dbl_ovrd            "off"
22308          xl_use_area         "off"
22309          xl_area             "[1 1 0 0 0 0 0]"
22310          has_advanced_control    "0"
22311          sggui_pos           "20,20,348,193"
22312          block_type          "register"
22313          block_version       "VER_STRING_GOES_HERE"
22314          sg_icon_stat        "45,50,1,1,white,blue,0,923c1847,right"
22315          sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 ],[0 0 56 56 ],[0.77 0.82 0.91]);\npatch([15 6 19 6 15 30 34 38 54 41 29 20 32 20 29 41 54 38 34 30 15 ],[6 15 28 41 50 50 46 50 50 37 49 40 28 16 7 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 56 56 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1,'d');\ncolor('black');port_label('input',2,'rst');\ncolor('black');port_label('input',3,'en');\ncolor('black');port_label('output',1,'q');\ncolor('black');disp('\\bf{z^{-1}}','texmode','on');\nfprintf('','COMMENT: end icon text');\n"
22316        }
22317        Block {
22318          BlockType           Outport
22319          Name            "Q"
22320          Position            [285, 63, 315, 77]
22321          IconDisplay         "Port number"
22322          OutDataType         "sfix(16)"
22323          OutScaling          "2^0"
22324        }
22325        Line {
22326          SrcBlock            "Register"
22327          SrcPort             1
22328          Points              [0, 0; 5, 0]
22329          Branch {
22330        DstBlock        "Inverter"
22331        DstPort         1
22332          }
22333          Branch {
22334        Points          [0, -25]
22335        DstBlock        "Q"
22336        DstPort         1
22337          }
22338        }
22339        Line {
22340          SrcBlock            "Inverter"
22341          SrcPort             1
22342          Points              [10, 0; 0, 55; -130, 0]
22343          DstBlock            "Register"
22344          DstPort             3
22345        }
22346        Line {
22347          SrcBlock            "S"
22348          SrcPort             1
22349          DstBlock            "Register"
22350          DstPort             1
22351        }
22352        Line {
22353          SrcBlock            "R"
22354          SrcPort             1
22355          DstBlock            "Register"
22356          DstPort             2
22357        }
22358      }
22359    }
22360    Block {
22361      BlockType       SubSystem
22362      Name            "S-R_Latch2"
22363      Ports           [2, 1]
22364      Position        [1285, 245, 1325, 305]
22365      MinAlgLoopOccurrences   off
22366      PropExecContextOutsideSubsystem off
22367      RTWSystemCode       "Auto"
22368      FunctionWithSeparateData off
22369      Opaque          off
22370      RequestExecContextInheritance off
22371      MaskHideContents    off
22372      System {
22373        Name            "S-R_Latch2"
22374        Location            [521, 318, 918, 591]
22375        Open            off
22376        ModelBrowserVisibility  on
22377        ModelBrowserWidth       200
22378        ScreenColor         "white"
22379        PaperOrientation        "landscape"
22380        PaperPositionMode       "auto"
22381        PaperType           "usletter"
22382        PaperUnits          "inches"
22383        TiledPaperMargins       [0.500000, 0.500000, 0.500000, 0.500000]
22384        TiledPageScale      1
22385        ShowPageBoundaries      off
22386        ZoomFactor          "100"
22387        Block {
22388          BlockType           Inport
22389          Name            "S"
22390          Position            [95, 58, 125, 72]
22391          IconDisplay         "Port number"
22392          OutDataType         "sfix(16)"
22393          OutScaling          "2^0"
22394        }
22395        Block {
22396          BlockType           Inport
22397          Name            "R"
22398          Position            [95, 88, 125, 102]
22399          Port            "2"
22400          IconDisplay         "Port number"
22401          OutDataType         "sfix(16)"
22402          OutScaling          "2^0"
22403        }
22404        Block {
22405          BlockType           Reference
22406          Name            "Inverter"
22407          Ports           [1, 1]
22408          Position            [220, 82, 250, 108]
22409          SourceBlock         "xbsIndex_r4/Inverter"
22410          SourceType          "Xilinx Inverter Block"
22411          infoedit            "Bitwise logical negation (one's complement) operator."
22412          en              "off"
22413          latency             "0"
22414          dbl_ovrd            "off"
22415          xl_use_area         "off"
22416          xl_area             "[0 0 0 0 0 0 0]"
22417          has_advanced_control    "0"
22418          sggui_pos           "-1,-1,-1,-1"
22419          block_type          "inv"
22420          block_version       "VER_STRING_GOES_HERE"
22421          sg_icon_stat        "30,26,1,1,white,blue,0,1ab4a85f,right"
22422          sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 ],[0 0 58 58 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13 28 32 36 52 40 28 19 32 19 28 40 52 36 32 28 13 ],[7 16 29 42 51 51 47 51 51 39 51 42 29 16 7 19 7 7 11 7 7 ],[0.98 0.96 0.92]);\nplot([0 55 55 0 0 ],[0 0 58 58 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');disp('not');\nfprintf('','COMMENT: end icon text');\n"
22423        }
22424        Block {
22425          BlockType           Reference
22426          Name            "Register"
22427          Ports           [3, 1]
22428          Position            [150, 70, 195, 120]
22429          SourceBlock         "xbsIndex_r4/Register"
22430          SourceType          "Xilinx Register Block"
22431          init            "0"
22432          rst             "on"
22433          en              "on"
22434          dbl_ovrd            "off"
22435          xl_use_area         "off"
22436          xl_area             "[1 1 0 0 0 0 0]"
22437          has_advanced_control    "0"
22438          sggui_pos           "-1,-1,-1,-1"
22439          block_type          "register"
22440          block_version       "VER_STRING_GOES_HERE"
22441          sg_icon_stat        "45,50,1,1,white,blue,0,923c1847,right"
22442          sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 ],[0 0 56 56 ],[0.77 0.82 0.91]);\npatch([15 6 19 6 15 30 34 38 54 41 29 20 32 20 29 41 54 38 34 30 15 ],[6 15 28 41 50 50 46 50 50 37 49 40 28 16 7 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 56 56 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1,'d');\ncolor('black');port_label('input',2,'rst');\ncolor('black');port_label('input',3,'en');\ncolor('black');port_label('output',1,'q');\ncolor('black');disp('\\bf{z^{-1}}','texmode','on');\nfprintf('','COMMENT: end icon text');\n"
22443        }
22444        Block {
22445          BlockType           Outport
22446          Name            "Q"
22447          Position            [285, 63, 315, 77]
22448          IconDisplay         "Port number"
22449          OutDataType         "sfix(16)"
22450          OutScaling          "2^0"
22451        }
22452        Line {
22453          SrcBlock            "R"
22454          SrcPort             1
22455          DstBlock            "Register"
22456          DstPort             2
22457        }
22458        Line {
22459          SrcBlock            "S"
22460          SrcPort             1
22461          DstBlock            "Register"
22462          DstPort             1
22463        }
22464        Line {
22465          SrcBlock            "Inverter"
22466          SrcPort             1
22467          Points              [10, 0; 0, 55; -130, 0]
22468          DstBlock            "Register"
22469          DstPort             3
22470        }
22471        Line {
22472          SrcBlock            "Register"
22473          SrcPort             1
22474          Points              [0, 0; 5, 0]
22475          Branch {
22476        Points          [0, -25]
22477        DstBlock        "Q"
22478        DstPort         1
22479          }
22480          Branch {
22481        DstBlock        "Inverter"
22482        DstPort         1
22483          }
22484        }
22485      }
22486    }
22487    Block {
22488      BlockType       SubSystem
22489      Name            "S-R_Latch3"
22490      Ports           [2, 1]
22491      Position        [665, 167, 705, 238]
22492      MinAlgLoopOccurrences   off
22493      PropExecContextOutsideSubsystem off
22494      RTWSystemCode       "Auto"
22495      FunctionWithSeparateData off
22496      Opaque          off
22497      RequestExecContextInheritance off
22498      MaskHideContents    off
22499      System {
22500        Name            "S-R_Latch3"
22501        Location            [202, 70, 1438, 850]
22502        Open            off
22503        ModelBrowserVisibility  on
22504        ModelBrowserWidth       200
22505        ScreenColor         "white"
22506        PaperOrientation        "landscape"
22507        PaperPositionMode       "auto"
22508        PaperType           "usletter"
22509        PaperUnits          "inches"
22510        TiledPaperMargins       [0.500000, 0.500000, 0.500000, 0.500000]
22511        TiledPageScale      1
22512        ShowPageBoundaries      off
22513        ZoomFactor          "100"
22514        Block {
22515          BlockType           Inport
22516          Name            "S"
22517          Position            [95, 58, 125, 72]
22518          IconDisplay         "Port number"
22519          OutDataType         "sfix(16)"
22520          OutScaling          "2^0"
22521        }
22522        Block {
22523          BlockType           Inport
22524          Name            "R"
22525          Position            [95, 88, 125, 102]
22526          Port            "2"
22527          IconDisplay         "Port number"
22528          OutDataType         "sfix(16)"
22529          OutScaling          "2^0"
22530        }
22531        Block {
22532          BlockType           Reference
22533          Name            "Inverter"
22534          Ports           [1, 1]
22535          Position            [220, 82, 250, 108]
22536          SourceBlock         "xbsIndex_r4/Inverter"
22537          SourceType          "Xilinx Inverter Block"
22538          infoedit            "Bitwise logical negation (one's complement) operator."
22539          en              "off"
22540          latency             "0"
22541          dbl_ovrd            "off"
22542          xl_use_area         "off"
22543          xl_area             "[0 0 0 0 0 0 0]"
22544          has_advanced_control    "0"
22545          sggui_pos           "-1,-1,-1,-1"
22546          block_type          "inv"
22547          block_version       "VER_STRING_GOES_HERE"
22548          sg_icon_stat        "30,26,1,1,white,blue,0,1ab4a85f,right"
22549          sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 ],[0 0 58 58 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13 28 32 36 52 40 28 19 32 19 28 40 52 36 32 28 13 ],[7 16 29 42 51 51 47 51 51 39 51 42 29 16 7 19 7 7 11 7 7 ],[0.98 0.96 0.92]);\nplot([0 55 55 0 0 ],[0 0 58 58 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');disp('not');\nfprintf('','COMMENT: end icon text');\n"
22550        }
22551        Block {
22552          BlockType           Reference
22553          Name            "Register"
22554          Ports           [3, 1]
22555          Position            [150, 70, 195, 120]
22556          SourceBlock         "xbsIndex_r4/Register"
22557          SourceType          "Xilinx Register Block"
22558          init            "0"
22559          rst             "on"
22560          en              "on"
22561          dbl_ovrd            "off"
22562          xl_use_area         "off"
22563          xl_area             "[1 1 0 0 0 0 0]"
22564          has_advanced_control    "0"
22565          sggui_pos           "20,20,348,193"
22566          block_type          "register"
22567          block_version       "VER_STRING_GOES_HERE"
22568          sg_icon_stat        "45,50,1,1,white,blue,0,923c1847,right"
22569          sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 ],[0 0 56 56 ],[0.77 0.82 0.91]);\npatch([15 6 19 6 15 30 34 38 54 41 29 20 32 20 29 41 54 38 34 30 15 ],[6 15 28 41 50 50 46 50 50 37 49 40 28 16 7 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 56 56 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1,'d');\ncolor('black');port_label('input',2,'rst');\ncolor('black');port_label('input',3,'en');\ncolor('black');port_label('output',1,'q');\ncolor('black');disp('\\bf{z^{-1}}','texmode','on');\nfprintf('','COMMENT: end icon text');\n"
22570        }
22571        Block {
22572          BlockType           Outport
22573          Name            "Q"
22574          Position            [285, 63, 315, 77]
22575          IconDisplay         "Port number"
22576          OutDataType         "sfix(16)"
22577          OutScaling          "2^0"
22578        }
22579        Line {
22580          SrcBlock            "R"
22581          SrcPort             1
22582          DstBlock            "Register"
22583          DstPort             2
22584        }
22585        Line {
22586          SrcBlock            "S"
22587          SrcPort             1
22588          DstBlock            "Register"
22589          DstPort             1
22590        }
22591        Line {
22592          SrcBlock            "Inverter"
22593          SrcPort             1
22594          Points              [10, 0; 0, 55; -130, 0]
22595          DstBlock            "Register"
22596          DstPort             3
22597        }
22598        Line {
22599          SrcBlock            "Register"
22600          SrcPort             1
22601          Points              [0, 0; 5, 0]
22602          Branch {
22603        Points          [0, -25]
22604        DstBlock        "Q"
22605        DstPort         1
22606          }
22607          Branch {
22608        DstBlock        "Inverter"
22609        DstPort         1
22610          }
22611        }
22612      }
22613    }
22614    Block {
22615      BlockType       Scope
22616      Name            "Scope"
22617      Ports           [3]
22618      Position        [1530, 305, 1560, 405]
22619      Floating        off
22620      Location        [1, 45, 1441, 869]
22621      Open            off
22622      NumInputPorts       "3"
22623      ZoomMode        "xonly"
22624      List {
22625        ListType            AxesTitles
22626        axes1           "%<SignalLabel>"
22627        axes2           "%<SignalLabel>"
22628        axes3           "%<SignalLabel>"
22629      }
22630      YMin            "-5~-5~-5"
22631      YMax            "5~5~5"
22632      DataFormat          "StructureWithTime"
22633      SampleTime          "0"
22634    }
22635    Block {
22636      BlockType       Reference
22637      Name            "Sim Mux"
22638      Ports           [2, 1]
22639      Position        [405, 261, 445, 299]
22640      NamePlacement       "alternate"
22641      SourceBlock         "xbsIndex_r4/Simulation Multiplexer"
22642      SourceType          "Xilinx Simulation Multiplexer Block"
22643      infoedit        "Distinguish input subsystems as \"simulation only\" and \"generation only\".  The input specified For Simulation will be used during Simulink simulation.  The input specified For Generation will be used during code generation.  This block will typically be used on the output of a HW Co-Simulation, Black Box, or ModelSim subsystem.<P><P>Hardware Notes: This block costs nothing."
22644      sim_sel         "1"
22645      hw_sel          "2"
22646      has_advanced_control    "0"
22647      sggui_pos       "20,20,336,197"
22648      block_type          "simmux"
22649      block_version       "10.1.3"
22650      sg_icon_stat        "40,38,2,1,white,blue,0,c4f98ccb,right"
22651      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 50 50 0 ],[0 0 51 51 ],[0.77 0.82 0.91]);\npatch([11 3 15 3 11 24 28 32 46 35 24 16 28 16 24 35 46 32 28 24 11 ],[6 14 26 38 46 46 42 46 46 35 46 38 26 14 6 17 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 50 50 0 0 ],[0 0 51 51 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\n\nfprintf('','COMMENT: end icon text');\ncolor('red');\nplot(swLineX,simSwLineY);\ncolor('black');\nplot(swLineX,hwSwLineY);\n"
22652    }
22653    Block {
22654      BlockType       Reference
22655      Name            "Sim Mux1"
22656      Ports           [2, 1]
22657      Position        [470, 576, 510, 614]
22658      NamePlacement       "alternate"
22659      SourceBlock         "xbsIndex_r4/Simulation Multiplexer"
22660      SourceType          "Xilinx Simulation Multiplexer Block"
22661      infoedit        "Distinguish input subsystems as \"simulation only\" and \"generation only\".  The input specified For Simulation will be used during Simulink simulation.  The input specified For Generation will be used during code generation.  This block will typically be used on the output of a HW Co-Simulation, Black Box, or ModelSim subsystem.<P><P>Hardware Notes: This block costs nothing."
22662      sim_sel         "1"
22663      hw_sel          "2"
22664      has_advanced_control    "0"
22665      sggui_pos       "20,20,336,197"
22666      block_type          "simmux"
22667      block_version       "10.1.3"
22668      sg_icon_stat        "40,38,2,1,white,blue,0,c4f98ccb,right"
22669      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 50 50 0 ],[0 0 51 51 ],[0.77 0.82 0.91]);\npatch([11 3 15 3 11 24 28 32 46 35 24 16 28 16 24 35 46 32 28 24 11 ],[6 14 26 38 46 46 42 46 46 35 46 38 26 14 6 17 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 50 50 0 0 ],[0 0 51 51 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\n\nfprintf('','COMMENT: end icon text');\ncolor('red');\nplot(swLineX,simSwLineY);\ncolor('black');\nplot(swLineX,hwSwLineY);\n"
22670    }
22671    Block {
22672      BlockType       SubSystem
22673      Name            "Sim-only\nGateway In"
22674      Ports           [1, 1]
22675      Position        [305, 260, 350, 280]
22676      NamePlacement       "alternate"
22677      MinAlgLoopOccurrences   off
22678      PropExecContextOutsideSubsystem off
22679      RTWSystemCode       "Auto"
22680      FunctionWithSeparateData off
22681      Opaque          off
22682      RequestExecContextInheritance off
22683      MaskHideContents    off
22684      System {
22685        Name            "Sim-only\nGateway In"
22686        Location            [269, 280, 494, 362]
22687        Open            off
22688        ModelBrowserVisibility  on
22689        ModelBrowserWidth       200
22690        ScreenColor         "white"
22691        PaperOrientation        "landscape"
22692        PaperPositionMode       "auto"
22693        PaperType           "usletter"
22694        PaperUnits          "inches"
22695        TiledPaperMargins       [0.500000, 0.500000, 0.500000, 0.500000]
22696        TiledPageScale      1
22697        ShowPageBoundaries      off
22698        ZoomFactor          "100"
22699        Block {
22700          BlockType           Inport
22701          Name            "D"
22702          Position            [20, 33, 50, 47]
22703          IconDisplay         "Port number"
22704          OutDataType         "sfix(16)"
22705          OutScaling          "2^0"
22706        }
22707        Block {
22708          BlockType           Reference
22709          Name            "Disregard Subsystem"
22710          Tag             "discardX"
22711          Ports           []
22712          Position            [86, 85, 144, 143]
22713          ShowName            off
22714          AttributesFormatString  "Disregard Subsystem\\nFor Generation"
22715          SourceBlock         "xbsIndex_r4/Disregard Subsystem"
22716          SourceType          "Xilinx Disregard Subsystem For Generation Block"
22717          infoedit            "Place this block into a subsystem to have System Generator ignore the subsystem during code generation. This block can be used in combination with the Simulation Multiplexer block to provide an alternative simulation model for another subsystem (e.g., to provide a simulation model for a black box)."
22718          has_advanced_control    "0"
22719          sggui_pos           "-1,-1,-1,-1"
22720          block_type          "disregard"
22721          block_version       "10.1.3"
22722          sg_icon_stat        "58,58,-1,-1,darkgray,black,0,0,right"
22723          sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npatch([0 58 58 0 ],[0 0 58 58 ],[0.1 0.1 0.1]);\npatch([14 4 18 4 14 29 33 37 53 40 28 19 33 19 28 40 53 37 33 29 14 ],[6 16 30 44 54 54 50 54 54 41 53 44 30 16 7 19 6 6 10 6 6 ],[0.33 0.33 0.33]);\nplot([0 58 58 0 0 ],[0 0 58 58 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\nfprintf('','COMMENT: end icon text');\n"
22724        }
22725        Block {
22726          BlockType           Reference
22727          Name            "Gateway In"
22728          Ports           [1, 1]
22729          Position            [80, 30, 145, 50]
22730          SourceBlock         "xbsIndex_r4/Gateway In"
22731          SourceType          "Xilinx Gateway In Block"
22732          infoedit            "Gateway in block.  Converts inputs of type Simulink integer, double and fixed point to  Xilinx fixed point type.<P><P>Hardware notes:  In hardware these blocks become top level input ports."
22733          arith_type          "Boolean"
22734          n_bits              "1"
22735          bin_pt              "0"
22736          quantization        "Round  (unbiased: +/- Inf)"
22737          overflow            "Saturate"
22738          period              "1"
22739          dbl_ovrd            "off"
22740          timing_constraint       "None"
22741          locs_specified          "off"
22742          LOCs            "{}"
22743          xl_use_area         "off"
22744          xl_area             "[0 0 0 0 1 0 0]"
22745          has_advanced_control    "0"
22746          sggui_pos           "20,20,348,406"
22747          block_type          "gatewayin"
22748          block_version       "10.1.3"
22749          sg_icon_stat        "65,20,1,1,white,yellow,0,bc55d28f,right"
22750          sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npatch([0 65 65 0 ],[0 0 20 20 ],[0.95 0.93 0.65]);\npatch([27 24 29 24 27 32 33 34 40 36 32 29 34 29 32 36 40 34 33 32 27 ],[2 5 10 15 18 18 17 18 18 14 18 15 10 5 2 6 2 2 3 2 2 ],[0.98 0.96 0.92]);\nplot([0 65 65 0 0 ],[0 0 20 20 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1,'\\fontsize{11pt}\\bf In ','texmode','on');\ncolor('black');port_label('output',1,' ');\nfprintf('','COMMENT: end icon text');\n"
22751        }
22752        Block {
22753          BlockType           Outport
22754          Name            "Q"
22755          Position            [170, 33, 200, 47]
22756          IconDisplay         "Port number"
22757        }
22758        Line {
22759          SrcBlock            "Gateway In"
22760          SrcPort             1
22761          DstBlock            "Q"
22762          DstPort             1
22763        }
22764        Line {
22765          SrcBlock            "D"
22766          SrcPort             1
22767          DstBlock            "Gateway In"
22768          DstPort             1
22769        }
22770      }
22771    }
22772    Block {
22773      BlockType       SubSystem
22774      Name            "Sim-only\nGateway In2"
22775      Ports           [1, 1]
22776      Position        [360, 575, 405, 595]
22777      NamePlacement       "alternate"
22778      MinAlgLoopOccurrences   off
22779      PropExecContextOutsideSubsystem off
22780      RTWSystemCode       "Auto"
22781      FunctionWithSeparateData off
22782      Opaque          off
22783      RequestExecContextInheritance off
22784      MaskHideContents    off
22785      System {
22786        Name            "Sim-only\nGateway In2"
22787        Location            [214, 70, 1918, 1152]
22788        Open            off
22789        ModelBrowserVisibility  on
22790        ModelBrowserWidth       200
22791        ScreenColor         "white"
22792        PaperOrientation        "landscape"
22793        PaperPositionMode       "auto"
22794        PaperType           "usletter"
22795        PaperUnits          "inches"
22796        TiledPaperMargins       [0.500000, 0.500000, 0.500000, 0.500000]
22797        TiledPageScale      1
22798        ShowPageBoundaries      off
22799        ZoomFactor          "100"
22800        Block {
22801          BlockType           Inport
22802          Name            "D"
22803          Position            [20, 33, 50, 47]
22804          IconDisplay         "Port number"
22805          OutDataType         "sfix(16)"
22806          OutScaling          "2^0"
22807        }
22808        Block {
22809          BlockType           Reference
22810          Name            "Disregard Subsystem"
22811          Tag             "discardX"
22812          Ports           []
22813          Position            [71, 110, 129, 168]
22814          ShowName            off
22815          AttributesFormatString  "Disregard Subsystem\\nFor Generation"
22816          SourceBlock         "xbsIndex_r4/Disregard Subsystem"
22817          SourceType          "Xilinx Disregard Subsystem For Generation Block"
22818          infoedit            "Place this block into a subsystem to have System Generator ignore the subsystem during code generation. This block can be used in combination with the Simulation Multiplexer block to provide an alternative simulation model for another subsystem (e.g., to provide a simulation model for a black box)."
22819          has_advanced_control    "0"
22820          sggui_pos           "-1,-1,-1,-1"
22821          block_type          "disregard"
22822          block_version       "10.1.3"
22823          sg_icon_stat        "58,58,-1,-1,darkgray,black,0,0,right"
22824          sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npatch([0 58 58 0 ],[0 0 58 58 ],[0.1 0.1 0.1]);\npatch([14 4 18 4 14 29 33 37 53 40 28 19 33 19 28 40 53 37 33 29 14 ],[6 16 30 44 54 54 50 54 54 41 53 44 30 16 7 19 6 6 10 6 6 ],[0.33 0.33 0.33]);\nplot([0 58 58 0 0 ],[0 0 58 58 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\nfprintf('','COMMENT: end icon text');\n"
22825        }
22826        Block {
22827          BlockType           Reference
22828          Name            "Gateway In"
22829          Ports           [1, 1]
22830          Position            [80, 30, 145, 50]
22831          SourceBlock         "xbsIndex_r4/Gateway In"
22832          SourceType          "Xilinx Gateway In Block"
22833          infoedit            "Gateway in block.  Converts inputs of type Simulink integer, double and fixed point to  Xilinx fixed point type.<P><P>Hardware notes:  In hardware these blocks become top level input ports."
22834          arith_type          "Boolean"
22835          n_bits              "1"
22836          bin_pt              "0"
22837          quantization        "Round  (unbiased: +/- Inf)"
22838          overflow            "Saturate"
22839          period              "1"
22840          dbl_ovrd            "off"
22841          timing_constraint       "None"
22842          locs_specified          "off"
22843          LOCs            "{}"
22844          xl_use_area         "off"
22845          xl_area             "[0 0 0 0 1 0 0]"
22846          has_advanced_control    "0"
22847          sggui_pos           "20,20,348,406"
22848          block_type          "gatewayin"
22849          block_version       "10.1.3"
22850          sg_icon_stat        "65,20,1,1,white,yellow,0,bc55d28f,right"
22851          sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npatch([0 65 65 0 ],[0 0 20 20 ],[0.95 0.93 0.65]);\npatch([27 24 29 24 27 32 33 34 40 36 32 29 34 29 32 36 40 34 33 32 27 ],[2 5 10 15 18 18 17 18 18 14 18 15 10 5 2 6 2 2 3 2 2 ],[0.98 0.96 0.92]);\nplot([0 65 65 0 0 ],[0 0 20 20 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1,'\\fontsize{11pt}\\bf In ','texmode','on');\ncolor('black');port_label('output',1,' ');\nfprintf('','COMMENT: end icon text');\n"
22852        }
22853        Block {
22854          BlockType           Outport
22855          Name            "Q"
22856          Position            [170, 33, 200, 47]
22857          IconDisplay         "Port number"
22858        }
22859        Line {
22860          SrcBlock            "D"
22861          SrcPort             1
22862          DstBlock            "Gateway In"
22863          DstPort             1
22864        }
22865        Line {
22866          SrcBlock            "Gateway In"
22867          SrcPort             1
22868          DstBlock            "Q"
22869          DstPort             1
22870        }
22871      }
22872    }
22873    Block {
22874      BlockType       SubSystem
22875      Name            "negedge"
22876      Ports           [1, 1]
22877      Position        [495, 288, 525, 302]
22878      MinAlgLoopOccurrences   off
22879      PropExecContextOutsideSubsystem off
22880      RTWSystemCode       "Auto"
22881      FunctionWithSeparateData off
22882      Opaque          off
22883      RequestExecContextInheritance off
22884      MaskHideContents    off
22885      System {
22886        Name            "negedge"
22887        Location            [459, 339, 854, 490]
22888        Open            off
22889        ModelBrowserVisibility  on
22890        ModelBrowserWidth       200
22891        ScreenColor         "white"
22892        PaperOrientation        "landscape"
22893        PaperPositionMode       "auto"
22894        PaperType           "usletter"
22895        PaperUnits          "inches"
22896        TiledPaperMargins       [0.500000, 0.500000, 0.500000, 0.500000]
22897        TiledPageScale      1
22898        ShowPageBoundaries      off
22899        ZoomFactor          "100"
22900        Block {
22901          BlockType           Inport
22902          Name            "In"
22903          Position            [170, 213, 200, 227]
22904          IconDisplay         "Port number"
22905          OutDataType         "sfix(16)"
22906          OutScaling          "2^0"
22907        }
22908        Block {
22909          BlockType           Reference
22910          Name            "Delay"
22911          Ports           [1, 1]
22912          Position            [230, 247, 290, 303]
22913          SourceBlock         "xbsIndex_r4/Delay"
22914          SourceType          "Xilinx Delay Block"
22915          infoedit            "Hardware notes: A delay line is a chain, each link of which is an SRL16 followed by a flip-flop. If register retiming is enabled, the delay line is a chain of flip-flops."
22916          en              "off"
22917          latency             "1"
22918          dbl_ovrd            "off"
22919          reg_retiming        "off"
22920          xl_use_area         "off"
22921          xl_area             "[1 1 0 0 0 0 0]"
22922          has_advanced_control    "0"
22923          sggui_pos           "-1,-1,-1,-1"
22924          block_type          "delay"
22925          block_version       "8.2"
22926          sg_icon_stat        "60,56,1,1,white,blue,0,fc531c0e,right"
22927          sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 ],[0 0 56 56 ],[0.77 0.82 0.91]);\npatch([15 6 19 6 15 30 34 38 54 41 29 20 32 20 29 41 54 38 34 30 15 ],[6 15 28 41 50 50 46 50 50 37 49 40 28 16 7 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 56 56 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');disp('z^{-1}','texmode','on');\nfprintf('','COMMENT: end icon text');\n"
22928        }
22929        Block {
22930          BlockType           Reference
22931          Name            "Inverter"
22932          Ports           [1, 1]
22933          Position            [290, 191, 345, 249]
22934          NamePlacement       "alternate"
22935          SourceBlock         "xbsIndex_r4/Inverter"
22936          SourceType          "Xilinx Inverter Block"
22937          infoedit            "Bitwise logical negation (one's complement) operator."
22938          en              "off"
22939          latency             "0"
22940          dbl_ovrd            "off"
22941          xl_use_area         "off"
22942          xl_area             "[0 0 0 0 0 0 0]"
22943          has_advanced_control    "0"
22944          sggui_pos           "-1,-1,-1,-1"
22945          block_type          "inv"
22946          block_version       "8.2"
22947          sg_icon_stat        "55,58,1,1,white,blue,0,1ab4a85f,right"
22948          sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 ],[0 0 58 58 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13 28 32 36 52 40 28 19 32 19 28 40 52 36 32 28 13 ],[7 16 29 42 51 51 47 51 51 39 51 42 29 16 7 19 7 7 11 7 7 ],[0.98 0.96 0.92]);\nplot([0 55 55 0 0 ],[0 0 58 58 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');disp('not');\nfprintf('','COMMENT: end icon text');\n"
22949        }
22950        Block {
22951          BlockType           Reference
22952          Name            "Logical"
22953          Ports           [2, 1]
22954          Position            [410, 205, 465, 265]
22955          SourceBlock         "xbsIndex_r4/Logical"
22956          SourceType          "Xilinx Logical Block Block"
22957          logical_function        "AND"
22958          inputs              "2"
22959          en              "off"
22960          latency             "0"
22961          precision           "Full"
22962          arith_type          "Unsigned"
22963          n_bits              "16"
22964          bin_pt              "0"
22965          align_bp            "on"
22966          dbl_ovrd            "off"
22967          xl_use_area         "off"
22968          xl_area             "[1 0 0 1 0 0 0]"
22969          has_advanced_control    "0"
22970          sggui_pos           "-1,-1,-1,-1"
22971          block_type          "logical"
22972          block_version       "8.2"
22973          sg_icon_stat        "55,60,1,1,white,blue,0,087b5522,right"
22974          sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 ],[0 0 60 60 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13 28 32 36 52 40 28 19 32 19 28 40 52 36 32 28 13 ],[8 17 30 43 52 52 48 52 52 40 52 43 30 17 8 20 8 8 12 8 8 ],[0.98 0.96 0.92]);\nplot([0 55 55 0 0 ],[0 0 60 60 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');disp('\\newlineand\\newlinez^{-0}','texmode','on');\nfprintf('','COMMENT: end icon text');\n"
22975        }
22976        Block {
22977          BlockType           Outport
22978          Name            "Out"
22979          Position            [490, 228, 520, 242]
22980          IconDisplay         "Port number"
22981          OutDataType         "sfix(16)"
22982          OutScaling          "2^0"
22983        }
22984        Line {
22985          SrcBlock            "Inverter"
22986          SrcPort             1
22987          DstBlock            "Logical"
22988          DstPort             1
22989        }
22990        Line {
22991          SrcBlock            "Delay"
22992          SrcPort             1
22993          Points              [90, 0; 0, -25]
22994          DstBlock            "Logical"
22995          DstPort             2
22996        }
22997        Line {
22998          SrcBlock            "In"
22999          SrcPort             1
23000          Points              [5, 0]
23001          Branch {
23002        DstBlock        "Inverter"
23003        DstPort         1
23004          }
23005          Branch {
23006        Points          [0, 55]
23007        DstBlock        "Delay"
23008        DstPort         1
23009          }
23010        }
23011        Line {
23012          SrcBlock            "Logical"
23013          SrcPort             1
23014          DstBlock            "Out"
23015          DstPort             1
23016        }
23017      }
23018    }
23019    Block {
23020      BlockType       SubSystem
23021      Name            "posedge"
23022      Ports           [1, 1]
23023      Position        [495, 273, 525, 287]
23024      NamePlacement       "alternate"
23025      MinAlgLoopOccurrences   off
23026      PropExecContextOutsideSubsystem off
23027      RTWSystemCode       "Auto"
23028      FunctionWithSeparateData off
23029      Opaque          off
23030      RequestExecContextInheritance off
23031      MaskHideContents    off
23032      System {
23033        Name            "posedge"
23034        Location            [459, 339, 854, 490]
23035        Open            off
23036        ModelBrowserVisibility  on
23037        ModelBrowserWidth       200
23038        ScreenColor         "white"
23039        PaperOrientation        "landscape"
23040        PaperPositionMode       "auto"
23041        PaperType           "usletter"
23042        PaperUnits          "inches"
23043        TiledPaperMargins       [0.500000, 0.500000, 0.500000, 0.500000]
23044        TiledPageScale      1
23045        ShowPageBoundaries      off
23046        ZoomFactor          "100"
23047        Block {
23048          BlockType           Inport
23049          Name            "In"
23050          Position            [20, 33, 50, 47]
23051          IconDisplay         "Port number"
23052          OutDataType         "sfix(16)"
23053          OutScaling          "2^0"
23054        }
23055        Block {
23056          BlockType           Reference
23057          Name            "Delay"
23058          Ports           [1, 1]
23059          Position            [80, 67, 140, 123]
23060          SourceBlock         "xbsIndex_r4/Delay"
23061          SourceType          "Xilinx Delay Block"
23062          infoedit            "Hardware notes: A delay line is a chain, each link of which is an SRL16 followed by a flip-flop. If register retiming is enabled, the delay line is a chain of flip-flops."
23063          en              "off"
23064          latency             "1"
23065          dbl_ovrd            "off"
23066          reg_retiming        "off"
23067          xl_use_area         "off"
23068          xl_area             "[1 1 0 0 0 0 0]"
23069          has_advanced_control    "0"
23070          sggui_pos           "-1,-1,-1,-1"
23071          block_type          "delay"
23072          block_version       "8.2"
23073          sg_icon_stat        "60,56,1,1,white,blue,0,fc531c0e,right"
23074          sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 ],[0 0 56 56 ],[0.77 0.82 0.91]);\npatch([15 6 19 6 15 30 34 38 54 41 29 20 32 20 29 41 54 38 34 30 15 ],[6 15 28 41 50 50 46 50 50 37 49 40 28 16 7 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 56 56 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');disp('z^{-1}','texmode','on');\nfprintf('','COMMENT: end icon text');\n"
23075        }
23076        Block {
23077          BlockType           Reference
23078          Name            "Inverter"
23079          Ports           [1, 1]
23080          Position            [170, 66, 225, 124]
23081          SourceBlock         "xbsIndex_r4/Inverter"
23082          SourceType          "Xilinx Inverter Block"
23083          infoedit            "Bitwise logical negation (one's complement) operator."
23084          en              "off"
23085          latency             "0"
23086          dbl_ovrd            "off"
23087          xl_use_area         "off"
23088          xl_area             "[0 0 0 0 0 0 0]"
23089          has_advanced_control    "0"
23090          sggui_pos           "-1,-1,-1,-1"
23091          block_type          "inv"
23092          block_version       "8.2"
23093          sg_icon_stat        "55,58,1,1,white,blue,0,1ab4a85f,right"
23094          sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 ],[0 0 58 58 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13 28 32 36 52 40 28 19 32 19 28 40 52 36 32 28 13 ],[7 16 29 42 51 51 47 51 51 39 51 42 29 16 7 19 7 7 11 7 7 ],[0.98 0.96 0.92]);\nplot([0 55 55 0 0 ],[0 0 58 58 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');disp('not');\nfprintf('','COMMENT: end icon text');\n"
23095        }
23096        Block {
23097          BlockType           Reference
23098          Name            "Logical"
23099          Ports           [2, 1]
23100          Position            [260, 25, 315, 85]
23101          SourceBlock         "xbsIndex_r4/Logical"
23102          SourceType          "Xilinx Logical Block Block"
23103          logical_function        "AND"
23104          inputs              "2"
23105          en              "off"
23106          latency             "0"
23107          precision           "Full"
23108          arith_type          "Unsigned"
23109          n_bits              "16"
23110          bin_pt              "0"
23111          align_bp            "on"
23112          dbl_ovrd            "off"
23113          xl_use_area         "off"
23114          xl_area             "[1 0 0 1 0 0 0]"
23115          has_advanced_control    "0"
23116          sggui_pos           "-1,-1,-1,-1"
23117          block_type          "logical"
23118          block_version       "8.2"
23119          sg_icon_stat        "55,60,1,1,white,blue,0,087b5522,right"
23120          sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 ],[0 0 60 60 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13 28 32 36 52 40 28 19 32 19 28 40 52 36 32 28 13 ],[8 17 30 43 52 52 48 52 52 40 52 43 30 17 8 20 8 8 12 8 8 ],[0.98 0.96 0.92]);\nplot([0 55 55 0 0 ],[0 0 60 60 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');disp('\\newlineand\\newlinez^{-0}','texmode','on');\nfprintf('','COMMENT: end icon text');\n"
23121        }
23122        Block {
23123          BlockType           Outport
23124          Name            "Out"
23125          Position            [340, 48, 370, 62]
23126          IconDisplay         "Port number"
23127          OutDataType         "sfix(16)"
23128          OutScaling          "2^0"
23129        }
23130        Line {
23131          SrcBlock            "Logical"
23132          SrcPort             1
23133          Points              [0, 0]
23134          DstBlock            "Out"
23135          DstPort             1
23136        }
23137        Line {
23138          SrcBlock            "In"
23139          SrcPort             1
23140          Points              [0, 0; 5, 0]
23141          Branch {
23142        Points          [0, 55]
23143        DstBlock        "Delay"
23144        DstPort         1
23145          }
23146          Branch {
23147        DstBlock        "Logical"
23148        DstPort         1
23149          }
23150        }
23151        Line {
23152          SrcBlock            "Inverter"
23153          SrcPort             1
23154          Points              [5, 0; 0, -25]
23155          DstBlock            "Logical"
23156          DstPort             2
23157        }
23158        Line {
23159          SrcBlock            "Delay"
23160          SrcPort             1
23161          Points              [0, 0]
23162          DstBlock            "Inverter"
23163          DstPort             1
23164        }
23165      }
23166    }
23167    Block {
23168      BlockType       SubSystem
23169      Name            "posedge2"
23170      Ports           [1, 1]
23171      Position        [495, 323, 525, 337]
23172      MinAlgLoopOccurrences   off
23173      PropExecContextOutsideSubsystem off
23174      RTWSystemCode       "Auto"
23175      FunctionWithSeparateData off
23176      Opaque          off
23177      RequestExecContextInheritance off
23178      MaskHideContents    off
23179      System {
23180        Name            "posedge2"
23181        Location            [459, 339, 854, 490]
23182        Open            off
23183        ModelBrowserVisibility  on
23184        ModelBrowserWidth       200
23185        ScreenColor         "white"
23186        PaperOrientation        "landscape"
23187        PaperPositionMode       "auto"
23188        PaperType           "usletter"
23189        PaperUnits          "inches"
23190        TiledPaperMargins       [0.500000, 0.500000, 0.500000, 0.500000]
23191        TiledPageScale      1
23192        ShowPageBoundaries      off
23193        ZoomFactor          "100"
23194        Block {
23195          BlockType           Inport
23196          Name            "In"
23197          Position            [20, 33, 50, 47]
23198          IconDisplay         "Port number"
23199          OutDataType         "sfix(16)"
23200          OutScaling          "2^0"
23201        }
23202        Block {
23203          BlockType           Reference
23204          Name            "Delay"
23205          Ports           [1, 1]
23206          Position            [80, 67, 140, 123]
23207          SourceBlock         "xbsIndex_r4/Delay"
23208          SourceType          "Xilinx Delay Block"
23209          infoedit            "Hardware notes: A delay line is a chain, each link of which is an SRL16 followed by a flip-flop. If register retiming is enabled, the delay line is a chain of flip-flops."
23210          en              "off"
23211          latency             "1"
23212          dbl_ovrd            "off"
23213          reg_retiming        "off"
23214          xl_use_area         "off"
23215          xl_area             "[1 1 0 0 0 0 0]"
23216          has_advanced_control    "0"
23217          sggui_pos           "-1,-1,-1,-1"
23218          block_type          "delay"
23219          block_version       "8.2"
23220          sg_icon_stat        "60,56,1,1,white,blue,0,fc531c0e,right"
23221          sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 ],[0 0 56 56 ],[0.77 0.82 0.91]);\npatch([15 6 19 6 15 30 34 38 54 41 29 20 32 20 29 41 54 38 34 30 15 ],[6 15 28 41 50 50 46 50 50 37 49 40 28 16 7 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 56 56 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');disp('z^{-1}','texmode','on');\nfprintf('','COMMENT: end icon text');\n"
23222        }
23223        Block {
23224          BlockType           Reference
23225          Name            "Inverter"
23226          Ports           [1, 1]
23227          Position            [170, 66, 225, 124]
23228          SourceBlock         "xbsIndex_r4/Inverter"
23229          SourceType          "Xilinx Inverter Block"
23230          infoedit            "Bitwise logical negation (one's complement) operator."
23231          en              "off"
23232          latency             "0"
23233          dbl_ovrd            "off"
23234          xl_use_area         "off"
23235          xl_area             "[0 0 0 0 0 0 0]"
23236          has_advanced_control    "0"
23237          sggui_pos           "-1,-1,-1,-1"
23238          block_type          "inv"
23239          block_version       "8.2"
23240          sg_icon_stat        "55,58,1,1,white,blue,0,1ab4a85f,right"
23241          sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 ],[0 0 58 58 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13 28 32 36 52 40 28 19 32 19 28 40 52 36 32 28 13 ],[7 16 29 42 51 51 47 51 51 39 51 42 29 16 7 19 7 7 11 7 7 ],[0.98 0.96 0.92]);\nplot([0 55 55 0 0 ],[0 0 58 58 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');disp('not');\nfprintf('','COMMENT: end icon text');\n"
23242        }
23243        Block {
23244          BlockType           Reference
23245          Name            "Logical"
23246          Ports           [2, 1]
23247          Position            [260, 25, 315, 85]
23248          SourceBlock         "xbsIndex_r4/Logical"
23249          SourceType          "Xilinx Logical Block Block"
23250          logical_function        "AND"
23251          inputs              "2"
23252          en              "off"
23253          latency             "0"
23254          precision           "Full"
23255          arith_type          "Unsigned"
23256          n_bits              "16"
23257          bin_pt              "0"
23258          align_bp            "on"
23259          dbl_ovrd            "off"
23260          xl_use_area         "off"
23261          xl_area             "[1 0 0 1 0 0 0]"
23262          has_advanced_control    "0"
23263          sggui_pos           "-1,-1,-1,-1"
23264          block_type          "logical"
23265          block_version       "8.2"
23266          sg_icon_stat        "55,60,1,1,white,blue,0,087b5522,right"
23267          sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 ],[0 0 60 60 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13 28 32 36 52 40 28 19 32 19 28 40 52 36 32 28 13 ],[8 17 30 43 52 52 48 52 52 40 52 43 30 17 8 20 8 8 12 8 8 ],[0.98 0.96 0.92]);\nplot([0 55 55 0 0 ],[0 0 60 60 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');disp('\\newlineand\\newlinez^{-0}','texmode','on');\nfprintf('','COMMENT: end icon text');\n"
23268        }
23269        Block {
23270          BlockType           Outport
23271          Name            "Out"
23272          Position            [340, 48, 370, 62]
23273          IconDisplay         "Port number"
23274          OutDataType         "sfix(16)"
23275          OutScaling          "2^0"
23276        }
23277        Line {
23278          SrcBlock            "Logical"
23279          SrcPort             1
23280          Points              [0, 0]
23281          DstBlock            "Out"
23282          DstPort             1
23283        }
23284        Line {
23285          SrcBlock            "In"
23286          SrcPort             1
23287          Points              [0, 0; 5, 0]
23288          Branch {
23289        Points          [0, 55]
23290        DstBlock        "Delay"
23291        DstPort         1
23292          }
23293          Branch {
23294        DstBlock        "Logical"
23295        DstPort         1
23296          }
23297        }
23298        Line {
23299          SrcBlock            "Inverter"
23300          SrcPort             1
23301          Points              [5, 0; 0, -25]
23302          DstBlock            "Logical"
23303          DstPort             2
23304        }
23305        Line {
23306          SrcBlock            "Delay"
23307          SrcPort             1
23308          Points              [0, 0]
23309          DstBlock            "Inverter"
23310          DstPort             1
23311        }
23312      }
23313    }
23314    Block {
23315      BlockType       Outport
23316      Name            "done"
23317      Position        [1350, 268, 1380, 282]
23318      IconDisplay         "Port number"
23319      OutDataType         "sfix(16)"
23320      OutScaling          "2^0"
23321    }
23322    Block {
23323      BlockType       Outport
23324      Name            "running"
23325      Position        [1245, 518, 1275, 532]
23326      Port            "2"
23327      IconDisplay         "Port number"
23328      OutDataType         "sfix(16)"
23329      OutScaling          "2^0"
23330    }
23331    Block {
23332      BlockType       Outport
23333      Name            "paused"
23334      Position        [1240, 463, 1270, 477]
23335      Port            "3"
23336      IconDisplay         "Port number"
23337      OutDataType         "sfix(16)"
23338      OutScaling          "2^0"
23339    }
23340    Block {
23341      BlockType       Outport
23342      Name            "timeLeft"
23343      Position        [1285, 358, 1315, 372]
23344      Port            "4"
23345      IconDisplay         "Port number"
23346      OutDataType         "sfix(16)"
23347      OutScaling          "2^0"
23348    }
23349    Line {
23350      SrcBlock        "Delay"
23351      SrcPort         1
23352      Points          [195, 0; 0, -75]
23353      DstBlock        "Logical7"
23354      DstPort         3
23355    }
23356    Line {
23357      SrcBlock        "S-R_Latch3"
23358      SrcPort         1
23359      Points          [70, 0; 0, -50; 350, 0; 0, 95]
23360      DstBlock        "Logical7"
23361      DstPort         1
23362    }
23363    Line {
23364      SrcBlock        "Logical7"
23365      SrcPort         1
23366      DstBlock        "S-R_Latch2"
23367      DstPort         1
23368    }
23369    Line {
23370      SrcBlock        "Sim-only\nGateway In2"
23371      SrcPort         1
23372      DstBlock        "Sim Mux1"
23373      DstPort         1
23374    }
23375    Line {
23376      SrcBlock        "Constant4"
23377      SrcPort         1
23378      DstBlock        "Sim-only\nGateway In2"
23379      DstPort         1
23380    }
23381    Line {
23382      SrcBlock        "Logical2"
23383      SrcPort         1
23384      DstBlock        "S-R_Latch1"
23385      DstPort         2
23386    }
23387    Line {
23388      SrcBlock        "posedge2"
23389      SrcPort         1
23390      Points          [25, 0; 0, -15]
23391      DstBlock        "Logical2"
23392      DstPort         1
23393    }
23394    Line {
23395      SrcBlock        "Constant2"
23396      SrcPort         1
23397      DstBlock        "Sim-only\nGateway In"
23398      DstPort         1
23399    }
23400    Line {
23401      SrcBlock        "Sim Mux1"
23402      SrcPort         1
23403      Points          [60, 0]
23404      Branch {
23405        Points          [260, 0; 0, -250]
23406        Branch {
23407          DstBlock            "Delay"
23408          DstPort             1
23409        }
23410        Branch {
23411          DstBlock            "Logical6"
23412          DstPort             3
23413        }
23414      }
23415      Branch {
23416        DstBlock            "Inverter1"
23417        DstPort         1
23418      }
23419    }
23420    Line {
23421      SrcBlock        "Convert1"
23422      SrcPort         1
23423      Points          [25, 0; 0, -75]
23424      DstBlock        "Sim Mux1"
23425      DstPort         2
23426    }
23427    Line {
23428      SrcBlock        "Logical6"
23429      SrcPort         1
23430      DstBlock        "Counter"
23431      DstPort         2
23432    }
23433    Line {
23434      SrcBlock        "Inverter1"
23435      SrcPort         1
23436      DstBlock        "Logical5"
23437      DstPort         2
23438    }
23439    Line {
23440      SrcBlock        "Relational2"
23441      SrcPort         1
23442      Points          [20, 0; 0, -60]
23443      Branch {
23444        Points          [380, 0; 0, -265]
23445        DstBlock            "Scope"
23446        DstPort         2
23447      }
23448      Branch {
23449        Points          [-295, 0]
23450        Branch {
23451          Points              [0, -320]
23452          DstBlock            "Logical6"
23453          DstPort             2
23454        }
23455        Branch {
23456          DstBlock            "Logical5"
23457          DstPort             1
23458        }
23459      }
23460    }
23461    Line {
23462      SrcBlock        "Logical5"
23463      SrcPort         1
23464      DstBlock        "Counter1"
23465      DstPort         1
23466    }
23467    Line {
23468      SrcBlock        "Counter1"
23469      SrcPort         1
23470      Points          [35, 0]
23471      Branch {
23472        Points          [0, 35; 505, 0; 0, -340]
23473        DstBlock            "Scope"
23474        DstPort         3
23475      }
23476      Branch {
23477        DstBlock            "Relational2"
23478        DstPort         2
23479      }
23480    }
23481    Line {
23482      SrcBlock        "slotTime"
23483      SrcPort         1
23484      DstBlock        "Relational2"
23485      DstPort         1
23486    }
23487    Line {
23488      SrcBlock        "Sim-only\nGateway In"
23489      SrcPort         1
23490      DstBlock        "Sim Mux"
23491      DstPort         1
23492    }
23493    Line {
23494      SrcBlock        "pause"
23495      SrcPort         1
23496      Points          [115, 0]
23497      Branch {
23498        Points          [0, -35]
23499        DstBlock            "negedge"
23500        DstPort         1
23501      }
23502      Branch {
23503        DstBlock            "posedge2"
23504        DstPort         1
23505      }
23506    }
23507    Line {
23508      SrcBlock        "negedge"
23509      SrcPort         1
23510      DstBlock        "Logical3"
23511      DstPort         2
23512    }
23513    Line {
23514      SrcBlock        "Logical3"
23515      SrcPort         1
23516      DstBlock        "S-R_Latch1"
23517      DstPort         1
23518    }
23519    Line {
23520      SrcBlock        "posedge"
23521      SrcPort         1
23522      Points          [15, 0]
23523      Branch {
23524        Points          [0, -25]
23525        Branch {
23526          Points              [0, -70]
23527          DstBlock            "S-R_Latch3"
23528          DstPort             1
23529        }
23530        Branch {
23531          DstBlock            "Logical1"
23532          DstPort             2
23533        }
23534      }
23535      Branch {
23536        Points          [0, 400]
23537        DstBlock            "Logical5"
23538        DstPort         3
23539      }
23540      Branch {
23541        DstBlock            "Logical3"
23542        DstPort         1
23543      }
23544    }
23545    Line {
23546      SrcBlock        "done_reset"
23547      SrcPort         1
23548      Points          [15, 0]
23549      Branch {
23550        Points          [0, -145; -625, 0; 0, 75]
23551        DstBlock            "S-R_Latch3"
23552        DstPort         2
23553      }
23554      Branch {
23555        DstBlock            "S-R_Latch2"
23556        DstPort         2
23557      }
23558    }
23559    Line {
23560      SrcBlock        "Relational"
23561      SrcPort         1
23562      Points          [10, 0]
23563      Branch {
23564        DstBlock            "Logical7"
23565        DstPort         2
23566      }
23567      Branch {
23568        Points          [0, -80; -290, 0; 0, 60]
23569        DstBlock            "Logical1"
23570        DstPort         1
23571      }
23572      Branch {
23573        Points          [0, 110; -550, 0; 0, -40]
23574        DstBlock            "Logical2"
23575        DstPort         2
23576      }
23577    }
23578    Line {
23579      SrcBlock        "S-R_Latch2"
23580      SrcPort         1
23581      DstBlock        "done"
23582      DstPort         1
23583    }
23584    Line {
23585      SrcBlock        "Logical1"
23586      SrcPort         1
23587      DstBlock        "Counter"
23588      DstPort         1
23589    }
23590    Line {
23591      SrcBlock        "Counter"
23592      SrcPort         1
23593      Points          [0, 0]
23594      Branch {
23595        Points          [0, 100]
23596        Branch {
23597          Points              [0, 30]
23598          Branch {
23599        Points          [445, 0; 0, -85]
23600        DstBlock        "Scope"
23601        DstPort         1
23602          }
23603          Branch {
23604        Points          [0, 35]
23605        DstBlock        "Relational1"
23606        DstPort         1
23607          }
23608        }
23609        Branch {
23610          DstBlock            "AddSub"
23611          DstPort             2
23612        }
23613      }
23614      Branch {
23615        Labels          [1, 0]
23616        DstBlock            "Relational"
23617        DstPort         2
23618      }
23619    }
23620    Line {
23621      SrcBlock        "slotCount"
23622      SrcPort         1
23623      Points          [10, 0]
23624      Branch {
23625        DstBlock            "Relational"
23626        DstPort         1
23627      }
23628      Branch {
23629        Points          [0, 105]
23630        DstBlock            "AddSub"
23631        DstPort         1
23632      }
23633    }
23634    Line {
23635      SrcBlock        "S-R_Latch1"
23636      SrcPort         1
23637      Points          [25, 0]
23638      Branch {
23639        Points          [0, -20]
23640        DstBlock            "Logical6"
23641        DstPort         1
23642      }
23643      Branch {
23644        Points          [0, 175]
23645        Branch {
23646          Points              [290, 0]
23647          Branch {
23648        Points          [0, 40]
23649        DstBlock        "running"
23650        DstPort         1
23651          }
23652          Branch {
23653        DstBlock        "Inverter"
23654        DstPort         1
23655          }
23656        }
23657        Branch {
23658          Points              [0, 235]
23659          DstBlock            "Counter1"
23660          DstPort             2
23661        }
23662      }
23663    }
23664    Line {
23665      SrcBlock        "Inverter"
23666      SrcPort         1
23667      DstBlock        "Logical4"
23668      DstPort         2
23669    }
23670    Line {
23671      SrcBlock        "Relational1"
23672      SrcPort         1
23673      DstBlock        "Logical4"
23674      DstPort         1
23675    }
23676    Line {
23677      SrcBlock        "Constant"
23678      SrcPort         1
23679      DstBlock        "Relational1"
23680      DstPort         2
23681    }
23682    Line {
23683      SrcBlock        "AddSub"
23684      SrcPort         1
23685      DstBlock        "timeLeft"
23686      DstPort         1
23687    }
23688    Line {
23689      SrcBlock        "Sim Mux"
23690      SrcPort         1
23691      DstBlock        "posedge"
23692      DstPort         1
23693    }
23694    Line {
23695      SrcBlock        "start"
23696      SrcPort         1
23697      DstBlock        "Sim Mux"
23698      DstPort         2
23699    }
23700    Line {
23701      SrcBlock        "Logical4"
23702      SrcPort         1
23703      DstBlock        "paused"
23704      DstPort         1
23705    }
23706    Line {
23707      SrcBlock        "Mux"
23708      SrcPort         1
23709      DstBlock        "Convert1"
23710      DstPort         1
23711    }
23712    Line {
23713      SrcBlock        "Medium Idle"
23714      SrcPort         1
23715      DstBlock        "Mux"
23716      DstPort         3
23717    }
23718    Line {
23719      SrcBlock        "Constant1"
23720      SrcPort         1
23721      DstBlock        "Mux"
23722      DstPort         2
23723    }
23724    Line {
23725      SrcBlock        "mode"
23726      SrcPort         1
23727      DstBlock        "Mux"
23728      DstPort         1
23729    }
23730    Annotation {
23731      Name            "This SR latch handles the case of a zero-slot-count\ntimer event. When the user code sets the slotCount to 0,\nthe comparison is immedilatey true. The timer done\nsignal then asserts when:\nif(carrier sensing) after IDLE AND\nafter user asserts start\nDone de-assets when the user clears the done bit\n*but does not re-assert* until the next user-initiated start."
23732      Position        [697, 92]
23733    }
23734      }
23735    }
23736    Line {
23737      SrcBlock            "Constant"
23738      SrcPort             1
23739      DstBlock            "IDLEFORDIFS"
23740      DstPort             1
23741    }
23742    Line {
23743      SrcBlock            "From"
23744      SrcPort             1
23745      DstBlock            "Timer 0"
23746      DstPort             4
23747    }
23748    Line {
23749      SrcBlock            "From1"
23750      SrcPort             1
23751      DstBlock            "Timer 0"
23752      DstPort             3
23753    }
23754    Line {
23755      SrcBlock            "From2"
23756      SrcPort             1
23757      DstBlock            "Timer 0"
23758      DstPort             2
23759    }
23760    Line {
23761      SrcBlock            "From3"
23762      SrcPort             1
23763      DstBlock            "Timer 0"
23764      DstPort             1
23765    }
23766    Line {
23767      SrcBlock            "From4"
23768      SrcPort             1
23769      DstBlock            "Timer 0"
23770      DstPort             5
23771    }
23772    Line {
23773      SrcBlock            "From5"
23774      SrcPort             1
23775      DstBlock            "Timer 0"
23776      DstPort             6
23777    }
23778    Line {
23779      SrcBlock            "From6"
23780      SrcPort             1
23781      DstBlock            "Timer 1"
23782      DstPort             4
23783    }
23784    Line {
23785      SrcBlock            "From7"
23786      SrcPort             1
23787      DstBlock            "Timer 1"
23788      DstPort             3
23789    }
23790    Line {
23791      SrcBlock            "From8"
23792      SrcPort             1
23793      DstBlock            "Timer 1"
23794      DstPort             2
23795    }
23796    Line {
23797      SrcBlock            "From9"
23798      SrcPort             1
23799      DstBlock            "Timer 1"
23800      DstPort             1
23801    }
23802    Line {
23803      SrcBlock            "From10"
23804      SrcPort             1
23805      DstBlock            "Timer 1"
23806      DstPort             5
23807    }
23808    Line {
23809      SrcBlock            "From11"
23810      SrcPort             1
23811      DstBlock            "Timer 1"
23812      DstPort             6
23813    }
23814    Line {
23815      SrcBlock            "From14"
23816      SrcPort             1
23817      DstBlock            "Timer 2"
23818      DstPort             4
23819    }
23820    Line {
23821      SrcBlock            "From15"
23822      SrcPort             1
23823      DstBlock            "Timer 2"
23824      DstPort             3
23825    }
23826    Line {
23827      SrcBlock            "From16"
23828      SrcPort             1
23829      DstBlock            "Timer 2"
23830      DstPort             2
23831    }
23832    Line {
23833      SrcBlock            "From17"
23834      SrcPort             1
23835      DstBlock            "Timer 2"
23836      DstPort             1
23837    }
23838    Line {
23839      SrcBlock            "From12"
23840      SrcPort             1
23841      DstBlock            "Timer 2"
23842      DstPort             5
23843    }
23844    Line {
23845      SrcBlock            "From13"
23846      SrcPort             1
23847      DstBlock            "Timer 2"
23848      DstPort             6
23849    }
23850    Line {
23851      SrcBlock            "From20"
23852      SrcPort             1
23853      DstBlock            "Timer 3"
23854      DstPort             4
23855    }
23856    Line {
23857      SrcBlock            "From21"
23858      SrcPort             1
23859      DstBlock            "Timer 3"
23860      DstPort             3
23861    }
23862    Line {
23863      SrcBlock            "From22"
23864      SrcPort             1
23865      DstBlock            "Timer 3"
23866      DstPort             2
23867    }
23868    Line {
23869      SrcBlock            "From23"
23870      SrcPort             1
23871      DstBlock            "Timer 3"
23872      DstPort             1
23873    }
23874    Line {
23875      SrcBlock            "From18"
23876      SrcPort             1
23877      DstBlock            "Timer 3"
23878      DstPort             5
23879    }
23880    Line {
23881      SrcBlock            "From19"
23882      SrcPort             1
23883      DstBlock            "Timer 3"
23884      DstPort             6
23885    }
23886    Line {
23887      SrcBlock            "From24"
23888      SrcPort             1
23889      DstBlock            "Timer 4"
23890      DstPort             4
23891    }
23892    Line {
23893      SrcBlock            "From25"
23894      SrcPort             1
23895      DstBlock            "Timer 4"
23896      DstPort             3
23897    }
23898    Line {
23899      SrcBlock            "From36"
23900      SrcPort             1
23901      DstBlock            "Timer 4"
23902      DstPort             2
23903    }
23904    Line {
23905      SrcBlock            "From41"
23906      SrcPort             1
23907      DstBlock            "Timer 4"
23908      DstPort             1
23909    }
23910    Line {
23911      SrcBlock            "From42"
23912      SrcPort             1
23913      DstBlock            "Timer 4"
23914      DstPort             5
23915    }
23916    Line {
23917      SrcBlock            "From43"
23918      SrcPort             1
23919      DstBlock            "Timer 4"
23920      DstPort             6
23921    }
23922    Line {
23923      SrcBlock            "From44"
23924      SrcPort             1
23925      DstBlock            "Timer 5"
23926      DstPort             4
23927    }
23928    Line {
23929      SrcBlock            "From45"
23930      SrcPort             1
23931      DstBlock            "Timer 5"
23932      DstPort             3
23933    }
23934    Line {
23935      SrcBlock            "From46"
23936      SrcPort             1
23937      DstBlock            "Timer 5"
23938      DstPort             2
23939    }
23940    Line {
23941      SrcBlock            "From47"
23942      SrcPort             1
23943      DstBlock            "Timer 5"
23944      DstPort             1
23945    }
23946    Line {
23947      SrcBlock            "From26"
23948      SrcPort             1
23949      DstBlock            "Timer 5"
23950      DstPort             5
23951    }
23952    Line {
23953      SrcBlock            "From27"
23954      SrcPort             1
23955      DstBlock            "Timer 5"
23956      DstPort             6
23957    }
23958    Line {
23959      SrcBlock            "From30"
23960      SrcPort             1
23961      DstBlock            "Timer 6"
23962      DstPort             4
23963    }
23964    Line {
23965      SrcBlock            "From31"
23966      SrcPort             1
23967      DstBlock            "Timer 6"
23968      DstPort             3
23969    }
23970    Line {
23971      SrcBlock            "From32"
23972      SrcPort             1
23973      DstBlock            "Timer 6"
23974      DstPort             2
23975    }
23976    Line {
23977      SrcBlock            "From33"
23978      SrcPort             1
23979      DstBlock            "Timer 6"
23980      DstPort             1
23981    }
23982    Line {
23983      SrcBlock            "From28"
23984      SrcPort             1
23985      DstBlock            "Timer 6"
23986      DstPort             5
23987    }
23988    Line {
23989      SrcBlock            "From29"
23990      SrcPort             1
23991      DstBlock            "Timer 6"
23992      DstPort             6
23993    }
23994    Line {
23995      SrcBlock            "From37"
23996      SrcPort             1
23997      DstBlock            "Timer 7"
23998      DstPort             4
23999    }
24000    Line {
24001      SrcBlock            "From38"
24002      SrcPort             1
24003      DstBlock            "Timer 7"
24004      DstPort             3
24005    }
24006    Line {
24007      SrcBlock            "From39"
24008      SrcPort             1
24009      DstBlock            "Timer 7"
24010      DstPort             2
24011    }
24012    Line {
24013      SrcBlock            "From40"
24014      SrcPort             1
24015      DstBlock            "Timer 7"
24016      DstPort             1
24017    }
24018    Line {
24019      SrcBlock            "From34"
24020      SrcPort             1
24021      DstBlock            "Timer 7"
24022      DstPort             5
24023    }
24024    Line {
24025      SrcBlock            "From35"
24026      SrcPort             1
24027      DstBlock            "Timer 7"
24028      DstPort             6
24029    }
24030    Line {
24031      SrcBlock            "Timer 0"
24032      SrcPort             1
24033      DstBlock            "BitBasher"
24034      DstPort             1
24035    }
24036    Line {
24037      SrcBlock            "Timer 0"
24038      SrcPort             2
24039      DstBlock            "BitBasher"
24040      DstPort             2
24041    }
24042    Line {
24043      SrcBlock            "Timer 0"
24044      SrcPort             3
24045      DstBlock            "BitBasher"
24046      DstPort             3
24047    }
24048    Line {
24049      SrcBlock            "IDLEFORDIFS"
24050      SrcPort             1
24051      Points              [155, 0]
24052      Branch {
24053    DstBlock        "Timer 0"
24054    DstPort         7
24055      }
24056      Branch {
24057    Points          [0, 135]
24058    Branch {
24059      Points          [0, 135]
24060      Branch {
24061        Points          [0, 140]
24062        Branch {
24063          Points              [0, 30; 520, 0; 0, -40]
24064          Branch {
24065        Points          [0, -140]
24066        Branch {
24067          Points          [0, -135]
24068          Branch {
24069            Points          [0, -135]
24070            DstBlock            "Timer 4"
24071            DstPort         7
24072          }
24073          Branch {
24074            DstBlock            "Timer 5"
24075            DstPort         7
24076          }
24077        }
24078        Branch {
24079          DstBlock        "Timer 6"
24080          DstPort         7
24081        }
24082          }
24083          Branch {
24084        DstBlock        "Timer 7"
24085        DstPort         7
24086          }
24087        }
24088        Branch {
24089          DstBlock            "Timer 3"
24090          DstPort             7
24091        }
24092      }
24093      Branch {
24094        DstBlock            "Timer 2"
24095        DstPort         7
24096      }
24097    }
24098    Branch {
24099      DstBlock        "Timer 1"
24100      DstPort         7
24101    }
24102      }
24103    }
24104    Line {
24105      SrcBlock            "Timer 1"
24106      SrcPort             1
24107      DstBlock            "BitBasher1"
24108      DstPort             1
24109    }
24110    Line {
24111      SrcBlock            "Timer 1"
24112      SrcPort             2
24113      DstBlock            "BitBasher1"
24114      DstPort             2
24115    }
24116    Line {
24117      SrcBlock            "Timer 1"
24118      SrcPort             3
24119      DstBlock            "BitBasher1"
24120      DstPort             3
24121    }
24122    Line {
24123      SrcBlock            "Timer 2"
24124      SrcPort             1
24125      DstBlock            "BitBasher2"
24126      DstPort             1
24127    }
24128    Line {
24129      SrcBlock            "Timer 2"
24130      SrcPort             2
24131      DstBlock            "BitBasher2"
24132      DstPort             2
24133    }
24134    Line {
24135      SrcBlock            "Timer 2"
24136      SrcPort             3
24137      DstBlock            "BitBasher2"
24138      DstPort             3
24139    }
24140    Line {
24141      SrcBlock            "Timer 3"
24142      SrcPort             1
24143      DstBlock            "BitBasher3"
24144      DstPort             1
24145    }
24146    Line {
24147      SrcBlock            "Timer 3"
24148      SrcPort             2
24149      DstBlock            "BitBasher3"
24150      DstPort             2
24151    }
24152    Line {
24153      SrcBlock            "Timer 3"
24154      SrcPort             3
24155      DstBlock            "BitBasher3"
24156      DstPort             3
24157    }
24158    Line {
24159      SrcBlock            "Timer 4"
24160      SrcPort             1
24161      DstBlock            "BitBasher4"
24162      DstPort             1
24163    }
24164    Line {
24165      SrcBlock            "Timer 4"
24166      SrcPort             2
24167      DstBlock            "BitBasher4"
24168      DstPort             2
24169    }
24170    Line {
24171      SrcBlock            "Timer 4"
24172      SrcPort             3
24173      DstBlock            "BitBasher4"
24174      DstPort             3
24175    }
24176    Line {
24177      SrcBlock            "Timer 5"
24178      SrcPort             1
24179      DstBlock            "BitBasher5"
24180      DstPort             1
24181    }
24182    Line {
24183      SrcBlock            "Timer 5"
24184      SrcPort             2
24185      DstBlock            "BitBasher5"
24186      DstPort             2
24187    }
24188    Line {
24189      SrcBlock            "Timer 5"
24190      SrcPort             3
24191      DstBlock            "BitBasher5"
24192      DstPort             3
24193    }
24194    Line {
24195      SrcBlock            "Timer 6"
24196      SrcPort             1
24197      DstBlock            "BitBasher6"
24198      DstPort             1
24199    }
24200    Line {
24201      SrcBlock            "Timer 6"
24202      SrcPort             2
24203      DstBlock            "BitBasher6"
24204      DstPort             2
24205    }
24206    Line {
24207      SrcBlock            "Timer 6"
24208      SrcPort             3
24209      DstBlock            "BitBasher6"
24210      DstPort             3
24211    }
24212    Line {
24213      SrcBlock            "Timer 7"
24214      SrcPort             1
24215      DstBlock            "BitBasher7"
24216      DstPort             1
24217    }
24218    Line {
24219      SrcBlock            "Timer 7"
24220      SrcPort             2
24221      DstBlock            "BitBasher7"
24222      DstPort             2
24223    }
24224    Line {
24225      SrcBlock            "Timer 7"
24226      SrcPort             3
24227      DstBlock            "BitBasher7"
24228      DstPort             3
24229    }
24230    Line {
24231      SrcBlock            "BitBasher"
24232      SrcPort             1
24233      Points              [5, 0; 0, -85; 665, 0; 0, 225]
24234      DstBlock            "Status\nOutputs"
24235      DstPort             1
24236    }
24237    Line {
24238      SrcBlock            "BitBasher1"
24239      SrcPort             1
24240      Points              [15, 0; 0, -215; 640, 0; 0, 230]
24241      DstBlock            "Status\nOutputs"
24242      DstPort             2
24243    }
24244    Line {
24245      SrcBlock            "BitBasher2"
24246      SrcPort             1
24247      Points              [25, 0; 0, -345; 615, 0; 0, 235]
24248      DstBlock            "Status\nOutputs"
24249      DstPort             3
24250    }
24251    Line {
24252      SrcBlock            "BitBasher3"
24253      SrcPort             1
24254      Points              [35, 0; 0, -480; 590, 0; 0, 240]
24255      DstBlock            "Status\nOutputs"
24256      DstPort             4
24257    }
24258    Line {
24259      SrcBlock            "BitBasher4"
24260      SrcPort             1
24261      Points              [80, 0; 0, 190]
24262      DstBlock            "Status\nOutputs"
24263      DstPort             5
24264    }
24265    Line {
24266      SrcBlock            "BitBasher5"
24267      SrcPort             1
24268      Points              [70, 0; 0, 65]
24269      DstBlock            "Status\nOutputs"
24270      DstPort             6
24271    }
24272    Line {
24273      SrcBlock            "BitBasher6"
24274      SrcPort             1
24275      Points              [70, 0; 0, -60]
24276      DstBlock            "Status\nOutputs"
24277      DstPort             7
24278    }
24279    Line {
24280      SrcBlock            "BitBasher7"
24281      SrcPort             1
24282      Points              [80, 0; 0, -190]
24283      DstBlock            "Status\nOutputs"
24284      DstPort             8
24285    }
24286  }
24287}
24288MatData {
24289  NumRecords          1
24290  DataRecord {
24291    Tag             DataTag0
24292    Data            "  %)30     .    .+,   8    (     @         %    \"     $    !     0         %  0 !@    $    ,    <V%V960 =V]R:P        X   !P60  !@    @    \"          4    (     0    $    !          4 !  ,     0   !@   !S:&%R960       !C;VUP:6QA=&EO;@ .    \\ 0   8    (     @         %    \"     $    !     0         %  0 $P    $   \"8    8V]M<&EL871I;VX          &-O;7!I;&%T:6]N7VQU=     !S:6UU;&EN:U]P97)I;V0     :6YC<E]N971L:7-T         '1R:6U?=F)I=',           !D8FQ?;W9R9               9&5P<F5C871E9%]C;VYT<F]L &)L;V-K7VEC;VY?9&ES<&QA>0 .    .     8    (    !          %    \"     $    '     0         0    !P   '1A<F=E=#, #@   % \"   &    \"     (         !0    @    !     0    $         !0 $  <    !    #@   &ME>7,   !V86QU97,    .    $ $   8    (     0         %    \"     $    #     0         .    0     8    (    !          %    \"     $    +     0         0    \"P   $A$3\"!.971L:7-T       .    2     8    (    !          %    \"     $    4     0         0    %    %=!4E @3U!\"($5X<&]R=\"!4;V]L      X   !(    !@    @    $          4    (     0   !@    !         !     8    17AP;W)T(&%S(&$@<&-O<F4@=&\\@141+#@   .@    &    \"     $         !0    @    !     P    $         #@   #@    &    \"     0         !0    @    !    !P    $         $     <   !T87)G970Q  X    X    !@    @    $          4    (     0    <    !         !     '    =&%R9V5T,@ .    .     8    (    !          %    \"     $    '     0         0    !P   '1A<F=E=#, #@   #     &    \"     0         !0    @    !     0    $         $  ! #$    .    ,     8    (    !          %    \"     $    #     0         0  , ;V9F  X   !(    !@    @    $          4    (     0   !<    !         !     7    179E<GEW:&5R92!I;B!3=6)3>7-T96T #@   $@    &    \"     0         !0    @    !    &     $         $    !@   !!8V-O<F1I;F<@=&\\@0FQO8VL@36%S:W,.    ,     8    (    !          %    \"     $    #     0         0  , ;V9F  X    X    !@    @    $          4    (     0    <    !         !     '    1&5F875L=  .    (%0   8    (     @         %    \"     $    !     0         %  0 \"     $    8    =&%R9V5T,0!T87)G970R '1A<F=E=#, #@   *@9   &    \"     (         !0    @    !     0    $         !0 $ !X    !    _ ,  &EN9F]E9&ET                             'AI;&EN>&9A;6EL>0                       '!A<G0                                  '-P965D                                 '!A8VMA9V4                              '-Y;G1H97-I<U]T;V]L7W-G861V86YC960      '-Y;G1H97-I<U]T;V]L                     &1I<F5C=&]R>0                           '1E<W1B96YC:%]S9V%D=F%N8V5D             '1E<W1B96YC:                            '-Y<V-L:U]P97)I;V0                      &EN8W)?;F5T;&ES=%]S9V%D=F%N8V5D         '1R:6U?=F)I='-?<V=A9'9A;F-E9            &1B;%]O=G)D7W-G861V86YC960              &-O<F5?9V5N97)A=&EO;E]S9V%D=F%N8V5D     &-O<F5?9V5N97)A=&EO;@                   ')U;E]C;W)E9V5N7W-G861V86YC960          ')U;E]C;W)E9V5N                         &1E<')E8V%T961?8V]N=')O;%]S9V%D=F%N8V5D &5V86Q?9FEE;&0                          &AA<U]A9'9A;F-E9%]C;VYT<F]L             '-G9W5I7W!O<P                           &)L;V-K7W1Y<&4                          &)L;V-K7W9E<G-I;VX                      '-G7VEC;VY?<W1A=                        '-G7VUA<VM?9&ES<&QA>0                   '-G7VQI<W1?8V]N=&5N=',                  '-G7V)L;V-K9W5I7WAM;                    &-L;V-K7VQO8P                           '-Y;G1H97-I<U]L86YG=6%G90               &-E7V-L<@                               '!R97-E<G9E7VAI97)A<F-H>0               &-L;V-K7W=R87!P97(                      &1C;5]I;G!U=%]C;&]C:U]P97)I;V0                .    2     8    (    !          %    \"     $    1     0         0    $0   \"!3>7-T96T@1V5N97)A=&]R          X    X    !@    @    $          4    (     0    @    !         !     (    =FER=&5X,G .    .     8    (    !          %    \"     $    '     0         0    !P   'AC,G9P-S  #@   #     &    \"     0         !0    @    !     @    $         $  \" \"TV   .    .     8    (    !          %    \"     $    &     0         0    !@   &9F,34Q-P  #@   #     &    \"     0         !0    @               $         $          .    ,     8    (    !          %    \"     $    #     0         0  , 6%-4  X   !     !@    @    $          4    (     0    T    !         !     -    +B]N971L:7-T7W8P,     X    P    !@    @    $          4    (               !         !          #@   #     &    \"     0         !0    @    !     P    $         $  # &]F9@ .    ,     8    (    !          %    \"     $    \"     0         0  ( ,3    X    P    !@    @    $          4    (               !         !          #@   #     &    \"     0         !0    @               $         $          .    ,     8    (    !          %    \"                0         0          X    P    !@    @    $          4    (               !         !          #@   $@    &    \"     0         !0    @    !    &     $         $    !@   !!8V-O<F1I;F<@=&\\@0FQO8VL@36%S:W,.    ,     8    (    !          %    \"                0         0          X    P    !@    @    $          4    (     0    ,    !         !   P!O9F8 #@   #     &    \"     0         !0    @               $         $          .    ,     8    (    !          %    \"     $    !     0         0  $ ,     X    P    !@    @    $          4    (     0    $    !         !   0 P    #@   $     &    \"     0         !0    @    !    \"P    $         $     L    M,2PM,2PM,2PM,0      #@   #@    &    \"     0         !0    @    !    !@    $         $     8   !S>7-G96X   X    P    !@    @    $          4    (     0    ,    !         !   P X+C( #@   %     &    \"     0         !0    @    !    '0    $         $    !T    U,2PU,\"PM,2PM,2QR960L8F5I9V4L,\"PP-S<S-     X   #  0  !@    @    $          4    (     0   (X!   !         !    \". 0  9G!R:6YT9B@G)RPG0T]-345.5#H@8F5G:6X@:6-O;B!G<F%P:&EC<R<I.PIP871C:\"A;,\" U,2 U,2 P(%TL6S @,\" U,\" U,\"!=+%LP+CDS(# N.3(@,\"XX-ETI.PIP871C:\"A;,3(@-\" Q-B T(#$R(#(U(#(Y(#,S(#0W(#,V(#(U(#$W(#(Y(#$W(#(U(#,V(#0W(#,S(#(Y(#(U(#$R(%TL6S4@,3,@,C4@,S<@-#4@-#4@-#$@-#4@-#4@,S0@-#4@,S<@,C4@,3,@-2 Q-B U(#4@.2 U(#4@72Q;,\"XV(# N,B P+C(U72D[\"G!L;W0H6S @,\" U,2 U,2 P(%TL6S @-3 @-3 @,\" P(%TI.PIF<')I;G1F*\"<G+\"=#3TU-14Y4.B!E;F0@:6-O;B!G<F%P:&EC<R<I.PIF<')I;G1F*\"<G+\"=#3TU-14Y4.B!B96=I;B!I8V]N('1E>'0G*3L*9G!R:6YT9B@G)RPG0T]-345.5#H@96YD(&EC;VX@=&5X=\"<I.PH   X    P    !@    @    $          4    (               !         !          #@   / +   &    \"     0         !0    @    !    NPL   $         $    +L+   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       X    P    !@    @    $          4    (               !         !          #@   #     &    \"     0         !0    @    !    !     $         $  $ %9(1$P.    .     8    (    !@         %    \"     $    !     0         )    \"               #@   #@    &    \"     8         !0    @    !     0    $         \"0    @               X   !     !@    @    $          4    (     0    T    !         !     -    0VQO8VL@16YA8FQE<P    X    P    !@    @    $          4    (     0    ,    !         !   P Q,#  #@   +@9   &    \"     (         !0    @    !     0    $         !0 $ !X    !    _ ,  &EN9F]E9&ET                             'AI;&EN>&9A;6EL>0                       '!A<G0                                  '-P965D                                 '!A8VMA9V4                              '-Y;G1H97-I<U]T;V]L7W-G861V86YC960      '-Y;G1H97-I<U]T;V]L                     &1I<F5C=&]R>0                           '1E<W1B96YC:%]S9V%D=F%N8V5D             '1E<W1B96YC:                            '-Y<V-L:U]P97)I;V0                      &EN8W)?;F5T;&ES=%]S9V%D=F%N8V5D         '1R:6U?=F)I='-?<V=A9'9A;F-E9            &1B;%]O=G)D7W-G861V86YC960              &-O<F5?9V5N97)A=&EO;E]S9V%D=F%N8V5D     &-O<F5?9V5N97)A=&EO;@                   ')U;E]C;W)E9V5N7W-G861V86YC960          ')U;E]C;W)E9V5N                         &1E<')E8V%T961?8V]N=')O;%]S9V%D=F%N8V5D &5V86Q?9FEE;&0                          &AA<U]A9'9A;F-E9%]C;VYT<F]L             '-G9W5I7W!O<P                           &)L;V-K7W1Y<&4                          &)L;V-K7W9E<G-I;VX                      '-G7VEC;VY?<W1A=                        '-G7VUA<VM?9&ES<&QA>0                   '-G7VQI<W1?8V]N=&5N=',                  '-G7V)L;V-K9W5I7WAM;                    &-L;V-K7VQO8P                           '-Y;G1H97-I<U]L86YG=6%G90               &-E7V-L<@                               '!R97-E<G9E7VAI97)A<F-H>0               '!O<W1G96YE<F%T:6]N7V9C;@               '-E='1I;F=S7V9C;@                             .    2     8    (    !          %    \"     $    1     0         0    $0   \"!3>7-T96T@1V5N97)A=&]R          X    X    !@    @    $          4    (     0    @    !         !     (    =FER=&5X,G .    .     8    (    !          %    \"     $    '     0         0    !P   'AC,G9P-S  #@   #     &    \"     0         !0    @    !     @    $         $  \" \"TV   .    .     8    (    !          %    \"     $    &     0         0    !@   &9F,34Q-P  #@   #     &    \"     0         !0    @               $         $          .    ,     8    (    !          %    \"     $    #     0         0  , 6%-4  X   !     !@    @    $          4    (     0    D    !         !     )    0SHO4E130U13          X    P    !@    @    $          4    (               !         !          #@   #     &    \"     0         !0    @    !     P    $         $  # &]F9@ .    ,     8    (    !          %    \"     $    \"     0         0  ( ,3    X    P    !@    @    $          4    (               !         !          #@   #     &    \"     0         !0    @               $         $          .    ,     8    (    !          %    \"                0         0          X    P    !@    @    $          4    (               !         !          #@   $@    &    \"     0         !0    @    !    &     $         $    !@   !!8V-O<F1I;F<@=&\\@0FQO8VL@36%S:W,.    ,     8    (    !          %    \"                0         0          X    P    !@    @    $          4    (     0    ,    !         !   P!O9F8 #@   #     &    \"     0         !0    @               $         $          .    ,     8    (    !          %    \"     $    !     0         0  $ ,     X    P    !@    @    $          4    (     0    $    !         !   0 P    #@   $     &    \"     0         !0    @    !    \"P    $         $     L    M,2PM,2PM,2PM,0      #@   #@    &    \"     0         !0    @    !    !@    $         $     8   !S>7-G96X   X    P    !@    @    $          4    (     0    ,    !         !   P X+C( #@   %     &    \"     0         !0    @    !    '0    $         $    !T    U,2PU,\"PM,2PM,2QR960L8F5I9V4L,\"PP-S<S-     X   #  0  !@    @    $          4    (     0   (X!   !         !    \". 0  9G!R:6YT9B@G)RPG0T]-345.5#H@8F5G:6X@:6-O;B!G<F%P:&EC<R<I.PIP871C:\"A;,\" U,2 U,2 P(%TL6S @,\" U,\" U,\"!=+%LP+CDS(# N.3(@,\"XX-ETI.PIP871C:\"A;,3(@-\" Q-B T(#$R(#(U(#(Y(#,S(#0W(#,V(#(U(#$W(#(Y(#$W(#(U(#,V(#0W(#,S(#(Y(#(U(#$R(%TL6S4@,3,@,C4@,S<@-#4@-#4@-#$@-#4@-#4@,S0@-#4@,S<@,C4@,3,@-2 Q-B U(#4@.2 U(#4@72Q;,\"XV(# N,B P+C(U72D[\"G!L;W0H6S @,\" U,2 U,2 P(%TL6S @-3 @-3 @,\" P(%TI.PIF<')I;G1F*\"<G+\"=#3TU-14Y4.B!E;F0@:6-O;B!G<F%P:&EC<R<I.PIF<')I;G1F*\"<G+\"=#3TU-14Y4.B!B96=I;B!I8V]N('1E>'0G*3L*9G!R:6YT9B@G)RPG0T]-345.5#H@96YD(&EC;VX@=&5X=\"<I.PH   X    P    !@    @    $          4    (               !         !          #@   / +   &    \"     0         !0    @    !    NPL   $         $    +L+   \\(2TM(\" J(\"!#;W!Y<FEG:'0@*&,I(#(P,#4L(%AI;&EN>\"P@26YC+B @06QL(%)I9VAT<R!297-E<G9E9\"X@(\" @(\" @(\" @(\" M+3X\\(2TM(\" J(\"!297!R;V1U8W1I;VX@;W(@<F5U<V4L(&EN(&%N>2!F;W)M+\"!W:71H;W5T('1H92!E>'!L:6-I=\"!W<FET=&5N(\" M+3X\\(2TM(\" J(\"!C;VYS96YT(&]F(%AI;&EN>\"P@26YC+BP@:7,@<W1R:6-T;'D@<')O:&EB:71E9\"X@(\" @(\" @(\" @(\" @(\" @(\" M+3X\\<WES9V5N8FQO8VL@:&%S7W5S97)D871A/2)T<G5E(B!T86<](F=E;E@B(&)L;V-K7W1Y<&4](G-Y<V=E;B(@<VEM=6QI;FMN86UE/2(@4WES=&5M($=E;F5R871O<B(@/@H@/&EC;VX@=VED=&@](C4Q(B!B9U]C;VQO<CTB8F5I9V4B(&AE:6=H=#TB-3 B(&-A<'1I;VY?9F]R;6%T/2)3>7-T96U<;D=E;F5R871O<B(@=VUA<FM?8V]L;W(](G)E9\"(@+SX*(#QC86QL8F%C:W,@1&5L971E1F-N/2)X;%-Y<V=E;D=522@G9&5L971E)RP@9V-S+\"!G8V)H*3LB($]P96Y&8VX](GAL4WES9V5N1U5)*\"=S=&%R='5P)RQG8W,L9V-B:\"DB($UO9&5L0VQO<V5&8VX](GAL4WES9V5N1U5)*\"=#;&]S92<L9V-S+&=C8F@I(B!0;W-T4V%V949C;CTB>&Q3>7-G96Y'54DH)U-A=F4G*2(@+SX*(#QL:6)R87)I97,^\"B @/&QI8G)A<GD@;F%M93TB>&)S26YD97@B(\"\\^\"B @/&QI8G)A<GD@;F%M93TB>&)S0F%S:6,B(\"\\^\"B @/&QI8G)A<GD@;F%M93TB>&)S5&]O;',B(\"\\^\"B \\+VQI8G)A<FEE<SX*(#QS=6)S>7-T96U?;6]D96P@9FEL93TB<WES=&5M7V=E;F5R871O<E]S=6)S>7-T96TN;61L(B O/@H@/&)L;V-K9W5I(&QA8F5L/2)8:6QI;G@@4WES=&5M($=E;F5R871O<B(@/@H@(#QE9&ET8F]X(&5V86QU871E/2)F86QS92(@;75L=&E?;&EN93TB=')U92(@;F%M93TB:6YF;V5D:70B(')E861?;VYL>3TB=')U92(@9&5F875L=#TB(%-Y<W1E;2!'96YE<F%T;W(B(\"\\^\"B @/&5D:71B;W@@979A;'5A=&4](F9A;'-E(B!N86UE/2)X:6QI;GAF86UI;'DB(&1E9F%U;'0](E9I<G1E>#0B(&QA8F5L/2)8:6QI;G@@9F%M:6QY(B O/@H@(#QE9&ET8F]X(&5V86QU871E/2)F86QS92(@;F%M93TB<&%R=\"(@9&5F875L=#TB>&,T=G-X,S4B(&QA8F5L/2)087)T(B O/@H@(#QE9&ET8F]X(&5V86QU871E/2)F86QS92(@;F%M93TB<W!E960B(&1E9F%U;'0](BTQ,\"(@;&%B96P](E-P965D(B O/@H@(#QE9&ET8F]X(&5V86QU871E/2)F86QS92(@;F%M93TB<&%C:V%G92(@9&5F875L=#TB9F8V-C@B(&QA8F5L/2)086-K86=E(B O/@H@(#QL:7-T8F]X(&5V86QU871E/2)T<G5E(B!N86UE/2)S>6YT:&5S:7-?=&]O;\"(@9&5F875L=#TB6%-4(B!L86)E;#TB4WEN=&AE<VES('1O;VPB(#X*(\" @/&ET96T@=F%L=64](E-P96-T<G5M(B O/@H@(\" \\:71E;2!V86QU93TB4WEN<&QI9GDB(\"\\^\"B @(#QI=&5M('9A;'5E/2)3>6YP;&EF>2!0<F\\B(\"\\^\"B @(#QI=&5M('9A;'5E/2)84U0B(\"\\^\"B @(#QI=&5M('9A;'5E/2)0<F5C:7-I;VXB(\"\\^\"B @/\"]L:7-T8F]X/@H@(#QE9&ET8F]X(&5V86QU871E/2)F86QS92(@;F%M93TB9&ER96-T;W)Y(B!D969A=6QT/2(N+VYE=&QI<W0B(&QA8F5L/2)487)G970@9&ER96-T;W)Y(B O/@H@(#QC:&5C:V)O>\"!E=F%L=6%T93TB=')U92(@;F%M93TB=&5S=&)E;F-H(B!D969A=6QT/2)O9F8B(&QA8F5L/2)497-T8F5N8V@B(\"\\^\"B @/&5D:71B;W@@979A;'5A=&4](G1R=64B(&YA;64](G-I;75L:6YK7W!E<FEO9\"(@9&5F875L=#TB,2(@;&%B96P](E-I;75L:6YK('!E<FEO9\"(@+SX*(\" \\961I=&)O>\"!E=F%L=6%T93TB=')U92(@;F%M93TB<WES8VQK7W!E<FEO9\"(@9&5F875L=#TB,3 B(&QA8F5L/2)3>7-T96T@8VQO8VL@<&5R:6]D(B O/@H@(#QC:&5C:V)O>\"!E=F%L=6%T93TB=')U92(@;F%M93TB:6YC<E]N971L:7-T(B!D969A=6QT/2)O9F8B(&QA8F5L/2));F-R96UE;G1A;\"!N971L:7-T:6YG(B O/@H@(#QL:7-T8F]X(&5V86QU871E/2)T<G5E(B!N86UE/2)T<FEM7W9B:71S(B!D969A=6QT/2)%=F5R>7=H97)E(&EN(%-U8E-Y<W1E;2(@;&%B96P](E1R:6T@=F%L:60@8FET<R(@/@H@(\" \\:71E;2!V86QU93TB06-C;W)D:6YG('1O($)L;V-K($UA<VMS(B O/@H@(\" \\:71E;2!V86QU93TB179E<GEW:&5R92!I;B!3=6)3>7-T96TB(\"\\^\"B @(#QI=&5M('9A;'5E/2).;R!7:&5R92!I;B!3=6)3>7-T96TB(\"\\^\"B @/\"]L:7-T8F]X/@H@(#QL:7-T8F]X(&5V86QU871E/2)T<G5E(B!N86UE/2)D8FQ?;W9R9\"(@9&5F875L=#TB06-C;W)D:6YG('1O($)L;V-K($UA<VMS(B!L86)E;#TB3W9E<G)I9&4@=VET:\"!D;W5B;&5S(B ^\"B @(#QI=&5M('9A;'5E/2)!8V-O<F1I;F<@=&\\@0FQO8VL@36%S:W,B(\"\\^\"B @(#QI=&5M('9A;'5E/2)%=F5R>7=H97)E(&EN(%-U8E-Y<W1E;2(@+SX*(\" @/&ET96T@=F%L=64](DYO(%=H97)E(&EN(%-U8E-Y<W1E;2(@+SX*(\" \\+VQI<W1B;W@^\"B @/&QI<W1B;W@@979A;'5A=&4](G1R=64B(&YA;64](F-O<F5?9V5N97)A=&EO;B(@9&5F875L=#TB06-C;W)D:6YG('1O($)L;V-K($UA<VMS(B!L86)E;#TB1V5N97)A=&4@8V]R97,B(#X*(\" @/&ET96T@=F%L=64](D%C8V]R9&EN9R!T;R!\";&]C:R!-87-K<R(@+SX*(\" @/&ET96T@=F%L=64](D5V97)Y=VAE<F4@079A:6QA8FQE(B O/@H@(\" \\:71E;2!V86QU93TB3F]T($YE961E9\" M($%L<F5A9'D@1V5N97)A=&5D(B O/@H@(#PO;&ES=&)O>#X*(\" \\8VAE8VMB;W@@979A;'5A=&4](G1R=64B(&YA;64](G)U;E]C;W)E9V5N(B!D969A=6QT/2)O9F8B(&QA8F5L/2)2=6X@0V]R94=E;B(@+SX*(\" \\8VAE8VMB;W@@979A;'5A=&4](G1R=64B(&YA;64](F1E<')E8V%T961?8V]N=')O;\"(@9&5F875L=#TB;V9F(B!L86)E;#TB4VAO=R!D97!R96-A=&5D(&-O;G1R;VQS(B O/@H@(#QH:61D96YV87(@979A;'5A=&4](G1R=64B(&YA;64](F5V86Q?9FEE;&0B(&1E9F%U;'0](C B(\"\\^\"B \\+V)L;V-K9W5I/@H\\+W-Y<V=E;F)L;V-K/@H       X    P    !@    @    $          4    (               !         !          #@   #     &    \"     0         !0    @    !    !     $         $  $ %9(1$P.    .     8    (    !@         %    \"     $    !     0         )    \"               #@   #@    &    \"     8         !0    @    !     0    $         \"0    @               X   !     !@    @    $          4    (     0    P    !         !     ,    >&QE9&MP;W-T9V5N      X   !     !@    @    $          4    (     0    T    !         !     -    >&QE9&MS971T:6YG<P    X   !8(   !@    @    \"          4    (     0    $    !          4 !  >     0   + $  !I;F9O961I=                             !X:6QI;GAF86UI;'D                       !P87)T                                  !S<&5E9                                 !P86-K86=E                              !S>6YT:&5S:7-?=&]O;%]S9V%D=F%N8V5D      !S>6YT:&5S:7-?=&]O;                     !D:7)E8W1O<GD                           !T97-T8F5N8VA?<V=A9'9A;F-E9             !T97-T8F5N8V@                           !S>7-C;&M?<&5R:6]D                      !I;F-R7VYE=&QI<W1?<V=A9'9A;F-E9         !T<FEM7W9B:71S7W-G861V86YC960           !D8FQ?;W9R9%]S9V%D=F%N8V5D              !C;W)E7V=E;F5R871I;VY?<V=A9'9A;F-E9     !C;W)E7V=E;F5R871I;VX                   !R=6Y?8V]R96=E;E]S9V%D=F%N8V5D          !R=6Y?8V]R96=E;@                        !D97!R96-A=&5D7V-O;G1R;VQ?<V=A9'9A;F-E9 !E=F%L7V9I96QD                          !H87-?861V86YC961?8V]N=')O;             !S9V=U:5]P;W,                           !B;&]C:U]T>7!E                          !B;&]C:U]V97)S:6]N                      !S9U]I8V]N7W-T870                       !S9U]M87-K7V1I<W!L87D                   !S9U]L:7-T7V-O;G1E;G1S                  !S9U]B;&]C:V=U:5]X;6P                   !C;&]C:U]L;V,                           !S>6YT:&5S:7-?;&%N9W5A9V4               !C95]C;'(                               !P<F5S97)V95]H:65R87)C:'D               !C;&]C:U]W<F%P<&5R                      !D8VU?:6YP=71?8VQO8VM?<&5R:6]D          !V97)S:6]N                              !P;W-T9V5N97)A=&EO;E]F8VX               !S971T:6YG<U]F8VX                       !P<F5C;VUP:6QE7V9C;@                    !U<&1A=&5?9F-N                          !X;&5D:W-E='1I;F=S9&%T80                 .    2     8    (    !          %    \"     $    1     0         0    $0   \"!3>7-T96T@1V5N97)A=&]R          X    X    !@    @    $          4    (     0    @    !         !     (    =FER=&5X,G .    .     8    (    !          %    \"     $    '     0         0    !P   'AC,G9P-S  #@   #     &    \"     0         !0    @    !     @    $         $  \" \"TV   .    .     8    (    !          %    \"     $    &     0         0    !@   &9F,34Q-P  #@   #     &    \"     0         !0    @               $         $          .    ,     8    (    !          %    \"     $    #     0         0  , 6%-4  X   !     !@    @    $          4    (     0    L    !         !     +    +B]P8V]R95]V,#,       X    P    !@    @    $          4    (               !         !          #@   #     &    \"     0         !0    @    !     P    $         $  # &]F9@ .    ,     8    (    !          %    \"     $    \"     0         0  ( ,3    X    P    !@    @    $          4    (               !         !          #@   #     &    \"     0         !0    @               $         $          .    ,     8    (    !          %    \"                0         0          X    P    !@    @    $          4    (               !         !          #@   $@    &    \"     0         !0    @    !    &     $         $    !@   !!8V-O<F1I;F<@=&\\@0FQO8VL@36%S:W,.    ,     8    (    !          %    \"                0         0          X    P    !@    @    $          4    (     0    ,    !         !   P!O9F8 #@   #     &    \"     0         !0    @               $         $          .    ,     8    (    !          %    \"     $    !     0         0  $ ,     X    P    !@    @    $          4    (     0    $    !         !   0 P    #@   $     &    \"     0         !0    @    !    \"P    $         $     L    M,2PM,2PM,2PM,0      #@   #@    &    \"     0         !0    @    !    !@    $         $     8   !S>7-G96X   X    P    !@    @    $          4    (     0    ,    !         !   P X+C( #@   %     &    \"     0         !0    @    !    '0    $         $    !T    U,2PU,\"PM,2PM,2QR960L8F5I9V4L,\"PP-S<S-     X   #  0  !@    @    $          4    (     0   (X!   !         !    \". 0  9G!R:6YT9B@G)RPG0T]-345.5#H@8F5G:6X@:6-O;B!G<F%P:&EC<R<I.PIP871C:\"A;,\" U,2 U,2 P(%TL6S @,\" U,\" U,\"!=+%LP+CDS(# N.3(@,\"XX-ETI.PIP871C:\"A;,3(@-\" Q-B T(#$R(#(U(#(Y(#,S(#0W(#,V(#(U(#$W(#(Y(#$W(#(U(#,V(#0W(#,S(#(Y(#(U(#$R(%TL6S4@,3,@,C4@,S<@-#4@-#4@-#$@-#4@-#4@,S0@-#4@,S<@,C4@,3,@-2 Q-B U(#4@.2 U(#4@72Q;,\"XV(# N,B P+C(U72D[\"G!L;W0H6S @,\" U,2 U,2 P(%TL6S @-3 @-3 @,\" P(%TI.PIF<')I;G1F*\"<G+\"=#3TU-14Y4.B!E;F0@:6-O;B!G<F%P:&EC<R<I.PIF<')I;G1F*\"<G+\"=#3TU-14Y4.B!B96=I;B!I8V]N('1E>'0G*3L*9G!R:6YT9B@G)RPG0T]-345.5#H@96YD(&EC;VX@=&5X=\"<I.PH   X    P    !@    @    $          4    (               !         !          #@   / +   &    \"     0         !0    @    !    NPL   $         $    +L+   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       X    P    !@    @    $          4    (               !         !          #@   #     &    \"     0         !0    @    !    !     $         $  $ %9(1$P.    .     8    (    !@         %    \"     $    !     0         )    \"               #@   #@    &    \"     8         !0    @    !     0    $         \"0    @               X   !     !@    @    $          4    (     0    T    !         !     -    0VQO8VL@16YA8FQE<P    X    P    !@    @    $          4    (     0    ,    !         !   P Q,#  #@   #@    &    \"     0         !0    @    !    !@    $         $     8    Y+C(N,#$   X   !     !@    @    $          4    (     0    P    !         !     ,    >&QE9&MP;W-T9V5N      X   !     !@    @    $          4    (     0    T    !         !     -    >&QE9&MS971T:6YG<P    X   !     !@    @    $          4    (     0    \\    !         !     /    >&QE9&MP<F5C;VUP:6QE  X   !     !@    @    $          4    (     0    T    !         !     -    >&QE9&MU<&1A=&5F;@    X   \"8!   !@    @    \"          4    (     0    $    !          4 !  8     0   #@!  !E>'!O<G0                       !E>'!O<G1D:7(                   !S96QE8W1I;VYT86<               !E>'!O<G1D:7)P871H              !M86IO<@                        !M:6YO<@                        !H=U]C;VUP871I8FEL:71Y          !M86I?<VQI9&5R                  !M:6YO<E]S;&ED97(               !H=U]C;VUP871I8FEL:71Y7W-L:61E<@!I<T1E=F5L;W!M96YT              !U<V5#=7-T;VU\"=7-);G1E<F9A8V4   !C=7-T;VU\"=7-);G1E<F9A8V5686QU90 .    .     8    (    !@         %    \"     $    !     0         )    \"               #@   #     &    \"     0         !0    @               $         $          .    0     8    (    !          %    \"     $    0     0         0    $    '1A<F=E=%]D:7)E8W1O<GD.    0     8    (    !          %    \"     $    +     0         0    \"P   \"XO<&-O<F5?=C R       .    ,     8    (    !          %    \"     $    !     0         0  $ ,0    X    P    !@    @    $          4    (     0    (    !         !   @ P,@  #@   #     &    \"     0         !0    @    !     0    $         $  ! &$    .    .     8    (    !@         %    \"     $    !     0         )    \"            / _#@   #@    &    \"     8         !0    @    !     0    $         \"0    @   ##]2A<C\\('0 X    X    !@    @    &          4    (     0    $    !          D    (          ! 6$ .    .     8    (    !@         %    \"     $    !     0         )    \"               #@   #@    &    \"     8         !0    @    !     0    $         \"0    @               X    P    !@    @    &          4    (               !          D         #@   '!9   &    \"     (         !0    @    !     0    $         !0 $  P    !    &    '-H87)E9        &-O;7!I;&%T:6]N  X   #P!   !@    @    \"          4    (     0    $    !          4 !  3     0   )@   !C;VUP:6QA=&EO;@          8V]M<&EL871I;VY?;'5T     '-I;75L:6YK7W!E<FEO9     !I;F-R7VYE=&QI<W0         =')I;5]V8FET<P           &1B;%]O=G)D              !D97!R96-A=&5D7V-O;G1R;VP 8FQO8VM?:6-O;E]D:7-P;&%Y  X    X    !@    @    $          4    (     0    <    !         !     '    =&%R9V5T,P .    4 (   8    (     @         %    \"     $    !     0         %  0 !P    $    .    :V5Y<P   '9A;'5E<P    X    0 0  !@    @    !          4    (     0    ,    !          X   !     !@    @    $          4    (     0    L    !         !     +    2$1,($YE=&QI<W0       X   !(    !@    @    $          4    (     0   !0    !         !     4    5T%24\"!/4$(@17AP;W)T(%1O;VP     #@   $@    &    \"     0         !0    @    !    &     $         $    !@   !%>'!O<G0@87,@82!P8V]R92!T;R!%1$L.    Z     8    (     0         %    \"     $    #     0         .    .     8    (    !          %    \"     $    '     0         0    !P   '1A<F=E=#$ #@   #@    &    \"     0         !0    @    !    !P    $         $     <   !T87)G970R  X    X    !@    @    $          4    (     0    <    !         !     '    =&%R9V5T,P .    ,     8    (    !          %    \"     $    !     0         0  $ ,0    X    P    !@    @    $          4    (     0    ,    !         !   P!O9F8 #@   $@    &    \"     0         !0    @    !    %P    $         $    !<   !%=F5R>7=H97)E(&EN(%-U8E-Y<W1E;0 .    2     8    (    !          %    \"     $    8     0         0    &    $%C8V]R9&EN9R!T;R!\";&]C:R!-87-K<PX    P    !@    @    $          4    (     0    ,    !         !   P!O9F8 #@   #@    &    \"     0         !0    @    !    !P    $         $     <   !$969A=6QT  X    @5   !@    @    \"          4    (     0    $    !          4 !  (     0   !@   !T87)G970Q '1A<F=E=#( =&%R9V5T,P .    J!D   8    (     @         %    \"     $    !     0         %  0 '@    $   #\\ P  :6YF;V5D:70                             >&EL:6YX9F%M:6QY                        <&%R=                                   <W!E960                                 <&%C:V%G90                              <WEN=&AE<VES7W1O;VQ?<V=A9'9A;F-E9       <WEN=&AE<VES7W1O;VP                     9&ER96-T;W)Y                            =&5S=&)E;F-H7W-G861V86YC960             =&5S=&)E;F-H                            <WES8VQK7W!E<FEO9                       :6YC<E]N971L:7-T7W-G861V86YC960         =')I;5]V8FET<U]S9V%D=F%N8V5D            9&)L7V]V<F1?<V=A9'9A;F-E9               8V]R95]G96YE<F%T:6]N7W-G861V86YC960     8V]R95]G96YE<F%T:6]N                    <G5N7V-O<F5G96Y?<V=A9'9A;F-E9           <G5N7V-O<F5G96X                         9&5P<F5C871E9%]C;VYT<F]L7W-G861V86YC960 979A;%]F:65L9                           :&%S7V%D=F%N8V5D7V-O;G1R;VP             <V=G=6E?<&]S                            8FQO8VM?='EP90                          8FQO8VM?=F5R<VEO;@                      <V=?:6-O;E]S=&%T                        <V=?;6%S:U]D:7-P;&%Y                    <V=?;&ES=%]C;VYT96YT<P                  <V=?8FQO8VMG=6E?>&UL                    8VQO8VM?;&]C                            <WEN=&AE<VES7VQA;F=U86=E                8V5?8VQR                                <')E<V5R=F5?:&EE<F%R8VAY                8VQO8VM?=W)A<'!E<@                      9&-M7VEN<'5T7V-L;V-K7W!E<FEO9                 X   !(    !@    @    $          4    (     0   !$    !         !     1    (%-Y<W1E;2!'96YE<F%T;W(         #@   #@    &    \"     0         !0    @    !    \"     $         $     @   !V:7)T97@R< X    X    !@    @    $          4    (     0    <    !         !     '    >&,R=G W,  .    ,     8    (    !          %    \"     $    \"     0         0  ( +38   X    X    !@    @    $          4    (     0    8    !         !     &    9F8Q-3$W   .    ,     8    (    !          %    \"                0         0          X    P    !@    @    $          4    (     0    ,    !         !   P!84U0 #@   $     &    \"     0         !0    @    !    #0    $         $     T    N+VYE=&QI<W1?=C P    #@   #     &    \"     0         !0    @               $         $          .    ,     8    (    !          %    \"     $    #     0         0  , ;V9F  X    P    !@    @    $          4    (     0    (    !         !   @ Q,   #@   #     &    \"     0         !0    @               $         $          .    ,     8    (    !          %    \"                0         0          X    P    !@    @    $          4    (               !         !          #@   #     &    \"     0         !0    @               $         $          .    2     8    (    !          %    \"     $    8     0         0    &    $%C8V]R9&EN9R!T;R!\";&]C:R!-87-K<PX    P    !@    @    $          4    (               !         !          #@   #     &    \"     0         !0    @    !     P    $         $  # &]F9@ .    ,     8    (    !          %    \"                0         0          X    P    !@    @    $          4    (     0    $    !         !   0 P    #@   #     &    \"     0         !0    @    !     0    $         $  ! #     .    0     8    (    !          %    \"     $    +     0         0    \"P   \"TQ+\"TQ+\"TQ+\"TQ       .    .     8    (    !          %    \"     $    &     0         0    !@   '-Y<V=E;@  #@   #     &    \"     0         !0    @    !     P    $         $  # #@N,@ .    4     8    (    !          %    \"     $    =     0         0    '0   #4Q+#4P+\"TQ+\"TQ+')E9\"QB96EG92PP+# W-S,T    #@   , !   &    \"     0         !0    @    !    C@$   $         $    (X!  !F<')I;G1F*\"<G+\"=#3TU-14Y4.B!B96=I;B!I8V]N(&=R87!H:6-S)RD[\"G!A=&-H*%LP(#4Q(#4Q(# @72Q;,\" P(#4P(#4P(%TL6S N.3,@,\"XY,B P+C@V72D[\"G!A=&-H*%LQ,B T(#$V(#0@,3(@,C4@,CD@,S,@-#<@,S8@,C4@,3<@,CD@,3<@,C4@,S8@-#<@,S,@,CD@,C4@,3(@72Q;-2 Q,R R-2 S-R T-2 T-2 T,2 T-2 T-2 S-\" T-2 S-R R-2 Q,R U(#$V(#4@-2 Y(#4@-2!=+%LP+C8@,\"XR(# N,C5=*3L*<&QO=\"A;,\" P(#4Q(#4Q(# @72Q;,\" U,\" U,\" P(# @72D[\"F9P<FEN=&8H)R<L)T-/34U%3E0Z(&5N9\"!I8V]N(&=R87!H:6-S)RD[\"F9P<FEN=&8H)R<L)T-/34U%3E0Z(&)E9VEN(&EC;VX@=&5X=\"<I.PIF<')I;G1F*\"<G+\"=#3TU-14Y4.B!E;F0@:6-O;B!T97AT)RD[\"@  #@   #     &    \"     0         !0    @               $         $          .    \\ L   8    (    !          %    \"     $   \"[\"P   0         0    NPL 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      #@   #     &    \"     0         !0    @               $         $          .    ,     8    (    !          %    \"     $    $     0         0  0 5DA$3 X    X    !@    @    &          4    (     0    $    !          D    (               .    .     8    (    !@         %    \"     $    !     0         )    \"               #@   $     &    \"     0         !0    @    !    #0    $         $     T   !#;&]C:R!%;F%B;&5S    #@   #     &    \"     0         !0    @    !     P    $         $  # #$P,  .    N!D   8    (     @         %    \"     $    !     0         %  0 '@    $   #\\ P  :6YF;V5D:70                             >&EL:6YX9F%M:6QY                        <&%R=                                   <W!E960                                 <&%C:V%G90                              <WEN=&AE<VES7W1O;VQ?<V=A9'9A;F-E9       <WEN=&AE<VES7W1O;VP                     9&ER96-T;W)Y                            =&5S=&)E;F-H7W-G861V86YC960             =&5S=&)E;F-H                            <WES8VQK7W!E<FEO9                       :6YC<E]N971L:7-T7W-G861V86YC960         =')I;5]V8FET<U]S9V%D=F%N8V5D            9&)L7V]V<F1?<V=A9'9A;F-E9               8V]R95]G96YE<F%T:6]N7W-G861V86YC960     8V]R95]G96YE<F%T:6]N                    <G5N7V-O<F5G96Y?<V=A9'9A;F-E9           <G5N7V-O<F5G96X                         9&5P<F5C871E9%]C;VYT<F]L7W-G861V86YC960 979A;%]F:65L9                           :&%S7V%D=F%N8V5D7V-O;G1R;VP             <V=G=6E?<&]S                            8FQO8VM?='EP90                          8FQO8VM?=F5R<VEO;@                      <V=?:6-O;E]S=&%T                        <V=?;6%S:U]D:7-P;&%Y                    <V=?;&ES=%]C;VYT96YT<P                  <V=?8FQO8VMG=6E?>&UL                    8VQO8VM?;&]C                            <WEN=&AE<VES7VQA;F=U86=E                8V5?8VQR                                <')E<V5R=F5?:&EE<F%R8VAY                <&]S=&=E;F5R871I;VY?9F-N                <V5T=&EN9W-?9F-N                              X   !(    !@    @    $          4    (     0   !$    !         !     1    (%-Y<W1E;2!'96YE<F%T;W(         #@   #@    &    \"     0         !0    @    !    \"     $         $     @   !V:7)T97@R< X    X    !@    @    $          4    (     0    <    !         !     '    >&,R=G W,  .    ,     8    (    !          %    \"     $    \"     0         0  ( +38   X    X    !@    @    $          4    (     0    8    !         !     &    9F8Q-3$W   .    ,     8    (    !          %    \"                0         0          X    P    !@    @    $          4    (     0    ,    !         !   P!84U0 #@   $     &    \"     0         !0    @    !    \"0    $         $     D   !#.B]25%-#5%,         #@   #     &    \"     0         !0    @               $         $          .    ,     8    (    !          %    \"     $    #     0         0  , ;V9F  X    P    !@    @    $          4    (     0    (    !         !   @ Q,   #@   #     &    \"     0         !0    @               $         $          .    ,     8    (    !          %    \"                0         0          X    P    !@    @    $          4    (               !         !          #@   #     &    \"     0         !0    @               $         $          .    2     8    (    !          %    \"     $    8     0         0    &    $%C8V]R9&EN9R!T;R!\";&]C:R!-87-K<PX    P    !@    @    $          4    (               !         !          #@   #     &    \"     0         !0    @    !     P    $         $  # &]F9@ .    ,     8    (    !          %    \"                0         0          X    P    !@    @    $          4    (     0    $    !         !   0 P    #@   #     &    \"     0         !0    @    !     0    $         $  ! #     .    0     8    (    !          %    \"     $    +     0         0    \"P   \"TQ+\"TQ+\"TQ+\"TQ       .    .     8    (    !          %    \"     $    &     0         0    !@   '-Y<V=E;@  #@   #     &    \"     0         !0    @    !     P    $         $  # #@N,@ .    4     8    (    !          %    \"     $    =     0         0    '0   #4Q+#4P+\"TQ+\"TQ+')E9\"QB96EG92PP+# W-S,T    #@   , !   &    \"     0         !0    @    !    C@$   $         $    (X!  !F<')I;G1F*\"<G+\"=#3TU-14Y4.B!B96=I;B!I8V]N(&=R87!H:6-S)RD[\"G!A=&-H*%LP(#4Q(#4Q(# @72Q;,\" P(#4P(#4P(%TL6S N.3,@,\"XY,B P+C@V72D[\"G!A=&-H*%LQ,B T(#$V(#0@,3(@,C4@,CD@,S,@-#<@,S8@,C4@,3<@,CD@,3<@,C4@,S8@-#<@,S,@,CD@,C4@,3(@72Q;-2 Q,R R-2 S-R T-2 T-2 T,2 T-2 T-2 S-\" T-2 S-R R-2 Q,R U(#$V(#4@-2 Y(#4@-2!=+%LP+C8@,\"XR(# N,C5=*3L*<&QO=\"A;,\" P(#4Q(#4Q(# @72Q;,\" U,\" U,\" P(# @72D[\"F9P<FEN=&8H)R<L)T-/34U%3E0Z(&5N9\"!I8V]N(&=R87!H:6-S)RD[\"F9P<FEN=&8H)R<L)T-/34U%3E0Z(&)E9VEN(&EC;VX@=&5X=\"<I.PIF<')I;G1F*\"<G+\"=#3TU-14Y4.B!E;F0@:6-O;B!T97AT)RD[\"@  #@   #     &    \"     0         !0    @               $         $          .    \\ L   8    (    !          %    \"     $   \"[\"P   0         0    NPL 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      #@   #     &    \"     0         !0    @               $         $          .    ,     8    (    !          %    \"     $    $     0         0  0 5DA$3 X    X    !@    @    &          4    (     0    $    !          D    (               .    .     8    (    !@         %    \"     $    !     0         )    \"               #@   $     &    \"     0         !0    @    !    #     $         $     P   !X;&5D:W!O<W1G96X     #@   $     &    \"     0         !0    @    !    #0    $         $     T   !X;&5D:W-E='1I;F=S    #@   %@@   &    \"     (         !0    @    !     0    $         !0 $ !X    !    L 0  &EN9F]E9&ET                             'AI;&EN>&9A;6EL>0                       '!A<G0                                  '-P965D                                 '!A8VMA9V4                              '-Y;G1H97-I<U]T;V]L7W-G861V86YC960      '-Y;G1H97-I<U]T;V]L                     &1I<F5C=&]R>0                           '1E<W1B96YC:%]S9V%D=F%N8V5D             '1E<W1B96YC:                            '-Y<V-L:U]P97)I;V0                      &EN8W)?;F5T;&ES=%]S9V%D=F%N8V5D         '1R:6U?=F)I='-?<V=A9'9A;F-E9            &1B;%]O=G)D7W-G861V86YC960              &-O<F5?9V5N97)A=&EO;E]S9V%D=F%N8V5D     &-O<F5?9V5N97)A=&EO;@                   ')U;E]C;W)E9V5N7W-G861V86YC960          ')U;E]C;W)E9V5N                         &1E<')E8V%T961?8V]N=')O;%]S9V%D=F%N8V5D &5V86Q?9FEE;&0                          &AA<U]A9'9A;F-E9%]C;VYT<F]L             '-G9W5I7W!O<P                           &)L;V-K7W1Y<&4                          &)L;V-K7W9E<G-I;VX                      '-G7VEC;VY?<W1A=                        '-G7VUA<VM?9&ES<&QA>0                   '-G7VQI<W1?8V]N=&5N=',                  '-G7V)L;V-K9W5I7WAM;                    &-L;V-K7VQO8P                           '-Y;G1H97-I<U]L86YG=6%G90               &-E7V-L<@                               '!R97-E<G9E7VAI97)A<F-H>0               &-L;V-K7W=R87!P97(                      &1C;5]I;G!U=%]C;&]C:U]P97)I;V0          '9E<G-I;VX                              '!O<W1G96YE<F%T:6]N7V9C;@               '-E='1I;F=S7V9C;@                       '!R96-O;7!I;&5?9F-N                     '5P9&%T95]F8VX                          'AL961K<V5T=&EN9W-D871A                  X   !(    !@    @    $          4    (     0   !$    !         !     1    (%-Y<W1E;2!'96YE<F%T;W(         #@   #@    &    \"     0         !0    @    !    \"     $         $     @   !V:7)T97@R< X    X    !@    @    $          4    (     0    <    !         !     '    >&,R=G W,  .    ,     8    (    !          %    \"     $    \"     0         0  ( +38   X    X    !@    @    $          4    (     0    8    !         !     &    9F8Q-3$W   .    ,     8    (    !          %    \"                0         0          X    P    !@    @    $          4    (     0    ,    !         !   P!84U0 #@   $     &    \"     0         !0    @    !    \"P    $         $     L    N+W!C;W)E7W8P,P      #@   #     &    \"     0         !0    @               $         $          .    ,     8    (    !          %    \"     $    #     0         0  , ;V9F  X    P    !@    @    $          4    (     0    (    !         !   @ Q,   #@   #     &    \"     0         !0    @               $         $          .    ,     8    (    !          %    \"                0         0          X    P    !@    @    $          4    (               !         !          #@   #     &    \"     0         !0    @               $         $          .    2     8    (    !          %    \"     $    8     0         0    &    $%C8V]R9&EN9R!T;R!\";&]C:R!-87-K<PX    P    !@    @    $          4    (               !         !          #@   #     &    \"     0         !0    @    !     P    $         $  # &]F9@ .    ,     8    (    !          %    \"                0         0          X    P    !@    @    $          4    (     0    $    !         !   0 P    #@   #     &    \"     0         !0    @    !     0    $         $  ! #     .    0     8    (    !          %    \"     $    +     0         0    \"P   \"TQ+\"TQ+\"TQ+\"TQ       .    .     8    (    !          %    \"     $    &     0         0    !@   '-Y<V=E;@  #@   #     &    \"     0         !0    @    !     P    $         $  # #@N,@ .    4     8    (    !          %    \"     $    =     0         0    '0   #4Q+#4P+\"TQ+\"TQ+')E9\"QB96EG92PP+# W-S,T    #@   , !   &    \"     0         !0    @    !    C@$   $         $    (X!  !F<')I;G1F*\"<G+\"=#3TU-14Y4.B!B96=I;B!I8V]N(&=R87!H:6-S)RD[\"G!A=&-H*%LP(#4Q(#4Q(# @72Q;,\" P(#4P(#4P(%TL6S N.3,@,\"XY,B P+C@V72D[\"G!A=&-H*%LQ,B T(#$V(#0@,3(@,C4@,CD@,S,@-#<@,S8@,C4@,3<@,CD@,3<@,C4@,S8@-#<@,S,@,CD@,C4@,3(@72Q;-2 Q,R R-2 S-R T-2 T-2 T,2 T-2 T-2 S-\" T-2 S-R R-2 Q,R U(#$V(#4@-2 Y(#4@-2!=+%LP+C8@,\"XR(# N,C5=*3L*<&QO=\"A;,\" P(#4Q(#4Q(# @72Q;,\" U,\" U,\" P(# @72D[\"F9P<FEN=&8H)R<L)T-/34U%3E0Z(&5N9\"!I8V]N(&=R87!H:6-S)RD[\"F9P<FEN=&8H)R<L)T-/34U%3E0Z(&)E9VEN(&EC;VX@=&5X=\"<I.PIF<')I;G1F*\"<G+\"=#3TU-14Y4.B!E;F0@:6-O;B!T97AT)RD[\"@  #@   #     &    \"     0         !0    @               $         $          .    \\ L   8    (    !          %    \"     $   \"[\"P   0         0    NPL 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      #@   #     &    \"     0         !0    @               $         $          .    ,     8    (    !          %    \"     $    $     0         0  0 5DA$3 X    X    !@    @    &          4    (     0    $    !          D    (               .    .     8    (    !@         %    \"     $    !     0         )    \"               #@   $     &    \"     0         !0    @    !    #0    $         $     T   !#;&]C:R!%;F%B;&5S    #@   #     &    \"     0         !0    @    !     P    $         $  # #$P,  .    .     8    (    !          %    \"     $    &     0         0    !@   #DN,BXP,0  #@   $     &    \"     0         !0    @    !    #     $         $     P   !X;&5D:W!O<W1G96X     #@   $     &    \"     0         !0    @    !    #0    $         $     T   !X;&5D:W-E='1I;F=S    #@   $     &    \"     0         !0    @    !    #P    $         $     \\   !X;&5D:W!R96-O;7!I;&4 #@   $     &    \"     0         !0    @    !    #0    $         $     T   !X;&5D:W5P9&%T969N    #@   )@$   &    \"     (         !0    @    !     0    $         !0 $ !@    !    . $  &5X<&]R=                        &5X<&]R=&1I<@                   '-E;&5C=&EO;G1A9P               &5X<&]R=&1I<G!A=&@              &UA:F]R                         &UI;F]R                         &AW7V-O;7!A=&EB:6QI='D          &UA:E]S;&ED97(                  &UI;F]R7W-L:61E<@               &AW7V-O;7!A=&EB:6QI='E?<VQI9&5R &ES1&5V96QO<&UE;G0              '5S94-U<W1O;4)U<TEN=&5R9F%C90   &-U<W1O;4)U<TEN=&5R9F%C959A;'5E  X    X    !@    @    &          4    (     0    $    !          D    (               .    ,     8    (    !          %    \"                0         0          X   !     !@    @    $          4    (     0   !     !         !     0    =&%R9V5T7V1I<F5C=&]R>0X   !     !@    @    $          4    (     0    L    !         !     +    +B]P8V]R95]V,#(       X    P    !@    @    $          4    (     0    $    !         !   0 Q    #@   #     &    \"     0         !0    @    !     @    $         $  \" # R   .    ,     8    (    !          %    \"     $    !     0         0  $ 80    X    X    !@    @    &          4    (     0    $    !          D    (            \\#\\.    .     8    (    !@         %    \"     $    !     0         )    \"    ,/U*%R/P@= #@   #@    &    \"     8         !0    @    !     0    $         \"0    @          $!80 X    X    !@    @    &          4    (     0    $    !          D    (               .    .     8    (    !@         %    \"     $    !     0         )    \"               #@   #     &    \"     8         !0    @               $         \"0         "
24293  }
24294}
Note: See TracBrowser for help on using the repository browser.