source: ResearchApps/PHY/WARPLAB/WARPLab_v6p5/EDK_files_w3_2radio/system.ucf

Last change on this file was 1914, checked in by chunter, 11 years ago
File size: 39.9 KB
Line 
1#Debug header LOC constraints (manually entered)
2NET "debug_status<0>" LOC = "AG27" | IOSTANDARD = LVCMOS25; #pin 0
3NET "debug_status<1>" LOC = "AE26" | IOSTANDARD = LVCMOS25; #pin 1
4
5NET "debug_sw_gpio<0>" LOC = "AF26"  | IOSTANDARD = "LVCMOS25"; #pin 2
6NET "debug_sw_gpio<1>" LOC = "AD25"  | IOSTANDARD = "LVCMOS25"; #pin 3
7NET "debug_sw_gpio<2>" LOC = "V24"   | IOSTANDARD = "LVCMOS25"; #pin 4
8NET "debug_sw_gpio<3>" LOC = "AA23"  | IOSTANDARD = "LVCMOS25"; #pin 5
9NET "debug_sw_gpio<4>" LOC = "AH30"  | IOSTANDARD = "LVCMOS25"; #pin 6
10NET "debug_sw_gpio<5>" LOC = "AK31"  | IOSTANDARD = "LVCMOS25"; #pin 7
11
12#SIP switch on CM-MMCX
13NET "cm_mmcx_sw<0>" LOC = V30 | IOSTANDARD = LVCMOS25 | PULLUP; #CLKHDR_CTRL12 in schematics
14NET "cm_mmcx_sw<1>" LOC = R34 | IOSTANDARD = LVCMOS25 | PULLUP; #CLKHDR_CTRL13 in schematics
15
16#Dummy LOCs for bi-directional ports RFC_AD_spi_sdio and RFD_AD_spi_sdio
17# XPS requries these be tied to pins, even they're not used
18# In this 2-radio project we tie them to known-unused pins on the FPGA
19# In a 4-radio project these nets will be tied to the appropriate FMC pins
20NET "RFC_AD_spi_sdio" LOC = H23 | IOSTANDARD = LVCMOS25 | PULLDOWN; #NC pin on WARP v3 rev 1.1
21NET "RFD_AD_spi_sdio" LOC = G23 | IOSTANDARD = LVCMOS25 | PULLDOWN; #NC pin on WARP v3 rev 1.1
22
23#User IO
24Net USERIO_hexdisp_left_pin<0> LOC=AL33  |  IOSTANDARD = LVCMOS25;
25Net USERIO_hexdisp_left_pin<1> LOC=AK33  |  IOSTANDARD = LVCMOS25;
26Net USERIO_hexdisp_left_pin<2> LOC=AH32  |  IOSTANDARD = LVCMOS25;
27Net USERIO_hexdisp_left_pin<3> LOC=AF29  |  IOSTANDARD = LVCMOS25;
28Net USERIO_hexdisp_left_pin<4> LOC=AE29  |  IOSTANDARD = LVCMOS25;
29Net USERIO_hexdisp_left_pin<5> LOC=AK32  |  IOSTANDARD = LVCMOS25;
30Net USERIO_hexdisp_left_pin<6> LOC=AF30  |  IOSTANDARD = LVCMOS25;
31Net USERIO_hexdisp_right_pin<0> LOC=AE28  |  IOSTANDARD = LVCMOS25;
32Net USERIO_hexdisp_right_pin<1> LOC=AD26  |  IOSTANDARD = LVCMOS25;
33Net USERIO_hexdisp_right_pin<2> LOC=AC24  |  IOSTANDARD = LVCMOS25;
34Net USERIO_hexdisp_right_pin<3> LOC=AE23  |  IOSTANDARD = LVCMOS25;
35Net USERIO_hexdisp_right_pin<4> LOC=AC22  |  IOSTANDARD = LVCMOS25;
36Net USERIO_hexdisp_right_pin<5> LOC=AD27  |  IOSTANDARD = LVCMOS25;
37Net USERIO_hexdisp_right_pin<6> LOC=AB23  |  IOSTANDARD = LVCMOS25;
38Net USERIO_hexdisp_left_dp_pin LOC=AG30  |  IOSTANDARD = LVCMOS25;
39Net USERIO_hexdisp_right_dp_pin LOC=AC23  |  IOSTANDARD = LVCMOS25;
40Net USERIO_leds_red_pin<0> LOC=AN34  |  IOSTANDARD = LVCMOS25;
41Net USERIO_leds_red_pin<1> LOC=AM33  |  IOSTANDARD = LVCMOS25;
42Net USERIO_leds_red_pin<2> LOC=AN33  |  IOSTANDARD = LVCMOS25;
43Net USERIO_leds_red_pin<3> LOC=AP33  |  IOSTANDARD = LVCMOS25;
44Net USERIO_leds_green_pin<0> LOC=AD22  |  IOSTANDARD = LVCMOS25;
45Net USERIO_leds_green_pin<1> LOC=AE22  |  IOSTANDARD = LVCMOS25;
46Net USERIO_leds_green_pin<2> LOC=AM32  |  IOSTANDARD = LVCMOS25;
47Net USERIO_leds_green_pin<3> LOC=AN32  |  IOSTANDARD = LVCMOS25;
48Net USERIO_rfa_led_red_pin LOC=AL34  |  IOSTANDARD = LVCMOS25;
49Net USERIO_rfa_led_green_pin LOC=AK34  |  IOSTANDARD = LVCMOS25;
50Net USERIO_rfb_led_red_pin LOC=AJ34  |  IOSTANDARD = LVCMOS25;
51Net USERIO_rfb_led_green_pin LOC=AH34  |  IOSTANDARD = LVCMOS25;
52Net USERIO_dipsw_pin<3> LOC=AM22  |  IOSTANDARD = LVCMOS15;
53Net USERIO_dipsw_pin<2> LOC=AL23  |  IOSTANDARD = LVCMOS15;
54Net USERIO_dipsw_pin<1> LOC=AM23  |  IOSTANDARD = LVCMOS15;
55Net USERIO_dipsw_pin<0> LOC=AN23  |  IOSTANDARD = LVCMOS15;
56Net USERIO_pb_u_pin LOC=AM21  |  IOSTANDARD = LVCMOS15;
57Net USERIO_pb_m_pin LOC=AN22  |  IOSTANDARD = LVCMOS15;
58Net USERIO_pb_d_pin LOC=AP22  |  IOSTANDARD = LVCMOS15;
59
60#USB UART on WARP v3 rev 1.1
61Net UART_USB_TX_pin LOC = H9  |  IOSTANDARD=LVCMOS25; #FT230X RXD pin
62Net UART_USB_RX_pin LOC = J9  |  IOSTANDARD=LVCMOS25; #FT230X TXD pin
63
64#IIC EEPROM (on board)
65Net IIC_EEPROM_iic_sda_pin LOC = AG23  |  IOSTANDARD=LVCMOS25;
66Net IIC_EEPROM_iic_scl_pin LOC = AF23  |  IOSTANDARD=LVCMOS25;
67
68#ETH A
69Net ETH_A_TemacPhy_RST_n_pin LOC=L9  |  IOSTANDARD = LVCMOS25  |  TIG;
70Net ETH_A_RGMII_TXD_0_pin<0> LOC=AF9  |  IOSTANDARD = LVCMOS25;
71Net ETH_A_RGMII_TXD_0_pin<1> LOC=AF10  |  IOSTANDARD = LVCMOS25;
72Net ETH_A_RGMII_TXD_0_pin<2> LOC=AD9  |  IOSTANDARD = LVCMOS25;
73Net ETH_A_RGMII_TXD_0_pin<3> LOC=AD10  |  IOSTANDARD = LVCMOS25;
74Net ETH_A_RGMII_TX_CTL_0_pin LOC=AG8  |  IOSTANDARD = LVCMOS25;
75Net ETH_A_RGMII_TXC_0_pin LOC=AE9  |  IOSTANDARD = LVCMOS25;
76Net ETH_A_RGMII_RXD_0_pin<0> LOC=AK9  |  IOSTANDARD = LVCMOS25;
77Net ETH_A_RGMII_RXD_0_pin<1> LOC=AJ9  |  IOSTANDARD = LVCMOS25;
78Net ETH_A_RGMII_RXD_0_pin<2> LOC=AH8  |  IOSTANDARD = LVCMOS25;
79Net ETH_A_RGMII_RXD_0_pin<3> LOC=AH9  |  IOSTANDARD = LVCMOS25;
80Net ETH_A_RGMII_RX_CTL_0_pin LOC=AL9  |  IOSTANDARD = LVCMOS25;
81Net ETH_A_RGMII_RXC_0_pin LOC=AC10  |  IOSTANDARD = LVCMOS25;
82#Fix for errata in rev 1.1 schematics
83Net ETH_A_MDC_0_pin LOC=AK8  |  IOSTANDARD = LVCMOS25;
84Net ETH_A_MDIO_0_pin LOC=AP9  |  IOSTANDARD = LVCMOS25 | PULLUP;
85
86#ETH B
87Net ETH_B_RGMII_TXD_0_pin<0> LOC=M10  |  IOSTANDARD = LVCMOS25;
88Net ETH_B_RGMII_TXD_0_pin<1> LOC=B8  |  IOSTANDARD = LVCMOS25;
89Net ETH_B_RGMII_TXD_0_pin<2> LOC=AC9  |  IOSTANDARD = LVCMOS25;
90Net ETH_B_RGMII_TXD_0_pin<3> LOC=E9  |  IOSTANDARD = LVCMOS25;
91Net ETH_B_RGMII_TX_CTL_0_pin LOC=D10  |  IOSTANDARD = LVCMOS25;
92Net ETH_B_RGMII_TXC_0_pin LOC=AB10  |  IOSTANDARD = LVCMOS25;
93Net ETH_B_RGMII_RXD_0_pin<0> LOC=A9  |  IOSTANDARD = LVCMOS25;
94Net ETH_B_RGMII_RXD_0_pin<1> LOC=D9  |  IOSTANDARD = LVCMOS25;
95Net ETH_B_RGMII_RXD_0_pin<2> LOC=C9  |  IOSTANDARD = LVCMOS25;
96Net ETH_B_RGMII_RXD_0_pin<3> LOC=F10  |  IOSTANDARD = LVCMOS25;
97Net ETH_B_RGMII_RX_CTL_0_pin LOC=A8  |  IOSTANDARD = LVCMOS25;
98Net ETH_B_RGMII_RXC_0_pin LOC=L10  |  IOSTANDARD = LVCMOS25;
99Net ETH_B_MDC_0_pin LOC=AN9  |  IOSTANDARD = LVCMOS25;
100Net ETH_B_MDIO_0_pin LOC=AL8  |  IOSTANDARD = LVCMOS25;
101
102#DDR3 SO-DIMM
103Net DDR3_2GB_SODIMM_Clk_pin LOC=AC15  |  IOSTANDARD = DIFF_SSTL15;
104Net DDR3_2GB_SODIMM_Clk_n_pin LOC=AD15  |  IOSTANDARD = DIFF_SSTL15;
105Net DDR3_2GB_SODIMM_CE_pin LOC=AF18  |  IOSTANDARD = SSTL15;
106Net DDR3_2GB_SODIMM_CS_n_pin LOC=AL16  |  IOSTANDARD = SSTL15;
107Net DDR3_2GB_SODIMM_ODT_pin LOC=AP15  |  IOSTANDARD = SSTL15;
108Net DDR3_2GB_SODIMM_RAS_n_pin LOC=AM16  |  IOSTANDARD = SSTL15;
109Net DDR3_2GB_SODIMM_CAS_n_pin LOC=AJ17  |  IOSTANDARD = SSTL15;
110Net DDR3_2GB_SODIMM_WE_n_pin LOC=AF15  |  IOSTANDARD = SSTL15;
111Net DDR3_2GB_SODIMM_BankAddr_pin<0> LOC=AG15  |  IOSTANDARD = SSTL15;
112Net DDR3_2GB_SODIMM_BankAddr_pin<1> LOC=AP16  |  IOSTANDARD = SSTL15;
113Net DDR3_2GB_SODIMM_BankAddr_pin<2> LOC=AD17  |  IOSTANDARD = SSTL15;
114Net DDR3_2GB_SODIMM_Addr_pin<0> LOC=AM17  |  IOSTANDARD = SSTL15;
115Net DDR3_2GB_SODIMM_Addr_pin<1> LOC=AF16  |  IOSTANDARD = SSTL15;
116Net DDR3_2GB_SODIMM_Addr_pin<2> LOC=AN17  |  IOSTANDARD = SSTL15;
117Net DDR3_2GB_SODIMM_Addr_pin<3> LOC=AG17  |  IOSTANDARD = SSTL15;
118Net DDR3_2GB_SODIMM_Addr_pin<4> LOC=AK16  |  IOSTANDARD = SSTL15;
119Net DDR3_2GB_SODIMM_Addr_pin<5> LOC=AG16  |  IOSTANDARD = SSTL15;
120Net DDR3_2GB_SODIMM_Addr_pin<6> LOC=AK17  |  IOSTANDARD = SSTL15;
121Net DDR3_2GB_SODIMM_Addr_pin<7> LOC=AG18  |  IOSTANDARD = SSTL15;
122Net DDR3_2GB_SODIMM_Addr_pin<8> LOC=AE16  |  IOSTANDARD = SSTL15;
123Net DDR3_2GB_SODIMM_Addr_pin<9> LOC=AD16  |  IOSTANDARD = SSTL15;
124Net DDR3_2GB_SODIMM_Addr_pin<10> LOC=AH15  |  IOSTANDARD = SSTL15;
125Net DDR3_2GB_SODIMM_Addr_pin<11> LOC=AH18  |  IOSTANDARD = SSTL15;
126Net DDR3_2GB_SODIMM_Addr_pin<12> LOC=AE17  |  IOSTANDARD = SSTL15;
127Net DDR3_2GB_SODIMM_Addr_pin<13> LOC=AJ16  |  IOSTANDARD = SSTL15;
128Net DDR3_2GB_SODIMM_Addr_pin<14> LOC=AK18  |  IOSTANDARD = SSTL15;
129Net DDR3_2GB_SODIMM_DQ_pin<0> LOC=AK29  |  IOSTANDARD = SSTL15_T_DCI;
130Net DDR3_2GB_SODIMM_DQ_pin<1> LOC=AN30  |  IOSTANDARD = SSTL15_T_DCI;
131Net DDR3_2GB_SODIMM_DQ_pin<2> LOC=AL29  |  IOSTANDARD = SSTL15_T_DCI;
132Net DDR3_2GB_SODIMM_DQ_pin<3> LOC=AN29  |  IOSTANDARD = SSTL15_T_DCI;
133Net DDR3_2GB_SODIMM_DQ_pin<4> LOC=AP31  |  IOSTANDARD = SSTL15_T_DCI;
134Net DDR3_2GB_SODIMM_DQ_pin<5> LOC=AP30  |  IOSTANDARD = SSTL15_T_DCI;
135Net DDR3_2GB_SODIMM_DQ_pin<6> LOC=AH28  |  IOSTANDARD = SSTL15_T_DCI;
136Net DDR3_2GB_SODIMM_DQ_pin<7> LOC=AH27  |  IOSTANDARD = SSTL15_T_DCI;
137Net DDR3_2GB_SODIMM_DQ_pin<8> LOC=AK28  |  IOSTANDARD = SSTL15_T_DCI;
138Net DDR3_2GB_SODIMM_DQ_pin<9> LOC=AL28  |  IOSTANDARD = SSTL15_T_DCI;
139Net DDR3_2GB_SODIMM_DQ_pin<10> LOC=AJ27  |  IOSTANDARD = SSTL15_T_DCI;
140Net DDR3_2GB_SODIMM_DQ_pin<11> LOC=AH25  |  IOSTANDARD = SSTL15_T_DCI;
141Net DDR3_2GB_SODIMM_DQ_pin<12> LOC=AP29  |  IOSTANDARD = SSTL15_T_DCI;
142Net DDR3_2GB_SODIMM_DQ_pin<13> LOC=AM27  |  IOSTANDARD = SSTL15_T_DCI;
143Net DDR3_2GB_SODIMM_DQ_pin<14> LOC=AJ25  |  IOSTANDARD = SSTL15_T_DCI;
144Net DDR3_2GB_SODIMM_DQ_pin<15> LOC=AH24  |  IOSTANDARD = SSTL15_T_DCI;
145Net DDR3_2GB_SODIMM_DQ_pin<16> LOC=AJ24  |  IOSTANDARD = SSTL15_T_DCI;
146Net DDR3_2GB_SODIMM_DQ_pin<17> LOC=AK24  |  IOSTANDARD = SSTL15_T_DCI;
147Net DDR3_2GB_SODIMM_DQ_pin<18> LOC=AL24  |  IOSTANDARD = SSTL15_T_DCI;
148Net DDR3_2GB_SODIMM_DQ_pin<19> LOC=AK23  |  IOSTANDARD = SSTL15_T_DCI;
149Net DDR3_2GB_SODIMM_DQ_pin<20> LOC=AP27  |  IOSTANDARD = SSTL15_T_DCI;
150Net DDR3_2GB_SODIMM_DQ_pin<21> LOC=AM26  |  IOSTANDARD = SSTL15_T_DCI;
151Net DDR3_2GB_SODIMM_DQ_pin<22> LOC=AN25  |  IOSTANDARD = SSTL15_T_DCI;
152Net DDR3_2GB_SODIMM_DQ_pin<23> LOC=AN24  |  IOSTANDARD = SSTL15_T_DCI;
153Net DDR3_2GB_SODIMM_DQ_pin<24> LOC=AD21  |  IOSTANDARD = SSTL15_T_DCI;
154Net DDR3_2GB_SODIMM_DQ_pin<25> LOC=AE21  |  IOSTANDARD = SSTL15_T_DCI;
155Net DDR3_2GB_SODIMM_DQ_pin<26> LOC=AK22  |  IOSTANDARD = SSTL15_T_DCI;
156Net DDR3_2GB_SODIMM_DQ_pin<27> LOC=AL18  |  IOSTANDARD = SSTL15_T_DCI;
157Net DDR3_2GB_SODIMM_DQ_pin<28> LOC=AN19  |  IOSTANDARD = SSTL15_T_DCI;
158Net DDR3_2GB_SODIMM_DQ_pin<29> LOC=AP19  |  IOSTANDARD = SSTL15_T_DCI;
159Net DDR3_2GB_SODIMM_DQ_pin<30> LOC=AM18  |  IOSTANDARD = SSTL15_T_DCI;
160Net DDR3_2GB_SODIMM_DQ_pin<31> LOC=AN18  |  IOSTANDARD = SSTL15_T_DCI;
161Net DDR3_2GB_SODIMM_DM_pin<0> LOC=AM30  |  IOSTANDARD = SSTL15;
162Net DDR3_2GB_SODIMM_DM_pin<1> LOC=AL26  |  IOSTANDARD = SSTL15;
163Net DDR3_2GB_SODIMM_DM_pin<2> LOC=AP26  |  IOSTANDARD = SSTL15;
164Net DDR3_2GB_SODIMM_DM_pin<3> LOC=AJ22  |  IOSTANDARD = SSTL15;
165Net DDR3_2GB_SODIMM_Reset_n_pin LOC=AP17  |  IOSTANDARD = SSTL15;
166Net DDR3_2GB_SODIMM_DQS_pin<0> LOC=AG25  |  IOSTANDARD = DIFF_SSTL15_T_DCI;
167Net DDR3_2GB_SODIMM_DQS_pin<1> LOC=AN28  |  IOSTANDARD = DIFF_SSTL15_T_DCI;
168Net DDR3_2GB_SODIMM_DQS_pin<2> LOC=AM25  |  IOSTANDARD = DIFF_SSTL15_T_DCI;
169Net DDR3_2GB_SODIMM_DQS_pin<3> LOC=AG22  |  IOSTANDARD = DIFF_SSTL15_T_DCI;
170Net DDR3_2GB_SODIMM_DQS_n_pin<0> LOC=AG26  |  IOSTANDARD = DIFF_SSTL15_T_DCI;
171Net DDR3_2GB_SODIMM_DQS_n_pin<1> LOC=AM28  |  IOSTANDARD = DIFF_SSTL15_T_DCI;
172Net DDR3_2GB_SODIMM_DQS_n_pin<2> LOC=AL25  |  IOSTANDARD = DIFF_SSTL15_T_DCI;
173Net DDR3_2GB_SODIMM_DQS_n_pin<3> LOC=AH22  |  IOSTANDARD = DIFF_SSTL15_T_DCI;
174
175#System clock (80MHz, from sampling clock buffer)
176NET samp_clk_n_pin LOC = V23 | IOSTANDARD = LVDS_25 | DIFF_TERM = TRUE;
177NET samp_clk_p_pin LOC = U23 | IOSTANDARD = LVDS_25 | DIFF_TERM = TRUE;
178Net samp_clk_p_pin TNM_NET = samp_clk_pin;
179TIMESPEC TS_samp_clk_pin = PERIOD samp_clk_pin 80000 kHz;
180
181#System clock (200MHz, from LVDS oscillator)
182Net osc200_p_pin LOC = A10  |  IOSTANDARD=LVDS_25  |  DIFF_TERM = TRUE;
183Net osc200_n_pin LOC = B10  |  IOSTANDARD=LVDS_25  |  DIFF_TERM = TRUE;
184Net osc200_p_pin TNM_NET = osc200_p_pin;
185TIMESPEC TS_osc200_p_pin = PERIOD osc200_p_pin 200000 kHz;
186
187#Processor reset (RESET button on board)
188Net rst_1_sys_rst_pin LOC = AH13  |  IOSTANDARD=LVCMOS15  |  TIG;
189Net rst_1_sys_rst_pin TIG;
190
191INST clock_generator_MPMC_Clocks/*/MMCM0_INST*/MMCM_ADV_inst LOC = MMCM_ADV_X0Y2;
192
193#############################
194#On-board RF interfaces
195#############################
196
197#MAX2829 transceivers and RF front end
198NET RFA_SPI_SCLK_pin LOC=T34 | IOSTANDARD=LVCMOS25;
199NET RFA_SPI_MOSI_pin LOC=T33 | IOSTANDARD=LVCMOS25;
200NET RFA_SPI_CSn_pin LOC=U32 | IOSTANDARD=LVCMOS25;
201NET RFA_SHDN_pin LOC=U27 | IOSTANDARD=LVCMOS25;
202NET RFA_TxEn_pin LOC=T31 | IOSTANDARD=LVCMOS25;
203NET RFA_RxEn_pin LOC=U33 | IOSTANDARD=LVCMOS25;
204NET RFA_RxHP_pin LOC=AG32 | IOSTANDARD=LVCMOS25;
205NET RFA_PAEn_24_pin LOC=U25 | IOSTANDARD=LVCMOS25;
206NET RFA_PAEn_5_pin LOC=U28 | IOSTANDARD=LVCMOS25;
207NET RFA_ANTSW_pin<0> LOC=U31 | IOSTANDARD=LVCMOS25;
208NET RFA_ANTSW_pin<1> LOC=U30 | IOSTANDARD=LVCMOS25;
209NET RFA_LD_pin LOC=U26 | IOSTANDARD=LVCMOS25;
210NET RFA_B_pin<0> LOC=AG33 | IOSTANDARD=LVCMOS25;
211NET RFA_B_pin<1> LOC=AF31 | IOSTANDARD=LVCMOS25;
212NET RFA_B_pin<2> LOC=AF33 | IOSTANDARD=LVCMOS25;
213NET RFA_B_pin<3> LOC=AG31 | IOSTANDARD=LVCMOS25;
214NET RFA_B_pin<4> LOC=AF34 | IOSTANDARD=LVCMOS25;
215NET RFA_B_pin<5> LOC=AE33 | IOSTANDARD=LVCMOS25;
216NET RFA_B_pin<6> LOC=AE34 | IOSTANDARD=LVCMOS25;
217
218NET RFB_SPI_SCLK_pin LOC=H34 | IOSTANDARD=LVCMOS25;
219NET RFB_SPI_MOSI_pin LOC=H33 | IOSTANDARD=LVCMOS25;
220NET RFB_SPI_CSn_pin LOC=J32 | IOSTANDARD=LVCMOS25;
221NET RFB_SHDN_pin LOC=J34 | IOSTANDARD=LVCMOS25;
222NET RFB_TxEn_pin LOC=H32 | IOSTANDARD=LVCMOS25;
223NET RFB_RxEn_pin LOC=J31 | IOSTANDARD=LVCMOS25;
224NET RFB_RxHP_pin LOC=R28 | IOSTANDARD=LVCMOS25;
225NET RFB_PAEn_24_pin LOC=T25 | IOSTANDARD=LVCMOS25;
226NET RFB_PAEn_5_pin LOC=T28 | IOSTANDARD=LVCMOS25;
227NET RFB_ANTSW_pin<0> LOC=T30 | IOSTANDARD=LVCMOS25;
228NET RFB_ANTSW_pin<1> LOC=T29 | IOSTANDARD=LVCMOS25;
229NET RFB_LD_pin LOC=K33 | IOSTANDARD=LVCMOS25;
230NET RFB_B_pin<0> LOC=P27 | IOSTANDARD=LVCMOS25;
231NET RFB_B_pin<1> LOC=R27 | IOSTANDARD=LVCMOS25;
232NET RFB_B_pin<2> LOC=R29 | IOSTANDARD=LVCMOS25;
233NET RFB_B_pin<3> LOC=R26 | IOSTANDARD=LVCMOS25;
234NET RFB_B_pin<4> LOC=R32 | IOSTANDARD=LVCMOS25;
235NET RFB_B_pin<5> LOC=T26 | IOSTANDARD=LVCMOS25;
236NET RFB_B_pin<6> LOC=R31 | IOSTANDARD=LVCMOS25;
237
238NET RFA_AD_spi_sclk_pin LOC = AB33 | IOSTANDARD = LVCMOS25;#
239NET RFA_AD_spi_sdio LOC = AC30 | IOSTANDARD = LVCMOS25;#
240NET RFA_AD_spi_cs_n_pin LOC = AB31 | IOSTANDARD = LVCMOS25;#
241NET RFA_AD_reset_n_pin LOC = AA34 | IOSTANDARD = LVCMOS25;#
242
243NET RFB_AD_spi_sclk_pin LOC = P32 | IOSTANDARD = LVCMOS25;#
244NET RFB_AD_spi_sdio LOC = P34 | IOSTANDARD = LVCMOS25;#
245NET RFB_AD_spi_cs_n_pin LOC = N32 | IOSTANDARD = LVCMOS25;#
246NET RFB_AD_reset_n_pin LOC = N34 | IOSTANDARD = LVCMOS25;#
247
248NET clk_rfref_spi_sclk_pin LOC = V25 | IOSTANDARD = LVCMOS25;#
249NET clk_rfref_spi_mosi_pin LOC = W25 | IOSTANDARD = LVCMOS25;#
250NET clk_rfref_spi_cs_n_pin LOC = W27 | IOSTANDARD = LVCMOS25;#
251NET clk_rfref_spi_miso_pin LOC = Y27 | IOSTANDARD = LVCMOS25;#
252NET clk_rfref_func_pin LOC = L26 | IOSTANDARD = LVCMOS25;
253
254NET clk_samp_spi_sclk_pin LOC = W32 | IOSTANDARD = LVCMOS25;#
255NET clk_samp_spi_mosi_pin LOC = Y29 | IOSTANDARD = LVCMOS25;#
256NET clk_samp_spi_cs_n_pin LOC = W31 | IOSTANDARD = LVCMOS25;#
257NET clk_samp_spi_miso_pin LOC = Y28 | IOSTANDARD = LVCMOS25;#
258NET clk_samp_func_pin LOC = R33 | IOSTANDARD = LVCMOS25;#
259
260#TRXCLK pins driven by AD9963's; assuming 80MHz worst case
261Net RFA_AD_TRXCLK TNM_NET = RFA_AD_TRXCLK;
262TIMESPEC TS_RFA_AD_TRXCLK = PERIOD RFA_AD_TRXCLK 80 MHz;
263
264Net RFB_AD_TRXCLK TNM_NET = RFB_AD_TRXCLK;
265TIMESPEC TS_RFB_AD_TRXCLK = PERIOD RFB_AD_TRXCLK 80 MHz;
266
267#RFA AD9963
268NET RFA_AD_TRXD<0> LOC = AC25 | IOSTANDARD = LVCMOS25;
269NET RFA_AD_TRXD<1> LOC = AB25 | IOSTANDARD = LVCMOS25;
270NET RFA_AD_TRXD<2> LOC = AB32 | IOSTANDARD = LVCMOS25;
271NET RFA_AD_TRXD<3> LOC = AC29 | IOSTANDARD = LVCMOS25;
272NET RFA_AD_TRXD<4> LOC = AD29 | IOSTANDARD = LVCMOS25;
273NET RFA_AD_TRXD<5> LOC = AC33 | IOSTANDARD = LVCMOS25;
274NET RFA_AD_TRXD<6> LOC = AD34 | IOSTANDARD = LVCMOS25;
275NET RFA_AD_TRXD<7> LOC = AC32 | IOSTANDARD = LVCMOS25;
276NET RFA_AD_TRXD<8> LOC = AD31 | IOSTANDARD = LVCMOS25;
277NET RFA_AD_TRXD<9> LOC = AD32 | IOSTANDARD = LVCMOS25;
278NET RFA_AD_TRXD<10> LOC = AE31 | IOSTANDARD = LVCMOS25;
279NET RFA_AD_TRXD<11> LOC = AE32 | IOSTANDARD = LVCMOS25;
280
281NET RFA_AD_TRXCLK LOC = AD30 | IOSTANDARD = LVCMOS25;
282NET RFA_AD_TRXIQ LOC = AC34 | IOSTANDARD = LVCMOS25;
283
284NET RFA_AD_TXCLK LOC = AA31 | IOSTANDARD = LVCMOS25;
285NET RFA_AD_TXIQ LOC = AA33 | IOSTANDARD = LVCMOS25;
286
287NET RFA_AD_TXD<0> LOC = AA25 | IOSTANDARD = LVCMOS25;
288NET RFA_AD_TXD<1> LOC = AB26 | IOSTANDARD = LVCMOS25;
289NET RFA_AD_TXD<2> LOC = Y26 | IOSTANDARD = LVCMOS25;
290NET RFA_AD_TXD<3> LOC = AA26 | IOSTANDARD = LVCMOS25;
291NET RFA_AD_TXD<4> LOC = AA28 | IOSTANDARD = LVCMOS25;
292NET RFA_AD_TXD<5> LOC = AA29 | IOSTANDARD = LVCMOS25;
293NET RFA_AD_TXD<6> LOC = AA30 | IOSTANDARD = LVCMOS25;
294NET RFA_AD_TXD<7> LOC = AB30 | IOSTANDARD = LVCMOS25;
295NET RFA_AD_TXD<8> LOC = AB28 | IOSTANDARD = LVCMOS25;
296NET RFA_AD_TXD<9> LOC = AB27 | IOSTANDARD = LVCMOS25;
297NET RFA_AD_TXD<10> LOC = AC28 | IOSTANDARD = LVCMOS25;
298NET RFA_AD_TXD<11> LOC = AC27 | IOSTANDARD = LVCMOS25;
299
300#RFB
301NET RFB_AD_TRXD<0> LOC = N25 | IOSTANDARD = LVCMOS25;
302NET RFB_AD_TRXD<1> LOC = M25 | IOSTANDARD = LVCMOS25;
303NET RFB_AD_TRXD<2> LOC = N28 | IOSTANDARD = LVCMOS25;
304NET RFB_AD_TRXD<3> LOC = N27 | IOSTANDARD = LVCMOS25;
305NET RFB_AD_TRXD<4> LOC = P29 | IOSTANDARD = LVCMOS25;
306NET RFB_AD_TRXD<5> LOC = M30 | IOSTANDARD = LVCMOS25;
307NET RFB_AD_TRXD<6> LOC = N30 | IOSTANDARD = LVCMOS25;
308NET RFB_AD_TRXD<7> LOC = N29 | IOSTANDARD = LVCMOS25;
309NET RFB_AD_TRXD<8> LOC = P26 | IOSTANDARD = LVCMOS25;
310NET RFB_AD_TRXD<9> LOC = P31 | IOSTANDARD = LVCMOS25;
311NET RFB_AD_TRXD<10> LOC = P25 | IOSTANDARD = LVCMOS25;
312NET RFB_AD_TRXD<11> LOC = P30 | IOSTANDARD = LVCMOS25;
313
314NET RFB_AD_TRXCLK LOC = N33 | IOSTANDARD = LVCMOS25;
315NET RFB_AD_TRXIQ LOC = M33 | IOSTANDARD = LVCMOS25;
316
317NET RFB_AD_TXCLK LOC = L28 | IOSTANDARD = LVCMOS25;
318NET RFB_AD_TXIQ LOC = L29 | IOSTANDARD = LVCMOS25;
319
320NET RFB_AD_TXD<0> LOC = K32 | IOSTANDARD = LVCMOS25;
321NET RFB_AD_TXD<1> LOC = M26 | IOSTANDARD = LVCMOS25;
322NET RFB_AD_TXD<2> LOC = M32 | IOSTANDARD = LVCMOS25;
323NET RFB_AD_TXD<3> LOC = K34 | IOSTANDARD = LVCMOS25;
324NET RFB_AD_TXD<4> LOC = M31 | IOSTANDARD = LVCMOS25;
325NET RFB_AD_TXD<5> LOC = L30 | IOSTANDARD = LVCMOS25;
326NET RFB_AD_TXD<6> LOC = L33 | IOSTANDARD = LVCMOS25;
327NET RFB_AD_TXD<7> LOC = L31 | IOSTANDARD = LVCMOS25;
328NET RFB_AD_TXD<8> LOC = M28 | IOSTANDARD = LVCMOS25;
329NET RFB_AD_TXD<9> LOC = L34 | IOSTANDARD = LVCMOS25;
330NET RFB_AD_TXD<10> LOC = M27 | IOSTANDARD = LVCMOS25;
331NET RFB_AD_TXD<11> LOC = K31 | IOSTANDARD = LVCMOS25;
332
333NET RF_RSSI_CLK LOC = B32 | IOSTANDARD = LVCMOS25;
334NET RF_RSSI_PD LOC = B34 | IOSTANDARD = LVCMOS25;
335NET RFB_RSSI_D<0> LOC = A33 | IOSTANDARD = LVCMOS25;
336NET RFB_RSSI_D<1> LOC = B33 | IOSTANDARD = LVCMOS25;
337NET RFB_RSSI_D<2> LOC = C33 | IOSTANDARD = LVCMOS25;
338NET RFB_RSSI_D<3> LOC = C34 | IOSTANDARD = LVCMOS25;
339NET RFB_RSSI_D<4> LOC = C32 | IOSTANDARD = LVCMOS25;
340NET RFB_RSSI_D<5> LOC = D31 | IOSTANDARD = LVCMOS25;
341NET RFB_RSSI_D<6> LOC = G30 | IOSTANDARD = LVCMOS25;
342NET RFB_RSSI_D<7> LOC = E31 | IOSTANDARD = LVCMOS25;
343NET RFB_RSSI_D<8> LOC = D32 | IOSTANDARD = LVCMOS25;
344NET RFB_RSSI_D<9> LOC = D34 | IOSTANDARD = LVCMOS25;
345NET RFA_RSSI_D<0> LOC = E32 | IOSTANDARD = LVCMOS25;
346NET RFA_RSSI_D<1> LOC = E33 | IOSTANDARD = LVCMOS25;
347NET RFA_RSSI_D<2> LOC = E34 | IOSTANDARD = LVCMOS25;
348NET RFA_RSSI_D<3> LOC = F30 | IOSTANDARD = LVCMOS25;
349NET RFA_RSSI_D<4> LOC = F31 | IOSTANDARD = LVCMOS25;
350NET RFA_RSSI_D<5> LOC = F34 | IOSTANDARD = LVCMOS25;
351NET RFA_RSSI_D<6> LOC = F33 | IOSTANDARD = LVCMOS25;
352NET RFA_RSSI_D<7> LOC = G31 | IOSTANDARD = LVCMOS25;
353NET RFA_RSSI_D<8> LOC = G33 | IOSTANDARD = LVCMOS25;
354NET RFA_RSSI_D<9> LOC = G32 | IOSTANDARD = LVCMOS25;
355
356
357###### ETH_A
358###### Hard_Ethernet_MAC
359# This is a RGMII system
360# GTX_CLK_0 = 125MHz
361# LlinkTemac0_CLK = plb_v46 clk = host clock = 100MHz from clock generator
362# Rx/Tx Client clocks are Rx/Tx PHY clocks so CORE Gen PHY clock constraints propagate to Rx/Tx client clock periods
363# Time domain crossing constraints (DATAPATHONLY) are set for maximum bus frequency
364# allowed by IP which is the maximum option in BSB. For lower bus frequency choice in BSB,
365# the constraints are over constrained. Relaxing them for your system may reduce build time.
366
367NET "*ETH_A*/hrst*" TIG;
368
369# Locate the Tri-Mode Ethernet MAC instance
370INST "*ETH_A*v6_emac" LOC = "TEMAC_X0Y0";
371
372###############################################################################
373# CLOCK CONSTRAINTS
374# The following constraints are required. If you choose to not use the example
375# design level of wrapper hierarchy, the net names should be translated to
376# match your design.
377###############################################################################
378
379# Ethernet GTX_CLK high quality 125 MHz reference clock
380NET "*/GTX_CLK_0" TNM_NET = "ref_gtx_clk";                                                 #name of signal connected to TEMAC GTX_CLK_0 input
381TIMEGRP "v6_emac_v1_3_clk_ref_gtx" = "ref_gtx_clk";
382TIMESPEC "TS_v6_emac_v1_3_clk_ref_gtx" = PERIOD "v6_emac_v1_3_clk_ref_gtx" 8 ns HIGH 50 %; #constant value based on constant 125 MHZ GTX clock
383
384# Ethernet RGMII PHY-side transmit clock
385# Changed NET Name - Input to bufg_tx_0
386#     ___________                                         
387#    |           |                 |\                     
388#    | Hard Core |--- tx_clk_0_o --| >---- Tx_Cl_Clk -----
389#    |___________|                 |/                     
390#                                 BUFG
391#
392NET "*ETH_A*/tx_cl_clk" TNM_NET = "A_phy_clk_tx";
393TIMEGRP "A_v6_emac_v1_3_clk_phy_tx" = "A_phy_clk_tx";
394TIMESPEC "TS_A_v6_emac_v1_3_clk_phy_tx" = PERIOD "A_v6_emac_v1_3_clk_phy_tx" 8 ns HIGH 50 %;
395
396# Ethernet RGMII PHY-side receive clock
397# Changed NET Name
398#  RGMII_RXC_0 is the name of the clock net at the TEMAC Port
399#     It is the input to the IODELAY
400#        RxClientClk_0 is the name of the BUFG output clock net
401#
402#                     _________      BUFR
403#                    |         |      |\
404#  ---RGMII_RXC_0----| IODELAY |------| >----RxClientClk_0------------
405#                    |_________|      |/
406#
407NET "ETH_A_RGMII_RXC_0_pin" TNM_NET = "A_phy_clk_rx";
408TIMEGRP "A_v6_emac_v1_3_clk_phy_rx" = "A_phy_clk_rx";
409TIMESPEC "TS_A_v6_emac_v1_3_clk_phy_rx" = PERIOD "A_v6_emac_v1_3_clk_phy_rx" 7.5 ns HIGH 50 %;
410
411# IDELAYCTRL 200 MHz reference clock
412NET "clk_200*MHz*" TNM_NET  = "clk_ref_clk";                                              #name of signal connected to TEMAC REFCLK input   
413TIMEGRP "ref_clk" = "clk_ref_clk";                                                                                                           
414TIMESPEC "TS_ref_clk" = PERIOD "ref_clk" 5 ns HIGH 50 %;                                  #constant value based on constant 200 MHZ ref clock
415
416# Constrain the DCR interface clock to an example frequency of 100 MHz
417# Changed NET Name
418# NET "DCREMACCLK" TNM_NET = "host_clock";
419#NET "*ETH_A*/SPLB_CLK" TNM_NET = "host_clock";
420#TIMEGRP "A_clk_host" = "A_host_clock";
421#TIMESPEC "TS_A_clk_host" = PERIOD "A_clk_host" 10 ns HIGH 50 %;
422
423###############################################################################
424# PHYSICAL INTERFACE CONSTRAINTS
425# The following constraints are necessary for proper operation, and are tuned
426# for this example design. They should be modified to suit your design.
427###############################################################################
428
429# RGMII physical interface constraints
430# -----------------------------------------------------------------------------
431
432# Set the IDELAY and ODELAY values, tuned for this example design.
433# These values should be modified to suit your design.
434# original assuming equal trace lengths  INST "*rgmii?rgmii_rx_ctl_delay" IDELAY_VALUE = 13;
435# original assuming equal trace lengths  INST "*rgmii?rgmii_rx_d0_delay"  IDELAY_VALUE = 13;
436# original assuming equal trace lengths  INST "*rgmii?rgmii_rx_d1_delay"  IDELAY_VALUE = 13;
437# original assuming equal trace lengths  INST "*rgmii?rgmii_rx_d2_delay"  IDELAY_VALUE = 13;
438# original assuming equal trace lengths  INST "*rgmii?rgmii_rx_d3_delay"  IDELAY_VALUE = 13;
439
440INST "*ETH_A*rgmii?rgmii_rx_ctl_delay" IDELAY_VALUE = 13;
441INST "*ETH_A*rgmii?rgmii_rx_d0_delay"  IDELAY_VALUE = 13;
442INST "*ETH_A*rgmii?rgmii_rx_d1_delay"  IDELAY_VALUE = 13;
443INST "*ETH_A*rgmii?rgmii_rx_d2_delay"  IDELAY_VALUE = 13;
444INST "*ETH_A*rgmii?rgmii_rx_d3_delay"  IDELAY_VALUE = 13;
445
446INST "*ETH_A*rgmii_rxc0_delay"          IDELAY_VALUE = 0;
447INST "*ETH_A*rgmii_rxc0_delay"          SIGNAL_PATTERN = CLOCK;
448 
449INST "*ETH_A*rgmii?rgmii_tx_clk_delay" ODELAY_VALUE = 6;
450INST "*ETH_A*rgmii?rgmii_tx_clk_delay" SIGNAL_PATTERN = CLOCK;
451
452# Group all IODELAY-related blocks to use a single IDELAYCTRL
453
454# Change - added TNMs for trace length variations
455INST "ETH_A_RGMII_RXD_0_pin[0]" TNM = "A_rgmii_rx_d0";
456INST "ETH_A_RGMII_RXD_0_pin[1]" TNM = "A_rgmii_rx_d1";
457INST "ETH_A_RGMII_RXD_0_pin[2]" TNM = "A_rgmii_rx_d2";
458INST "ETH_A_RGMII_RXD_0_pin[3]" TNM = "A_rgmii_rx_d3";
459INST "ETH_A_RGMII_RX_CTL_0_pin" TNM = "A_rgmii_rx_ctrl";
460
461# Spec: 1.2ns setup time, 1.2ns hold time
462# The internal PHY delays were not used to derive the OFFSET constraints                                                                 
463# Changed NET Name
464#  This signal trace is longer than the clock trace, and arrives at the FPGA pin 64 ps after the clock
465#  Therefore the offset in constraint must have less setup time than nominal
466TIMEGRP "A_rgmii_rx_d0" OFFSET = IN 1.2 ns VALID 2.4 ns BEFORE "ETH_A_RGMII_RXC_0_pin" RISING;
467TIMEGRP "A_rgmii_rx_d0" OFFSET = IN 1.2 ns VALID 2.4 ns BEFORE "ETH_A_RGMII_RXC_0_pin" FALLING;
468
469#  This signal trace is shorter than the clock trace, and arrives at the FPGA pin 376 ps before the clock
470#  Therefore the offset in constraint must have more setup time than nominal
471TIMEGRP "A_rgmii_rx_d1" OFFSET = IN 1.2 ns VALID 2.4 ns BEFORE "ETH_A_RGMII_RXC_0_pin" RISING;
472TIMEGRP "A_rgmii_rx_d1" OFFSET = IN 1.2 ns VALID 2.4 ns BEFORE "ETH_A_RGMII_RXC_0_pin" FALLING;
473
474#  This signal trace is shorter than the clock trace, and arrives at the FPGA pin 372 ps before the clock
475#  Therefore the offset in constraint must have more setup time than nominal
476TIMEGRP "A_rgmii_rx_d2" OFFSET = IN 1.2 ns VALID 2.4 ns BEFORE "ETH_A_RGMII_RXC_0_pin" RISING;
477TIMEGRP "A_rgmii_rx_d2" OFFSET = IN 1.2 ns VALID 2.4 ns BEFORE "ETH_A_RGMII_RXC_0_pin" FALLING;
478
479#  This signal trace is shorter than the clock trace, and arrives at the FPGA pin 115 ps before the clock
480#  Therefore the offset in constraint must have more setup time than nominal
481TIMEGRP "A_rgmii_rx_d3" OFFSET = IN 1.2 ns VALID 2.4 ns BEFORE "ETH_A_RGMII_RXC_0_pin" RISING;
482TIMEGRP "A_rgmii_rx_d3" OFFSET = IN 1.2 ns VALID 2.4 ns BEFORE "ETH_A_RGMII_RXC_0_pin" FALLING;
483
484#  This signal trace is shorter than the clock trace, and arrives at the FPGA pin 292 ps before the clock
485#  Therefore the offset in constraint must have more setup time than nominal
486TIMEGRP "A_rgmii_rx_ctrl" OFFSET = IN 1.2 ns VALID 2.4 ns BEFORE "ETH_A_RGMII_RXC_0_pin" RISING;
487TIMEGRP "A_rgmii_rx_ctrl" OFFSET = IN 1.2 ns VALID 2.4 ns BEFORE "ETH_A_RGMII_RXC_0_pin" FALLING;
488
489
490NET "*ETH_A*/LlinkTemac0_CLK" TNM_NET = "A_LLCLK0"; #name of signal connected to TEMAC LlinkTemac0_CLK input
491NET "*ETH_A*/SPLB_Clk" TNM_NET = "A_PLBCLK"; #name of signal connected to TEMAC SPLB_Clk input
492NET "*ETH_A*/REFCLK" TNM_NET = "A_REFCLK"; #name of signal connected to TEMAC REFCLK input
493
494TIMESPEC "TS_A_LL_CLK0_2_RX_CLIENT_CLK0"  = FROM A_LLCLK0 TO A_phy_clk_rx 8000 ps DATAPATHONLY; #constant value based on Ethernet clock                 
495TIMESPEC "TS_A_LL_CLK0_2_TX_CLIENT_CLK0"  = FROM A_LLCLK0 TO A_phy_clk_tx 8000 ps DATAPATHONLY; #constant value based on Ethernet clock             
496TIMESPEC "TS_A_RX_CLIENT_CLK0_2_LL_CLK0"  = FROM A_phy_clk_rx TO A_LLCLK0 8000 ps DATAPATHONLY; #varies based on period of LocalLink clock           
497TIMESPEC "TS_A_TX_CLIENT_CLK0_2_LL_CLK0"  = FROM A_phy_clk_tx TO A_LLCLK0 8000 ps DATAPATHONLY; #varies based on period of LocalLink clock           
498
499TIMESPEC "TS_A_REF_CLK_2_PLB_CLIENT_CLK"  = FROM A_REFCLK TO A_PLBCLK 8000 ps DATAPATHONLY; #varies based on period of PLB clock                       
500TIMESPEC "TS_A_PLB_CLIENT_CLK_2_REF_CLK"  = FROM A_PLBCLK TO A_REFCLK 5000 ps DATAPATHONLY; #constant value based on constant 200 MHZ ref clock         
501
502TIMESPEC "TS_A_REF_CLK_2_TX_CLIENT_CLK0"  = FROM A_REFCLK TO A_phy_clk_tx 8000 ps DATAPATHONLY; #constant value based on Ethernet clock                       
503TIMESPEC "TS_A_TX_CLIENT_CLK0_2_REF_CLK"  = FROM A_phy_clk_tx TO A_REFCLK 5000 ps DATAPATHONLY; #constant value based on constant 200 MHZ ref clock           
504
505TIMESPEC "TS_A_REF_CLK_2_RX_CLIENT_CLK0"  = FROM A_REFCLK TO A_phy_clk_rx 8000 ps DATAPATHONLY; #constant value based on Ethernet clock               
506TIMESPEC "TS_A_RX_CLIENT_CLK0_2_REF_CLK"  = FROM A_phy_clk_rx TO A_REFCLK 5000 ps DATAPATHONLY; #constant value based on constant 200 MHZ ref clock   
507
508
509
510###### ETH_B
511###### Hard_Ethernet_MAC
512# This is a RGMII system
513# GTX_CLK_0 = 125MHz
514# LlinkTemac0_CLK = plb_v46 clk = host clock = 100MHz from clock generator
515# Rx/Tx Client clocks are Rx/Tx PHY clocks so CORE Gen PHY clock constraints propagate to Rx/Tx client clock periods
516# Time domain crossing constraints (DATAPATHONLY) are set for maximum bus frequency
517# allowed by IP which is the maximum option in BSB. For lower bus frequency choice in BSB,
518# the constraints are over constrained. Relaxing them for your system may reduce build time.
519
520NET "*ETH_B*/hrst*" TIG;
521
522# Locate the Tri-Mode Ethernet MAC instance
523INST "*ETH_B*v6_emac" LOC = "TEMAC_X0Y1";
524
525###############################################################################
526# CLOCK CONSTRAINTS
527# The following constraints are required. If you choose to not use the example
528# design level of wrapper hierarchy, the net names should be translated to
529# match your design.
530###############################################################################
531
532# Ethernet RGMII PHY-side transmit clock
533# Changed NET Name - Input to bufg_tx_0
534#     ___________                                         
535#    |           |                 |\                     
536#    | Hard Core |--- tx_clk_0_o --| >---- Tx_Cl_Clk -----
537#    |___________|                 |/                     
538#                                 BUFG
539#
540NET "*ETH_B*/tx_cl_clk" TNM_NET = "B_phy_clk_tx";
541TIMEGRP "B_v6_emac_v1_3_clk_phy_tx" = "B_phy_clk_tx";
542TIMESPEC "TS_B_v6_emac_v1_3_clk_phy_tx" = PERIOD "B_v6_emac_v1_3_clk_phy_tx" 8 ns HIGH 50 %;
543
544# Ethernet RGMII PHY-side receive clock
545# Changed NET Name
546#  RGMII_RXC_0 is the name of the clock net at the TEMAC Port
547#     It is the input to the IODELAY
548#        RxClientClk_0 is the name of the BUFG output clock net
549#
550#                     _________      BUFR
551#                    |         |      |\
552#  ---RGMII_RXC_0----| IODELAY |------| >----RxClientClk_0------------
553#                    |_________|      |/
554#
555NET "ETH_B_RGMII_RXC_0_pin" TNM_NET = "B_phy_clk_rx";
556TIMEGRP "B_v6_emac_v1_3_clk_phy_rx" = "B_phy_clk_rx";
557TIMESPEC "TS_B_v6_emac_v1_3_clk_phy_rx" = PERIOD "B_v6_emac_v1_3_clk_phy_rx" 7.5 ns HIGH 50 %;
558
559# Constrain the DCR interface clock to an example frequency of 100 MHz
560# Changed NET Name
561# NET "DCREMACCLK" TNM_NET = "host_clock";
562NET "*ETH_B*/SPLB_CLK" TNM_NET = "host_clock";
563TIMEGRP "B_clk_host" = "B_host_clock";
564TIMESPEC "TS_B_clk_host" = PERIOD "B_clk_host" 10 ns HIGH 50 %;
565
566###############################################################################
567# PHYSICAL INTERFACE CONSTRAINTS
568# The following constraints are necessary for proper operation, and are tuned
569# for this example design. They should be modified to suit your design.
570###############################################################################
571
572# RGMII physical interface constraints
573# -----------------------------------------------------------------------------
574
575# Set the IDELAY and ODELAY values, tuned for this example design.
576# These values should be modified to suit your design.
577# original assuming equal trace lengths  INST "*rgmii?rgmii_rx_ctl_delay" IDELAY_VALUE = 13;
578# original assuming equal trace lengths  INST "*rgmii?rgmii_rx_d0_delay"  IDELAY_VALUE = 13;
579# original assuming equal trace lengths  INST "*rgmii?rgmii_rx_d1_delay"  IDELAY_VALUE = 13;
580# original assuming equal trace lengths  INST "*rgmii?rgmii_rx_d2_delay"  IDELAY_VALUE = 13;
581# original assuming equal trace lengths  INST "*rgmii?rgmii_rx_d3_delay"  IDELAY_VALUE = 13;
582
583INST "*ETH_B*rgmii?rgmii_rx_ctl_delay" IDELAY_VALUE = 13;
584INST "*ETH_B*rgmii?rgmii_rx_d0_delay"  IDELAY_VALUE = 13;
585INST "*ETH_B*rgmii?rgmii_rx_d1_delay"  IDELAY_VALUE = 13;
586INST "*ETH_B*rgmii?rgmii_rx_d2_delay"  IDELAY_VALUE = 13;
587INST "*ETH_B*rgmii?rgmii_rx_d3_delay"  IDELAY_VALUE = 13;
588
589INST "*ETH_B*rgmii_rxc0_delay"          IDELAY_VALUE = 0;
590INST "*ETH_B*rgmii_rxc0_delay"          SIGNAL_PATTERN = CLOCK;
591 
592INST "*ETH_B*rgmii?rgmii_tx_clk_delay" ODELAY_VALUE = 6;
593INST "*ETH_B*rgmii?rgmii_tx_clk_delay" SIGNAL_PATTERN = CLOCK;
594
595# Group all IODELAY-related blocks to use a single IDELAYCTRL
596
597# Change - added TNMs for trace length variations
598INST "ETH_B_RGMII_RXD_0_pin[0]" TNM = "B_rgmii_rx_d0";
599INST "ETH_B_RGMII_RXD_0_pin[1]" TNM = "B_rgmii_rx_d1";
600INST "ETH_B_RGMII_RXD_0_pin[2]" TNM = "B_rgmii_rx_d2";
601INST "ETH_B_RGMII_RXD_0_pin[3]" TNM = "B_rgmii_rx_d3";
602INST "ETH_B_RGMII_RX_CTL_0_pin" TNM = "B_rgmii_rx_ctrl";
603
604# Spec: 1.2ns setup time, 1.2ns hold time
605# The internal PHY delays were not used to derive the OFFSET constraints                                                                 
606# Changed NET Name
607#  This signal trace is longer than the clock trace, and arrives at the FPGA pin 64 ps after the clock
608#  Therefore the offset in constraint must have less setup time than nominal
609TIMEGRP "B_rgmii_rx_d0" OFFSET = IN 1.2 ns VALID 2.4 ns BEFORE "ETH_B_RGMII_RXC_0_pin" RISING;
610TIMEGRP "B_rgmii_rx_d0" OFFSET = IN 1.2 ns VALID 2.4 ns BEFORE "ETH_B_RGMII_RXC_0_pin" FALLING;
611
612#  This signal trace is shorter than the clock trace, and arrives at the FPGA pin 376 ps before the clock
613#  Therefore the offset in constraint must have more setup time than nominal
614TIMEGRP "B_rgmii_rx_d1" OFFSET = IN 1.2 ns VALID 2.4 ns BEFORE "ETH_B_RGMII_RXC_0_pin" RISING;
615TIMEGRP "B_rgmii_rx_d1" OFFSET = IN 1.2 ns VALID 2.4 ns BEFORE "ETH_B_RGMII_RXC_0_pin" FALLING;
616
617#  This signal trace is shorter than the clock trace, and arrives at the FPGA pin 372 ps before the clock
618#  Therefore the offset in constraint must have more setup time than nominal
619TIMEGRP "B_rgmii_rx_d2" OFFSET = IN 1.2 ns VALID 2.4 ns BEFORE "ETH_B_RGMII_RXC_0_pin" RISING;
620TIMEGRP "B_rgmii_rx_d2" OFFSET = IN 1.2 ns VALID 2.4 ns BEFORE "ETH_B_RGMII_RXC_0_pin" FALLING;
621
622#  This signal trace is shorter than the clock trace, and arrives at the FPGA pin 115 ps before the clock
623#  Therefore the offset in constraint must have more setup time than nominal
624TIMEGRP "B_rgmii_rx_d3" OFFSET = IN 1.2 ns VALID 2.4 ns BEFORE "ETH_B_RGMII_RXC_0_pin" RISING;
625TIMEGRP "B_rgmii_rx_d3" OFFSET = IN 1.2 ns VALID 2.4 ns BEFORE "ETH_B_RGMII_RXC_0_pin" FALLING;
626
627#  This signal trace is shorter than the clock trace, and arrives at the FPGA pin 292 ps before the clock
628#  Therefore the offset in constraint must have more setup time than nominal
629TIMEGRP "B_rgmii_rx_ctrl" OFFSET = IN 1.2 ns VALID 2.4 ns BEFORE "ETH_B_RGMII_RXC_0_pin" RISING;
630TIMEGRP "B_rgmii_rx_ctrl" OFFSET = IN 1.2 ns VALID 2.4 ns BEFORE "ETH_B_RGMII_RXC_0_pin" FALLING;
631
632
633NET "*ETH_B*/LlinkTemac0_CLK" TNM_NET = "B_LLCLK0"; #name of signal connected to TEMAC LlinkTemac0_CLK input
634NET "*ETH_B*/SPLB_Clk" TNM_NET = "B_PLBCLK"; #name of signal connected to TEMAC SPLB_Clk input
635
636TIMESPEC "TS_B_LL_CLK0_2_RX_CLIENT_CLK0"  = FROM B_LLCLK0 TO B_phy_clk_rx 8000 ps DATAPATHONLY; #constant value based on Ethernet clock                 
637TIMESPEC "TS_B_LL_CLK0_2_TX_CLIENT_CLK0"  = FROM B_LLCLK0 TO B_phy_clk_tx 8000 ps DATAPATHONLY; #constant value based on Ethernet clock             
638TIMESPEC "TS_B_RX_CLIENT_CLK0_2_LL_CLK0"  = FROM B_phy_clk_rx TO B_LLCLK0 8000 ps DATAPATHONLY; #varies based on period of LocalLink clock           
639TIMESPEC "TS_B_TX_CLIENT_CLK0_2_LL_CLK0"  = FROM B_phy_clk_tx TO B_LLCLK0 8000 ps DATAPATHONLY; #varies based on period of LocalLink clock       
640
641###### DDR3_2GB_SODIMM
642#2012-Apr-2:
643# -Started with old UCF snippet from early FPGA pinout testing
644# -Updated LOC constraints to match MIG 13.4 design which met timing for 2GB SO-DIMM (-1 @ 400MHz, -2 @ 533MHz)
645
646###### DDR3_SDRAM
647
648# Constrain BUFR clocks used to synchronize data from IOB to fabric logic
649# Note that ISE cannot infer this from other PERIOD constraints because
650# of the use of OSERDES blocks in the BUFR clock generation path
651NET "*/mpmc_core_0/gen_v6_ddr3_phy.mpmc_phy_if_0/clk_rsync[?]" TNM_NET = TNM_clk_rsync;
652TIMESPEC "TS_clk_rsync" = PERIOD "TNM_clk_rsync" 5000 ps;       # This is over-constraint for 200MHz, user can relax it to match mpmc_clk0
653 
654# Paths between DQ/DQS ISERDES.Q outputs and CLB flops clocked by falling
655# edge of BUFR will by design only be used if DYNCLKDIVSEL is asserted for
656# that particular flop. Mark this path as being a full-cycle, rather than
657# a half cycle path for timing purposes. NOTE: This constraint forces full-
658# cycle timing to be applied globally for all rising->falling edge paths
659# in all resynchronizaton clock domains. If the user had modified the logic
660# in the resync clock domain such that other rising->falling edge paths
661# exist, then constraint below should be modified to utilize pattern
662# matching to specific affect only the DQ/DQS ISERDES.Q outputs
663TIMEGRP "TG_clk_rsync_rise" = RISING  "TNM_clk_rsync";
664TIMEGRP "TG_clk_rsync_fall" = FALLING "TNM_clk_rsync";
665TIMESPEC "TS_clk_rsync_rise_to_fall" =    FROM "TG_clk_rsync_rise" TO "TG_clk_rsync_fall" 5000 ps;    # This is over-constraint for 200MHz, user can relax it to match mpmc_clk0
666 
667# Signal to select between controller and physical layer signals. Four divided by two clock
668# cycles (4 memory clock cycles) are provided by design for the signal to settle down.
669# Used only by the phy modules.
670INST "*/mpmc_core_0/gen_v6_ddr3_phy.mpmc_phy_if_0/u_phy_init/u_ff_phy_init_data_sel" TNM = "TNM_PHY_INIT_SEL";
671TIMESPEC "TS_MC_PHY_INIT_SEL" = FROM "TNM_PHY_INIT_SEL" TO FFS = 10000 ps;                         # This is over-constraint, user can relax it to match 4 memory clock cycles
672
673#Internal Vref
674CONFIG INTERNAL_VREF_BANK22=0.75;
675CONFIG INTERNAL_VREF_BANK23=0.75;
676CONFIG INTERNAL_VREF_BANK33=0.75;
677
678#DCI Cascading
679CONFIG DCI_CASCADE = "23 22";
680
681#BUFR IOBs (must be unconnected in FPGA and PCB)
682CONFIG PROHIBIT = AH17,AP20;
683
684#BUFIO IOBs (must be unconnected in FPGA and PCB)
685CONFIG PROHIBIT = AC13,AD12,AF19,AF20,AH23,AK27,AN27,AP11;
686
687######################################################################################
688##Place RSYNC OSERDES and IODELAY:                                                  ##
689######################################################################################
690
691#MPMC as of EDK 13.4 only supports 32-bit memories
692##Site: AH17 -- Bank 32
693#INST "*/u_phy_read/u_phy_rdclk_gen/gen_loop_col1.u_oserdes_rsync" LOC = "OLOGIC_X2Y23";
694#INST "*/u_phy_read/u_phy_rdclk_gen/gen_loop_col1.u_odelay_rsync" LOC = "IODELAY_X2Y23";
695#INST "*/u_phy_read/u_phy_rdclk_gen/gen_loop_col1.u_bufr_rsync" LOC = "BUFR_X2Y1";
696
697##Site: AP20 -- Bank 22
698INST "*/u_phy_read/u_phy_rdclk_gen/gen_loop_col0.u_oserdes_rsync" LOC = "OLOGIC_X1Y21";
699INST "*/u_phy_read/u_phy_rdclk_gen/gen_loop_col0.u_odelay_rsync" LOC = "IODELAY_X1Y21";
700INST "*/u_phy_read/u_phy_rdclk_gen/gen_loop_col0.u_bufr_rsync" LOC = "BUFR_X1Y1";
701
702
703######################################################################################
704##Place CPT OSERDES and IODELAY:                                                    ##
705######################################################################################
706
707##Site: AH23 -- Bank 23
708INST "*/u_phy_read/u_phy_rdclk_gen/gen_ck_cpt[0].u_oserdes_cpt" LOC = "OLOGIC_X1Y57";
709INST "*/u_phy_read/u_phy_rdclk_gen/gen_ck_cpt[0].u_odelay_cpt" LOC = "IODELAY_X1Y57";
710
711##Site: AK27 -- Bank 23
712INST "*/u_phy_read/u_phy_rdclk_gen/gen_ck_cpt[1].u_oserdes_cpt" LOC = "OLOGIC_X1Y59";
713INST "*/u_phy_read/u_phy_rdclk_gen/gen_ck_cpt[1].u_odelay_cpt" LOC = "IODELAY_X1Y59";
714
715##Site: AN27 -- Bank 23
716INST "*/u_phy_read/u_phy_rdclk_gen/gen_ck_cpt[2].u_oserdes_cpt" LOC = "OLOGIC_X1Y61";
717INST "*/u_phy_read/u_phy_rdclk_gen/gen_ck_cpt[2].u_odelay_cpt" LOC = "IODELAY_X1Y61";
718
719##Site: AF19 -- Bank 22
720INST "*/u_phy_read/u_phy_rdclk_gen/gen_ck_cpt[3].u_oserdes_cpt" LOC = "OLOGIC_X1Y23";
721INST "*/u_phy_read/u_phy_rdclk_gen/gen_ck_cpt[3].u_odelay_cpt" LOC = "IODELAY_X1Y23";
722
723#MPMC as of EDK 13.4 only supports 32-bit memories
724##Site: AF20 -- Bank 22
725#INST "*/u_phy_read/u_phy_rdclk_gen/gen_ck_cpt[4].u_oserdes_cpt" LOC = "OLOGIC_X1Y17";
726#INST "*/u_phy_read/u_phy_rdclk_gen/gen_ck_cpt[4].u_odelay_cpt" LOC = "IODELAY_X1Y17";
727
728##Site: AP11 -- Bank 33
729#INST "*/u_phy_read/u_phy_rdclk_gen/gen_ck_cpt[5].u_oserdes_cpt" LOC = "OLOGIC_X2Y57";
730#INST "*/u_phy_read/u_phy_rdclk_gen/gen_ck_cpt[5].u_odelay_cpt" LOC = "IODELAY_X2Y57";
731
732##Site: AC13 -- Bank 33
733#INST "*/u_phy_read/u_phy_rdclk_gen/gen_ck_cpt[6].u_oserdes_cpt" LOC = "OLOGIC_X2Y61";
734#INST "*/u_phy_read/u_phy_rdclk_gen/gen_ck_cpt[6].u_odelay_cpt" LOC = "IODELAY_X2Y61";
735
736##Site: AD12 -- Bank 33
737#INST "*/u_phy_read/u_phy_rdclk_gen/gen_ck_cpt[7].u_oserdes_cpt" LOC = "OLOGIC_X2Y59";
738#INST "*/u_phy_read/u_phy_rdclk_gen/gen_ck_cpt[7].u_odelay_cpt" LOC = "IODELAY_X2Y59";
739
740
Note: See TracBrowser for help on using the repository browser.