Programmer Jedec Bit Map Date Extracted: Fri Jul 27 14:59:42 2012 QF55341* QP100* QV0* F0* X0* J0 0* N VERSION O.87xd* N DEVICE XC2C128-7-VQ100* Note Block 0 * Note Block 0 ZIA * L000000 1111001110111111111111111111* L000028 1111001110111111111111111111* L000056 1111011010111111111111111111* L000084 1111011010111111111111111111* L000112 1110011110111111111111111111* L000140 1111111110111111111111100111* L000168 1111111110100111111111111111* L000196 1111111110100111111111111111* L000224 1111111110111111111111100111* L000252 1111111110010111111111111111* L000280 1111011010111111111111111111* L000308 1111111110010111111111111111* L000336 1111011010111111111111111111* L000364 1111111110110011111111111111* L000392 1111010110111111111111111111* L000420 1111111110010111111111111111* L000448 1111111110110101111111111111* L000476 1111111110010111111111111111* L000504 1111111110010111111111111111* L000532 1111111110111111111111110011* L000560 1111011010111111111111111111* L000588 1111111110110011111111111111* L000616 1111111110110101111111111111* L000644 1111111110110110111111111111* L000672 1111111111111111111111111111* L000700 1111111110100111111111111111* L000728 1111111110110101111111111111* L000756 1111111110100111111111111111* L000784 1111111110111111110011111111* L000812 1111111110100111111111111111* L000840 1111111110110110111111111111* L000868 1111111110110110111111111111* L000896 1111111110111111111111010111* L000924 1111111110110011111111111111* L000952 1111111111111111111111111111* L000980 1111111110110101111111111111* L001008 1111111110111111010111111111* L001036 0111011110111111111111111111* L001064 1111111110110110111111111111* L001092 1111111110010111111111111111* Note Block 0 PLA AND array * L001120 11111111111111111111111111111111111111101111111111111111101111111111111111111111* L001200 11111111111111111110111111111111111111101111111111111111111111111011111111111111* L001280 11111111111111111111111111111111111111111111111111111111111101011111110111111101* L001360 11111111111111111011111111111111111111111111111111111111111110101111111011111101* L001440 11111111111111111111111111111111110111111111111111111111111111011111111111111101* L001520 11111111111111111110111111111111111111111111111111111111111111111111111111111111* L001600 11111111111111111111111111111111110111111111111011111111111110011111110111111111* L001680 11111111111111111111111111111111110111111111111111111111111110101111111111111110* L001760 11111111111111110111111111111111110111111111111111111111111110101111110111111111* L001840 11111111111111111111111111111111110111111111101111111111111111101111110111111110* L001920 11111111111111111111011111101111110111111111111111111111111101011111110111111111* L002000 11110111110111011111111111101111010111111101111111110111110101011111110111110111* L002080 11111111111111111111111111111111111111111111111111111111111101111111110111111101* L002160 11111111111111111111111111111110111111111011111111111101111111111110111111111111* L002240 11111111111111111111111111111111111111111111111111111111111101011111111111111101* L002320 11111111111111110111111111111111110111111111111111111111111111111111110111111101* L002400 11111111111111111011111111111111111111011111111111111111111111111111111111111111* L002480 11111111111111111111111111111111110111111111111111111111111111011111110111111101* L002560 11111111111111111111111111111111110111111111111111111111111110011111111011111110* L002640 11111111111111111111111111111111011111111111111111111111111111111111111111111111* L002720 11111111111111111111111111111111110111111111011111111111111101101111111111111110* L002800 11111111111111111111111111111111110111111111111011111111111111101111110111111110* L002880 11111111111111111111111111111111110111111111111111111111111110101111110111111110* L002960 10101110101101111111111110111010111110111011111011101101111110011101111111101110* L003040 10101110101101111111111110111010111110111011111111101101111110011101110111101101* L003120 11111111111111111111111111111111111111111111111111111111111111111011111111111111* L003200 10101110101101111111111110111010111110111011111111101101111110011101111011101110* L003280 10101110101101111111111110111010111110111011011111101101111101101101111111101110* L003360 11111111111111111111111111111111111111111111111111111110111110011101110110101101* L003440 10101110101101111111110110111010111110111011111111101110111110011110110111101101* L003520 10101110101101111111011110101010111110111011111111101101111101011101110111101111* L003600 10100110100101011111111110101010011110111001111111100101110101011101110111100111* L003680 11111110101111111111111110111110111110111011111111111111111111111111111111111111* L003760 11111110101111111111111111111110111110111011111111111111111110111111111011111110* L003840 11111111111111111111111111111111111111111111111111111111111110111111111111111111* L003920 11111110101111111111111101111101111110110111111111111111111110111111111011111110* L004000 11111110101111111111111110111110111110111111111111111111111110111111111011111110* L004080 11111110111111111111111110111101111101111011111111111111111101011111111111111111* L004160 11111111111111111111111111111111111111111111111111111111111111111111111101111111* L004240 11111111111111111111111111111111111111111111111111111111111101011111110110101110* L004320 11111111111111111111111111111111111111111111111111111111111111111011111111111111* L004400 11111111111111111111111111111111111111111111111111111111111110101111111110101111* L004480 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L004560 11111111111111111111111111111111111111111111111111110111111111111111111111111111* L004640 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L004720 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L004800 11111111110111111111111111111111111111111111111111111111111111111111111111111111* L004880 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L004960 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L005040 11111111111111111111111111111111110111111111111111111111111101111111111011111111* L005120 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L005200 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L005280 11111111111111111111111111111111111111111111111111111111111111111111111110101111* L005360 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L005440 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L005520 11111111111111111111111111111110111111110111111111111101111111111110111111111111* Note Block 0 PLA OR array * L005600 0111111111111111* L005616 0111111111111111* L005632 1111111111111011* L005648 1111111111111011* L005664 1111111111111011* L005680 1111111111111111* L005696 1111111111111011* L005712 1111111111111011* L005728 1111111111111011* L005744 1111111111111011* L005760 1111111110111011* L005776 1111111110111011* L005792 1111111110111111* L005808 1111111111111111* L005824 1111111100111111* L005840 1111111110111111* L005856 1111111111111111* L005872 1111111110111111* L005888 1111111110111111* L005904 1111111111111111* L005920 1111111110111111* L005936 1111111110111111* L005952 1111111110111111* L005968 1111111101111111* L005984 1111111101111111* L006000 1111111111111111* L006016 1111111101111111* L006032 1111111101111111* L006048 1111111111111111* L006064 1111111101111111* L006080 1111111101111111* L006096 1111111101111111* L006112 1111111011111111* L006128 1111111011111111* L006144 1111111111111111* L006160 1111111011111111* L006176 1111111011111111* L006192 1111111011111111* L006208 1011111111111110* L006224 1111111111111101* L006240 1111111111111111* L006256 1111111111111101* L006272 1111111111111111* L006288 1111111111111111* L006304 1111111111111111* L006320 1111111111111111* L006336 1111111111111111* L006352 1111111111111111* L006368 1111111111111111* L006384 1111111111111111* L006400 1111111111111111* L006416 1111111111111111* L006432 1111111111111111* L006448 1111111111111111* L006464 1111111111111111* L006480 1111111111111111* Note Block 0 I/O Macrocell Configuration 29 bits (15 if buried) * N Aclk Clk:2 ClkFreq ClkOp DG FB:2 InMod:2 InReg INz:2 Oe:4 P:2 Pu RegCom RegMod:2 R:2 Slw Tm XorIn:2* N Aclk Clk:2 ClkFreq ClkOp FB:2 P:2 Pu RegMod:2 R:2 XorIn:2* L006496 00000011001110000111100110000* L006525 00100010111111110111111100000* L006554 00000011001110000111100110001* L006583 00100010111111110111100110010* L006612 00000011001110000111100110011* L006641 00000011001110000111100110010* L006670 0000000111001110* L006686 0000000111001100* L006702 0010010111001001* L006718 0010010111101000* L006734 00000011001110000111100110010* L006763 00100010111111110111100110010* L006792 00100010111111110111100110010* L006821 00100010111111110111110100010* L006850 00000000111111110111100110010* L006879 00100010111111110111111100000* Note Block 1 * Note Block 1 ZIA * L006908 1111001110111111111111111111* L006936 1111001110111111111111111111* L006964 1111011010111111111111111111* L006992 1111011010111111111111111111* L007020 1110011110111111111111111111* L007048 1111011010111111111111111111* L007076 1111111110100111111111111111* L007104 1111111110100111111111111111* L007132 1111011010111111111111111111* L007160 0111011110111111111111111111* L007188 1111111110111111010111111111* L007216 1111111110111111111111110011* L007244 1111111110100111111111111111* L007272 1111111110110011111111111111* L007300 1111010110111111111111111111* L007328 1111111110010111111111111111* L007356 1111111110010111111111111111* L007384 1111111110110011111111111111* L007412 1111111110010111111111111111* L007440 1111111110010111111111111111* L007468 1111111110110101111111111111* L007496 1111111110110011111111111111* L007524 1111011010111111111111111111* L007552 1111111110111111111111100111* L007580 1111111110111111111111110011* L007608 1111111110100111111111111111* L007636 1111111110110101111111111111* L007664 1111111110100111111111111111* L007692 1111111110111111110011111111* L007720 1111111111111111111111111111* L007748 1111111110110101111111111111* L007776 1111111110110101111111111111* L007804 1111111110111111111111010111* L007832 1111111110110011111111111111* L007860 1111111111111111111111111111* L007888 1111111110110101111111111111* L007916 1111111110110110111111111111* L007944 1111111110110110111111111111* L007972 1111111110110110111111111111* L008000 1111111110010111111111111111* Note Block 1 PLA AND array * L008028 11111111111111111111111111011111111111111111011111111111111111111111111111111111* L008108 10101110101011111010111111101010111010111011011111101101111111111101110101011110* L008188 11110111111111011111111101011111111111111101110111110111111101111111111111110111* L008268 10100110101011011010111101101010111010111001110111100101111101111101110101010110* L008348 11111111111111111111111111111111101111111111111111111111111111111110111111111111* L008428 11111111111111111111111111111111111111101111111111111111111111111111111111111111* L008508 10101110101011111010111111111010101010111011111111101101111111111111111111111111* L008588 11111111111111111110101111111111101111111111111111111110111111111111111111111111* L008668 11111111111111111110111111111111101111111111111111111110111111111111110101011110* L008748 11111111111111111110111111111111101111111111111111111110111111111111111110101111* L008828 11011110101011111010111111111110111110111011111111101111111111111110111111111111* L008908 11111110101011111010111111111110110110111011111111101111111111111110111111111111* L008988 11111110101011111010111111110110111110111011111111101111111111111110111111111111* L009068 01111110101011111010111111111110111110111011111111101111111111111110111111111111* L009148 11011110101011111010111111111110111110111011111111101101111111111111111111111111* L009228 11111110101011111010111111111110110110111011111111101101111111111111111111111111* L009308 11111110101011111010111111110110111110111011111111101101111111111111111111111111* L009388 01111110101011111010111111111110111110111011111111101101111111111111111111111111* L009468 11111111111111111110101111111111111011111111111111111110111111111101110101101101* L009548 11111111111111111111111111111111111111111111111101111111111111110111111111111111* L009628 11111110101011111010011111111110111110111011111111101110111111011101111111111111* L009708 11111110101011111010111111111110111110111011111111101110111111011111111111011111* L009788 11111111111111111111111111111111111111111111111111111111011111110111111111111111* L009868 11111110101011111010111111111110111110111011111111101110111111011111111111111110* L009948 11111110101011111010111111111110111110111011111111101110111111011111111011111111* L010028 11111111111111111111111011111111111111111111111111111111111111110111111111111111* L010108 11111110101011111010111111111110111110111011111111101110111111011111111110111111* L010188 11111111111111111110011111111111110111111111111111111110111111101101111111111111* L010268 11111111111111111110111111111111110111111111111111111110111111101101111111011111* L010348 11111111111111111110111111111111110111111111111111111110111111101101111111111110* L010428 11111111111111111110111111111111110111111111111111111110111111101101111011111111* L010508 11111111111111111110111111111111110111111111111111111110111111101101111110111111* L010588 11111111111111111111111111111111011111111111111111111110111111111111111111111111* L010668 11111110101011111010011111111110111110110111111111101111111111111111111111111111* L010748 11011110101011111010111111111110111110111111111111101111111111111110111111111111* L010828 11111110101011111010111111110110111110111111111111101111111111111110111111111111* L010908 01111110101011111010111111111110111110111111111111101111111111111110111111111111* L010988 11011110101011111010111111111110111110111111111111101101111111111111111111111111* L011068 11111110101011111010111111110110111110111111111111101101111111111111111111111111* L011148 01111110101011111010111111111110111110111111111111101101111111111111111111111111* L011228 11111110101011111010111111111110111110110111111111101111111111111111111111011111* L011308 11111110101011111010111111111110111110110111111111101111111111111111111111111110* L011388 11111110101011111010111111111110111110110111111111101111111111111111111011111111* L011468 11111111111111111111111111111111111111111111111111111111111111111111111111110111* L011548 11111111111111111110101111111111111111111011111111111110111111111101110101101101* L011628 11111110101011111010111111111110111110110111111111101111111111111111111110111111* L011708 11111110101011111010111111111110111110111011111111101110111111011101111111111111* L011788 11111111111111111110011111111111111111110111111111111110111111101101111111111111* L011868 11111111111111111110111111111111111111110111111111111110111111101101111111011111* L011948 11111111111111111110111111111111111111110111111111111110111111101101111111111110* L012028 11111111111111111110111111111111111111110111111111111110111111101101111011111111* L012108 11111111111111111110111111111111111111110111111111111110111111101101111110111111* L012188 11111111111111111111111111111111111111111101111111111111111111111111111111111111* L012268 11111110101010111010111111111110111110111111111111101111111111111110111111111111* L012348 11111110101010111010111111111110111110111111111111101101111111111111111111111111* L012428 11111111111111111111111111111111111011111011111111111111111111111111111111111111* Note Block 1 PLA OR array * L012508 1111111110111111* L012524 1111111100111111* L012540 1111111110111111* L012556 1111111100111111* L012572 1111111011111111* L012588 1111111111111111* L012604 1111111011111111* L012620 1111111011111111* L012636 1111111011111111* L012652 1111111011111111* L012668 1111110111111111* L012684 1111110111111111* L012700 1111110111111111* L012716 1111110111111111* L012732 1111110111111111* L012748 1111110111111111* L012764 1111110111111111* L012780 1111110111111111* L012796 1111110111111111* L012812 1111111111111111* L012828 1111110111111111* L012844 1111110111111111* L012860 1111111111111111* L012876 1111110111111111* L012892 1111110111111111* L012908 1111111111111111* L012924 1111110111111111* L012940 1111110111111111* L012956 1111110111111111* L012972 1111110111111111* L012988 1111110111111111* L013004 1111110111111111* L013020 0111110111111111* L013036 0111111111111111* L013052 0111111111111111* L013068 0111111111111111* L013084 0111111111111111* L013100 0111111111111111* L013116 0111111111111111* L013132 0111111111111111* L013148 0111111111111111* L013164 0111111111111111* L013180 0111111111111111* L013196 1111111111111111* L013212 0111111111111111* L013228 0111111111111111* L013244 0111111111111111* L013260 0111111111111111* L013276 0111111111111111* L013292 0111111111111111* L013308 0111111111111111* L013324 0111111111111111* L013340 1111111111111111* L013356 0111111111111111* L013372 0111111111111111* L013388 1111111111111111* Note Block 1 I/O Macrocell Configuration 29 bits (15 if buried) * N Aclk Clk:2 ClkFreq ClkOp DG FB:2 InMod:2 InReg INz:2 Oe:4 P:2 Pu RegCom RegMod:2 R:2 Slw Tm XorIn:2* N Aclk Clk:2 ClkFreq ClkOp FB:2 P:2 Pu RegMod:2 R:2 XorIn:2* L013404 00100010111111110111110100000* L013433 00000011111111110110100110000* L013462 00000011111111110110100110000* L013491 00000011001110000111100110010* L013520 00000011001110000111100110010* L013549 00000011001110000111100110001* L013578 0010010111101000* L013594 0010010111001011* L013610 0000000111001100* L013626 0010010111001000* L013642 00000011001001111110100110100* L013671 00100010111111110111100110010* L013700 00000011111111110110100110000* L013729 00000011111111110110100110000* L013758 00100010111111110111100110010* L013787 00000000001111111111100110110* Note Block 2 * Note Block 2 ZIA * L013816 1111001110111111111111111111* L013844 1111001110111111111111111111* L013872 1111011010111111111111111111* L013900 1111011010111111111111111111* L013928 1110011110111111111111111111* L013956 1111011010111111111111111111* L013984 1111011010111111111111111111* L014012 1111111110100111111111111111* L014040 1111011010111111111111111111* L014068 1111111110110011111111111111* L014096 1111111110111111010111111111* L014124 1111111110010111111111111111* L014152 1111111110010111111111111111* L014180 1111111110110110111111111111* L014208 1111010110111111111111111111* L014236 1111011010111111111111111111* L014264 1111111110110011111111111111* L014292 1111111110110011111111111111* L014320 1111111110110110111111111111* L014348 1111111110010111111111111111* L014376 1111111110110101111111111111* L014404 1111111110111111111111100111* L014432 1111111111111111111111111111* L014460 1111111110010111111111111111* L014488 1111111110010111111111111111* L014516 1111111110100111111111111111* L014544 1111111110110101111111111111* L014572 1111111110100111111111111111* L014600 1111111110110011111111111111* L014628 1111111110100111111111111111* L014656 1111111110110101111111111111* L014684 1111111110110101111111111111* L014712 1111111110111111111111010111* L014740 1111111110110110111111111111* L014768 1111111111111111111111111111* L014796 1111111110110101111111111111* L014824 1111111110100111111111111111* L014852 0111011110111111111111111111* L014880 1111111110110110111111111111* L014908 1111111110010111111111111111* Note Block 2 PLA AND array * L014936 11111111111111111111111111101111111110111111111111111111111111111011111011111101* L015016 11110111111111011111111111111111111111111101111111110111010101110111111111110111* L015096 11111111111111111111111111111111101111111111111111111111111111111111111111111110* L015176 11111111111111111111111111011111101111111111111111111111111111111111110111111111* L015256 11111111111111111111111111011111101101111111111111111111111111111111111111111111* L015336 11111111111111111111111111111111111111101111111111111111111111111111111111111111* L015416 11111111111111111111111111111111111111101111111111111111111111111111111111111111* L015496 11111111111111111111111101011111111101111111111111111111111111111111110111111110* L015576 11111111111110111111111110101101111101111111111111111111111111111111110111111101* L015656 11111111111111111111111111011111111101111111111111111111111111111111110111111110* L015736 11111111111111111111111111111111111111111111111111101111111111111111111111101111* L015816 10101111111111111110111011111011111011111011111111101111111111111111111111111111* L015896 10101111111111111111111011111011111011111011111111101101111111111111111111111111* L015976 11111111111111111111111011011111111111111111111111111111111111111111111111111111* L016056 11111111111111111111111011111111111111111111111111111111111111111111111111111110* L016136 11111111111111111111111011111111111111111111111111111111111111111111111011111111* L016216 11111111111111111111111011111111111110111111111111111111111111111111111111111111* L016296 11111111111111111111111010111111111111111111111111111111111111111111111111111111* L016376 10101110101011111001111111111011111011111011111010101101111111111111111111101111* L016456 10101110101011111011111111101011111001111011111010101101111111111111111111101101* L016536 11111111111111111101111111011111111101111111111111111110111111111111110111101110* L016616 11111111111111111101111111101111111110111111111111111110111111111111111111101111* L016696 10101110101011111010111011101011111001111011111010101111111111111111110111101101* L016776 11111111111111111101111111111111111111111111111111111110111111011110111111111111* L016856 11111110101011111011111111111111111111111111111010011111111111111110111111101111* L016936 11111111111111111111111111111111111111111111110111101111111111111110111111101111* L017016 11111111111111110111111111111111111111111111111111101111111111111110111111101111* L017096 11111111110111111111111111111111111111111111111111101111111111111110111111101111* L017176 11111111111111011111111111111111111111111111111111111111111111111111111111111111* L017256 11111101111111111111111111111111111111111111111111101111111111111110111111101111* L017336 11111111111111111111111111111111111111111111111101101111111111111110111111101111* L017416 11111111011111111111111111111111111111111111111111101111111111111110111111101111* L017496 10101111111111111111111111111011111011111011111111101101111111111110111111101111* L017576 11111111111111111101101111101111111101111111111111111110111111111110110111101101* L017656 10101111111111111110111011101011111001111011111111101111111111111110110111101101* L017736 11111111111111111101111111111111111111111111111111111110111111101110111111101111* L017816 11111111111111111111111111111111111111111111111110111111111111111111111111011111* L017896 11111110111011111011111111111111111111111111111001111111111111111110111111101111* L017976 11111111111111111111111111111111111111111111110110111111111111111110111111111111* L018056 11111111111111110111111111111111111111111111111110111111111111111110111111111111* L018136 11111111110111111111111111111111111111111111111110111111111111111110111111111111* L018216 11111101111111111111111111111111111111111111111110111111111111111110111111111111* L018296 10101111101111111111111111111011111111111111111110101101111111111110111101111111* L018376 10101111101111111110111011101011111101111111111110101111111111111110110101111101* L018456 10101110101011111011111111101011111001111011111010101101111111111111111011101101* L018536 10101110101011111010110111101011111001111011111010101110111111111111110111101101* L018616 10101110101011111010111111111011111011111011111010101101111111111111111111101111* L018696 10101110101011111010111111011011111011111011111010101111111111111111111111101111* L018776 10101110101011111010111111111011111011111011111010101111111111111111111111101110* L018856 10101110101011111010111111111011111011111011111010101111111111111111111011101111* L018936 10101110101011111010111111111011111010111011111010101111111111111111111111101111* L019016 10101110101011111010110111111011111011111011111010101111111111111111111111101111* L019096 11111111111111111111111111111111111111111111111111111111111111111111111111011111* L019176 11111111111111111101101111101111111101111111110111111110111111111111110111111101* L019256 11111111111111111101111111111111111111111111110111111110111111101111111111111111* L019336 11111111111111111111111111111111111111111111111110111111111111111111111111111111* Note Block 2 PLA OR array * L019416 1111111111011111* L019432 1111111111011111* L019448 1111111111101111* L019464 1111111111101111* L019480 1111111111101111* L019496 1111111111111111* L019512 1111111111111111* L019528 1111111110111111* L019544 1111111110111111* L019560 1111111101111111* L019576 1111111111111111* L019592 1111111101111111* L019608 1111111101111111* L019624 1111111101111111* L019640 1111111101111111* L019656 1111111101111111* L019672 1111111101111111* L019688 1111111101111111* L019704 1111111011111101* L019720 1111111011111111* L019736 1111111011111111* L019752 1111111011111111* L019768 1111111011111111* L019784 1111111011111111* L019800 0111111111111111* L019816 0111111111111111* L019832 0111111111111111* L019848 0111111111111111* L019864 1111111111111111* L019880 0111111111111111* L019896 0111111111111111* L019912 0111111111111111* L019928 0111111111111111* L019944 0111111111111110* L019960 0111111111111111* L019976 0111111111111110* L019992 1111111111111110* L020008 1111111111111110* L020024 1111111111111110* L020040 1111111111111110* L020056 1111111111111110* L020072 1111111111111110* L020088 1111111111111110* L020104 1111111111111110* L020120 1111111111111101* L020136 1111111111111101* L020152 1111111111111011* L020168 1111111111111011* L020184 1111111111111011* L020200 1111111111111011* L020216 1111111111111011* L020232 1111111111111011* L020248 1111111111110111* L020264 1111111111110111* L020280 1111111111110111* L020296 1111111111111111* Note Block 2 I/O Macrocell Configuration 29 bits (15 if buried) * N Aclk Clk:2 ClkFreq ClkOp DG FB:2 InMod:2 InReg INz:2 Oe:4 P:2 Pu RegCom RegMod:2 R:2 Slw Tm XorIn:2* N Aclk Clk:2 ClkFreq ClkOp FB:2 P:2 Pu RegMod:2 R:2 XorIn:2* L020312 00100010111111110111110100010* L020341 00000011111111110110100110000* L020370 00000011111111110110100110000* L020399 00000011001001111110100110100* L020428 00000011001001111110100110100* L020457 00000011001001111110100110100* L020486 00100010001001111111100110110* L020515 0010010111101000* L020531 0010010111001011* L020547 0010010111101000* L020563 00000011001110000111100110011* L020592 00100010111111110111100100011* L020621 00100010111111110101110110011* L020650 00000000111111110111100110000* L020679 00000000111111110111100110000* L020708 00100010111111110101110110010* Note Block 3 * Note Block 3 ZIA * L020737 1111011010111111111111111111* L020765 1111001110111111111111111111* L020793 1111111110010111111111111111* L020821 1111011010111111111111111111* L020849 1110011110111111111111111111* L020877 1111011010111111111111111111* L020905 1111011010111111111111111111* L020933 1111011010111111111111111111* L020961 1111010110111111111111111111* L020989 0111011110111111111111111111* L021017 1101011110111111111111111111* L021045 1111111111111111111111111111* L021073 1111111110111111010111111111* L021101 1111011010111111111111111111* L021129 1111010110111111111111111111* L021157 1111011010111111111111111111* L021185 1111111111111111111111111111* L021213 1111111110110101111111111111* L021241 1111111110110110111111111111* L021269 1111111110111111111111110011* L021297 1111011010111111111111111111* L021325 1111001110111111111111111111* L021353 1111111110010111111111111111* L021381 1111111110010111111111111111* L021409 1111011010111111111111111111* L021437 1111111110100111111111111111* L021465 1111111110010111111111111111* L021493 1111111110100111111111111111* L021521 1111111110110101111111111111* L021549 1111111110100111111111111111* L021577 1111111110010111111111111111* L021605 1111111110100111111111111111* L021633 1111111111111111111111111111* L021661 1111111110110011111111111111* L021689 1111111111111111111111111111* L021717 1111010110111111111111111111* L021745 1111111110010111111111111111* L021773 1111111110110110111111111111* L021801 0111011110111111111111111111* L021829 1111111111111111111111111111* Note Block 3 PLA AND array * L021857 11111111111110111111111111011101111111111111111111111111111111111111111111111111* L021937 11111111111111111111111111101111111111111111011111111111111111111111111111111111* L022017 11111111111111111111111111111111111111101111111111111111111111111111111111111111* L022097 11111111111101111111111111111110111111111111111111111111111111111111111111111111* L022177 11111111111111111111011111111111111111111111111111111111111111111111111111111111* L022257 11111111111101111111111111111111111111011111111111111111111111111111111111111111* L022337 11111111111111111111111111111111111111111111101111111111111111111111111111111111* L022417 11111111111111111111111111111101111111011111111111111111111111111111111111111111* L022497 11111111111111111101111111111111111111110111111111111111111111111111111111111111* L022577 11111111111111111111111111111111111111110111110111111111111111111111111111111111* L022657 10111011111111101011111111111111111111111111111110111111111110111111111011111011* L022737 11111111111111111110111111111111111111111011111011111111111111111111111111111111* L022817 11111111111111111110111110111111111101111111111111110110011111111101111111101111* L022897 11110111111111111111111111111111111111111111111111111111111111111111111111111111* L022977 11111111111111111110111111111111111011111111111111111110111111111101111111111111* L023057 11111111110111111101111111111111111111111111111111111111111111111111111111111111* L023137 01111111111111111111111111111111111111111111111111111111111111111111111111111111* L023217 11111111110111111111111111111111111111111111110111111111111111111111111111111111* L023297 11111111110111111111111111111111111111110111111111111111111111111111111111111111* L023377 11111111111011111110111111111111111111111011111011111111111111111111111111111111* L023457 11111110111111111101111111111111111111111111111111111111111111111111111111111111* L023537 11111101111011111110111111111111111111111011111011111111111111111110111111111111* L023617 11111111111111111110111111111111111111111111111111111111111111111101111111111111* L023697 11111110111111111111111111111111111111111111110111111111111111111110111111111111* L023777 11111110111111111111111111111111111111110111111111111111111111111110111111111111* L023857 11111110110111111111111111111111111111111111111111111111111111111110111111111111* L023937 11111101111111111110111111111111111111111111110111111101111111111101111111111111* L024017 11111101111111111110111111111111111111110111111111111101111111111101111111111111* L024097 11111101110111111110111111111111111111111111111111111101111111111101111111111111* L024177 11111110111011111111111111111111111111111011111011111101111111111101111111111111* L024257 11111101111111111110111111111111110111111111110111111111111111111101111111111111* L024337 11111111111111111111111111111111111111111111111101111111111111111111111111111111* L024417 11111101111111111110111111111111110111110111111111111111111111111101111111111111* L024497 11111101110111111110111111111111110111111111111111111111111111111101111111111111* L024577 11111111111111111111111111111111111111111111111111111111111111111111111111110111* L024657 11111110111011111111111111111111110111111011111011111111111111111101111111111111* L024737 11101110101111111111111111111011111111111110111111101101111111011110111110111111* L024817 11111111111111111111111111111111111111111111111111111111110111111111111111111111* L024897 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L024977 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L025057 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L025137 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L025217 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L025297 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L025377 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L025457 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L025537 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L025617 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L025697 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L025777 11111111111111111111111111111111111111111111111111111111111111111111110111111111* L025857 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L025937 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L026017 11111111111111110111111111111111111111111111111111111111111111111111111111111111* L026097 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L026177 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L026257 11111111111111111111111111111111111111111111111111111111111101111111111111111111* Note Block 3 PLA OR array * L026337 1111111111110111* L026353 1111111111110111* L026369 1111111111101111* L026385 1111111111101111* L026401 1111111111111111* L026417 1111111111011111* L026433 1111111111111111* L026449 1111111111011111* L026465 1111110111111111* L026481 1111110111111111* L026497 1111111111111111* L026513 1111110111111111* L026529 1111000111111111* L026545 1111111111111111* L026561 1111100111111111* L026577 1111101111111111* L026593 1111111111111111* L026609 1111101111111111* L026625 1111101111111111* L026641 1111101111111111* L026657 1111011111111111* L026673 1111011111111111* L026689 1111111111111111* L026705 1111011111111111* L026721 1111011111111111* L026737 1111011111111111* L026753 1111011111111111* L026769 1111011111111111* L026785 1111011111111111* L026801 1111011111111111* L026817 1111011111111111* L026833 1111111111111111* L026849 1111011111111111* L026865 1111011111111111* L026881 1111111111111111* L026897 1111011111111111* L026913 1111011111111111* L026929 1111111111111111* L026945 1111111111111111* L026961 1111111111111111* L026977 1111111111111111* L026993 1111111111111111* L027009 1111111111111111* L027025 1111111111111111* L027041 1111111111111111* L027057 1111111111111111* L027073 1111111111111111* L027089 1111111111111111* L027105 1111111111111111* L027121 1111111111111111* L027137 1111111111111111* L027153 1111111111111111* L027169 1111111111111111* L027185 1111111111111111* L027201 1111111111111111* L027217 1111111111111111* Note Block 3 I/O Macrocell Configuration 29 bits (15 if buried) * N Aclk Clk:2 ClkFreq ClkOp DG FB:2 InMod:2 InReg INz:2 Oe:4 P:2 Pu RegCom RegMod:2 R:2 Slw Tm XorIn:2* N Aclk Clk:2 ClkFreq ClkOp FB:2 P:2 Pu RegMod:2 R:2 XorIn:2* L027233 00100000000101111111100110110* L027262 00100010111111110110100110010* L027291 00100010111111110110100110010* L027320 00000011001001111110100110100* L027349 00100010001001111101100110101* L027378 00100010001001111101100110100* L027407 00100010001001111101100110100* L027436 0010010110001110* L027452 0010010110001110* L027468 0010010111001110* L027484 11100010111111110111100110000* L027513 11100010111111110111100110011* L027542 00100010111111110110100110011* L027571 00100010111111110110100110010* L027600 00100010111111110110100110010* L027629 00100010111111110110100110010* Note Block 4 * Note Block 4 ZIA * L027658 1111001110111111111111111111* L027686 0111011110111111111111111111* L027714 1111111111111111111111111111* L027742 1111011010111111111111111111* L027770 1110011110111111111111111111* L027798 1111011010111111111111111111* L027826 1111111110100111111111111111* L027854 1111111111111111111111111111* L027882 1111011010111111111111111111* L027910 0111011110111111111111111111* L027938 1111111110111111010111111111* L027966 1111111111111111111111111111* L027994 1111111110110011111111111111* L028022 1111111110110110111111111111* L028050 1111010110111111111111111111* L028078 1111111110010111111111111111* L028106 1111111110010111111111111111* L028134 1111111110110101111111111111* L028162 1111111110010111111111111111* L028190 1111001110111111111111111111* L028218 1111111111111111111111111111* L028246 1111111111111111111111111111* L028274 1111111110010111111111111111* L028302 1111111111111111111111111111* L028330 1111111111111111111111111111* L028358 1111111110100111111111111111* L028386 1111111110010111111111111111* L028414 1111111110100111111111111111* L028442 1111111110110101111111111111* L028470 1111111111111111111111111111* L028498 1111111111111111111111111111* L028526 1111111110110110111111111111* L028554 1111111110111111111111010111* L028582 1111111110111111111111110101* L028610 1111111111111111111111111111* L028638 1111111111111111111111111111* L028666 1111111111111111111111111111* L028694 1111111111111111111111111111* L028722 1111111111111111111111111111* L028750 1111111111111111111111111111* Note Block 4 PLA AND array * L028778 11111111111111111111111111111111111111111111111111111111111111110110111111111111* L028858 11101111111111111111111111111111111111111111111111111111111111111011111111111111* L028938 10111111111111111110101101101111111111111111111111110110011111011111111111111111* L029018 01111111111111111110011101111111111011111111111111111110111111111111111111111111* L029098 01111111111111111110111101011111111011111111111111111110111111111111111111111111* L029178 11111111111111111111111111111111111111111111101111111111111111111111111111111111* L029258 01111111111111111110111101111111111011111111111111111010111111111111111111111111* L029338 01111111111111111110111101111111111011111111111111111110101111111111111111111111* L029418 01111111111111111110111101111111111011111111111111111110111111101111111111111111* L029498 11111111111111111111111111111111011111111111111111111110111111111111111111111111* L029578 01111110101001111010011111111010111110101111111111101111111111111111111111111111* L029658 01111110101001111010111110111010111110101111111111101111111111111111111111111111* L029738 01111110101001111010111111111010111110101111111111101101111111111111111111111111* L029818 01111110101001111010111111011010111110101111111111101111111111111111111111111111* L029898 01111110101001111010111111111010111110101111111111101011111111111111111111111111* L029978 01111110101001111010111111111010111110101111111111101111101111111111111111111111* L030058 01111110101001111010111111111010111110101111111111101111111111101111111111111111* L030138 10111110101001111010111101111010110110101111111111101110111111111111111111111111* L030218 11111111111111111110101101101111111111101111111111110110011111011111111111111111* L030298 11111111111111111110011101111111111011011111111111111110111111111111111111111111* L030378 11111111111111111110111101011111111011011111111111111110111111111111111111111111* L030458 11111111111111111110111101111111111011011111111111111010111111111111111111111111* L030538 11111111111111111110111101111111111011011111111111111110101111111111111111111111* L030618 11111111111111111110111101111111111011011111111111111110111111101111111111111111* L030698 11111110101001111010011111111010111110011111111111101111111111111111111111111111* L030778 11111110101001111010111110111010111110011111111111101111111111111111111111111111* L030858 01111110101001111010111110111010111110111111111111101111111111111111111111111111* L030938 11111110101001111010111111111010111110011111111111101101111111111111111111111111* L031018 01111110101001111010111111111010111110111111111111101101111111111111111111111111* L031098 11111110101001111010111111011010111110011111111111101111111111111111111111111111* L031178 11111110101001111010111111111010111110011111111111101011111111111111111111111111* L031258 11111110101001111010111111111010111110011111111111101111101111111111111111111111* L031338 11111110101001111010111111111010111110011111111111101111111111101111111111111111* L031418 11111110101001111010111101111010110110101111111111101110111111111111111111111111* L031498 11111111111111111110101101101011111111111111111111110110011111011111111111111111* L031578 11111111111111111110011101110111111011111111111111111110111111111111111111111111* L031658 11111111111111111110111101010111111011111111111111111110111111111111111111111111* L031738 11111111111111111110111101110111111011111111111111111010111111111111111111111111* L031818 11111111111111111110111101110111111011111111111111111110101111111111111111111111* L031898 11111111111111111110111101110111111011111111111111111110111111101111111111111111* L031978 11111110101001111010011111110110111110111111111111101111111111111111111111111111* L032058 11111110101001111010111110111110111110011111111111101111111111111111111111111111* L032138 11111110101001111010111110110110111110111111111111101111111111111111111111111111* L032218 01111110101001111010111110111110111110111111111111101111111111111111111111111111* L032298 11111110101001111010111111111110111110011111111111101101111111111111111111111111* L032378 11111110101001111010111111110110111110111111111111101101111111111111111111111111* L032458 01111110101001111010111111111110111110111111111111101101111111111111111111111111* L032538 11111110101001111010111111010110111110111111111111101111111111111111111111111111* L032618 11111110101001111010111111110110111110111111111111101011111111111111111111111111* L032698 11111110101001111010111111110110111110111111111111101111101111111111111111111111* L032778 11111110101001111010111111110110111110111111111111101111111111101111111111111111* L032858 11111110101001111010111101111010110110111111111111101110111111111111111111111111* L032938 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L033018 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L033098 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L033178 11111111111111111111111111111111111111111111111111111111111111111111111111111111* Note Block 4 PLA OR array * L033258 1111111111111101* L033274 1111111111111101* L033290 1111111111111110* L033306 1111111111111110* L033322 1111111111111110* L033338 1111111111111111* L033354 1111111111111110* L033370 1111111111111110* L033386 1111111111111110* L033402 1111111100111110* L033418 1111111111111110* L033434 1111111111111110* L033450 1111111111111110* L033466 1111111111111110* L033482 1111111111111110* L033498 1111111111111110* L033514 1111111111111110* L033530 1111111111111110* L033546 1111111110111111* L033562 1111111110111111* L033578 1111111110111111* L033594 1111111110111111* L033610 1111111110111111* L033626 1111111110111111* L033642 1111111110111111* L033658 1111111110111111* L033674 1111111110111111* L033690 1111111110111111* L033706 1111111110111111* L033722 1111111110111111* L033738 1111111110111111* L033754 1111111110111111* L033770 1111111110111111* L033786 1111111110111111* L033802 1111111101111111* L033818 1111111101111111* L033834 1111111101111111* L033850 1111111101111111* L033866 1111111101111111* L033882 1111111101111111* L033898 1111111101111111* L033914 1111111101111111* L033930 1111111101111111* L033946 1111111101111111* L033962 1111111101111111* L033978 1111111101111111* L033994 1111111101111111* L034010 1111111101111111* L034026 1111111101111111* L034042 1111111101111111* L034058 1111111101111111* L034074 1111111101111111* L034090 1111111111111111* L034106 1111111111111111* L034122 1111111111111111* L034138 1111111111111111* Note Block 4 I/O Macrocell Configuration 29 bits (15 if buried) * N Aclk Clk:2 ClkFreq ClkOp DG FB:2 InMod:2 InReg INz:2 Oe:4 P:2 Pu RegCom RegMod:2 R:2 Slw Tm XorIn:2* N Aclk Clk:2 ClkFreq ClkOp FB:2 P:2 Pu RegMod:2 R:2 XorIn:2* L034154 00000011111111110110100110000* L034183 00000011111111110110100110000* L034212 00000011111111110110100110000* L034241 00000011111111110110100110000* L034270 00000011111111110110100110000* L034299 00000011111111110110100110000* L034328 00000011111111110110100110000* L034357 0000011110001100* L034373 0010010111101000* L034389 0010010111101000* L034405 00000011111111110110100110000* L034434 00000011111111110110100110000* L034463 00000011111111110110100110000* L034492 00000011111111110110100110000* L034521 00000011001110000111100110011* L034550 00100010111111110111110100000* Note Block 5 * Note Block 5 ZIA * L034579 1111001110111111111111111111* L034607 1111001110111111111111111111* L034635 1111111111111111111111111111* L034663 1111011010111111111111111111* L034691 1110011110111111111111111111* L034719 1111011010111111111111111111* L034747 1111111110100111111111111111* L034775 1111111111111111111111111111* L034803 1111011010111111111111111111* L034831 0111011110111111111111111111* L034859 1111111110111111010111111111* L034887 1111111111111111111111111111* L034915 1111111110110011111111111111* L034943 1111111110110110111111111111* L034971 1111010110111111111111111111* L034999 1111111110010111111111111111* L035027 1111111110010111111111111111* L035055 1111111110110101111111111111* L035083 1111111110010111111111111111* L035111 1111111110010111111111111111* L035139 1111111111111111111111111111* L035167 1111111111111111111111111111* L035195 1111111111111111111111111111* L035223 1111111111111111111111111111* L035251 1111111111111111111111111111* L035279 1111111110100111111111111111* L035307 1111111110010111111111111111* L035335 1111111110100111111111111111* L035363 1111111110110101111111111111* L035391 1111111111111111111111111111* L035419 1111111111111111111111111111* L035447 1111111110110110111111111111* L035475 1111111111111111111111111111* L035503 1111111111111111111111111111* L035531 1111111111111111111111111111* L035559 1111111111111111111111111111* L035587 1111111111111111111111111111* L035615 1111111111111111111111111111* L035643 1111111111111111111111111111* L035671 1111111111111111111111111111* Note Block 5 PLA AND array * L035699 11111110011011111010011111111110111110111111111111111111111111111111111111111111* L035779 11111110011011111010111110111110111110111111111111111111111111111111111111111111* L035859 11011110111011111010111110111110111110111111111111111111111111111111111111111111* L035939 11111110111011111010111110111110111110111111111111011111111111111111111111111111* L036019 11111110111011111010111110110110111110111111111111111111111111111111111111111111* L036099 01111110111011111010111110111110111110111111111111111111111111111111111111111111* L036179 11111111111111111111111111111111111111101111111111111111111111111111111111111111* L036259 11111110111011111010111101111110111110111111111111111101111111111111111111111111* L036339 11111110011011111010111111011110111110111111111111111111111111111111111111111111* L036419 11111110011011111010111111111110111110111111111111111011111111111111111111111111* L036499 11111110011011111010111111111110111110111111111111111111101111111111111111111111* L036579 11111111101111111110101101101111111111111111111111110110011111011111111111111111* L036659 11111110011011111010111111111110111110111111111111111111111111101111111111111111* L036739 11111110101011111010111101111110110110111111111111111111111111111111111111111111* L036819 11111111011111111110011101111111111011111111111111111110111111111111111111111111* L036899 11111111011111111110111101011111111011111111111111111110111111111111111111111111* L036979 11111111011111111110111101111111111011111111111111111010111111111111111111111111* L037059 11111111011111111110111101111111111011111111111111111110101111111111111111111111* L037139 11111111011111111110111101111111111011111111111111111110111111101111111111111111* L037219 11111111111111111111111111111111011111111111111111111110111111111111111111111111* L037299 11111110111010111010111110111110111110111111111111111111111111111111111111111111* L037379 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L037459 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L037539 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L037619 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L037699 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L037779 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L037859 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L037939 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L038019 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L038099 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L038179 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L038259 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L038339 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L038419 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L038499 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L038579 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L038659 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L038739 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L038819 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L038899 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L038979 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L039059 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L039139 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L039219 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L039299 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L039379 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L039459 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L039539 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L039619 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L039699 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L039779 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L039859 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L039939 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L040019 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L040099 11111111111111111111111111111111111111111111111111111111111111111111111111111111* Note Block 5 PLA OR array * L040179 1111111111111101* L040195 1111111111111101* L040211 1111111111111101* L040227 1111111111111101* L040243 1111111111111101* L040259 1111111111111101* L040275 1111111111111111* L040291 1111111111111101* L040307 1111111111111101* L040323 1111111111111101* L040339 1111111111111101* L040355 1111111111111101* L040371 1111111111111101* L040387 1111111111111101* L040403 1111111111111101* L040419 1111111111111101* L040435 1111111111111101* L040451 1111111111111101* L040467 1111111111111101* L040483 1111111111111101* L040499 1111111111111101* L040515 1111111111111111* L040531 1111111111111111* L040547 1111111111111111* L040563 1111111111111111* L040579 1111111111111111* L040595 1111111111111111* L040611 1111111111111111* L040627 1111111111111111* L040643 1111111111111111* L040659 1111111111111111* L040675 1111111111111111* L040691 1111111111111111* L040707 1111111111111111* L040723 1111111111111111* L040739 1111111111111111* L040755 1111111111111111* L040771 1111111111111111* L040787 1111111111111111* L040803 1111111111111111* L040819 1111111111111111* L040835 1111111111111111* L040851 1111111111111111* L040867 1111111111111111* L040883 1111111111111111* L040899 1111111111111111* L040915 1111111111111111* L040931 1111111111111111* L040947 1111111111111111* L040963 1111111111111111* L040979 1111111111111111* L040995 1111111111111111* L041011 1111111111111111* L041027 1111111111111111* L041043 1111111111111111* L041059 1111111111111111* Note Block 5 I/O Macrocell Configuration 29 bits (15 if buried) * N Aclk Clk:2 ClkFreq ClkOp DG FB:2 InMod:2 InReg INz:2 Oe:4 P:2 Pu RegCom RegMod:2 R:2 Slw Tm XorIn:2* N Aclk Clk:2 ClkFreq ClkOp FB:2 P:2 Pu RegMod:2 R:2 XorIn:2* L041075 00000011111111110110100110000* L041104 00000011111111110110100110000* L041133 00000011111111110110100110000* L041162 00000011111111110110100110000* L041191 00000011111111110110100110000* L041220 00000011111111110110100110000* L041249 0000011110001100* L041265 0000011110001100* L041281 0000011110001100* L041297 0000011110001100* L041313 00000011111111110110100110000* L041342 00000011111111110110100110000* L041371 00000011111111110110100110000* L041400 00000011111111110110100110000* L041429 00100010111111110101110110000* L041458 00000011111111110110100110000* Note Block 6 * Note Block 6 ZIA * L041487 1111111110010111111111111111* L041515 1111111110100111111111111111* L041543 1111111111111111111111111111* L041571 1111111110110101111111111111* L041599 1111111110010111111111111111* L041627 1111111111111111111111111111* L041655 1111111111111111111111111111* L041683 1111111111111111111111111111* L041711 1111111111111111111111111111* L041739 0111011110111111111111111111* L041767 1111111111111111111111111111* L041795 1111111111111111111111111111* L041823 1111111110110011111111111111* L041851 1111111110110110111111111111* L041879 1111111111111111111111111111* L041907 1111111111111111111111111111* L041935 1111111110111111111111010111* L041963 1111111111111111111111111111* L041991 1111111110110110111111111111* L042019 1111111110010111111111111111* L042047 1111111111111111111111111111* L042075 1111111111111111111111111111* L042103 1111111111111111111111111111* L042131 1111111111111111111111111111* L042159 1111111111111111111111111111* L042187 1111111111111111111111111111* L042215 1111111111111111111111111111* L042243 1111111111111111111111111111* L042271 1111111111111111111111111111* L042299 1111111111111111111111111111* L042327 1111111111111111111111111111* L042355 1111111111111111111111111111* L042383 1111111111111111111111111111* L042411 1111111111111111111111111111* L042439 1111111111111111111111111111* L042467 1111111111111111111111111111* L042495 1111111111111111111111111111* L042523 1111111111111111111111111111* L042551 1111111111111111111111111111* L042579 1111111111111111111111111111* Note Block 6 PLA AND array * L042607 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L042687 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L042767 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L042847 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L042927 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L043007 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L043087 11111111111111111111111111111111111111101111111111111111111111111111111111111111* L043167 11111111111111111111111111111111101111111111111111111111111111111111111111111111* L043247 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L043327 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L043407 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L043487 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L043567 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L043647 01101101101111111110111110101111111101111111111111111111111111111111111111111111* L043727 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L043807 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L043887 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L043967 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L044047 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L044127 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L044207 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L044287 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L044367 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L044447 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L044527 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L044607 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L044687 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L044767 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L044847 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L044927 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L045007 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L045087 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L045167 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L045247 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L045327 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L045407 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L045487 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L045567 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L045647 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L045727 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L045807 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L045887 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L045967 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L046047 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L046127 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L046207 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L046287 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L046367 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L046447 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L046527 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L046607 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L046687 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L046767 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L046847 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L046927 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L047007 11111111111111111111111111111111111111111111111111111111111111111111111111111111* Note Block 6 PLA OR array * L047087 1111111111111111* L047103 1111111111111111* L047119 1111111111111111* L047135 1111111111111111* L047151 1111111111111111* L047167 1111111111111111* L047183 1111111111111111* L047199 1111111111111111* L047215 1111111111111111* L047231 1111111111111111* L047247 1111111111111111* L047263 1111111111111111* L047279 1111111111111111* L047295 1111111111111111* L047311 1111111111111111* L047327 1111111111111111* L047343 1111111111111111* L047359 1111111111111111* L047375 1111111111111111* L047391 1111111111111111* L047407 1111111111111111* L047423 1111111111111111* L047439 1111111111111111* L047455 1111111111111111* L047471 1111111111111111* L047487 1111111111111111* L047503 1111111111111111* L047519 1111111111111111* L047535 1111111111111111* L047551 1111111111111111* L047567 1111111111111111* L047583 1111111111111111* L047599 1111111111111111* L047615 1111111111111111* L047631 1111111111111111* L047647 1111111111111111* L047663 1111111111111111* L047679 1111111111111111* L047695 1111111111111111* L047711 1111111111111111* L047727 1111111111111111* L047743 1111111111111111* L047759 1111111111111111* L047775 1111111111111111* L047791 1111111111111111* L047807 1111111111111111* L047823 1111111111111111* L047839 1111111111111111* L047855 1111111111111111* L047871 1111111111111111* L047887 1111111111111111* L047903 1111111111111111* L047919 1111111111111111* L047935 1111111111111111* L047951 1111111111111111* L047967 1111111111111111* Note Block 6 I/O Macrocell Configuration 29 bits (15 if buried) * N Aclk Clk:2 ClkFreq ClkOp DG FB:2 InMod:2 InReg INz:2 Oe:4 P:2 Pu RegCom RegMod:2 R:2 Slw Tm XorIn:2* N Aclk Clk:2 ClkFreq ClkOp FB:2 P:2 Pu RegMod:2 R:2 XorIn:2* L047983 00000011111111110110100110000* L048012 00100010001001000101000110101* L048041 00000011111111110110100110000* L048070 00000011111111110110100110000* L048099 00000011111111110110100110000* L048128 00000011111111110110100110000* L048157 00000011111111110110100110000* L048186 0000011110001100* L048202 0000011110001100* L048218 0000011110001100* L048234 00000011111111110110100110000* L048263 00000011111111110110100110000* L048292 00000011111111110110100110000* L048321 00000011111111110110100110000* L048350 00000011111111110110100110000* L048379 00000011111111110110100110000* Note Block 7 * Note Block 7 ZIA * L048408 1111001110111111111111111111* L048436 1111001110111111111111111111* L048464 1111111111111111111111111111* L048492 1111011010111111111111111111* L048520 1110011110111111111111111111* L048548 0111011110111111111111111111* L048576 1111111110100111111111111111* L048604 1111111111111111111111111111* L048632 1111011010111111111111111111* L048660 0111011110111111111111111111* L048688 1101011110111111111111111111* L048716 1111111110010111111111111111* L048744 1111011010111111111111111111* L048772 1111111110110110111111111111* L048800 1111010110111111111111111111* L048828 1111111110010111111111111111* L048856 1111111111111111111111111111* L048884 1111111110010111111111111111* L048912 1111111110010111111111111111* L048940 1111111110010111111111111111* L048968 1111111111111111111111111111* L048996 1111111110111111111111010111* L049024 1111111111111111111111111111* L049052 1111111111111111111111111111* L049080 1111111111111111111111111111* L049108 1111111110100111111111111111* L049136 1111111110010111111111111111* L049164 1111111110100111111111111111* L049192 1111111110110101111111111111* L049220 1111111110110110111111111111* L049248 1111111111111111111111111111* L049276 1111111110110110111111111111* L049304 1111111111111111111111111111* L049332 1111111110110011111111111111* L049360 1111111111111111111111111111* L049388 1111111110111111111111010111* L049416 1111111110111111111111010111* L049444 1111111111111111111111111111* L049472 1111111111111111111111111111* L049500 1111111111111111111111111111* Note Block 7 PLA AND array * L049528 11111101011111110111111101111110111111111111111111111111111111111111111111111111* L049608 11111111011111111111111111111111111101111111111111111111111111111111111111111111* L049688 11111111111111111111111111111111111111111111111111111111111111111101111111111111* L049768 11111111111111111111111111111111111111111111111111111101111111111111111111111111* L049848 11111111111111111111111111011111111111111111111111110111111111111111111111111111* L049928 11111111111111111111111111111111111111101111111111111111111111111111111111111111* L050008 11111111111111111111111111111111111111101111111111111111111111111111111111111111* L050088 11111101011111110111111110111111111111111111111111110111011111111111111111111111* L050168 11011111111111111111111111111111111111111111111111110111011111111111111111111111* L050248 11111111111111111111111111111111111111111111111111010111011111111111111111111111* L050328 11111111111111111111111111110111111111111111111111110111011111111111111111111111* L050408 01111111111111111111111111111111111111111111111111110111011111111111111111111111* L050488 11111101011111111011111110111110111111111111111111111011011111111111111111111111* L050568 11111111011111111011111110111101111111111111111111110111101111111111110111111111* L050648 11111101011111111111111101111110111111111111111111110111101111111111111111111111* L050728 11111101011111111011111110111101111111111111111111110111101111111111111111111111* L050808 11111110011111110111111110111101111111111101111111110111101111111111111111111111* L050888 11111110011111110111111110111110111111111110111111110111101111111111111111111111* L050968 11111110011111111011111110111110111111111111111111110111101111111111111101111111* L051048 11111101011111111111111101011110111111111111111111111111111111011111111111111111* L051128 11111111111111111111111111011111111111111111111111111111011111011111111111111111* L051208 11111101011111111011111101111101111111111111111111111111111111101111111111111111* L051288 11111111111111111111111111101111111111111111111111111111111111101111111111111111* L051368 11111101011111110111111110111101111111111111111111111111011111101111111111111111* L051448 11111101011111111111111111111110111111111111111111111111101111101111111111111111* L051528 11111101011111111011111111111111111111111111111111111111101111101111111111111111* L051608 11111111111111111111111011111111111111111111111111110111011111111111111111111111* L051688 11111111111111111111111111111111111111111111111111111111110111111111111111111111* L051768 11111111111110111111111111111111111111111111111111110111011111111111111111111111* L051848 11111111111111111101111111011111111111111111111111111011011111011111111111111111* L051928 11111111111111111101111111111111111111111111111111110111011111101111111111111111* L052008 11111111111111111101111111101111111111111111111111111111101111101111111111111111* L052088 11111111110111111110111111111111111111111111111111111111111111111111111111111111* L052168 11111111111111111101111111101111111011111111111111111111111111101111111111111111* L052248 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L052328 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L052408 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L052488 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L052568 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L052648 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L052728 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L052808 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L052888 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L052968 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L053048 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L053128 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L053208 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L053288 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L053368 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L053448 11111111111111111110011111111111111111111111111111111111111111111111111111111111* L053528 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L053608 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L053688 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L053768 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L053848 11111111111111111111111111111111111111111111111111111111111111111111111111111111* L053928 11111111111111111111111111111111111111111111111111111111111111111111111111111111* Note Block 7 PLA OR array * L054008 1111111111101111* L054024 1111111111101111* L054040 1111111111101111* L054056 1111111111101111* L054072 1111111111101111* L054088 1111111111111111* L054104 1111111111111111* L054120 1111111111101111* L054136 1111111111101111* L054152 1111111111101111* L054168 1111111111101111* L054184 1111111111101111* L054200 1111111111101111* L054216 1111111111101111* L054232 1111111111101111* L054248 1111111111101111* L054264 1111111111101111* L054280 1111111111101111* L054296 1111111111101111* L054312 1111111111101111* L054328 1111111111101111* L054344 1111111111101111* L054360 1111111111101111* L054376 1111111111101111* L054392 1111111111101111* L054408 1111111111101111* L054424 1111111111101111* L054440 1111111111101111* L054456 1111111111101111* L054472 1111101111111111* L054488 1111101111111111* L054504 1111101111111111* L054520 1111101111111111* L054536 1111101111111111* L054552 1111111111111111* L054568 1111111111111111* L054584 1111111111111111* L054600 1111111111111111* L054616 1111111111111111* L054632 1111111111111111* L054648 1111111111111111* L054664 1111111111111111* L054680 1111111111111111* L054696 1111111111111111* L054712 1111111111111111* L054728 1111111111111111* L054744 1111111111111111* L054760 1111111111111111* L054776 1111111111111111* L054792 1111111111111111* L054808 1111111111111111* L054824 1111111111111111* L054840 1111111111111111* L054856 1111111111111111* L054872 1111111111111111* L054888 1111111111111111* Note Block 7 I/O Macrocell Configuration 29 bits (15 if buried) * N Aclk Clk:2 ClkFreq ClkOp DG FB:2 InMod:2 InReg INz:2 Oe:4 P:2 Pu RegCom RegMod:2 R:2 Slw Tm XorIn:2* N Aclk Clk:2 ClkFreq ClkOp FB:2 P:2 Pu RegMod:2 R:2 XorIn:2* L054904 00000011111111110110100110000* L054933 00000011111111110110100110000* L054962 00000011111111110110100110000* L054991 00000011111111110110100110000* L055020 00000011111111110110100110000* L055049 00100010001110000101000110000* L055078 0000011110001100* L055094 0000011110001100* L055110 0000011110001100* L055126 0000011110001100* L055142 00000011111111110110100110000* L055171 00100011001110000101000110000* L055200 00100010001110000111010100011* L055229 00100010000001111101111110100* L055258 00000011111111110110100110000* L055287 00100010000111111110100110100* Note Globals * Note Global Clock Mux * L055316 001* Note Programmable Clock Divider * L055319 1111* Note Programmable Clock Delay * L055323 1* Note Global Set/Reset Mux * L055324 00* Note Global OE Mux * L055326 11111111* Note Global Termination * L055334 1* Note Data Gate Enable * L055335 1* Note Input Voltage Standard for IOB * L055336 00* Note Output Voltage Standard for IOB * L055338 00* Note VREF enable * L055340 1* C7F97* B5EC