Model { Name "hex_out" Version 7.1 MdlSubVersion 0 GraphicalInterface { NumRootInports 0 NumRootOutports 0 ParameterArgumentNames "" ComputedModelVersion "1.83" NumModelReferences 0 NumTestPointedSignals 0 } SavedCharacterEncoding "windows-1252" SaveDefaultBlockParams on SampleTimeColors on LibraryLinkDisplay "none" WideLines off ShowLineDimensions off ShowPortDataTypes on ShowLoopsOnError on IgnoreBidirectionalLines off ShowStorageClass off ShowTestPointIcons on ShowSignalResolutionIcons on ShowViewerIcons on SortedOrder off ExecutionContextIcon off ShowLinearizationAnnotations on ScopeRefreshTime 0.035000 OverrideScopeRefreshTime on DisableAllScopes off DataTypeOverride "UseLocalSettings" MinMaxOverflowLogging "UseLocalSettings" MinMaxOverflowArchiveMode "Overwrite" BlockNameDataTip off BlockParametersDataTip off BlockDescriptionStringDataTip off ToolBar on StatusBar on BrowserShowLibraryLinks off BrowserLookUnderMasks off InitFcn "init" StartFcn "init" Created "Tue Sep 29 13:35:03 2009" Creator "sgupta" UpdateHistory "UpdateHistoryNever" ModifiedByFormat "%" LastModifiedBy "sgupta" ModifiedDateFormat "%" LastModifiedDate "Tue Oct 06 10:12:46 2009" RTWModifiedTimeStamp 0 ModelVersionFormat "1.%" ConfigurationManager "None" SimulationMode "normal" LinearizationMsg "none" Profile off ParamWorkspaceSource "MATLABWorkspace" AccelSystemTargetFile "accel.tlc" AccelTemplateMakefile "accel_default_tmf" AccelMakeCommand "make_rtw" TryForcingSFcnDF off RecordCoverage off CovPath "/" CovSaveName "covdata" CovMetricSettings "dw" CovNameIncrementing off CovHtmlReporting on covSaveCumulativeToWorkspaceVar on CovSaveSingleToWorkspaceVar on CovCumulativeVarName "covCumulativeData" CovCumulativeReport off CovReportOnPause on CovModelRefEnable "Off" ExtModeBatchMode off ExtModeEnableFloating on ExtModeTrigType "manual" ExtModeTrigMode "normal" ExtModeTrigPort "1" ExtModeTrigElement "any" ExtModeTrigDuration 1000 ExtModeTrigDurationFloating "auto" ExtModeTrigHoldOff 0 ExtModeTrigDelay 0 ExtModeTrigDirection "rising" ExtModeTrigLevel 0 ExtModeArchiveMode "off" ExtModeAutoIncOneShot off ExtModeIncDirWhenArm off ExtModeAddSuffixToVar off ExtModeWriteAllDataToWs off ExtModeArmWhenConnect on ExtModeSkipDownloadWhenConnect off ExtModeLogAll on ExtModeAutoUpdateStatusClock on BufferReuse on ShowModelReferenceBlockVersion off ShowModelReferenceBlockIO off Array { Type "Handle" Dimension 1 Simulink.ConfigSet { $ObjectID 1 Version "1.4.0" Array { Type "Handle" Dimension 7 Simulink.SolverCC { $ObjectID 2 Version "1.4.0" StartTime "0.0" StopTime "100000" AbsTol "auto" FixedStep "auto" InitialStep "auto" MaxNumMinSteps "-1" MaxOrder 5 ZcThreshold "auto" ConsecutiveZCsStepRelTol "10*128*eps" MaxConsecutiveZCs "1000" ExtrapolationOrder 4 NumberNewtonIterations 1 MaxStep "auto" MinStep "auto" MaxConsecutiveMinStep "1" RelTol "1e-3" SolverMode "Auto" Solver "ode45" SolverName "ode45" ShapePreserveControl "DisableAll" ZeroCrossControl "UseLocalSettings" ZeroCrossAlgorithm "Non-adaptive" AlgebraicLoopSolver "TrustRegion" SolverResetMethod "Fast" PositivePriorityOrder off AutoInsertRateTranBlk off SampleTimeConstraint "Unconstrained" InsertRTBMode "Whenever possible" } Simulink.DataIOCC { $ObjectID 3 Version "1.4.0" Decimation "1" ExternalInput "[t, u]" FinalStateName "xFinal" InitialState "xInitial" LimitDataPoints on MaxDataPoints "1000" LoadExternalInput off LoadInitialState off SaveFinalState off SaveFormat "Array" SaveOutput on SaveState off SignalLogging on InspectSignalLogs off SaveTime on StateSaveName "xout" TimeSaveName "tout" OutputSaveName "yout" SignalLoggingName "logsout" OutputOption "RefineOutputTimes" OutputTimes "[]" Refine "1" } Simulink.OptimizationCC { $ObjectID 4 Array { Type "Cell" Dimension 5 Cell "ZeroExternalMemoryAtStartup" Cell "ZeroInternalMemoryAtStartup" Cell "InitFltsAndDblsToZero" Cell "OptimizeModelRefInitCode" Cell "NoFixptDivByZeroProtection" PropName "DisabledProps" } Version "1.4.0" BlockReduction on BooleanDataType on ConditionallyExecuteInputs on InlineParams off InlineInvariantSignals off OptimizeBlockIOStorage on BufferReuse on EnhancedBackFolding off EnforceIntegerDowncast on ExpressionFolding on ExpressionDepthLimit 2147483647 FoldNonRolledExpr on LocalBlockOutputs on RollThreshold 5 SystemCodeInlineAuto off StateBitsets off DataBitsets off UseTempVars off ZeroExternalMemoryAtStartup on ZeroInternalMemoryAtStartup on InitFltsAndDblsToZero on NoFixptDivByZeroProtection off EfficientFloat2IntCast off OptimizeModelRefInitCode off LifeSpan "inf" BufferReusableBoundary on SimCompilerOptimization "Off" AccelVerboseBuild off } Simulink.DebuggingCC { $ObjectID 5 Version "1.4.0" RTPrefix "error" ConsistencyChecking "none" ArrayBoundsChecking "none" SignalInfNanChecking "none" SignalRangeChecking "none" ReadBeforeWriteMsg "UseLocalSettings" WriteAfterWriteMsg "UseLocalSettings" WriteAfterReadMsg "UseLocalSettings" AlgebraicLoopMsg "warning" ArtificialAlgebraicLoopMsg "warning" SaveWithDisabledLinksMsg "warning" SaveWithParameterizedLinksMsg "warning" CheckSSInitialOutputMsg on CheckExecutionContextPreStartOutputMsg off CheckExecutionContextRuntimeOutputMsg off SignalResolutionControl "UseLocalSettings" BlockPriorityViolationMsg "warning" MinStepSizeMsg "warning" TimeAdjustmentMsg "none" MaxConsecutiveZCsMsg "error" SolverPrmCheckMsg "warning" InheritedTsInSrcMsg "warning" DiscreteInheritContinuousMsg "warning" MultiTaskDSMMsg "error" MultiTaskCondExecSysMsg "error" MultiTaskRateTransMsg "error" SingleTaskRateTransMsg "none" TasksWithSamePriorityMsg "warning" SigSpecEnsureSampleTimeMsg "warning" CheckMatrixSingularityMsg "none" IntegerOverflowMsg "warning" Int32ToFloatConvMsg "warning" ParameterDowncastMsg "error" ParameterOverflowMsg "error" ParameterUnderflowMsg "none" ParameterPrecisionLossMsg "warning" ParameterTunabilityLossMsg "warning" UnderSpecifiedDataTypeMsg "none" UnnecessaryDatatypeConvMsg "none" VectorMatrixConversionMsg "none" InvalidFcnCallConnMsg "error" FcnCallInpInsideContextMsg "Use local settings" SignalLabelMismatchMsg "none" UnconnectedInputMsg "warning" UnconnectedOutputMsg "warning" UnconnectedLineMsg "warning" SFcnCompatibilityMsg "none" UniqueDataStoreMsg "none" BusObjectLabelMismatch "warning" RootOutportRequireBusObject "warning" AssertControl "UseLocalSettings" EnableOverflowDetection off ModelReferenceIOMsg "none" ModelReferenceVersionMismatchMessage "none" ModelReferenceIOMismatchMessage "none" ModelReferenceCSMismatchMessage "none" ModelReferenceSimTargetVerbose off UnknownTsInhSupMsg "warning" ModelReferenceDataLoggingMessage "warning" ModelReferenceSymbolNameMessage "warning" ModelReferenceExtraNoncontSigs "error" StateNameClashWarn "warning" StrictBusMsg "Warning" LoggingUnavailableSignals "error" BlockIODiagnostic "none" } Simulink.HardwareCC { $ObjectID 6 Version "1.4.0" ProdBitPerChar 8 ProdBitPerShort 16 ProdBitPerInt 32 ProdBitPerLong 32 ProdIntDivRoundTo "Undefined" ProdEndianess "Unspecified" ProdWordSize 32 ProdShiftRightIntArith on ProdHWDeviceType "32-bit Generic" TargetBitPerChar 8 TargetBitPerShort 16 TargetBitPerInt 32 TargetBitPerLong 32 TargetShiftRightIntArith on TargetIntDivRoundTo "Undefined" TargetEndianess "Unspecified" TargetWordSize 32 TargetTypeEmulationWarnSuppressLevel 0 TargetPreprocMaxBitsSint 32 TargetPreprocMaxBitsUint 32 TargetHWDeviceType "Specified" TargetUnknown off ProdEqTarget on } Simulink.ModelReferenceCC { $ObjectID 7 Version "1.4.0" UpdateModelReferenceTargets "IfOutOfDateOrStructuralChange" CheckModelReferenceTargetMessage "error" ModelReferenceNumInstancesAllowed "Multi" ModelReferenceSigSizeVariationType "Always allowed" ModelReferencePassRootInputsByReference on ModelReferenceMinAlgLoopOccurrences off } Simulink.RTWCC { $BackupClass "Simulink.RTWCC" $ObjectID 8 Array { Type "Cell" Dimension 6 Cell "IncludeHyperlinkInReport" Cell "GenerateTraceInfo" Cell "GenerateTraceReport" Cell "GenerateTraceReportSl" Cell "GenerateTraceReportSf" Cell "GenerateTraceReportEml" PropName "DisabledProps" } Version "1.4.0" SystemTargetFile "grt.tlc" GenCodeOnly off MakeCommand "make_rtw" GenerateMakefile on TemplateMakefile "grt_default_tmf" GenerateReport off SaveLog off RTWVerbose on RetainRTWFile off ProfileTLC off TLCDebug off TLCCoverage off TLCAssert off ProcessScriptMode "Default" ConfigurationMode "Optimized" ConfigAtBuild off IncludeHyperlinkInReport off LaunchReport off TargetLang "C" IncludeBusHierarchyInRTWFileBlockHierarchyMap off IncludeERTFirstTime off GenerateTraceInfo off GenerateTraceReport off GenerateTraceReportSl off GenerateTraceReportSf off GenerateTraceReportEml off GenerateCodeInfo off RTWCompilerOptimization "Off" Array { Type "Handle" Dimension 2 Simulink.CodeAppCC { $ObjectID 9 Array { Type "Cell" Dimension 16 Cell "IgnoreCustomStorageClasses" Cell "InsertBlockDesc" Cell "SFDataObjDesc" Cell "SimulinkDataObjDesc" Cell "DefineNamingRule" Cell "SignalNamingRule" Cell "ParamNamingRule" Cell "InlinedPrmAccess" Cell "CustomSymbolStr" Cell "CustomSymbolStrGlobalVar" Cell "CustomSymbolStrType" Cell "CustomSymbolStrField" Cell "CustomSymbolStrFcn" Cell "CustomSymbolStrBlkIO" Cell "CustomSymbolStrTmpVar" Cell "CustomSymbolStrMacro" PropName "DisabledProps" } Version "1.4.0" ForceParamTrailComments off GenerateComments on IgnoreCustomStorageClasses on IncHierarchyInIds off MaxIdLength 31 PreserveName off PreserveNameWithParent off ShowEliminatedStatement off IncAutoGenComments off SimulinkDataObjDesc off SFDataObjDesc off IncDataTypeInIds off MangleLength 1 CustomSymbolStrGlobalVar "$R$N$M" CustomSymbolStrType "$N$R$M" CustomSymbolStrField "$N$M" CustomSymbolStrFcn "$R$N$M$F" CustomSymbolStrBlkIO "rtb_$N$M" CustomSymbolStrTmpVar "$N$M" CustomSymbolStrMacro "$R$N$M" DefineNamingRule "None" ParamNamingRule "None" SignalNamingRule "None" InsertBlockDesc off SimulinkBlockComments on EnableCustomComments off InlinedPrmAccess "Literals" ReqsInCode off } Simulink.GRTTargetCC { $BackupClass "Simulink.TargetCC" $ObjectID 10 Array { Type "Cell" Dimension 16 Cell "IncludeMdlTerminateFcn" Cell "CombineOutputUpdateFcns" Cell "SuppressErrorStatus" Cell "ERTCustomFileBanners" Cell "GenerateSampleERTMain" Cell "GenerateTestInterfaces" Cell "ModelStepFunctionPrototypeControlCompliant" Cell "CPPClassGenCompliant" Cell "MultiInstanceERTCode" Cell "PurelyIntegerCode" Cell "SupportNonFinite" Cell "SupportComplex" Cell "SupportAbsoluteTime" Cell "SupportContinuousTime" Cell "SupportNonInlinedSFcns" Cell "PortableWordSizes" PropName "DisabledProps" } Version "1.4.0" TargetFcnLib "ansi_tfl_table_tmw.mat" TargetLibSuffix "" TargetPreCompLibLocation "" TargetFunctionLibrary "ANSI_C" UtilityFuncGeneration "Auto" GenerateFullHeader on GenerateSampleERTMain off GenerateTestInterfaces off IsPILTarget off ModelReferenceCompliant on CompOptLevelCompliant on IncludeMdlTerminateFcn on CombineOutputUpdateFcns off SuppressErrorStatus off ERTFirstTimeCompliant off IncludeFileDelimiter "Auto" ERTCustomFileBanners off SupportAbsoluteTime on LogVarNameModifier "rt_" MatFileLogging on MultiInstanceERTCode off SupportNonFinite on SupportComplex on PurelyIntegerCode off SupportContinuousTime on SupportNonInlinedSFcns on EnableShiftOperators on ParenthesesLevel "Nominal" PortableWordSizes off ModelStepFunctionPrototypeControlCompliant off AutosarCompliant off ExtMode off ExtModeStaticAlloc off ExtModeTesting off ExtModeStaticAllocSize 1000000 ExtModeTransport 0 ExtModeMexFile "ext_comm" ExtModeIntrfLevel "Level1" RTWCAPISignals off RTWCAPIParams off RTWCAPIStates off GenerateASAP2 off } PropName "Components" } } PropName "Components" } Name "Configuration" CurrentDlgPage "Solver" } PropName "ConfigurationSets" } Simulink.ConfigSet { $PropName "ActiveConfigurationSet" $ObjectID 1 } BlockDefaults { Orientation "right" ForegroundColor "black" BackgroundColor "white" DropShadow off NamePlacement "normal" FontName "Helvetica" FontSize 10 FontWeight "normal" FontAngle "normal" ShowName on } BlockParameterDefaults { Block { BlockType From IconDisplay "Tag" TagVisibility "local" } Block { BlockType Goto IconDisplay "Tag" } Block { BlockType Inport Port "1" UseBusObject off BusObject "BusObject" BusOutputAsStruct off PortDimensions "-1" SampleTime "-1" OutMin "[]" OutMax "[]" DataType "auto" OutDataType "fixdt(1,16,0)" OutScaling "[]" OutDataTypeStr "Inherit: auto" SignalType "auto" SamplingMode "auto" LatchByDelayingOutsideSignal off LatchByCopyingInsideSignal off Interpolate on } Block { BlockType InportShadow UseBusObject off BusObject "BusObject" BusOutputAsStruct off PortDimensions "-1" SampleTime "-1" OutMin "[]" OutMax "[]" DataType "auto" OutDataType "fixdt(1,16,0)" OutScaling "[]" OutDataTypeStr "Inherit: auto" SignalType "auto" SamplingMode "auto" Interpolate on } Block { BlockType Outport Port "1" UseBusObject off BusObject "BusObject" BusOutputAsStruct off PortDimensions "-1" SampleTime "-1" OutMin "[]" OutMax "[]" DataType "auto" OutDataType "fixdt(1,16,0)" OutScaling "[]" OutDataTypeStr "Inherit: auto" SignalType "auto" SamplingMode "auto" OutputWhenDisabled "held" InitialOutput "[]" } Block { BlockType Scope ModelBased off TickLabels "OneTimeTick" ZoomMode "on" Grid "on" TimeRange "auto" YMin "-5" YMax "5" SaveToWorkspace off SaveName "ScopeData" LimitDataPoints on MaxDataPoints "5000" Decimation "1" SampleInput off SampleTime "-1" } Block { BlockType "S-Function" FunctionName "system" SFunctionModules "''" PortCounts "[]" SFunctionDeploymentMode off } Block { BlockType SubSystem ShowPortLabels "FromPortIcon" Permissions "ReadWrite" PermitHierarchicalResolution "All" TreatAsAtomicUnit off CheckFcnCallInpInsideContextMsg off SystemSampleTime "-1" RTWFcnNameOpts "Auto" RTWFileNameOpts "Auto" RTWMemSecFuncInitTerm "Inherit from model" RTWMemSecFuncExecute "Inherit from model" RTWMemSecDataConstants "Inherit from model" RTWMemSecDataInternal "Inherit from model" RTWMemSecDataParameters "Inherit from model" SimViewingDevice off DataTypeOverride "UseLocalSettings" MinMaxOverflowLogging "UseLocalSettings" } Block { BlockType Terminator } Block { BlockType Constant Value "1" VectorParams1D on SamplingMode "Sample based" OutMin "[]" OutMax "[]" OutDataTypeMode "Inherit from 'Constant value'" OutDataType "fixdt(1,16,0)" ConRadixGroup "Use specified scaling" OutScaling "[]" OutDataTypeStr "Inherit: Inherit from 'Constant value'" SampleTime "inf" FramePeriod "inf" } Block { BlockType DiscretePulseGenerator PulseType "Sample based" TimeSource "Use simulation time" Amplitude "1" Period "2" PulseWidth "1" PhaseDelay "0" SampleTime "1" VectorParams1D on } } AnnotationDefaults { HorizontalAlignment "center" VerticalAlignment "middle" ForegroundColor "black" BackgroundColor "white" DropShadow off FontName "Helvetica" FontSize 10 FontWeight "normal" FontAngle "normal" UseDisplayTextAsClickCallback off } LineDefaults { FontName "Helvetica" FontSize 9 FontWeight "normal" FontAngle "normal" } System { Name "hex_out" Location [405, 110, 1585, 1079] Open on ModelBrowserVisibility off ModelBrowserWidth 200 ScreenColor "white" PaperOrientation "landscape" PaperPositionMode "auto" PaperType "usletter" PaperUnits "inches" TiledPaperMargins [0.500000, 0.500000, 0.500000, 0.500000] TiledPageScale 1 ShowPageBoundaries off ZoomFactor "100" ReportName "simulink-default.rpt" Block { BlockType Reference Name " System Generator" Tag "genX" Ports [] Position [39, 19, 90, 69] ShowName off AttributesFormatString "System\\nGenerator" UserDataPersistent on UserData "DataTag0" SourceBlock "xbsIndex_r4/ System Generator" SourceType "Xilinx System Generator Block" ShowPortLabels "FromPortIcon" SystemSampleTime "-1" FunctionWithSeparateData off RTWMemSecFuncInitTerm "Inherit from model" RTWMemSecFuncExecute "Inherit from model" RTWMemSecDataConstants "Inherit from model" RTWMemSecDataInternal "Inherit from model" RTWMemSecDataParameters "Inherit from model" infoedit " System Generator" xilinxfamily "virtex4" part "xc4vfx100" speed "-11" package "ff1517" synthesis_tool "XST" clock_wrapper "Clock Enables" directory "./netlist02" testbench off simulink_period "1" sysclk_period "10" dcm_input_clock_period "100" incr_netlist off trim_vbits "Everywhere in SubSystem" dbl_ovrd "According to Block Masks" core_generation "According to Block Masks" run_coregen off deprecated_control off eval_field "0" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "sysgen" block_version "10.1.3" sg_icon_stat "51,50,-1,-1,red,beige,0,07734,right" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 51 51 0 ],[0 0 50 50 ],[0.93 0.92 0.86]);\npatch([12 4 16 4 12 25 29 33 47 36 25 17 29 17 25 36 47 33 29 25 12 ],[5 13 25 37 45 45 41 45 45 34 45 37 25 13 5 16 5 5 9 5 5 ],[0.6 0.2 0.25]);\nplot([0 51 51 0 0 ],[0 0 50 50 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\nfprintf('','COMMENT: end icon text');\n" } Block { BlockType SubSystem Name "2wire Count Gen" Ports [2, 3] Position [390, 238, 465, 382] MinAlgLoopOccurrences off PropExecContextOutsideSubsystem off RTWSystemCode "Auto" FunctionWithSeparateData off Opaque off RequestExecContextInheritance off MaskHideContents off System { Name "2wire Count Gen" Location [707, 830, 1108, 1043] Open off ModelBrowserVisibility off ModelBrowserWidth 200 ScreenColor "white" PaperOrientation "landscape" PaperPositionMode "auto" PaperType "usletter" PaperUnits "inches" TiledPaperMargins [0.500000, 0.500000, 0.500000, 0.500000] TiledPageScale 1 ShowPageBoundaries off ZoomFactor "100" Block { BlockType Inport Name "reset" Position [175, 133, 205, 147] IconDisplay "Port number" } Block { BlockType Inport Name "ce" Position [75, 228, 105, 242] Port "2" IconDisplay "Port number" } Block { BlockType Reference Name "Constant" Ports [0, 1] Position [370, 192, 425, 218] SourceBlock "xbsIndex_r4/Constant" SourceType "Xilinx Constant Block Block" arith_type "Unsigned" const "7" n_bits "6" bin_pt "0" explicit_period off period "1" dsp48_infoedit "The use of this block for DSP48 instructions is deprecated. Please use the Opmode block." equ "P=C" opselect "C" inp2 "PCIN>>17" opr "+" inp1 "P" carry "CIN" dbl_ovrd off has_advanced_control "0" sggui_pos "20,20,400,346" block_type "constant" block_version "10.1.3" sg_icon_stat "55,26,1,1,white,blue,0,deaf0d43,right" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 ],[0 0 26 26 ],[0.77 0.82 0.91]);\npatch([20 16 22 16 20 27 29 31 38 32 26 22 28 22 26 32 38 31 29 27 20 ],[3 7 13 19 23 23 21 23 23 17 23 19 13 7 3 9 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 55 55 0 0 ],[0 0 26 26 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('output',1,'7');\nfprintf('','COMMENT: end icon text');\n" } Block { BlockType Reference Name "Constant1" Ports [0, 1] Position [375, 327, 430, 353] SourceBlock "xbsIndex_r4/Constant" SourceType "Xilinx Constant Block Block" arith_type "Unsigned" const "31" n_bits "6" bin_pt "0" explicit_period off period "1" dsp48_infoedit "The use of this block for DSP48 instructions is deprecated. Please use the Opmode block." equ "P=C" opselect "C" inp2 "PCIN>>17" opr "+" inp1 "P" carry "CIN" dbl_ovrd off has_advanced_control "0" sggui_pos "20,20,400,346" block_type "constant" block_version "10.1.3" sg_icon_stat "55,26,1,1,white,blue,0,ce9593e4,right" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 ],[0 0 26 26 ],[0.77 0.82 0.91]);\npatch([20 16 22 16 20 27 29 31 38 32 26 22 28 22 26 32 38 31 29 27 20 ],[3 7 13 19 23 23 21 23 23 17 23 19 13 7 3 9 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 55 55 0 0 ],[0 0 26 26 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('output',1,'31');\nfprintf('','COMMENT: end icon text');\n" } Block { BlockType Reference Name "Counter4" Ports [2, 1] Position [240, 125, 300, 185] SourceBlock "xbsIndex_r4/Counter" SourceType "Xilinx Counter Block" infoedit "Hardware notes: Free running counters are the least expensive in hardware. A count limited counter is implemented by combining a counter with a comparator." cnt_type "Free Running" cnt_to "23" operation "Up" start_count "0" cnt_by_val "1" arith_type "Unsigned" n_bits "6" bin_pt "0" load_pin off rst on en on explicit_period "on" period "1" dbl_ovrd off use_behavioral_HDL off use_rpm off implementation "Fabric" xl_use_area off xl_area "[4 6 0 6 0 0 0]" has_advanced_control "0" sggui_pos "20,20,348,619" block_type "counter" block_version "10.1.3" sg_icon_stat "60,60,1,1,white,blue,0,46c73e85,right" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 ],[0 0 60 60 ],[0.77 0.82 0.91]);\npatch([14 4 18 4 14 30 34 38 55 42 29 20 35 20 29 42 55 38 34 30 14 ],[6 16 30 44 54 54 50 54 54 41 54 45 30 15 6 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 60 60 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1,'rst');\ncolor('black');port_label('input',2,'en');\ncolor('black');port_label('output',1,'out');\nfprintf('','COMMENT: end icon text');\n" } Block { BlockType Reference Name "Delay" Ports [2, 1] Position [350, 276, 380, 314] SourceBlock "xbsIndex_r4/Delay" SourceType "Xilinx Delay Block" infoedit "Hardware notes: A delay line is a chain, each link of which is an SRL16 followed by a flip-flop." en on latency "1" dbl_ovrd off reg_retiming off xl_use_area off xl_area "[3 6 0 0 0 0 0]" has_advanced_control "0" sggui_pos "20,20,348,255" block_type "delay" block_version "10.1.3" sg_icon_stat "30,38,1,1,white,blue,0,9c7d2b66,right" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 ],[0 0 56 56 ],[0.77 0.82 0.91]);\npatch([15 6 19 6 15 30 34 38 54 41 29 20 32 20 29 41 54 38 34 30 15 ],[6 15 28 41 50 50 46 50 50 37 49 40 28 16 7 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 56 56 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',2,'en');\ncolor('black');disp('z^{-1}','texmode','on');\nfprintf('','COMMENT: end icon text');\n" } Block { BlockType Reference Name "Logical" Ports [2, 1] Position [605, 275, 660, 335] SourceBlock "xbsIndex_r4/Logical" SourceType "Xilinx Logical Block Block" logical_function "AND" inputs "2" en off latency "0" precision "Full" arith_type "Unsigned" n_bits "16" bin_pt "0" align_bp on dbl_ovrd off xl_use_area off xl_area "[1 0 0 1 0 0 0]" has_advanced_control "0" sggui_pos "20,20,348,261" block_type "logical" block_version "10.1.3" sg_icon_stat "55,60,1,1,white,blue,0,087b5522,right" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 ],[0 0 60 60 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13 28 32 36 52 40 28 19 32 19 28 40 52 36 32 28 13 ],[8 17 30 43 52 52 48 52 52 40 52 43 30 17 8 20 8 8 12 8 8 ],[0.98 0.96 0.92]);\nplot([0 55 55 0 0 ],[0 0 60 60 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');disp('\\newlineand\\newlinez^{-0}','texmode','on');\nfprintf('','COMMENT: end icon text');\n" } Block { BlockType Reference Name "Logical1" Ports [2, 1] Position [145, 220, 200, 280] SourceBlock "xbsIndex_r4/Logical" SourceType "Xilinx Logical Block Block" logical_function "AND" inputs "2" en off latency "0" precision "Full" arith_type "Unsigned" n_bits "16" bin_pt "0" align_bp on dbl_ovrd off xl_use_area off xl_area "[1 0 0 1 0 0 0]" has_advanced_control "0" sggui_pos "20,20,348,261" block_type "logical" block_version "10.1.3" sg_icon_stat "55,60,1,1,white,blue,0,087b5522,right" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 ],[0 0 60 60 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13 28 32 36 52 40 28 19 32 19 28 40 52 36 32 28 13 ],[8 17 30 43 52 52 48 52 52 40 52 43 30 17 8 20 8 8 12 8 8 ],[0.98 0.96 0.92]);\nplot([0 55 55 0 0 ],[0 0 60 60 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');disp('\\newlineand\\newlinez^{-0}','texmode','on');\nfprintf('','COMMENT: end icon text');\n" } Block { BlockType Reference Name "Relational" Ports [3, 1] Position [495, 162, 550, 218] SourceBlock "xbsIndex_r4/Relational" SourceType "Xilinx Arithmetic Relational Operator Block" mode "a!=b" en on latency "1" dbl_ovrd off xl_use_area off xl_area "[2 1 0 3 0 0 0]" has_advanced_control "0" sggui_pos "20,20,348,193" block_type "relational" block_version "10.1.3" sg_icon_stat "55,56,1,1,white,blue,0,5c91c1a3,right" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 ],[0 0 56 56 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13 28 32 36 52 40 28 19 32 19 28 40 52 36 32 28 13 ],[6 15 28 41 50 50 46 50 50 38 50 41 28 15 6 18 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 55 55 0 0 ],[0 0 56 56 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1,'a');\ncolor('black');port_label('input',2,'b');\ncolor('black');port_label('input',3,'en');\ncolor('black');disp('\\newline\\bf{a!=b}\\newlinez^{-1}','texmode','on');\nfprintf('','COMMENT: end icon text');\n" } Block { BlockType Reference Name "Relational1" Ports [3, 1] Position [485, 279, 540, 361] SourceBlock "xbsIndex_r4/Relational" SourceType "Xilinx Arithmetic Relational Operator Block" mode "a!=b" en on latency "1" dbl_ovrd off xl_use_area off xl_area "[2 1 0 3 0 0 0]" has_advanced_control "0" sggui_pos "20,20,348,193" block_type "relational" block_version "10.1.3" sg_icon_stat "55,82,1,1,white,blue,0,5c91c1a3,right" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 ],[0 0 82 82 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13 28 32 36 52 40 28 19 32 19 28 40 52 36 32 28 13 ],[19 28 41 54 63 63 59 63 63 51 63 54 41 28 19 31 19 19 23 19 19 ],[0.98 0.96 0.92]);\nplot([0 55 55 0 0 ],[0 0 82 82 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1,'a');\ncolor('black');port_label('input',2,'b');\ncolor('black');port_label('input',3,'en');\ncolor('black');disp('\\newline\\bf{a!=b}\\newlinez^{-1}','texmode','on');\nfprintf('','COMMENT: end icon text');\n" } Block { BlockType Scope Name "Scope1" Ports [4] Position [795, 185, 830, 250] Floating off Location [5, 45, 1925, 1171] Open off NumInputPorts "4" ZoomMode "xonly" List { ListType AxesTitles axes1 "%" axes2 "%" axes3 "%" axes4 "%" } YMin "-5~-5~-5~-5" YMax "5~5~5~5" SaveName "ScopeData6" DataFormat "StructureWithTime" SampleTime "0" } Block { BlockType Scope Name "Scope3" Ports [2] Position [750, 308, 785, 372] Floating off Location [5, 45, 1925, 1171] Open off NumInputPorts "2" ZoomMode "xonly" List { ListType AxesTitles axes1 "%" axes2 "%" } YMin "-5~-5" YMax "5~5" SaveName "ScopeData3" DataFormat "StructureWithTime" SampleTime "0" } Block { BlockType Reference Name "Slice1" Ports [1, 1] Position [370, 140, 430, 170] SourceBlock "xbsIndex_r4/Slice" SourceType "Xilinx Bit Slice Extractor Block" infoedit "Extracts a given range of bits from each input sample and presents it at the output. The output type is ordinarily unsigned with binary point at zero, but can be Boolean when the slice is one bit wide.

Hardware notes: In hardware this block costs nothing." nbits "3" boolean_output off mode "Lower Bit Location + Width" bit1 "0" base1 "MSB of Input" bit0 "0" base0 "LSB of Input" dbl_ovrd off has_advanced_control "0" sggui_pos "20,20,449,376" block_type "slice" block_version "10.1.3" sg_icon_stat "60,30,1,1,white,blue,0,b1026674,right" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 ],[0 0 30 30 ],[0.77 0.82 0.91]);\npatch([22 17 24 17 22 30 32 34 42 35 29 24 30 24 29 35 42 34 32 30 22 ],[3 8 15 22 27 27 25 27 27 20 26 21 15 9 4 10 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 30 30 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('output',1,'[a:b]');\nfprintf('','COMMENT: end icon text');\n" } Block { BlockType Reference Name "Slice2" Ports [1, 1] Position [400, 280, 460, 310] SourceBlock "xbsIndex_r4/Slice" SourceType "Xilinx Bit Slice Extractor Block" infoedit "Extracts a given range of bits from each input sample and presents it at the output. The output type is ordinarily unsigned with binary point at zero, but can be Boolean when the slice is one bit wide.

Hardware notes: In hardware this block costs nothing." nbits "5" boolean_output off mode "Lower Bit Location + Width" bit1 "0" base1 "MSB of Input" bit0 "0" base0 "LSB of Input" dbl_ovrd off has_advanced_control "0" sggui_pos "20,20,449,376" block_type "slice" block_version "10.1.3" sg_icon_stat "60,30,1,1,white,blue,0,b1026674,right" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 ],[0 0 30 30 ],[0.77 0.82 0.91]);\npatch([22 17 24 17 22 30 32 34 42 35 29 24 30 24 29 35 42 34 32 30 22 ],[3 8 15 22 27 27 25 27 27 20 26 21 15 9 4 10 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 30 30 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('output',1,'[a:b]');\nfprintf('','COMMENT: end icon text');\n" } Block { BlockType InportShadow Name "ce1" Position [435, 238, 465, 252] Port "2" IconDisplay "Port number" LatchByDelayingOutsideSignal off LatchByCopyingInsideSignal off } Block { BlockType InportShadow Name "ce2" Position [410, 368, 440, 382] Port "2" IconDisplay "Port number" LatchByDelayingOutsideSignal off LatchByCopyingInsideSignal off } Block { BlockType InportShadow Name "ce3" Position [265, 298, 295, 312] Port "2" IconDisplay "Port number" LatchByDelayingOutsideSignal off LatchByCopyingInsideSignal off } Block { BlockType Outport Name "addr" Position [350, 113, 380, 127] IconDisplay "Port number" } Block { BlockType Outport Name "enable7" Position [595, 218, 625, 232] Port "2" IconDisplay "Port number" } Block { BlockType Outport Name "enable31" Position [595, 378, 625, 392] Port "3" IconDisplay "Port number" } Line { SrcBlock "Counter4" SrcPort 1 Points [30, 0] Branch { DstBlock "addr" DstPort 1 } Branch { DstBlock "Delay" DstPort 1 } Branch { DstBlock "Slice1" DstPort 1 } } Line { SrcBlock "Slice1" SrcPort 1 Points [35, 0; 0, 15] DstBlock "Relational" DstPort 1 } Line { SrcBlock "Constant" SrcPort 1 Points [25, 0; 0, -15] DstBlock "Relational" DstPort 2 } Line { SrcBlock "Relational" SrcPort 1 Points [15, 0; 0, 35] Branch { DstBlock "enable7" DstPort 1 } Branch { Points [0, 65] DstBlock "Logical" DstPort 1 } } Line { SrcBlock "Constant1" SrcPort 1 Points [25, 0; 0, -20] DstBlock "Relational1" DstPort 2 } Line { SrcBlock "Delay" SrcPort 1 DstBlock "Slice2" DstPort 1 } Line { SrcBlock "Relational1" SrcPort 1 Points [25, 0] Branch { DstBlock "Logical" DstPort 2 } Branch { Points [0, 65] DstBlock "enable31" DstPort 1 } } Line { SrcBlock "Slice2" SrcPort 1 DstBlock "Relational1" DstPort 1 } Line { SrcBlock "reset" SrcPort 1 DstBlock "Counter4" DstPort 1 } Line { SrcBlock "Logical1" SrcPort 1 Points [10, 0; 0, -80] DstBlock "Counter4" DstPort 2 } Line { SrcBlock "ce" SrcPort 1 DstBlock "Logical1" DstPort 1 } Line { SrcBlock "Logical" SrcPort 1 Points [10, 0; 0, 140; -545, 0] DstBlock "Logical1" DstPort 2 } Line { SrcBlock "ce1" SrcPort 1 Points [0, -35] DstBlock "Relational" DstPort 3 } Line { SrcBlock "ce2" SrcPort 1 Points [20, 0; 0, -30] DstBlock "Relational1" DstPort 3 } Line { SrcBlock "ce3" SrcPort 1 DstBlock "Delay" DstPort 2 } } } Block { BlockType SubSystem Name "ClockEnableGen" Ports [2, 1] Position [325, 638, 405, 707] MinAlgLoopOccurrences off PropExecContextOutsideSubsystem off RTWSystemCode "Auto" FunctionWithSeparateData off Opaque off RequestExecContextInheritance off MaskHideContents off System { Name "ClockEnableGen" Location [465, 685, 955, 978] Open off ModelBrowserVisibility off ModelBrowserWidth 200 ScreenColor "white" PaperOrientation "landscape" PaperPositionMode "auto" PaperType "usletter" PaperUnits "inches" TiledPaperMargins [0.500000, 0.500000, 0.500000, 0.500000] TiledPageScale 1 ShowPageBoundaries off ZoomFactor "100" Block { BlockType Inport Name "divider" Position [55, 33, 85, 47] IconDisplay "Port number" } Block { BlockType Inport Name "reset" Position [70, 193, 100, 207] Port "2" IconDisplay "Port number" } Block { BlockType Reference Name "AddSub" Ports [2, 1] Position [235, 61, 295, 119] SourceBlock "xbsIndex_r4/AddSub" SourceType "Xilinx Adder/Subtractor Block" mode "Subtraction" use_carryin off use_carryout off en off latency "0" precision "User Defined" arith_type "Unsigned" n_bits "10" bin_pt "0" quantization "Truncate" overflow "Wrap" dbl_ovrd off use_behavioral_HDL off pipelined off use_rpm on hw_selection "Fabric" xl_use_area off xl_area "[6 0 0 10 0 0 0]" has_advanced_control "0" sggui_pos "20,20,348,344" block_type "addsub" block_version "10.1.3" sg_icon_stat "60,58,1,1,white,blue,0,46b4c804,right" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 ],[0 0 58 58 ],[0.77 0.82 0.91]);\npatch([15 5 19 5 15 30 34 38 54 41 29 20 34 20 29 41 54 38 34 30 15 ],[6 16 30 44 54 54 50 54 54 41 53 44 30 16 7 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 58 58 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1,'a');\ncolor('black');port_label('input',2,'b');\ncolor('black');port_label('output',1,'\\bf{a - b}','texmode','on');\nfprintf('','COMMENT: end icon text');\n" } Block { BlockType Reference Name "Concat" Ports [2, 1] Position [140, 25, 200, 85] SourceBlock "xbsIndex_r4/Concat" SourceType "Xilinx Bus Concatenator Block" infoedit "Concatenates two or more inputs. Output will be cast to an unsigned value with the binary point at zero." num_inputs "2" dbl_ovrd off has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "concat" block_version "10.1.3" sg_icon_stat "60,60,1,1,white,blue,0,df1e5aba,right" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 ],[0 0 60 60 ],[0.77 0.82 0.91]);\npatch([14 4 18 4 14 30 34 38 55 42 29 20 35 20 29 42 55 38 34 30 14 ],[6 16 30 44 54 54 50 54 54 41 54 45 30 15 6 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 60 60 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1,'hi');\ncolor('black');port_label('input',2,'lo');\nfprintf('','COMMENT: end icon text');\n" } Block { BlockType Reference Name "Constant15" Ports [0, 1] Position [25, 72, 80, 98] SourceBlock "xbsIndex_r4/Constant" SourceType "Xilinx Constant Block Block" arith_type "Unsigned" const "0" n_bits "2" bin_pt "0" explicit_period on period "1" dsp48_infoedit "The use of this block for DSP48 instructions is deprecated. Please use the Opmode block." equ "P=C" opselect "C" inp2 "PCIN>>17" opr "+" inp1 "P" carry "CIN" dbl_ovrd off has_advanced_control "0" sggui_pos "20,20,400,346" block_type "constant" block_version "10.1.3" sg_icon_stat "55,26,1,1,white,blue,0,72d575a1,right" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 ],[0 0 26 26 ],[0.77 0.82 0.91]);\npatch([20 16 22 16 20 27 29 31 38 32 26 22 28 22 26 32 38 31 29 27 20 ],[3 7 13 19 23 23 21 23 23 17 23 19 13 7 3 9 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 55 55 0 0 ],[0 0 26 26 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('output',1,'0');\nfprintf('','COMMENT: end icon text');\n" } Block { BlockType Reference Name "Constant17" Ports [0, 1] Position [140, 117, 195, 143] SourceBlock "xbsIndex_r4/Constant" SourceType "Xilinx Constant Block Block" arith_type "Unsigned" const "2" n_bits "10" bin_pt "0" explicit_period on period "1" dsp48_infoedit "The use of this block for DSP48 instructions is deprecated. Please use the Opmode block." equ "P=C" opselect "C" inp2 "PCIN>>17" opr "+" inp1 "P" carry "CIN" dbl_ovrd off has_advanced_control "0" sggui_pos "20,20,400,346" block_type "constant" block_version "10.1.3" sg_icon_stat "55,26,1,1,white,blue,0,702e86fb,right" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 ],[0 0 26 26 ],[0.77 0.82 0.91]);\npatch([20 16 22 16 20 27 29 31 38 32 26 22 28 22 26 32 38 31 29 27 20 ],[3 7 13 19 23 23 21 23 23 17 23 19 13 7 3 9 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 55 55 0 0 ],[0 0 26 26 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('output',1,'2');\nfprintf('','COMMENT: end icon text');\n" } Block { BlockType Reference Name "Counter2" Ports [1, 1] Position [240, 185, 300, 245] SourceBlock "xbsIndex_r4/Counter" SourceType "Xilinx Counter Block" infoedit "Hardware notes: Free running counters are the least expensive in hardware. A count limited counter is implemented by combining a counter with a comparator." cnt_type "Free Running" cnt_to "23" operation "Up" start_count "0" cnt_by_val "1" arith_type "Unsigned" n_bits "10" bin_pt "0" load_pin off rst on en off explicit_period "on" period "1" dbl_ovrd off use_behavioral_HDL off use_rpm off implementation "Fabric" xl_use_area off xl_area "[6 10 0 10 0 0 0]" has_advanced_control "0" sggui_pos "20,20,348,619" block_type "counter" block_version "10.1.3" sg_icon_stat "60,60,1,1,white,blue,0,300e9576,right" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 ],[0 0 60 60 ],[0.77 0.82 0.91]);\npatch([14 4 18 4 14 30 34 38 55 42 29 20 35 20 29 42 55 38 34 30 14 ],[6 16 30 44 54 54 50 54 54 41 54 45 30 15 6 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 60 60 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1,'rst');\ncolor('black');port_label('output',1,'out');\nfprintf('','COMMENT: end icon text');\n" } Block { BlockType Reference Name "Logical" Ports [2, 1] Position [145, 185, 200, 245] SourceBlock "xbsIndex_r4/Logical" SourceType "Xilinx Logical Block Block" logical_function "OR" inputs "2" en off latency "0" precision "Full" arith_type "Unsigned" n_bits "16" bin_pt "0" align_bp on dbl_ovrd off xl_use_area off xl_area "[0,0,0,0,0,0,0]" has_advanced_control "0" sggui_pos "20,20,348,261" block_type "logical" block_version "10.1.3" sg_icon_stat "55,60,1,1,white,blue,0,f4a65842,right" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 ],[0 0 60 60 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13 28 32 36 52 40 28 19 32 19 28 40 52 36 32 28 13 ],[8 17 30 43 52 52 48 52 52 40 52 43 30 17 8 20 8 8 12 8 8 ],[0.98 0.96 0.92]);\nplot([0 55 55 0 0 ],[0 0 60 60 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');disp('\\newlineor\\newlinez^{-0}','texmode','on');\nfprintf('','COMMENT: end icon text');\n" } Block { BlockType Reference Name "Relational" Ports [2, 1] Position [345, 122, 400, 178] SourceBlock "xbsIndex_r4/Relational" SourceType "Xilinx Arithmetic Relational Operator Block" mode "a=b" en off latency "1" dbl_ovrd off xl_use_area off xl_area "[3 1 0 6 0 0 0]" has_advanced_control "0" sggui_pos "20,20,348,193" block_type "relational" block_version "10.1.3" sg_icon_stat "55,56,1,1,white,blue,0,1cf02e61,right" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 ],[0 0 56 56 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13 28 32 36 52 40 28 19 32 19 28 40 52 36 32 28 13 ],[6 15 28 41 50 50 46 50 50 38 50 41 28 15 6 18 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 55 55 0 0 ],[0 0 56 56 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1,'a');\ncolor('black');port_label('input',2,'b');\ncolor('black');disp('\\newline\\bf{a=b}\\newlinez^{-1}','texmode','on');\nfprintf('','COMMENT: end icon text');\n" } Block { BlockType Outport Name "ce" Position [435, 143, 465, 157] IconDisplay "Port number" } Line { SrcBlock "Constant15" SrcPort 1 Points [20, 0; 0, -15] DstBlock "Concat" DstPort 2 } Line { SrcBlock "Counter2" SrcPort 1 Points [10, 0; 0, -50] DstBlock "Relational" DstPort 2 } Line { SrcBlock "Relational" SrcPort 1 Points [5, 0] Branch { DstBlock "ce" DstPort 1 } Branch { Points [0, 120; -280, 0] DstBlock "Logical" DstPort 2 } } Line { SrcBlock "AddSub" SrcPort 1 Points [15, 0; 0, 45] DstBlock "Relational" DstPort 1 } Line { SrcBlock "Concat" SrcPort 1 Points [5, 0; 0, 20] DstBlock "AddSub" DstPort 1 } Line { SrcBlock "Constant17" SrcPort 1 Points [10, 0; 0, -25] DstBlock "AddSub" DstPort 2 } Line { SrcBlock "divider" SrcPort 1 DstBlock "Concat" DstPort 1 } Line { SrcBlock "Logical" SrcPort 1 DstBlock "Counter2" DstPort 1 } Line { SrcBlock "reset" SrcPort 1 DstBlock "Logical" DstPort 1 } } } Block { BlockType SubSystem Name "ClockEnableGen_div4" Ports [2, 1] Position [325, 733, 405, 802] MinAlgLoopOccurrences off PropExecContextOutsideSubsystem off RTWSystemCode "Auto" FunctionWithSeparateData off Opaque off RequestExecContextInheritance off MaskHideContents off System { Name "ClockEnableGen_div4" Location [405, 110, 1838, 1114] Open off ModelBrowserVisibility off ModelBrowserWidth 200 ScreenColor "white" PaperOrientation "landscape" PaperPositionMode "auto" PaperType "usletter" PaperUnits "inches" TiledPaperMargins [0.500000, 0.500000, 0.500000, 0.500000] TiledPageScale 1 ShowPageBoundaries off ZoomFactor "100" Block { BlockType Inport Name "divider" Position [75, 143, 105, 157] IconDisplay "Port number" } Block { BlockType Inport Name "reset" Position [120, 258, 150, 272] Port "2" IconDisplay "Port number" } Block { BlockType Reference Name "AddSub" Ports [2, 1] Position [285, 126, 345, 184] SourceBlock "xbsIndex_r4/AddSub" SourceType "Xilinx Adder/Subtractor Block" mode "Subtraction" use_carryin off use_carryout off en off latency "0" precision "User Defined" arith_type "Unsigned" n_bits "10" bin_pt "0" quantization "Truncate" overflow "Wrap" dbl_ovrd off use_behavioral_HDL off pipelined off use_rpm on hw_selection "Fabric" xl_use_area off xl_area "[6 0 0 10 0 0 0]" has_advanced_control "0" sggui_pos "20,20,348,344" block_type "addsub" block_version "10.1.3" sg_icon_stat "60,58,1,1,white,blue,0,46b4c804,right" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 ],[0 0 58 58 ],[0.77 0.82 0.91]);\npatch([15 5 19 5 15 30 34 38 54 41 29 20 34 20 29 41 54 38 34 30 15 ],[6 16 30 44 54 54 50 54 54 41 53 44 30 16 7 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 58 58 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1,'a');\ncolor('black');port_label('input',2,'b');\ncolor('black');port_label('output',1,'\\bf{a - b}','texmode','on');\nfprintf('','COMMENT: end icon text');\n" } Block { BlockType Reference Name "Concat" Ports [2, 1] Position [190, 80, 250, 140] SourceBlock "xbsIndex_r4/Concat" SourceType "Xilinx Bus Concatenator Block" infoedit "Concatenates two or more inputs. Output will be cast to an unsigned value with the binary point at zero." num_inputs "2" dbl_ovrd off has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "concat" block_version "10.1.3" sg_icon_stat "60,60,1,1,white,blue,0,df1e5aba,right" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 ],[0 0 60 60 ],[0.77 0.82 0.91]);\npatch([14 4 18 4 14 30 34 38 55 42 29 20 35 20 29 42 55 38 34 30 14 ],[6 16 30 44 54 54 50 54 54 41 54 45 30 15 6 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 60 60 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1,'hi');\ncolor('black');port_label('input',2,'lo');\nfprintf('','COMMENT: end icon text');\n" } Block { BlockType Reference Name "Constant15" Ports [0, 1] Position [75, 82, 130, 108] SourceBlock "xbsIndex_r4/Constant" SourceType "Xilinx Constant Block Block" arith_type "Unsigned" const "0" n_bits "2" bin_pt "0" explicit_period on period "1" dsp48_infoedit "The use of this block for DSP48 instructions is deprecated. Please use the Opmode block." equ "P=C" opselect "C" inp2 "PCIN>>17" opr "+" inp1 "P" carry "CIN" dbl_ovrd off has_advanced_control "0" sggui_pos "20,20,400,346" block_type "constant" block_version "10.1.3" sg_icon_stat "55,26,1,1,white,blue,0,72d575a1,right" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 ],[0 0 26 26 ],[0.77 0.82 0.91]);\npatch([20 16 22 16 20 27 29 31 38 32 26 22 28 22 26 32 38 31 29 27 20 ],[3 7 13 19 23 23 21 23 23 17 23 19 13 7 3 9 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 55 55 0 0 ],[0 0 26 26 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('output',1,'0');\nfprintf('','COMMENT: end icon text');\n" } Block { BlockType Reference Name "Constant17" Ports [0, 1] Position [190, 182, 245, 208] SourceBlock "xbsIndex_r4/Constant" SourceType "Xilinx Constant Block Block" arith_type "Unsigned" const "2" n_bits "10" bin_pt "0" explicit_period on period "1" dsp48_infoedit "The use of this block for DSP48 instructions is deprecated. Please use the Opmode block." equ "P=C" opselect "C" inp2 "PCIN>>17" opr "+" inp1 "P" carry "CIN" dbl_ovrd off has_advanced_control "0" sggui_pos "20,20,400,346" block_type "constant" block_version "10.1.3" sg_icon_stat "55,26,1,1,white,blue,0,702e86fb,right" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 ],[0 0 26 26 ],[0.77 0.82 0.91]);\npatch([20 16 22 16 20 27 29 31 38 32 26 22 28 22 26 32 38 31 29 27 20 ],[3 7 13 19 23 23 21 23 23 17 23 19 13 7 3 9 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 55 55 0 0 ],[0 0 26 26 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('output',1,'2');\nfprintf('','COMMENT: end icon text');\n" } Block { BlockType Reference Name "Counter2" Ports [1, 1] Position [290, 250, 350, 310] SourceBlock "xbsIndex_r4/Counter" SourceType "Xilinx Counter Block" infoedit "Hardware notes: Free running counters are the least expensive in hardware. A count limited counter is implemented by combining a counter with a comparator." cnt_type "Free Running" cnt_to "23" operation "Up" start_count "0" cnt_by_val "1" arith_type "Unsigned" n_bits "10" bin_pt "0" load_pin off rst on en off explicit_period "on" period "1" dbl_ovrd off use_behavioral_HDL off use_rpm off implementation "Fabric" xl_use_area off xl_area "[6 10 0 10 0 0 0]" has_advanced_control "0" sggui_pos "20,20,348,619" block_type "counter" block_version "10.1.3" sg_icon_stat "60,60,1,1,white,blue,0,300e9576,right" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 ],[0 0 60 60 ],[0.77 0.82 0.91]);\npatch([14 4 18 4 14 30 34 38 55 42 29 20 35 20 29 42 55 38 34 30 14 ],[6 16 30 44 54 54 50 54 54 41 54 45 30 15 6 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 60 60 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1,'rst');\ncolor('black');port_label('output',1,'out');\nfprintf('','COMMENT: end icon text');\n" } Block { BlockType Reference Name "Logical" Ports [2, 1] Position [195, 250, 250, 310] SourceBlock "xbsIndex_r4/Logical" SourceType "Xilinx Logical Block Block" logical_function "OR" inputs "2" en off latency "0" precision "Full" arith_type "Unsigned" n_bits "16" bin_pt "0" align_bp on dbl_ovrd off xl_use_area off xl_area "[0,0,0,0,0,0,0]" has_advanced_control "0" sggui_pos "20,20,348,261" block_type "logical" block_version "10.1.3" sg_icon_stat "55,60,1,1,white,blue,0,f4a65842,right" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 ],[0 0 60 60 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13 28 32 36 52 40 28 19 32 19 28 40 52 36 32 28 13 ],[8 17 30 43 52 52 48 52 52 40 52 43 30 17 8 20 8 8 12 8 8 ],[0.98 0.96 0.92]);\nplot([0 55 55 0 0 ],[0 0 60 60 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');disp('\\newlineor\\newlinez^{-0}','texmode','on');\nfprintf('','COMMENT: end icon text');\n" } Block { BlockType Reference Name "Relational" Ports [2, 1] Position [395, 187, 450, 243] SourceBlock "xbsIndex_r4/Relational" SourceType "Xilinx Arithmetic Relational Operator Block" mode "a=b" en off latency "1" dbl_ovrd off xl_use_area off xl_area "[3 1 0 6 0 0 0]" has_advanced_control "0" sggui_pos "20,20,348,193" block_type "relational" block_version "10.1.3" sg_icon_stat "55,56,1,1,white,blue,0,1cf02e61,right" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 ],[0 0 56 56 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13 28 32 36 52 40 28 19 32 19 28 40 52 36 32 28 13 ],[6 15 28 41 50 50 46 50 50 38 50 41 28 15 6 18 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 55 55 0 0 ],[0 0 56 56 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1,'a');\ncolor('black');port_label('input',2,'b');\ncolor('black');disp('\\newline\\bf{a=b}\\newlinez^{-1}','texmode','on');\nfprintf('','COMMENT: end icon text');\n" } Block { BlockType Outport Name "ce4" Position [485, 208, 515, 222] IconDisplay "Port number" } Line { SrcBlock "Constant17" SrcPort 1 Points [10, 0; 0, -25] DstBlock "AddSub" DstPort 2 } Line { SrcBlock "AddSub" SrcPort 1 Points [15, 0; 0, 45] DstBlock "Relational" DstPort 1 } Line { SrcBlock "Relational" SrcPort 1 Points [10, 0] Branch { DstBlock "ce4" DstPort 1 } Branch { Points [0, 125; -295, 0; 0, -45] DstBlock "Logical" DstPort 2 } } Line { SrcBlock "Counter2" SrcPort 1 Points [10, 0; 0, -50] DstBlock "Relational" DstPort 2 } Line { SrcBlock "Concat" SrcPort 1 Points [5, 0; 0, 30] DstBlock "AddSub" DstPort 1 } Line { SrcBlock "Constant15" SrcPort 1 DstBlock "Concat" DstPort 1 } Line { SrcBlock "divider" SrcPort 1 Points [50, 0; 0, -25] DstBlock "Concat" DstPort 2 } Line { SrcBlock "Logical" SrcPort 1 DstBlock "Counter2" DstPort 1 } Line { SrcBlock "reset" SrcPort 1 DstBlock "Logical" DstPort 1 } } } Block { BlockType SubSystem Name "ConfigDataMuxCtrl" Ports [2, 1] Position [615, 58, 740, 147] MinAlgLoopOccurrences off PropExecContextOutsideSubsystem off RTWSystemCode "Auto" FunctionWithSeparateData off Opaque off RequestExecContextInheritance off MaskHideContents off System { Name "ConfigDataMuxCtrl" Location [847, 660, 1845, 990] Open off ModelBrowserVisibility off ModelBrowserWidth 200 ScreenColor "white" PaperOrientation "landscape" PaperPositionMode "auto" PaperType "usletter" PaperUnits "inches" TiledPaperMargins [0.500000, 0.500000, 0.500000, 0.500000] TiledPageScale 1 ShowPageBoundaries off ZoomFactor "100" Block { BlockType Inport Name "configrising" Position [40, 45, 70, 60] Orientation "down" IconDisplay "Port number" } Block { BlockType Inport Name "enable31falling" Position [300, 25, 330, 40] Orientation "down" Port "2" IconDisplay "Port number" } Block { BlockType Reference Name "Delay1" Ports [1, 1] Position [27, 100, 83, 160] Orientation "down" SourceBlock "xbsIndex_r4/Delay" SourceType "Xilinx Delay Block" infoedit "Hardware notes: A delay line is a chain, each link of which is an SRL16 followed by a flip-flop." en off latency "1" dbl_ovrd off reg_retiming off xl_use_area off xl_area "[1 1 0 0 0 0 0]" has_advanced_control "0" sggui_pos "20,20,348,255" block_type "delay" block_version "10.1.3" sg_icon_stat "56,60,1,1,white,blue,0,fc531c0e,down" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 56 56 0 ],[0 0 60 60 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13 28 32 36 52 39 27 18 30 18 27 39 52 36 32 28 13 ],[8 17 30 43 52 52 48 52 52 39 51 42 30 18 9 21 8 8 12 8 8 ],[0.98 0.96 0.92]);\nplot([0 56 56 0 0 ],[0 0 60 60 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');disp('z^{-1}','texmode','on');\nfprintf('','COMMENT: end icon text');\n" } Block { BlockType Reference Name "Inverter" Ports [1, 1] Position [702, 125, 728, 145] Orientation "down" SourceBlock "xbsIndex_r4/Inverter" SourceType "Xilinx Inverter Block" infoedit "Bitwise logical negation (one's complement) operator." en off latency "0" dbl_ovrd off xl_use_area off xl_area "[0 0 0 0 0 0 0]" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "inv" block_version "10.1.3" sg_icon_stat "26,20,1,1,white,blue,0,1ab4a85f,down" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 26 26 0 ],[0 0 20 20 ],[0.77 0.82 0.91]);\npatch([7 4 9 4 7 12 13 14 20 16 12 9 14 9 12 16 20 14 13 12 7 ],[2 5 10 15 18 18 17 18 18 14 18 15 10 5 2 6 2 2 3 2 2 ],[0.98 0.96 0.92]);\nplot([0 26 26 0 0 ],[0 0 20 20 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');disp('not');\nfprintf('','COMMENT: end icon text');\n" } Block { BlockType Reference Name "Inverter1" Ports [1, 1] Position [572, 230, 598, 250] Orientation "down" SourceBlock "xbsIndex_r4/Inverter" SourceType "Xilinx Inverter Block" infoedit "Bitwise logical negation (one's complement) operator." en off latency "0" dbl_ovrd off xl_use_area off xl_area "[0 0 0 0 0 0 0]" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "inv" block_version "10.1.3" sg_icon_stat "26,20,1,1,white,blue,0,1ab4a85f,down" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 26 26 0 ],[0 0 20 20 ],[0.77 0.82 0.91]);\npatch([7 4 9 4 7 12 13 14 20 16 12 9 14 9 12 16 20 14 13 12 7 ],[2 5 10 15 18 18 17 18 18 14 18 15 10 5 2 6 2 2 3 2 2 ],[0.98 0.96 0.92]);\nplot([0 26 26 0 0 ],[0 0 20 20 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');disp('not');\nfprintf('','COMMENT: end icon text');\n" } Block { BlockType Reference Name "Logical" Ports [4, 1] Position [755, 157, 810, 218] SourceBlock "xbsIndex_r4/Logical" SourceType "Xilinx Logical Block Block" logical_function "AND" inputs "4" en off latency "0" precision "Full" arith_type "Unsigned" n_bits "16" bin_pt "0" align_bp on dbl_ovrd off xl_use_area off xl_area "[1 0 0 1 0 0 0]" has_advanced_control "0" sggui_pos "20,20,348,261" block_type "logical" block_version "10.1.3" sg_icon_stat "55,61,1,1,white,blue,0,04d2767a,right" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 ],[0 0 61 61 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13 28 32 36 52 40 28 19 32 19 28 40 52 36 32 28 13 ],[9 18 31 44 53 53 49 53 53 41 53 44 31 18 9 21 9 9 13 9 9 ],[0.98 0.96 0.92]);\nplot([0 55 55 0 0 ],[0 0 61 61 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');disp('\\newlineand\\newlinez^{-0}','texmode','on');\nfprintf('','COMMENT: end icon text');\n" } Block { BlockType Reference Name "Logical1" Ports [4, 1] Position [755, 242, 810, 303] SourceBlock "xbsIndex_r4/Logical" SourceType "Xilinx Logical Block Block" logical_function "AND" inputs "4" en off latency "0" precision "Full" arith_type "Unsigned" n_bits "16" bin_pt "0" align_bp on dbl_ovrd off xl_use_area off xl_area "[1 0 0 1 0 0 0]" has_advanced_control "0" sggui_pos "20,20,348,261" block_type "logical" block_version "10.1.3" sg_icon_stat "55,61,1,1,white,blue,0,04d2767a,right" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 ],[0 0 61 61 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13 28 32 36 52 40 28 19 32 19 28 40 52 36 32 28 13 ],[9 18 31 44 53 53 49 53 53 41 53 44 31 18 9 21 9 9 13 9 9 ],[0.98 0.96 0.92]);\nplot([0 55 55 0 0 ],[0 0 61 61 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');disp('\\newlineand\\newlinez^{-0}','texmode','on');\nfprintf('','COMMENT: end icon text');\n" } Block { BlockType Reference Name "Logical2" Ports [2, 1] Position [865, 205, 920, 265] SourceBlock "xbsIndex_r4/Logical" SourceType "Xilinx Logical Block Block" logical_function "OR" inputs "2" en off latency "0" precision "Full" arith_type "Unsigned" n_bits "16" bin_pt "0" align_bp on dbl_ovrd off xl_use_area off xl_area "[1 0 0 1 0 0 0]" has_advanced_control "0" sggui_pos "20,20,348,261" block_type "logical" block_version "10.1.3" sg_icon_stat "55,60,1,1,white,blue,0,f4a65842,right" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 ],[0 0 60 60 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13 28 32 36 52 40 28 19 32 19 28 40 52 36 32 28 13 ],[8 17 30 43 52 52 48 52 52 40 52 43 30 17 8 20 8 8 12 8 8 ],[0.98 0.96 0.92]);\nplot([0 55 55 0 0 ],[0 0 60 60 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');disp('\\newlineor\\newlinez^{-0}','texmode','on');\nfprintf('','COMMENT: end icon text');\n" } Block { BlockType Reference Name "Register" Ports [3, 1] Position [355, 52, 415, 108] SourceBlock "xbsIndex_r4/Register" SourceType "Xilinx Register Block" init "0" rst on en on dbl_ovrd off xl_use_area off xl_area "[1 1 0 0 0 0 0]" has_advanced_control "0" sggui_pos "20,20,348,193" block_type "register" block_version "10.1.3" sg_icon_stat "60,56,1,1,white,blue,0,923c1847,right" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 ],[0 0 56 56 ],[0.77 0.82 0.91]);\npatch([15 6 19 6 15 30 34 38 54 41 29 20 32 20 29 41 54 38 34 30 15 ],[6 15 28 41 50 50 46 50 50 37 49 40 28 16 7 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 56 56 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1,'d');\ncolor('black');port_label('input',2,'rst');\ncolor('black');port_label('input',3,'en');\ncolor('black');port_label('output',1,'q');\ncolor('black');disp('\\bf{z^{-1}}','texmode','on');\nfprintf('','COMMENT: end icon text');\n" } Block { BlockType Reference Name "Register1" Ports [3, 1] Position [495, 52, 555, 108] SourceBlock "xbsIndex_r4/Register" SourceType "Xilinx Register Block" init "0" rst on en on dbl_ovrd off xl_use_area off xl_area "[1 1 0 0 0 0 0]" has_advanced_control "0" sggui_pos "20,20,348,193" block_type "register" block_version "10.1.3" sg_icon_stat "60,56,1,1,white,blue,0,923c1847,right" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 ],[0 0 56 56 ],[0.77 0.82 0.91]);\npatch([15 6 19 6 15 30 34 38 54 41 29 20 32 20 29 41 54 38 34 30 15 ],[6 15 28 41 50 50 46 50 50 37 49 40 28 16 7 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 56 56 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1,'d');\ncolor('black');port_label('input',2,'rst');\ncolor('black');port_label('input',3,'en');\ncolor('black');port_label('output',1,'q');\ncolor('black');disp('\\bf{z^{-1}}','texmode','on');\nfprintf('','COMMENT: end icon text');\n" } Block { BlockType Reference Name "Register2" Ports [3, 1] Position [630, 52, 690, 108] SourceBlock "xbsIndex_r4/Register" SourceType "Xilinx Register Block" init "0" rst on en on dbl_ovrd off xl_use_area off xl_area "[1 1 0 0 0 0 0]" has_advanced_control "0" sggui_pos "20,20,348,193" block_type "register" block_version "10.1.3" sg_icon_stat "60,56,1,1,white,blue,0,923c1847,right" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 ],[0 0 56 56 ],[0.77 0.82 0.91]);\npatch([15 6 19 6 15 30 34 38 54 41 29 20 32 20 29 41 54 38 34 30 15 ],[6 15 28 41 50 50 46 50 50 37 49 40 28 16 7 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 56 56 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1,'d');\ncolor('black');port_label('input',2,'rst');\ncolor('black');port_label('input',3,'en');\ncolor('black');port_label('output',1,'q');\ncolor('black');disp('\\bf{z^{-1}}','texmode','on');\nfprintf('','COMMENT: end icon text');\n" } Block { BlockType Reference Name "Register3" Ports [3, 1] Position [125, 182, 185, 238] SourceBlock "xbsIndex_r4/Register" SourceType "Xilinx Register Block" init "0" rst on en on dbl_ovrd off xl_use_area off xl_area "[1 1 0 0 0 0 0]" has_advanced_control "0" sggui_pos "20,20,348,193" block_type "register" block_version "10.1.3" sg_icon_stat "60,56,1,1,white,blue,0,923c1847,right" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 ],[0 0 56 56 ],[0.77 0.82 0.91]);\npatch([15 6 19 6 15 30 34 38 54 41 29 20 32 20 29 41 54 38 34 30 15 ],[6 15 28 41 50 50 46 50 50 37 49 40 28 16 7 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 56 56 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1,'d');\ncolor('black');port_label('input',2,'rst');\ncolor('black');port_label('input',3,'en');\ncolor('black');port_label('output',1,'q');\ncolor('black');disp('\\bf{z^{-1}}','texmode','on');\nfprintf('','COMMENT: end icon text');\n" } Block { BlockType Outport Name "mux_sel" Position [945, 228, 975, 242] IconDisplay "Port number" } Line { SrcBlock "enable31falling" SrcPort 1 Points [0, 15] Branch { Points [0, 40] Branch { Points [0, 25; 165, 0] Branch { Points [135, 0] DstBlock "Register2" DstPort 3 } Branch { DstBlock "Register1" DstPort 3 } } Branch { DstBlock "Register" DstPort 3 } } Branch { DstBlock "Register" DstPort 1 } } Line { SrcBlock "Register" SrcPort 1 Points [30, 0] Branch { Points [0, -20] DstBlock "Register1" DstPort 1 } Branch { Points [0, 115] Branch { DstBlock "Logical" DstPort 3 } Branch { Points [0, 85] DstBlock "Logical1" DstPort 3 } } } Line { SrcBlock "Register1" SrcPort 1 Points [25, 0] Branch { Points [0, -20] DstBlock "Register2" DstPort 1 } Branch { Points [0, 100] Branch { DstBlock "Logical" DstPort 2 } Branch { DstBlock "Inverter1" DstPort 1 } } } Line { SrcBlock "Delay1" SrcPort 1 Points [0, 25] Branch { DstBlock "Register3" DstPort 1 } Branch { Points [0, 40] DstBlock "Register3" DstPort 3 } } Line { SrcBlock "Register2" SrcPort 1 Points [20, 0] DstBlock "Inverter" DstPort 1 } Line { SrcBlock "Register3" SrcPort 1 Points [245, 0] Branch { DstBlock "Logical" DstPort 4 } Branch { Points [0, 85] DstBlock "Logical1" DstPort 4 } } Line { SrcBlock "Inverter" SrcPort 1 Points [0, 15] Branch { DstBlock "Logical" DstPort 1 } Branch { Points [0, 85] DstBlock "Logical1" DstPort 1 } } Line { SrcBlock "Inverter1" SrcPort 1 Points [0, 10] DstBlock "Logical1" DstPort 2 } Line { SrcBlock "Logical" SrcPort 1 Points [30, 0; 0, 30] DstBlock "Logical2" DstPort 1 } Line { SrcBlock "Logical1" SrcPort 1 Points [30, 0; 0, -25] DstBlock "Logical2" DstPort 2 } Line { SrcBlock "configrising" SrcPort 1 Points [0, 15] Branch { DstBlock "Delay1" DstPort 1 } Branch { Points [45, 0] Branch { Points [170, 0] Branch { DstBlock "Register" DstPort 2 } Branch { Points [0, 70; 195, 0] Branch { Points [0, -70] DstBlock "Register1" DstPort 2 } Branch { Points [135, 0; 0, -70] DstBlock "Register2" DstPort 2 } } } Branch { Points [0, 130] DstBlock "Register3" DstPort 2 } } } Line { SrcBlock "Logical2" SrcPort 1 DstBlock "mux_sel" DstPort 1 } } } Block { BlockType Constant Name "Constant16" Position [145, 640, 175, 670] Value "hex2dec('80')" OutDataType "sfix(16)" OutScaling "2^0" } Block { BlockType Reference Name "Delay1" Ports [1, 1] Position [435, 52, 495, 108] SourceBlock "xbsIndex_r4/Delay" SourceType "Xilinx Delay Block" infoedit "Hardware notes: A delay line is a chain, each link of which is an SRL16 followed by a flip-flop." en off latency "80" dbl_ovrd off reg_retiming off xl_use_area off xl_area "[1 1 0 0 0 0 0]" has_advanced_control "0" sggui_pos "20,20,348,255" block_type "delay" block_version "10.1.3" sg_icon_stat "60,56,1,1,white,blue,0,caa6cacf,right" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 ],[0 0 56 56 ],[0.77 0.82 0.91]);\npatch([15 6 19 6 15 30 34 38 54 41 29 20 32 20 29 41 54 38 34 30 15 ],[6 15 28 41 50 50 46 50 50 37 49 40 28 16 7 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 56 56 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');disp('z^{-80}','texmode','on');\nfprintf('','COMMENT: end icon text');\n" } Block { BlockType Reference Name "Delay4" Ports [2, 1] Position [650, 297, 710, 353] SourceBlock "xbsIndex_r4/Delay" SourceType "Xilinx Delay Block" infoedit "Hardware notes: A delay line is a chain, each link of which is an SRL16 followed by a flip-flop." en on latency "1" dbl_ovrd off reg_retiming off xl_use_area off xl_area "[1 1 0 0 0 0 0]" has_advanced_control "0" sggui_pos "20,20,348,255" block_type "delay" block_version "10.1.3" sg_icon_stat "60,56,1,1,white,blue,0,9c7d2b66,right" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 ],[0 0 56 56 ],[0.77 0.82 0.91]);\npatch([15 6 19 6 15 30 34 38 54 41 29 20 32 20 29 41 54 38 34 30 15 ],[6 15 28 41 50 50 46 50 50 37 49 40 28 16 7 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 56 56 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',2,'en');\ncolor('black');disp('z^{-1}','texmode','on');\nfprintf('','COMMENT: end icon text');\n" } Block { BlockType From Name "From" Position [570, 326, 610, 354] CloseFcn "tagdialog Close" GotoTag "CE" TagVisibility "global" } Block { BlockType From Name "From1" Position [310, 331, 350, 359] CloseFcn "tagdialog Close" GotoTag "CE" TagVisibility "global" } Block { BlockType Goto Name "Goto" Position [460, 660, 500, 690] GotoTag "CE" TagVisibility "global" } Block { BlockType Goto Name "Goto1" Position [460, 755, 500, 785] GotoTag "CE4" TagVisibility "global" } Block { BlockType DiscretePulseGenerator Name "Pulse\nGenerator1" Ports [0, 1] Position [50, 258, 95, 292] PulseType "Time based" Period "500000" PulseWidth "10/2000*100" PhaseDelay "2000" } Block { BlockType Reference Name "Resource Estimator" Tag "resEstTag" Ports [] Position [106, 18, 159, 71] ShowName off AttributesFormatString "Resource\\nEstimator" SourceBlock "xbsIndex_r4/Resource Estimator" SourceType "Xilinx Resource Estimator Block" Slices "127" FFs "89" BRAMs "0" LUTs "186" IOBs "57" EBMs "0" TBUFs "0" xl_use_estimator_area off est_options "Estimate" has_advanced_control "0" sggui_pos "20,20,336,293" block_type "resource_estimator" block_version "10.1.3" sg_icon_stat "53,53,-1,-1,blue,white,0,07734,right" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 53 53 0 ],[0 0 53 53 ],[0.98 0.96 0.92]);\npatch([13 4 16 4 13 27 31 35 50 38 27 19 31 19 27 38 50 35 31 27 13 ],[6 15 27 39 48 48 44 48 48 36 47 39 27 15 7 18 6 6 10 6 6 ],[0.77 0.82 0.91]);\nplot([0 53 53 0 0 ],[0 0 53 53 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\nfprintf('','COMMENT: end icon text');\n" } Block { BlockType SubSystem Name "SCLGenerate" Ports [2, 1] Position [785, 437, 925, 608] MinAlgLoopOccurrences off PropExecContextOutsideSubsystem off RTWSystemCode "Auto" FunctionWithSeparateData off Opaque off RequestExecContextInheritance off MaskHideContents off System { Name "SCLGenerate" Location [952, 723, 1467, 937] Open off ModelBrowserVisibility off ModelBrowserWidth 200 ScreenColor "white" PaperOrientation "landscape" PaperPositionMode "auto" PaperType "usletter" PaperUnits "inches" TiledPaperMargins [0.500000, 0.500000, 0.500000, 0.500000] TiledPageScale 1 ShowPageBoundaries off ZoomFactor "100" Block { BlockType Inport Name "enable31" Position [100, 33, 130, 47] IconDisplay "Port number" } Block { BlockType Inport Name "reset_rising" Position [35, 103, 65, 117] Port "2" IconDisplay "Port number" } Block { BlockType Reference Name "Constant2" Ports [0, 1] Position [290, 67, 345, 93] SourceBlock "xbsIndex_r4/Constant" SourceType "Xilinx Constant Block Block" arith_type "Unsigned" const "1" n_bits "1" bin_pt "0" explicit_period off period "1" dsp48_infoedit "The use of this block for DSP48 instructions is deprecated. Please use the Opmode block." equ "P=C" opselect "C" inp2 "PCIN>>17" opr "+" inp1 "P" carry "CIN" dbl_ovrd off has_advanced_control "0" sggui_pos "20,20,400,346" block_type "constant" block_version "10.1.3" sg_icon_stat "55,26,1,1,white,blue,0,06094819,right" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 ],[0 0 26 26 ],[0.77 0.82 0.91]);\npatch([20 16 22 16 20 27 29 31 38 32 26 22 28 22 26 32 38 31 29 27 20 ],[3 7 13 19 23 23 21 23 23 17 23 19 13 7 3 9 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 55 55 0 0 ],[0 0 26 26 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('output',1,'1');\nfprintf('','COMMENT: end icon text');\n" } Block { BlockType Reference Name "Counter1" Ports [2, 1] Position [100, 95, 160, 155] SourceBlock "xbsIndex_r4/Counter" SourceType "Xilinx Counter Block" infoedit "Hardware notes: Free running counters are the least expensive in hardware. A count limited counter is implemented by combining a counter with a comparator." cnt_type "Free Running" cnt_to "23" operation "Up" start_count "0" cnt_by_val "1" arith_type "Unsigned" n_bits "2" bin_pt "0" load_pin off rst on en on explicit_period "on" period "1" dbl_ovrd off use_behavioral_HDL off use_rpm off implementation "Fabric" xl_use_area off xl_area "[2 2 0 2 0 0 0]" has_advanced_control "0" sggui_pos "20,20,348,619" block_type "counter" block_version "10.1.3" sg_icon_stat "60,60,1,1,white,blue,0,46c73e85,right" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 ],[0 0 60 60 ],[0.77 0.82 0.91]);\npatch([14 4 18 4 14 30 34 38 55 42 29 20 35 20 29 42 55 38 34 30 14 ],[6 16 30 44 54 54 50 54 54 41 54 45 30 15 6 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 60 60 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1,'rst');\ncolor('black');port_label('input',2,'en');\ncolor('black');port_label('output',1,'out');\nfprintf('','COMMENT: end icon text');\n" } Block { BlockType Reference Name "Delay2" Ports [2, 1] Position [285, 112, 345, 168] SourceBlock "xbsIndex_r4/Delay" SourceType "Xilinx Delay Block" infoedit "Hardware notes: A delay line is a chain, each link of which is an SRL16 followed by a flip-flop." en on latency "4" dbl_ovrd off reg_retiming off xl_use_area off xl_area "[1 1 0 1 0 0 0]" has_advanced_control "0" sggui_pos "20,20,348,255" block_type "delay" block_version "10.1.3" sg_icon_stat "60,56,1,1,white,blue,0,f683d42d,right" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 ],[0 0 56 56 ],[0.77 0.82 0.91]);\npatch([15 6 19 6 15 30 34 38 54 41 29 20 32 20 29 41 54 38 34 30 15 ],[6 15 28 41 50 50 46 50 50 37 49 40 28 16 7 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 56 56 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',2,'en');\ncolor('black');disp('z^{-4}','texmode','on');\nfprintf('','COMMENT: end icon text');\n" } Block { BlockType Reference Name "Delay3" Ports [2, 1] Position [165, 27, 225, 83] SourceBlock "xbsIndex_r4/Delay" SourceType "Xilinx Delay Block" infoedit "Hardware notes: A delay line is a chain, each link of which is an SRL16 followed by a flip-flop." en on latency "1" dbl_ovrd off reg_retiming off xl_use_area off xl_area "[1 1 0 0 0 0 0]" has_advanced_control "0" sggui_pos "20,20,348,255" block_type "delay" block_version "10.1.3" sg_icon_stat "60,56,1,1,white,blue,0,9c7d2b66,right" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 ],[0 0 56 56 ],[0.77 0.82 0.91]);\npatch([15 6 19 6 15 30 34 38 54 41 29 20 32 20 29 41 54 38 34 30 15 ],[6 15 28 41 50 50 46 50 50 37 49 40 28 16 7 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 56 56 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',2,'en');\ncolor('black');disp('z^{-1}','texmode','on');\nfprintf('','COMMENT: end icon text');\n" } Block { BlockType From Name "From1" Position [60, 56, 100, 84] CloseFcn "tagdialog Close" GotoTag "CE" TagVisibility "global" } Block { BlockType From Name "From4" Position [25, 126, 65, 154] CloseFcn "tagdialog Close" GotoTag "CE4" TagVisibility "global" } Block { BlockType From Name "From5" Position [215, 161, 255, 189] CloseFcn "tagdialog Close" GotoTag "CE4" TagVisibility "global" } Block { BlockType Reference Name "Mux2" Ports [3, 1] Position [400, 39, 435, 141] SourceBlock "xbsIndex_r4/Mux" SourceType "Xilinx Bus Multiplexer Block" inputs "2" en off latency "0" precision "Full" arith_type "Unsigned" n_bits "16" bin_pt "14" quantization "Truncate" overflow "Wrap" dbl_ovrd off xl_use_area off xl_area "[1 0 0 1 0 0 0]" has_advanced_control "0" sggui_pos "20,20,348,303" block_type "mux" block_version "10.1.3" sg_icon_stat "35,102,1,1,white,blue,3,613f58e1,right" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 45 45 0 ],[0 14.8571 89.1429 104 ],[0.77 0.82 0.91]);\npatch([10 3 14 3 10 22 25 28 41 31 21 14 25 14 21 31 41 28 25 22 10 ],[34 41 52 63 70 70 67 70 70 60 70 63 52 41 34 44 34 34 37 34 34 ],[0.98 0.96 0.92]);\nplot([0 45 45 0 0 ],[0 14.8571 89.1429 104 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1,'sel');\ncolor('black');port_label('input',2,'d0');\ncolor('black');port_label('input',3,'d1');\ncolor('black');disp('\\bf{}','texmode','on');\nfprintf('','COMMENT: end icon text');\n" } Block { BlockType Reference Name "Slice1" Ports [1, 1] Position [195, 110, 255, 140] SourceBlock "xbsIndex_r4/Slice" SourceType "Xilinx Bit Slice Extractor Block" infoedit "Extracts a given range of bits from each input sample and presents it at the output. The output type is ordinarily unsigned with binary point at zero, but can be Boolean when the slice is one bit wide.

Hardware notes: In hardware this block costs nothing." nbits "1" boolean_output off mode "Upper Bit Location + Width" bit1 "0" base1 "MSB of Input" bit0 "0" base0 "LSB of Input" dbl_ovrd off has_advanced_control "0" sggui_pos "20,20,449,376" block_type "slice" block_version "10.1.3" sg_icon_stat "60,30,1,1,white,blue,0,b1026674,right" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 ],[0 0 30 30 ],[0.77 0.82 0.91]);\npatch([22 17 24 17 22 30 32 34 42 35 29 24 30 24 29 35 42 34 32 30 22 ],[3 8 15 22 27 27 25 27 27 20 26 21 15 9 4 10 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 30 30 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('output',1,'[a:b]');\nfprintf('','COMMENT: end icon text');\n" } Block { BlockType Outport Name "SCL" Position [505, 83, 535, 97] IconDisplay "Port number" } Line { SrcBlock "Counter1" SrcPort 1 DstBlock "Slice1" DstPort 1 } Line { SrcBlock "Slice1" SrcPort 1 DstBlock "Delay2" DstPort 1 } Line { SrcBlock "Delay2" SrcPort 1 Points [25, 0; 0, -15] DstBlock "Mux2" DstPort 3 } Line { SrcBlock "Constant2" SrcPort 1 Points [35, 0] DstBlock "Mux2" DstPort 2 } Line { SrcBlock "From1" SrcPort 1 DstBlock "Delay3" DstPort 2 } Line { SrcBlock "Delay3" SrcPort 1 DstBlock "Mux2" DstPort 1 } Line { SrcBlock "From4" SrcPort 1 DstBlock "Counter1" DstPort 2 } Line { SrcBlock "From5" SrcPort 1 Points [5, 0; 0, -20] DstBlock "Delay2" DstPort 2 } Line { SrcBlock "enable31" SrcPort 1 DstBlock "Delay3" DstPort 1 } Line { SrcBlock "reset_rising" SrcPort 1 DstBlock "Counter1" DstPort 1 } Line { SrcBlock "Mux2" SrcPort 1 DstBlock "SCL" DstPort 1 } } } Block { BlockType SubSystem Name "SDAGenerate" Ports [7, 1] Position [785, 104, 920, 306] MinAlgLoopOccurrences off PropExecContextOutsideSubsystem off RTWSystemCode "Auto" FunctionWithSeparateData off Opaque off RequestExecContextInheritance off MaskHideContents off System { Name "SDAGenerate" Location [464, 323, 1899, 789] Open off ModelBrowserVisibility off ModelBrowserWidth 200 ScreenColor "white" PaperOrientation "landscape" PaperPositionMode "auto" PaperType "usletter" PaperUnits "inches" TiledPaperMargins [0.500000, 0.500000, 0.500000, 0.500000] TiledPageScale 1 ShowPageBoundaries off ZoomFactor "100" Block { BlockType Inport Name "OutSelect" Position [1140, 188, 1170, 202] IconDisplay "Port number" } Block { BlockType Inport Name "hex_l" Position [315, 228, 345, 242] Port "2" IconDisplay "Port number" } Block { BlockType Inport Name "hex_m" Position [315, 248, 345, 262] Port "3" IconDisplay "Port number" } Block { BlockType Inport Name "hex_r" Position [315, 288, 345, 302] Port "4" IconDisplay "Port number" } Block { BlockType Inport Name "led_in" Position [315, 308, 345, 322] Port "5" IconDisplay "Port number" } Block { BlockType Inport Name "AddrB" Position [605, 373, 635, 387] Port "6" IconDisplay "Port number" } Block { BlockType Inport Name "enable7" Position [960, 248, 990, 262] Port "7" IconDisplay "Port number" } Block { BlockType Reference Name "Config" Ports [5, 2] Position [825, 251, 915, 439] SourceBlock "xbsIndex_r4/Dual Port RAM" SourceType "Xilinx Dual Port Random Access Memory Block" depth "64" initVector "0" distributed_mem "Distributed memory" init_a "0" init_b "0" rst_a off rst_b off en_a off en_b on latency "1" write_mode_A "Read After Write" write_mode_B "Read Before Write" dbl_ovrd off optimize "Area" use_rpm on xl_use_area off xl_area "[4 2 0 8 0 0 0]" has_advanced_control "0" sggui_pos "20,20,384,398" block_type "dpram" block_version "10.1.3" sg_icon_stat "90,188,1,1,white,blue,0,d3f904de,right" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 90 90 0 ],[0 0 188 188 ],[0.77 0.82 0.91]);\npatch([21 6 27 6 21 45 51 57 82 62 43 29 50 29 43 62 82 57 51 45 21 ],[59 74 95 116 131 131 125 131 131 111 130 116 95 74 60 79 59 59 65 59 59 ],[0.98 0.96 0.92]);\nplot([0 90 90 0 0 ],[0 0 188 188 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1,'addra');\ncolor('black');port_label('input',2,'dina');\ncolor('black');port_label('input',3,'wea');\ncolor('black');port_label('input',4,'addrb');\ncolor('black');port_label('input',5,'enb');\ncolor('black');port_label('output',1,'A');\ncolor('black');port_label('output',2,'B');\nfprintf('','COMMENT: end icon text');\n" } Block { BlockType Constant Name "Constant" Position [235, 200, 265, 230] Value "hex2dec('40')" OutDataType "sfix(16)" OutScaling "2^0" } Block { BlockType Reference Name "Constant1" Ports [0, 1] Position [935, 127, 990, 153] SourceBlock "xbsIndex_r4/Constant" SourceType "Xilinx Constant Block Block" arith_type "Unsigned" const "1" n_bits "1" bin_pt "0" explicit_period off period "1" dsp48_infoedit "The use of this block for DSP48 instructions is deprecated. Please use the Opmode block." equ "P=C" opselect "C" inp2 "PCIN>>17" opr "+" inp1 "P" carry "CIN" dbl_ovrd off has_advanced_control "0" sggui_pos "20,20,400,346" block_type "constant" block_version "10.1.3" sg_icon_stat "55,26,1,1,white,blue,0,06094819,right" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 ],[0 0 26 26 ],[0.77 0.82 0.91]);\npatch([20 16 22 16 20 27 29 31 38 32 26 22 28 22 26 32 38 31 29 27 20 ],[3 7 13 19 23 23 21 23 23 17 23 19 13 7 3 9 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 55 55 0 0 ],[0 0 26 26 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('output',1,'1');\nfprintf('','COMMENT: end icon text');\n" } Block { BlockType Reference Name "Constant11" Ports [0, 1] Position [715, 332, 770, 358] SourceBlock "xbsIndex_r4/Constant" SourceType "Xilinx Constant Block Block" arith_type "Boolean" const "1" n_bits "1" bin_pt "0" explicit_period off period "1" dsp48_infoedit "The use of this block for DSP48 instructions is deprecated. Please use the Opmode block." equ "P=C" opselect "C" inp2 "PCIN>>17" opr "+" inp1 "P" carry "CIN" dbl_ovrd off has_advanced_control "0" sggui_pos "20,20,400,346" block_type "constant" block_version "10.1.3" sg_icon_stat "55,26,1,1,white,blue,0,06094819,right" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 ],[0 0 26 26 ],[0.77 0.82 0.91]);\npatch([20 16 22 16 20 27 29 31 38 32 26 22 28 22 26 32 38 31 29 27 20 ],[3 7 13 19 23 23 21 23 23 17 23 19 13 7 3 9 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 55 55 0 0 ],[0 0 26 26 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('output',1,'1');\nfprintf('','COMMENT: end icon text');\n" } Block { BlockType Reference Name "Constant14" Ports [0, 1] Position [940, 347, 995, 373] SourceBlock "xbsIndex_r4/Constant" SourceType "Xilinx Constant Block Block" arith_type "Unsigned" const "1" n_bits "1" bin_pt "0" explicit_period off period "1" dsp48_infoedit "The use of this block for DSP48 instructions is deprecated. Please use the Opmode block." equ "P=C" opselect "C" inp2 "PCIN>>17" opr "+" inp1 "P" carry "CIN" dbl_ovrd off has_advanced_control "0" sggui_pos "20,20,400,346" block_type "constant" block_version "10.1.3" sg_icon_stat "55,26,1,1,white,blue,0,06094819,right" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 ],[0 0 26 26 ],[0.77 0.82 0.91]);\npatch([20 16 22 16 20 27 29 31 38 32 26 22 28 22 26 32 38 31 29 27 20 ],[3 7 13 19 23 23 21 23 23 17 23 19 13 7 3 9 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 55 55 0 0 ],[0 0 26 26 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('output',1,'1');\nfprintf('','COMMENT: end icon text');\n" } Block { BlockType Constant Name "Constant3" Position [235, 260, 265, 290] Value "hex2dec('48')" OutDataType "sfix(16)" OutScaling "2^0" } Block { BlockType Reference Name "Constant8" Ports [0, 1] Position [710, 112, 765, 138] SourceBlock "xbsIndex_r4/Constant" SourceType "Xilinx Constant Block Block" arith_type "Boolean" const "1" n_bits "1" bin_pt "0" explicit_period off period "1" dsp48_infoedit "The use of this block for DSP48 instructions is deprecated. Please use the Opmode block." equ "P=C" opselect "C" inp2 "PCIN>>17" opr "+" inp1 "P" carry "CIN" dbl_ovrd off has_advanced_control "0" sggui_pos "20,20,400,346" block_type "constant" block_version "10.1.3" sg_icon_stat "55,26,1,1,white,blue,0,06094819,right" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 ],[0 0 26 26 ],[0.77 0.82 0.91]);\npatch([20 16 22 16 20 27 29 31 38 32 26 22 28 22 26 32 38 31 29 27 20 ],[3 7 13 19 23 23 21 23 23 17 23 19 13 7 3 9 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 55 55 0 0 ],[0 0 26 26 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('output',1,'1');\nfprintf('','COMMENT: end icon text');\n" } Block { BlockType Reference Name "Counter3" Ports [0, 1] Position [25, 25, 85, 85] SourceBlock "xbsIndex_r4/Counter" SourceType "Xilinx Counter Block" infoedit "Hardware notes: Free running counters are the least expensive in hardware. A count limited counter is implemented by combining a counter with a comparator." cnt_type "Free Running" cnt_to "23" operation "Up" start_count "0" cnt_by_val "1" arith_type "Unsigned" n_bits "6" bin_pt "0" load_pin off rst off en off explicit_period "on" period "1" dbl_ovrd off use_behavioral_HDL off use_rpm off implementation "Fabric" xl_use_area off xl_area "[4 6 0 6 0 0 0]" has_advanced_control "0" sggui_pos "20,20,348,619" block_type "counter" block_version "10.1.3" sg_icon_stat "60,60,1,1,white,blue,0,a170c862,right" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 ],[0 0 60 60 ],[0.77 0.82 0.91]);\npatch([14 4 18 4 14 30 34 38 55 42 29 20 35 20 29 42 55 38 34 30 14 ],[6 16 30 44 54 54 50 54 54 41 54 45 30 15 6 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 60 60 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('output',1,'out');\nfprintf('','COMMENT: end icon text');\n" } Block { BlockType Reference Name "Data" Ports [5, 2] Position [825, 31, 915, 219] SourceBlock "xbsIndex_r4/Dual Port RAM" SourceType "Xilinx Dual Port Random Access Memory Block" depth "64" initVector "0" distributed_mem "Distributed memory" init_a "0" init_b "0" rst_a off rst_b off en_a off en_b on latency "1" write_mode_A "Read After Write" write_mode_B "Read Before Write" dbl_ovrd off optimize "Area" use_rpm on xl_use_area off xl_area "[4 2 0 8 0 0 0]" has_advanced_control "0" sggui_pos "20,20,384,398" block_type "dpram" block_version "10.1.3" sg_icon_stat "90,188,1,1,white,blue,0,d3f904de,right" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 90 90 0 ],[0 0 188 188 ],[0.77 0.82 0.91]);\npatch([21 6 27 6 21 45 51 57 82 62 43 29 50 29 43 62 82 57 51 45 21 ],[59 74 95 116 131 131 125 131 131 111 130 116 95 74 60 79 59 59 65 59 59 ],[0.98 0.96 0.92]);\nplot([0 90 90 0 0 ],[0 0 188 188 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1,'addra');\ncolor('black');port_label('input',2,'dina');\ncolor('black');port_label('input',3,'wea');\ncolor('black');port_label('input',4,'addrb');\ncolor('black');port_label('input',5,'enb');\ncolor('black');port_label('output',1,'A');\ncolor('black');port_label('output',2,'B');\nfprintf('','COMMENT: end icon text');\n" } Block { BlockType Reference Name "Delay" Ports [1, 1] Position [315, 27, 375, 83] SourceBlock "xbsIndex_r4/Delay" SourceType "Xilinx Delay Block" infoedit "Hardware notes: A delay line is a chain, each link of which is an SRL16 followed by a flip-flop." en off latency "8" dbl_ovrd off reg_retiming off xl_use_area off xl_area "[3 6 0 6 0 0 0]" has_advanced_control "0" sggui_pos "20,20,348,255" block_type "delay" block_version "10.1.3" sg_icon_stat "60,56,1,1,white,blue,0,a6538547,right" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 ],[0 0 56 56 ],[0.77 0.82 0.91]);\npatch([15 6 19 6 15 30 34 38 54 41 29 20 32 20 29 41 54 38 34 30 15 ],[6 15 28 41 50 50 46 50 50 37 49 40 28 16 7 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 56 56 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');disp('z^{-8}','texmode','on');\nfprintf('','COMMENT: end icon text');\n" } Block { BlockType Reference Name "Delay1" Ports [2, 1] Position [1295, 237, 1355, 293] SourceBlock "xbsIndex_r4/Delay" SourceType "Xilinx Delay Block" infoedit "Hardware notes: A delay line is a chain, each link of which is an SRL16 followed by a flip-flop." en on latency "1" dbl_ovrd off reg_retiming off xl_use_area off xl_area "[1 1 0 0 0 0 0]" has_advanced_control "0" sggui_pos "20,20,348,255" block_type "delay" block_version "10.1.3" sg_icon_stat "60,56,1,1,white,blue,0,9c7d2b66,right" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 ],[0 0 56 56 ],[0.77 0.82 0.91]);\npatch([15 6 19 6 15 30 34 38 54 41 29 20 32 20 29 41 54 38 34 30 15 ],[6 15 28 41 50 50 46 50 50 37 49 40 28 16 7 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 56 56 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',2,'en');\ncolor('black');disp('z^{-1}','texmode','on');\nfprintf('','COMMENT: end icon text');\n" } Block { BlockType Reference Name "Down Sample" Ports [1, 1] Position [155, 307, 215, 363] SourceBlock "xbsIndex_r4/Down Sample" SourceType "Xilinx Down Sampler Block" infoedit "Hardware notes: Sample and Latency controls determine the hardware implementation. The cost in hardware of different implementations varies considerably; press Help for details." sample_ratio "8" sample_phase "Last Value of Frame (most efficient)" en off latency "1" dbl_ovrd off xl_use_area off xl_area "[3 6 0 0 0 0 0]" has_advanced_control "0" sggui_pos "20,20,360,300" block_type "dsamp" block_version "10.1.3" sg_icon_stat "60,56,1,1,white,blue,0,e83e9e44,right" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 ],[0 0 56 56 ],[0.77 0.82 0.91]);\npatch([15 6 19 6 15 30 34 38 54 41 29 20 32 20 29 41 54 38 34 30 15 ],[6 15 28 41 50 50 46 50 50 37 49 40 28 16 7 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 56 56 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');disp('\\newline{\\fontsize{14pt}\\bf\\downarrow}8\\newlinez^{-1}','texmode','on');\nfprintf('','COMMENT: end icon text');\n" } Block { BlockType From Name "From2" Position [740, 401, 780, 429] CloseFcn "tagdialog Close" GotoTag "CE" TagVisibility "global" } Block { BlockType From Name "From3" Position [735, 181, 775, 209] CloseFcn "tagdialog Close" GotoTag "CE" TagVisibility "global" } Block { BlockType From Name "From6" Position [1230, 306, 1270, 334] CloseFcn "tagdialog Close" GotoTag "CE4" TagVisibility "global" } Block { BlockType Reference Name "Mux1" Ports [3, 1] Position [1045, 89, 1080, 191] SourceBlock "xbsIndex_r4/Mux" SourceType "Xilinx Bus Multiplexer Block" inputs "2" en off latency "0" precision "Full" arith_type "Unsigned" n_bits "16" bin_pt "14" quantization "Truncate" overflow "Wrap" dbl_ovrd off xl_use_area off xl_area "[1 0 0 1 0 0 0]" has_advanced_control "0" sggui_pos "20,20,348,303" block_type "mux" block_version "10.1.3" sg_icon_stat "35,102,1,1,white,blue,3,613f58e1,right" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 45 45 0 ],[0 14.8571 89.1429 104 ],[0.77 0.82 0.91]);\npatch([10 3 14 3 10 22 25 28 41 31 21 14 25 14 21 31 41 28 25 22 10 ],[34 41 52 63 70 70 67 70 70 60 70 63 52 41 34 44 34 34 37 34 34 ],[0.98 0.96 0.92]);\nplot([0 45 45 0 0 ],[0 14.8571 89.1429 104 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1,'sel');\ncolor('black');port_label('input',2,'d0');\ncolor('black');port_label('input',3,'d1');\ncolor('black');disp('\\bf{}','texmode','on');\nfprintf('','COMMENT: end icon text');\n" } Block { BlockType Reference Name "Mux4" Ports [3, 1] Position [1050, 309, 1085, 411] SourceBlock "xbsIndex_r4/Mux" SourceType "Xilinx Bus Multiplexer Block" inputs "2" en off latency "0" precision "Full" arith_type "Unsigned" n_bits "16" bin_pt "14" quantization "Truncate" overflow "Wrap" dbl_ovrd off xl_use_area off xl_area "[1 0 0 1 0 0 0]" has_advanced_control "0" sggui_pos "20,20,348,303" block_type "mux" block_version "10.1.3" sg_icon_stat "35,102,1,1,white,blue,3,613f58e1,right" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 45 45 0 ],[0 14.8571 89.1429 104 ],[0.77 0.82 0.91]);\npatch([10 3 14 3 10 22 25 28 41 31 21 14 25 14 21 31 41 28 25 22 10 ],[34 41 52 63 70 70 67 70 70 60 70 63 52 41 34 44 34 34 37 34 34 ],[0.98 0.96 0.92]);\nplot([0 45 45 0 0 ],[0 14.8571 89.1429 104 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1,'sel');\ncolor('black');port_label('input',2,'d0');\ncolor('black');port_label('input',3,'d1');\ncolor('black');disp('\\bf{}','texmode','on');\nfprintf('','COMMENT: end icon text');\n" } Block { BlockType Reference Name "Mux5" Ports [3, 1] Position [1195, 179, 1230, 281] SourceBlock "xbsIndex_r4/Mux" SourceType "Xilinx Bus Multiplexer Block" inputs "2" en off latency "0" precision "Full" arith_type "Unsigned" n_bits "16" bin_pt "14" quantization "Truncate" overflow "Wrap" dbl_ovrd off xl_use_area off xl_area "[1 0 0 1 0 0 0]" has_advanced_control "0" sggui_pos "20,20,348,303" block_type "mux" block_version "10.1.3" sg_icon_stat "35,102,1,1,white,blue,3,613f58e1,right" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 45 45 0 ],[0 14.8571 89.1429 104 ],[0.77 0.82 0.91]);\npatch([10 3 14 3 10 22 25 28 41 31 21 14 25 14 21 31 41 28 25 22 10 ],[34 41 52 63 70 70 67 70 70 60 70 63 52 41 34 44 34 34 37 34 34 ],[0.98 0.96 0.92]);\nplot([0 45 45 0 0 ],[0 14.8571 89.1429 104 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1,'sel');\ncolor('black');port_label('input',2,'d0');\ncolor('black');port_label('input',3,'d1');\ncolor('black');disp('\\bf{}','texmode','on');\nfprintf('','COMMENT: end icon text');\n" } Block { BlockType Reference Name "Slice" Ports [1, 1] Position [270, 320, 330, 350] SourceBlock "xbsIndex_r4/Slice" SourceType "Xilinx Bit Slice Extractor Block" infoedit "Extracts a given range of bits from each input sample and presents it at the output. The output type is ordinarily unsigned with binary point at zero, but can be Boolean when the slice is one bit wide.

Hardware notes: In hardware this block costs nothing." nbits "3" boolean_output off mode "Upper Bit Location + Width" bit1 "0" base1 "MSB of Input" bit0 "0" base0 "LSB of Input" dbl_ovrd off has_advanced_control "0" sggui_pos "20,20,449,376" block_type "slice" block_version "10.1.3" sg_icon_stat "60,30,1,1,white,blue,0,b1026674,right" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 ],[0 0 30 30 ],[0.77 0.82 0.91]);\npatch([22 17 24 17 22 30 32 34 42 35 29 24 30 24 29 35 42 34 32 30 22 ],[3 8 15 22 27 27 25 27 27 20 26 21 15 9 4 10 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 30 30 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('output',1,'[a:b]');\nfprintf('','COMMENT: end icon text');\n" } Block { BlockType SubSystem Name "inputdata_write" Ports [7, 2] Position [370, 209, 500, 341] MinAlgLoopOccurrences off PropExecContextOutsideSubsystem off RTWSystemCode "Auto" FunctionWithSeparateData off Opaque off RequestExecContextInheritance off MaskHideContents off System { Name "inputdata_write" Location [525, 199, 1285, 1022] Open off ModelBrowserVisibility off ModelBrowserWidth 200 ScreenColor "white" PaperOrientation "landscape" PaperPositionMode "auto" PaperType "usletter" PaperUnits "inches" TiledPaperMargins [0.500000, 0.500000, 0.500000, 0.500000] TiledPageScale 1 ShowPageBoundaries off ZoomFactor "122" Block { BlockType Inport Name "addr0" Position [25, 58, 55, 72] IconDisplay "Port number" } Block { BlockType Inport Name "hex_l" Position [245, 143, 275, 157] Port "2" IconDisplay "Port number" } Block { BlockType Inport Name "hex_m" Position [245, 183, 275, 197] Port "3" IconDisplay "Port number" } Block { BlockType Inport Name "addr1" Position [25, 223, 55, 237] Port "4" IconDisplay "Port number" } Block { BlockType Inport Name "hex_r" Position [245, 303, 275, 317] Port "5" IconDisplay "Port number" } Block { BlockType Inport Name "led_in" Position [245, 343, 275, 357] Port "6" IconDisplay "Port number" } Block { BlockType Inport Name "mux_select" Position [145, 483, 175, 497] Port "7" IconDisplay "Port number" } Block { BlockType Reference Name "Constant10" Ports [0, 1] Position [305, 692, 360, 718] SourceBlock "xbsIndex_r4/Constant" SourceType "Xilinx Constant Block Block" arith_type "Unsigned" const "6" n_bits "8" bin_pt "0" explicit_period on period "8" dsp48_infoedit "The use of this block for DSP48 instructions is deprecated. Please use the Opmode block." equ "P=C" opselect "C" inp2 "PCIN>>17" opr "+" inp1 "P" carry "CIN" dbl_ovrd off has_advanced_control "0" sggui_pos "20,20,400,346" block_type "constant" block_version "10.1.3" sg_icon_stat "55,26,1,1,white,blue,0,3979f86e,right" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 ],[0 0 26 26 ],[0.77 0.82 0.91]);\npatch([20 16 22 16 20 27 29 31 38 32 26 22 28 22 26 32 38 31 29 27 20 ],[3 7 13 19 23 23 21 23 23 17 23 19 13 7 3 9 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 55 55 0 0 ],[0 0 26 26 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('output',1,'6');\nfprintf('','COMMENT: end icon text');\n" } Block { BlockType Reference Name "Constant12" Ports [0, 1] Position [305, 732, 360, 758] SourceBlock "xbsIndex_r4/Constant" SourceType "Xilinx Constant Block Block" arith_type "Unsigned" const "0" n_bits "8" bin_pt "0" explicit_period on period "8" dsp48_infoedit "The use of this block for DSP48 instructions is deprecated. Please use the Opmode block." equ "P=C" opselect "C" inp2 "PCIN>>17" opr "+" inp1 "P" carry "CIN" dbl_ovrd off has_advanced_control "0" sggui_pos "20,20,400,346" block_type "constant" block_version "10.1.3" sg_icon_stat "55,26,1,1,white,blue,0,72d575a1,right" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 ],[0 0 26 26 ],[0.77 0.82 0.91]);\npatch([20 16 22 16 20 27 29 31 38 32 26 22 28 22 26 32 38 31 29 27 20 ],[3 7 13 19 23 23 21 23 23 17 23 19 13 7 3 9 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 55 55 0 0 ],[0 0 26 26 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('output',1,'0');\nfprintf('','COMMENT: end icon text');\n" } Block { BlockType Reference Name "Constant13" Ports [0, 1] Position [305, 772, 360, 798] SourceBlock "xbsIndex_r4/Constant" SourceType "Xilinx Constant Block Block" arith_type "Unsigned" const "0" n_bits "8" bin_pt "0" explicit_period on period "8" dsp48_infoedit "The use of this block for DSP48 instructions is deprecated. Please use the Opmode block." equ "P=C" opselect "C" inp2 "PCIN>>17" opr "+" inp1 "P" carry "CIN" dbl_ovrd off has_advanced_control "0" sggui_pos "20,20,400,346" block_type "constant" block_version "10.1.3" sg_icon_stat "55,26,1,1,white,blue,0,72d575a1,right" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 ],[0 0 26 26 ],[0.77 0.82 0.91]);\npatch([20 16 22 16 20 27 29 31 38 32 26 22 28 22 26 32 38 31 29 27 20 ],[3 7 13 19 23 23 21 23 23 17 23 19 13 7 3 9 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 55 55 0 0 ],[0 0 26 26 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('output',1,'0');\nfprintf('','COMMENT: end icon text');\n" } Block { BlockType Reference Name "Constant4" Ports [0, 1] Position [305, 572, 360, 598] SourceBlock "xbsIndex_r4/Constant" SourceType "Xilinx Constant Block Block" arith_type "Unsigned" const "0" n_bits "8" bin_pt "0" explicit_period on period "8" dsp48_infoedit "The use of this block for DSP48 instructions is deprecated. Please use the Opmode block." equ "P=C" opselect "C" inp2 "PCIN>>17" opr "+" inp1 "P" carry "CIN" dbl_ovrd off has_advanced_control "0" sggui_pos "20,20,400,346" block_type "constant" block_version "10.1.3" sg_icon_stat "55,26,1,1,white,blue,0,72d575a1,right" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 ],[0 0 26 26 ],[0.77 0.82 0.91]);\npatch([20 16 22 16 20 27 29 31 38 32 26 22 28 22 26 32 38 31 29 27 20 ],[3 7 13 19 23 23 21 23 23 17 23 19 13 7 3 9 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 55 55 0 0 ],[0 0 26 26 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('output',1,'0');\nfprintf('','COMMENT: end icon text');\n" } Block { BlockType Reference Name "Constant5" Ports [0, 1] Position [305, 97, 360, 123] SourceBlock "xbsIndex_r4/Constant" SourceType "Xilinx Constant Block Block" arith_type "Unsigned" const "2" n_bits "8" bin_pt "0" explicit_period on period "8" dsp48_infoedit "The use of this block for DSP48 instructions is deprecated. Please use the Opmode block." equ "P=C" opselect "C" inp2 "PCIN>>17" opr "+" inp1 "P" carry "CIN" dbl_ovrd off has_advanced_control "0" sggui_pos "20,20,400,346" block_type "constant" block_version "10.1.3" sg_icon_stat "55,26,1,1,white,blue,0,702e86fb,right" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 ],[0 0 26 26 ],[0.77 0.82 0.91]);\npatch([20 16 22 16 20 27 29 31 38 32 26 22 28 22 26 32 38 31 29 27 20 ],[3 7 13 19 23 23 21 23 23 17 23 19 13 7 3 9 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 55 55 0 0 ],[0 0 26 26 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('output',1,'2');\nfprintf('','COMMENT: end icon text');\n" } Block { BlockType Reference Name "Constant6" Ports [0, 1] Position [305, 257, 360, 283] SourceBlock "xbsIndex_r4/Constant" SourceType "Xilinx Constant Block Block" arith_type "Unsigned" const "2" n_bits "8" bin_pt "0" explicit_period on period "8" dsp48_infoedit "The use of this block for DSP48 instructions is deprecated. Please use the Opmode block." equ "P=C" opselect "C" inp2 "PCIN>>17" opr "+" inp1 "P" carry "CIN" dbl_ovrd off has_advanced_control "0" sggui_pos "20,20,400,346" block_type "constant" block_version "10.1.3" sg_icon_stat "55,26,1,1,white,blue,0,702e86fb,right" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 ],[0 0 26 26 ],[0.77 0.82 0.91]);\npatch([20 16 22 16 20 27 29 31 38 32 26 22 28 22 26 32 38 31 29 27 20 ],[3 7 13 19 23 23 21 23 23 17 23 19 13 7 3 9 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 55 55 0 0 ],[0 0 26 26 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('output',1,'2');\nfprintf('','COMMENT: end icon text');\n" } Block { BlockType Reference Name "Constant7" Ports [0, 1] Position [305, 612, 360, 638] SourceBlock "xbsIndex_r4/Constant" SourceType "Xilinx Constant Block Block" arith_type "Unsigned" const "0" n_bits "8" bin_pt "0" explicit_period on period "8" dsp48_infoedit "The use of this block for DSP48 instructions is deprecated. Please use the Opmode block." equ "P=C" opselect "C" inp2 "PCIN>>17" opr "+" inp1 "P" carry "CIN" dbl_ovrd off has_advanced_control "0" sggui_pos "20,20,400,346" block_type "constant" block_version "10.1.3" sg_icon_stat "55,26,1,1,white,blue,0,72d575a1,right" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 ],[0 0 26 26 ],[0.77 0.82 0.91]);\npatch([20 16 22 16 20 27 29 31 38 32 26 22 28 22 26 32 38 31 29 27 20 ],[3 7 13 19 23 23 21 23 23 17 23 19 13 7 3 9 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 55 55 0 0 ],[0 0 26 26 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('output',1,'0');\nfprintf('','COMMENT: end icon text');\n" } Block { BlockType Reference Name "Constant9" Ports [0, 1] Position [305, 532, 360, 558] SourceBlock "xbsIndex_r4/Constant" SourceType "Xilinx Constant Block Block" arith_type "Unsigned" const "6" n_bits "8" bin_pt "0" explicit_period on period "8" dsp48_infoedit "The use of this block for DSP48 instructions is deprecated. Please use the Opmode block." equ "P=C" opselect "C" inp2 "PCIN>>17" opr "+" inp1 "P" carry "CIN" dbl_ovrd off has_advanced_control "0" sggui_pos "20,20,400,346" block_type "constant" block_version "10.1.3" sg_icon_stat "55,26,1,1,white,blue,0,3979f86e,right" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 ],[0 0 26 26 ],[0.77 0.82 0.91]);\npatch([20 16 22 16 20 27 29 31 38 32 26 22 28 22 26 32 38 31 29 27 20 ],[3 7 13 19 23 23 21 23 23 17 23 19 13 7 3 9 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 55 55 0 0 ],[0 0 26 26 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('output',1,'6');\nfprintf('','COMMENT: end icon text');\n" } Block { BlockType Reference Name "Mux" Ports [9, 1] Position [505, 87, 555, 293] SourceBlock "xbsIndex_r4/Mux" SourceType "Xilinx Bus Multiplexer Block" inputs "8" en off latency "0" precision "Full" arith_type "Unsigned" n_bits "16" bin_pt "14" quantization "Truncate" overflow "Wrap" dbl_ovrd off xl_use_area off xl_area "[16 0 0 32 0 0 0]" has_advanced_control "0" sggui_pos "20,20,348,303" block_type "mux" block_version "10.1.3" sg_icon_stat "50,206,1,1,white,blue,3,ee37f7b8,right" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 45 45 0 ],[0 14.8571 89.1429 104 ],[0.77 0.82 0.91]);\npatch([10 3 14 3 10 22 25 28 41 31 21 14 25 14 21 31 41 28 25 22 10 ],[34 41 52 63 70 70 67 70 70 60 70 63 52 41 34 44 34 34 37 34 34 ],[0.98 0.96 0.92]);\nplot([0 45 45 0 0 ],[0 14.8571 89.1429 104 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1,'sel');\ncolor('black');port_label('input',2,'d0');\ncolor('black');port_label('input',3,'d1');\ncolor('black');port_label('input',4,'d2');\ncolor('black');port_label('input',5,'d3');\ncolor('black');port_label('input',6,'d4');\ncolor('black');port_label('input',7,'d5');\ncolor('black');port_label('input',8,'d6');\ncolor('black');port_label('input',9,'d7');\ncolor('black');disp('\\bf{}','texmode','on');\nfprintf('','COMMENT: end icon text');\n" } Block { BlockType Reference Name "Mux3" Ports [9, 1] Position [505, 522, 555, 728] SourceBlock "xbsIndex_r4/Mux" SourceType "Xilinx Bus Multiplexer Block" inputs "8" en off latency "0" precision "Full" arith_type "Unsigned" n_bits "16" bin_pt "14" quantization "Truncate" overflow "Wrap" dbl_ovrd off xl_use_area off xl_area "[16 0 0 32 0 0 0]" has_advanced_control "0" sggui_pos "20,20,348,303" block_type "mux" block_version "10.1.3" sg_icon_stat "50,206,1,1,white,blue,3,ee37f7b8,right" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 45 45 0 ],[0 14.8571 89.1429 104 ],[0.77 0.82 0.91]);\npatch([10 3 14 3 10 22 25 28 41 31 21 14 25 14 21 31 41 28 25 22 10 ],[34 41 52 63 70 70 67 70 70 60 70 63 52 41 34 44 34 34 37 34 34 ],[0.98 0.96 0.92]);\nplot([0 45 45 0 0 ],[0 14.8571 89.1429 104 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1,'sel');\ncolor('black');port_label('input',2,'d0');\ncolor('black');port_label('input',3,'d1');\ncolor('black');port_label('input',4,'d2');\ncolor('black');port_label('input',5,'d3');\ncolor('black');port_label('input',6,'d4');\ncolor('black');port_label('input',7,'d5');\ncolor('black');port_label('input',8,'d6');\ncolor('black');port_label('input',9,'d7');\ncolor('black');disp('\\bf{}','texmode','on');\nfprintf('','COMMENT: end icon text');\n" } Block { BlockType Reference Name "Parallel to Serial1" Ports [1, 1] Position [620, 161, 675, 219] SourceBlock "xbsIndex_r4/Parallel to Serial" SourceType "Xilinx Parallel to Serial Converter Block" infoedit "Each sample presented at the input becomes several samples presented serially at the output." output_order "Most Significant Word First" arith_type "Unsigned" output_bits "1" bin_pt "0" en off latency "0" dbl_ovrd off xl_use_area off xl_area "[6 9 0 8 0 0 0]" has_advanced_control "0" sggui_pos "20,20,348,359" block_type "p2s" block_version "10.1.3" sg_icon_stat "55,58,1,1,white,blue,0,6850e666,right" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 ],[0 0 58 58 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13 28 32 36 52 40 28 19 32 19 28 40 52 36 32 28 13 ],[7 16 29 42 51 51 47 51 51 39 51 42 29 16 7 19 7 7 11 7 7 ],[0.98 0.96 0.92]);\nplot([0 55 55 0 0 ],[0 0 58 58 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1,'p');\ncolor('black');port_label('output',1,'s');\nfprintf('','COMMENT: end icon text');\n" } Block { BlockType Reference Name "Parallel to Serial2" Ports [1, 1] Position [625, 596, 680, 654] SourceBlock "xbsIndex_r4/Parallel to Serial" SourceType "Xilinx Parallel to Serial Converter Block" infoedit "Each sample presented at the input becomes several samples presented serially at the output." output_order "Most Significant Word First" arith_type "Unsigned" output_bits "1" bin_pt "0" en off latency "0" dbl_ovrd off xl_use_area off xl_area "[6 9 0 8 0 0 0]" has_advanced_control "0" sggui_pos "20,20,348,359" block_type "p2s" block_version "10.1.3" sg_icon_stat "55,58,1,1,white,blue,0,6850e666,right" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 ],[0 0 58 58 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13 28 32 36 52 40 28 19 32 19 28 40 52 36 32 28 13 ],[7 16 29 42 51 51 47 51 51 39 51 42 29 16 7 19 7 7 11 7 7 ],[0.98 0.96 0.92]);\nplot([0 55 55 0 0 ],[0 0 58 58 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1,'p');\ncolor('black');port_label('output',1,'s');\nfprintf('','COMMENT: end icon text');\n" } Block { BlockType Reference Name "address0" Ports [1, 1] Position [80, 55, 145, 75] SourceBlock "xbsIndex_r4/Gateway In" SourceType "Xilinx Gateway In Block" infoedit "Gateway in block. Converts inputs of type Simulink integer, double and fixed point to Xilinx fixed point type.

Hardware notes: In hardware these blocks become top level input ports." arith_type "Unsigned" n_bits "8" bin_pt "0" quantization "Truncate" overflow "Wrap" period "8" dbl_ovrd off timing_constraint "None" locs_specified off LOCs "{}" xl_use_area off xl_area "[0 0 0 0 8 0 0]" has_advanced_control "0" sggui_pos "20,20,348,406" block_type "gatewayin" block_version "10.1.3" sg_icon_stat "65,20,1,1,white,yellow,0,bc55d28f,right" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 65 65 0 ],[0 0 20 20 ],[0.95 0.93 0.65]);\npatch([27 24 29 24 27 32 33 34 40 36 32 29 34 29 32 36 40 34 33 32 27 ],[2 5 10 15 18 18 17 18 18 14 18 15 10 5 2 6 2 2 3 2 2 ],[0.98 0.96 0.92]);\nplot([0 65 65 0 0 ],[0 0 20 20 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1,'\\fontsize{11pt}\\bf In ','texmode','on');\ncolor('black');port_label('output',1,' ');\nfprintf('','COMMENT: end icon text');\n" } Block { BlockType Reference Name "address1" Ports [1, 1] Position [80, 220, 145, 240] SourceBlock "xbsIndex_r4/Gateway In" SourceType "Xilinx Gateway In Block" infoedit "Gateway in block. Converts inputs of type Simulink integer, double and fixed point to Xilinx fixed point type.

Hardware notes: In hardware these blocks become top level input ports." arith_type "Unsigned" n_bits "8" bin_pt "0" quantization "Truncate" overflow "Wrap" period "8" dbl_ovrd off timing_constraint "None" locs_specified off LOCs "{}" xl_use_area off xl_area "[0 0 0 0 8 0 0]" has_advanced_control "0" sggui_pos "20,20,348,406" block_type "gatewayin" block_version "10.1.3" sg_icon_stat "65,20,1,1,white,yellow,0,bc55d28f,right" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 65 65 0 ],[0 0 20 20 ],[0.95 0.93 0.65]);\npatch([27 24 29 24 27 32 33 34 40 36 32 29 34 29 32 36 40 34 33 32 27 ],[2 5 10 15 18 18 17 18 18 14 18 15 10 5 2 6 2 2 3 2 2 ],[0.98 0.96 0.92]);\nplot([0 65 65 0 0 ],[0 0 20 20 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1,'\\fontsize{11pt}\\bf In ','texmode','on');\ncolor('black');port_label('output',1,' ');\nfprintf('','COMMENT: end icon text');\n" } Block { BlockType Reference Name "hex_L" Ports [1, 1] Position [300, 140, 365, 160] SourceBlock "xbsIndex_r4/Gateway In" SourceType "Xilinx Gateway In Block" infoedit "Gateway in block. Converts inputs of type Simulink integer, double and fixed point to Xilinx fixed point type.

Hardware notes: In hardware these blocks become top level input ports." arith_type "Unsigned" n_bits "8" bin_pt "0" quantization "Truncate" overflow "Wrap" period "8" dbl_ovrd off timing_constraint "None" locs_specified off LOCs "{}" xl_use_area off xl_area "[0 0 0 0 8 0 0]" has_advanced_control "0" sggui_pos "20,20,348,406" block_type "gatewayin" block_version "10.1.3" sg_icon_stat "65,20,1,1,white,yellow,0,bc55d28f,right" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 65 65 0 ],[0 0 20 20 ],[0.95 0.93 0.65]);\npatch([27 24 29 24 27 32 33 34 40 36 32 29 34 29 32 36 40 34 33 32 27 ],[2 5 10 15 18 18 17 18 18 14 18 15 10 5 2 6 2 2 3 2 2 ],[0.98 0.96 0.92]);\nplot([0 65 65 0 0 ],[0 0 20 20 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1,'\\fontsize{11pt}\\bf In ','texmode','on');\ncolor('black');port_label('output',1,' ');\nfprintf('','COMMENT: end icon text');\n" } Block { BlockType Reference Name "hex_M" Ports [1, 1] Position [300, 180, 365, 200] SourceBlock "xbsIndex_r4/Gateway In" SourceType "Xilinx Gateway In Block" infoedit "Gateway in block. Converts inputs of type Simulink integer, double and fixed point to Xilinx fixed point type.

Hardware notes: In hardware these blocks become top level input ports." arith_type "Unsigned" n_bits "8" bin_pt "0" quantization "Truncate" overflow "Wrap" period "8" dbl_ovrd off timing_constraint "None" locs_specified off LOCs "{}" xl_use_area off xl_area "[0 0 0 0 8 0 0]" has_advanced_control "0" sggui_pos "20,20,348,406" block_type "gatewayin" block_version "10.1.3" sg_icon_stat "65,20,1,1,white,yellow,0,bc55d28f,right" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 65 65 0 ],[0 0 20 20 ],[0.95 0.93 0.65]);\npatch([27 24 29 24 27 32 33 34 40 36 32 29 34 29 32 36 40 34 33 32 27 ],[2 5 10 15 18 18 17 18 18 14 18 15 10 5 2 6 2 2 3 2 2 ],[0.98 0.96 0.92]);\nplot([0 65 65 0 0 ],[0 0 20 20 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1,'\\fontsize{11pt}\\bf In ','texmode','on');\ncolor('black');port_label('output',1,' ');\nfprintf('','COMMENT: end icon text');\n" } Block { BlockType Reference Name "hex_R" Ports [1, 1] Position [300, 300, 365, 320] SourceBlock "xbsIndex_r4/Gateway In" SourceType "Xilinx Gateway In Block" infoedit "Gateway in block. Converts inputs of type Simulink integer, double and fixed point to Xilinx fixed point type.

Hardware notes: In hardware these blocks become top level input ports." arith_type "Unsigned" n_bits "8" bin_pt "0" quantization "Truncate" overflow "Wrap" period "8" dbl_ovrd off timing_constraint "None" locs_specified off LOCs "{}" xl_use_area off xl_area "[0 0 0 0 8 0 0]" has_advanced_control "0" sggui_pos "20,20,348,406" block_type "gatewayin" block_version "10.1.3" sg_icon_stat "65,20,1,1,white,yellow,0,bc55d28f,right" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 65 65 0 ],[0 0 20 20 ],[0.95 0.93 0.65]);\npatch([27 24 29 24 27 32 33 34 40 36 32 29 34 29 32 36 40 34 33 32 27 ],[2 5 10 15 18 18 17 18 18 14 18 15 10 5 2 6 2 2 3 2 2 ],[0.98 0.96 0.92]);\nplot([0 65 65 0 0 ],[0 0 20 20 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1,'\\fontsize{11pt}\\bf In ','texmode','on');\ncolor('black');port_label('output',1,' ');\nfprintf('','COMMENT: end icon text');\n" } Block { BlockType Reference Name "led8" Ports [1, 1] Position [300, 340, 365, 360] SourceBlock "xbsIndex_r4/Gateway In" SourceType "Xilinx Gateway In Block" infoedit "Gateway in block. Converts inputs of type Simulink integer, double and fixed point to Xilinx fixed point type.

Hardware notes: In hardware these blocks become top level input ports." arith_type "Unsigned" n_bits "8" bin_pt "0" quantization "Truncate" overflow "Wrap" period "8" dbl_ovrd off timing_constraint "None" locs_specified off LOCs "{}" xl_use_area off xl_area "[0 0 0 0 8 0 0]" has_advanced_control "0" sggui_pos "20,20,348,406" block_type "gatewayin" block_version "10.1.3" sg_icon_stat "65,20,1,1,white,yellow,0,bc55d28f,right" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 65 65 0 ],[0 0 20 20 ],[0.95 0.93 0.65]);\npatch([27 24 29 24 27 32 33 34 40 36 32 29 34 29 32 36 40 34 33 32 27 ],[2 5 10 15 18 18 17 18 18 14 18 15 10 5 2 6 2 2 3 2 2 ],[0.98 0.96 0.92]);\nplot([0 65 65 0 0 ],[0 0 20 20 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1,'\\fontsize{11pt}\\bf In ','texmode','on');\ncolor('black');port_label('output',1,' ');\nfprintf('','COMMENT: end icon text');\n" } Block { BlockType Outport Name "data_writedata" Position [735, 183, 765, 197] IconDisplay "Port number" } Block { BlockType Outport Name "config_writedata" Position [745, 618, 775, 632] Port "2" IconDisplay "Port number" } Line { SrcBlock "address0" SrcPort 1 Points [105, 0] Branch { Points [195, 0; 0, 65] DstBlock "Mux" DstPort 2 } Branch { Points [0, 450; 200, 0; 0, 50] DstBlock "Mux3" DstPort 2 } } Line { SrcBlock "Constant5" SrcPort 1 Points [70, 0; 0, 40] DstBlock "Mux" DstPort 3 } Line { SrcBlock "hex_L" SrcPort 1 Points [55, 0; 0, 20] DstBlock "Mux" DstPort 4 } Line { SrcBlock "hex_M" SrcPort 1 DstBlock "Mux" DstPort 5 } Line { SrcBlock "address1" SrcPort 1 Points [85, 0] Branch { Points [175, 0; 0, -20] DstBlock "Mux" DstPort 6 } Branch { Points [0, 435; 150, 0; 0, -20] DstBlock "Mux3" DstPort 6 } } Line { SrcBlock "Constant6" SrcPort 1 Points [55, 0; 0, -40] DstBlock "Mux" DstPort 7 } Line { SrcBlock "hex_R" SrcPort 1 Points [70, 0; 0, -60] DstBlock "Mux" DstPort 8 } Line { SrcBlock "led8" SrcPort 1 Points [90, 0; 0, -80] DstBlock "Mux" DstPort 9 } Line { SrcBlock "Mux" SrcPort 1 DstBlock "Parallel to Serial1" DstPort 1 } Line { SrcBlock "Constant9" SrcPort 1 Points [70, 0; 0, 40] DstBlock "Mux3" DstPort 3 } Line { SrcBlock "Constant4" SrcPort 1 Points [60, 0; 0, 20] DstBlock "Mux3" DstPort 4 } Line { SrcBlock "Constant7" SrcPort 1 DstBlock "Mux3" DstPort 5 } Line { SrcBlock "Constant10" SrcPort 1 Points [55, 0; 0, -40] DstBlock "Mux3" DstPort 7 } Line { SrcBlock "Constant12" SrcPort 1 Points [75, 0; 0, -60] DstBlock "Mux3" DstPort 8 } Line { SrcBlock "Constant13" SrcPort 1 Points [85, 0; 0, -80] DstBlock "Mux3" DstPort 9 } Line { SrcBlock "Mux3" SrcPort 1 DstBlock "Parallel to Serial2" DstPort 1 } Line { SrcBlock "mux_select" SrcPort 1 Points [15, 0] Branch { Points [295, 0] DstBlock "Mux3" DstPort 1 } Branch { Points [0, -460; 295, 0] DstBlock "Mux" DstPort 1 } } Line { SrcBlock "addr0" SrcPort 1 DstBlock "address0" DstPort 1 } Line { SrcBlock "hex_l" SrcPort 1 DstBlock "hex_L" DstPort 1 } Line { SrcBlock "Parallel to Serial1" SrcPort 1 DstBlock "data_writedata" DstPort 1 } Line { SrcBlock "hex_m" SrcPort 1 DstBlock "hex_M" DstPort 1 } Line { SrcBlock "addr1" SrcPort 1 DstBlock "address1" DstPort 1 } Line { SrcBlock "hex_r" SrcPort 1 DstBlock "hex_R" DstPort 1 } Line { SrcBlock "led_in" SrcPort 1 DstBlock "led8" DstPort 1 } Line { SrcBlock "Parallel to Serial2" SrcPort 1 DstBlock "config_writedata" DstPort 1 } } } Block { BlockType Outport Name "SDA" Position [1380, 258, 1410, 272] IconDisplay "Port number" } Line { SrcBlock "Counter3" SrcPort 1 Points [50, 0] Branch { DstBlock "Down Sample" DstPort 1 } Branch { DstBlock "Delay" DstPort 1 } } Line { SrcBlock "Constant8" SrcPort 1 DstBlock "Data" DstPort 3 } Line { SrcBlock "inputdata_write" SrcPort 1 Points [125, 0; 0, -155] DstBlock "Data" DstPort 2 } Line { SrcBlock "Down Sample" SrcPort 1 DstBlock "Slice" DstPort 1 } Line { SrcBlock "AddrB" SrcPort 1 Points [25, 0] Branch { Points [0, -220] DstBlock "Data" DstPort 4 } Branch { DstBlock "Config" DstPort 4 } } Line { SrcBlock "Data" SrcPort 2 DstBlock "Mux1" DstPort 3 } Line { SrcBlock "Constant1" SrcPort 1 DstBlock "Mux1" DstPort 2 } Line { SrcBlock "Constant" SrcPort 1 DstBlock "inputdata_write" DstPort 1 } Line { SrcBlock "Constant3" SrcPort 1 DstBlock "inputdata_write" DstPort 4 } Line { SrcBlock "inputdata_write" SrcPort 2 DstBlock "Config" DstPort 2 } Line { SrcBlock "Constant11" SrcPort 1 DstBlock "Config" DstPort 3 } Line { SrcBlock "Config" SrcPort 2 DstBlock "Mux4" DstPort 3 } Line { SrcBlock "Constant14" SrcPort 1 DstBlock "Mux4" DstPort 2 } Line { SrcBlock "Mux1" SrcPort 1 Points [45, 0; 0, 90] DstBlock "Mux5" DstPort 2 } Line { SrcBlock "Mux4" SrcPort 1 Points [40, 0; 0, -95] DstBlock "Mux5" DstPort 3 } Line { SrcBlock "Delay" SrcPort 1 Points [200, 0] Branch { DstBlock "Data" DstPort 1 } Branch { Points [0, 220] DstBlock "Config" DstPort 1 } } Line { SrcBlock "Slice" SrcPort 1 DstBlock "inputdata_write" DstPort 7 } Line { SrcBlock "From2" SrcPort 1 DstBlock "Config" DstPort 5 } Line { SrcBlock "From3" SrcPort 1 DstBlock "Data" DstPort 5 } Line { SrcBlock "Mux5" SrcPort 1 Points [20, 0; 0, 20] DstBlock "Delay1" DstPort 1 } Line { SrcBlock "From6" SrcPort 1 Points [0, -40] DstBlock "Delay1" DstPort 2 } Line { SrcBlock "OutSelect" SrcPort 1 DstBlock "Mux5" DstPort 1 } Line { SrcBlock "hex_l" SrcPort 1 DstBlock "inputdata_write" DstPort 2 } Line { SrcBlock "hex_m" SrcPort 1 DstBlock "inputdata_write" DstPort 3 } Line { SrcBlock "Delay1" SrcPort 1 DstBlock "SDA" DstPort 1 } Line { SrcBlock "hex_r" SrcPort 1 DstBlock "inputdata_write" DstPort 5 } Line { SrcBlock "led_in" SrcPort 1 DstBlock "inputdata_write" DstPort 6 } Line { SrcBlock "enable7" SrcPort 1 Points [30, 0] Branch { Points [0, -150] DstBlock "Mux1" DstPort 1 } Branch { Points [0, 70] DstBlock "Mux4" DstPort 1 } } } } Block { BlockType Scope Name "Scope1" Ports [3] Position [1315, 483, 1350, 547] Floating off Location [5, 49, 1917, 1167] Open off NumInputPorts "3" ZoomMode "xonly" List { ListType AxesTitles axes1 "%" axes2 "%" axes3 "%" } TimeRange "30000" YMin "0~0~-1" YMax "1~1~1" DataFormat "StructureWithTime" LimitDataPoints off SampleTime "0" } Block { BlockType Scope Name "Scope2" Ports [3] Position [1210, 338, 1245, 402] Floating off Location [1, 45, 1921, 1171] Open off NumInputPorts "3" ZoomMode "xonly" List { ListType AxesTitles axes1 "%" axes2 "%" axes3 "%" } YMin "0~0~-1" YMax "1~1~1" SaveName "ScopeData2" DataFormat "StructureWithTime" LimitDataPoints off SampleTime "0" } Block { BlockType Reference Name "divider" Ports [1, 1] Position [205, 645, 270, 665] SourceBlock "xbsIndex_r4/Gateway In" SourceType "Xilinx Gateway In Block" infoedit "Gateway in block. Converts inputs of type Simulink integer, double and fixed point to Xilinx fixed point type.

Hardware notes: In hardware these blocks become top level input ports." arith_type "Unsigned" n_bits "8" bin_pt "0" quantization "Truncate" overflow "Wrap" period "1" dbl_ovrd off timing_constraint "None" locs_specified off LOCs "{}" xl_use_area off xl_area "[0 0 0 0 8 0 0]" has_advanced_control "0" sggui_pos "20,20,348,406" block_type "gatewayin" block_version "10.1.3" sg_icon_stat "65,20,1,1,white,yellow,0,bc55d28f,right" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 65 65 0 ],[0 0 20 20 ],[0.95 0.93 0.65]);\npatch([27 24 29 24 27 32 33 34 40 36 32 29 34 29 32 36 40 34 33 32 27 ],[2 5 10 15 18 18 17 18 18 14 18 15 10 5 2 6 2 2 3 2 2 ],[0.98 0.96 0.92]);\nplot([0 65 65 0 0 ],[0 0 20 20 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1,'\\fontsize{11pt}\\bf In ','texmode','on');\ncolor('black');port_label('output',1,' ');\nfprintf('','COMMENT: end icon text');\n" } Block { BlockType SubSystem Name "fallingedge" Ports [1, 1] Position [510, 107, 575, 143] MinAlgLoopOccurrences off PropExecContextOutsideSubsystem off RTWSystemCode "Auto" FunctionWithSeparateData off Opaque off RequestExecContextInheritance off MaskHideContents off System { Name "fallingedge" Location [405, 110, 1585, 1079] Open off ModelBrowserVisibility off ModelBrowserWidth 200 ScreenColor "white" PaperOrientation "landscape" PaperPositionMode "auto" PaperType "usletter" PaperUnits "inches" TiledPaperMargins [0.500000, 0.500000, 0.500000, 0.500000] TiledPageScale 1 ShowPageBoundaries off ZoomFactor "100" Block { BlockType Inport Name "in" Position [25, 33, 55, 47] IconDisplay "Port number" } Block { BlockType Reference Name "Delay4" Ports [1, 1] Position [190, 57, 250, 113] SourceBlock "xbsIndex_r4/Delay" SourceType "Xilinx Delay Block" infoedit "Hardware notes: A delay line is a chain, each link of which is an SRL16 followed by a flip-flop." en off latency "1" dbl_ovrd off reg_retiming off xl_use_area off xl_area "[1 1 0 0 0 0 0]" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "delay" block_version "10.1.3" sg_icon_stat "60,56,1,1,white,blue,0,fc531c0e,right" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 ],[0 0 56 56 ],[0.77 0.82 0.91]);\npatch([15 6 19 6 15 30 34 38 54 41 29 20 32 20 29 41 54 38 34 30 15 ],[6 15 28 41 50 50 46 50 50 37 49 40 28 16 7 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 56 56 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');disp('z^{-1}','texmode','on');\nfprintf('','COMMENT: end icon text');\n" } Block { BlockType Reference Name "Inverter" Ports [1, 1] Position [115, 11, 170, 69] SourceBlock "xbsIndex_r4/Inverter" SourceType "Xilinx Inverter Block" infoedit "Bitwise logical negation (one's complement) operator." en off latency "0" dbl_ovrd off xl_use_area off xl_area "[0 0 0 0 0 0 0]" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "inv" block_version "10.1.3" sg_icon_stat "55,58,1,1,white,blue,0,1ab4a85f,right" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 ],[0 0 58 58 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13 28 32 36 52 40 28 19 32 19 28 40 52 36 32 28 13 ],[7 16 29 42 51 51 47 51 51 39 51 42 29 16 7 19 7 7 11 7 7 ],[0.98 0.96 0.92]);\nplot([0 55 55 0 0 ],[0 0 58 58 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');disp('not');\nfprintf('','COMMENT: end icon text');\n" } Block { BlockType Reference Name "Logical" Ports [2, 1] Position [300, 25, 355, 85] SourceBlock "xbsIndex_r4/Logical" SourceType "Xilinx Logical Block Block" logical_function "AND" inputs "2" en off latency "0" precision "Full" arith_type "Unsigned" n_bits "16" bin_pt "0" align_bp on dbl_ovrd off xl_use_area off xl_area "[1 0 0 1 0 0 0]" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "logical" block_version "10.1.3" sg_icon_stat "55,60,1,1,white,blue,0,087b5522,right" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 ],[0 0 60 60 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13 28 32 36 52 40 28 19 32 19 28 40 52 36 32 28 13 ],[8 17 30 43 52 52 48 52 52 40 52 43 30 17 8 20 8 8 12 8 8 ],[0.98 0.96 0.92]);\nplot([0 55 55 0 0 ],[0 0 60 60 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');disp('\\newlineand\\newlinez^{-0}','texmode','on');\nfprintf('','COMMENT: end icon text');\n" } Block { BlockType Outport Name "edge" Position [380, 48, 410, 62] IconDisplay "Port number" } Line { SrcBlock "Logical" SrcPort 1 DstBlock "edge" DstPort 1 } Line { SrcBlock "Delay4" SrcPort 1 Points [15, 0; 0, -15] DstBlock "Logical" DstPort 2 } Line { SrcBlock "in" SrcPort 1 Points [15, 0] Branch { DstBlock "Inverter" DstPort 1 } Branch { Points [0, 45] DstBlock "Delay4" DstPort 1 } } Line { SrcBlock "Inverter" SrcPort 1 DstBlock "Logical" DstPort 1 } } } Block { BlockType SubSystem Name "fallingedge1" Ports [1, 1] Position [330, 62, 395, 98] MinAlgLoopOccurrences off PropExecContextOutsideSubsystem off RTWSystemCode "Auto" FunctionWithSeparateData off Opaque off RequestExecContextInheritance off MaskHideContents off System { Name "fallingedge1" Location [405, 110, 1838, 1114] Open off ModelBrowserVisibility off ModelBrowserWidth 200 ScreenColor "white" PaperOrientation "landscape" PaperPositionMode "auto" PaperType "usletter" PaperUnits "inches" TiledPaperMargins [0.500000, 0.500000, 0.500000, 0.500000] TiledPageScale 1 ShowPageBoundaries off ZoomFactor "100" Block { BlockType Inport Name "in" Position [25, 33, 55, 47] IconDisplay "Port number" } Block { BlockType Reference Name "Delay4" Ports [1, 1] Position [190, 57, 250, 113] SourceBlock "xbsIndex_r4/Delay" SourceType "Xilinx Delay Block" infoedit "Hardware notes: A delay line is a chain, each link of which is an SRL16 followed by a flip-flop." en off latency "1" dbl_ovrd off reg_retiming off xl_use_area off xl_area "[1 1 0 0 0 0 0]" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "delay" block_version "10.1.3" sg_icon_stat "60,56,1,1,white,blue,0,fc531c0e,right" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 ],[0 0 56 56 ],[0.77 0.82 0.91]);\npatch([15 6 19 6 15 30 34 38 54 41 29 20 32 20 29 41 54 38 34 30 15 ],[6 15 28 41 50 50 46 50 50 37 49 40 28 16 7 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 56 56 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');disp('z^{-1}','texmode','on');\nfprintf('','COMMENT: end icon text');\n" } Block { BlockType Reference Name "Inverter" Ports [1, 1] Position [115, 11, 170, 69] SourceBlock "xbsIndex_r4/Inverter" SourceType "Xilinx Inverter Block" infoedit "Bitwise logical negation (one's complement) operator." en off latency "0" dbl_ovrd off xl_use_area off xl_area "[0 0 0 0 0 0 0]" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "inv" block_version "10.1.3" sg_icon_stat "55,58,1,1,white,blue,0,1ab4a85f,right" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 ],[0 0 58 58 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13 28 32 36 52 40 28 19 32 19 28 40 52 36 32 28 13 ],[7 16 29 42 51 51 47 51 51 39 51 42 29 16 7 19 7 7 11 7 7 ],[0.98 0.96 0.92]);\nplot([0 55 55 0 0 ],[0 0 58 58 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');disp('not');\nfprintf('','COMMENT: end icon text');\n" } Block { BlockType Reference Name "Logical" Ports [2, 1] Position [300, 25, 355, 85] SourceBlock "xbsIndex_r4/Logical" SourceType "Xilinx Logical Block Block" logical_function "AND" inputs "2" en off latency "0" precision "Full" arith_type "Unsigned" n_bits "16" bin_pt "0" align_bp on dbl_ovrd off xl_use_area off xl_area "[1 0 0 1 0 0 0]" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "logical" block_version "10.1.3" sg_icon_stat "55,60,1,1,white,blue,0,087b5522,right" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 ],[0 0 60 60 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13 28 32 36 52 40 28 19 32 19 28 40 52 36 32 28 13 ],[8 17 30 43 52 52 48 52 52 40 52 43 30 17 8 20 8 8 12 8 8 ],[0.98 0.96 0.92]);\nplot([0 55 55 0 0 ],[0 0 60 60 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');disp('\\newlineand\\newlinez^{-0}','texmode','on');\nfprintf('','COMMENT: end icon text');\n" } Block { BlockType Outport Name "edge" Position [380, 48, 410, 62] IconDisplay "Port number" } Line { SrcBlock "Inverter" SrcPort 1 DstBlock "Logical" DstPort 1 } Line { SrcBlock "in" SrcPort 1 Points [15, 0] Branch { Points [0, 45] DstBlock "Delay4" DstPort 1 } Branch { DstBlock "Inverter" DstPort 1 } } Line { SrcBlock "Delay4" SrcPort 1 Points [15, 0; 0, -15] DstBlock "Logical" DstPort 2 } Line { SrcBlock "Logical" SrcPort 1 DstBlock "edge" DstPort 1 } } } Block { BlockType Reference Name "reset" Ports [1, 1] Position [115, 265, 180, 285] SourceBlock "xbsIndex_r4/Gateway In" SourceType "Xilinx Gateway In Block" infoedit "Gateway in block. Converts inputs of type Simulink integer, double and fixed point to Xilinx fixed point type.

Hardware notes: In hardware these blocks become top level input ports." arith_type "Boolean" n_bits "8" bin_pt "0" quantization "Truncate" overflow "Wrap" period "1" dbl_ovrd off timing_constraint "None" locs_specified off LOCs "{}" xl_use_area off xl_area "[0 0 0 0 1 0 0]" has_advanced_control "0" sggui_pos "20,20,348,406" block_type "gatewayin" block_version "10.1.3" sg_icon_stat "65,20,1,1,white,yellow,0,bc55d28f,right" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 65 65 0 ],[0 0 20 20 ],[0.95 0.93 0.65]);\npatch([27 24 29 24 27 32 33 34 40 36 32 29 34 29 32 36 40 34 33 32 27 ],[2 5 10 15 18 18 17 18 18 14 18 15 10 5 2 6 2 2 3 2 2 ],[0.98 0.96 0.92]);\nplot([0 65 65 0 0 ],[0 0 20 20 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1,'\\fontsize{11pt}\\bf In ','texmode','on');\ncolor('black');port_label('output',1,' ');\nfprintf('','COMMENT: end icon text');\n" } Block { BlockType SubSystem Name "risingedge" Ports [1, 1] Position [205, 257, 270, 293] MinAlgLoopOccurrences off PropExecContextOutsideSubsystem off RTWSystemCode "Auto" FunctionWithSeparateData off Opaque off RequestExecContextInheritance off MaskHideContents off System { Name "risingedge" Location [675, 205, 1110, 346] Open off ModelBrowserVisibility off ModelBrowserWidth 200 ScreenColor "white" PaperOrientation "landscape" PaperPositionMode "auto" PaperType "usletter" PaperUnits "inches" TiledPaperMargins [0.500000, 0.500000, 0.500000, 0.500000] TiledPageScale 1 ShowPageBoundaries off ZoomFactor "100" Block { BlockType Inport Name "in" Position [25, 33, 55, 47] IconDisplay "Port number" } Block { BlockType Reference Name "Delay4" Ports [1, 1] Position [190, 57, 250, 113] SourceBlock "xbsIndex_r4/Delay" SourceType "Xilinx Delay Block" infoedit "Hardware notes: A delay line is a chain, each link of which is an SRL16 followed by a flip-flop." en off latency "1" dbl_ovrd off reg_retiming off xl_use_area off xl_area "[1 1 0 0 0 0 0]" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "delay" block_version "10.1.3" sg_icon_stat "60,56,1,1,white,blue,0,fc531c0e,right" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 ],[0 0 56 56 ],[0.77 0.82 0.91]);\npatch([15 6 19 6 15 30 34 38 54 41 29 20 32 20 29 41 54 38 34 30 15 ],[6 15 28 41 50 50 46 50 50 37 49 40 28 16 7 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 56 56 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');disp('z^{-1}','texmode','on');\nfprintf('','COMMENT: end icon text');\n" } Block { BlockType Reference Name "Inverter" Ports [1, 1] Position [100, 56, 155, 114] SourceBlock "xbsIndex_r4/Inverter" SourceType "Xilinx Inverter Block" infoedit "Bitwise logical negation (one's complement) operator." en off latency "0" dbl_ovrd off xl_use_area off xl_area "[0 0 0 0 0 0 0]" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "inv" block_version "10.1.3" sg_icon_stat "55,58,1,1,white,blue,0,1ab4a85f,right" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 ],[0 0 58 58 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13 28 32 36 52 40 28 19 32 19 28 40 52 36 32 28 13 ],[7 16 29 42 51 51 47 51 51 39 51 42 29 16 7 19 7 7 11 7 7 ],[0.98 0.96 0.92]);\nplot([0 55 55 0 0 ],[0 0 58 58 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');disp('not');\nfprintf('','COMMENT: end icon text');\n" } Block { BlockType Reference Name "Logical" Ports [2, 1] Position [300, 25, 355, 85] SourceBlock "xbsIndex_r4/Logical" SourceType "Xilinx Logical Block Block" logical_function "AND" inputs "2" en off latency "0" precision "Full" arith_type "Unsigned" n_bits "16" bin_pt "0" align_bp on dbl_ovrd off xl_use_area off xl_area "[1 0 0 1 0 0 0]" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "logical" block_version "10.1.3" sg_icon_stat "55,60,1,1,white,blue,0,087b5522,right" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 ],[0 0 60 60 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13 28 32 36 52 40 28 19 32 19 28 40 52 36 32 28 13 ],[8 17 30 43 52 52 48 52 52 40 52 43 30 17 8 20 8 8 12 8 8 ],[0.98 0.96 0.92]);\nplot([0 55 55 0 0 ],[0 0 60 60 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');disp('\\newlineand\\newlinez^{-0}','texmode','on');\nfprintf('','COMMENT: end icon text');\n" } Block { BlockType Outport Name "edge" Position [380, 48, 410, 62] IconDisplay "Port number" } Line { SrcBlock "in" SrcPort 1 Points [15, 0] Branch { DstBlock "Logical" DstPort 1 } Branch { Points [0, 45] DstBlock "Inverter" DstPort 1 } } Line { SrcBlock "Inverter" SrcPort 1 DstBlock "Delay4" DstPort 1 } Line { SrcBlock "Delay4" SrcPort 1 Points [15, 0; 0, -15] DstBlock "Logical" DstPort 2 } Line { SrcBlock "Logical" SrcPort 1 DstBlock "edge" DstPort 1 } } } Block { BlockType Reference Name "scl" Ports [1, 1] Position [990, 515, 1050, 535] SourceBlock "xbsIndex_r4/Gateway Out" SourceType "Xilinx Gateway Out Block" infoedit "Gateway out block. Converts Xilinx fixed point inputs into ouputs of type Simulink integer, double, or fixed point.

Hardware notes: In hardware these blocks become top level output ports or are discarded, depending on how they are configured." hdl_port on timing_constraint "None" locs_specified off LOCs "{}" xl_use_area off xl_area "[0,0,0,0,0,0,0]" has_advanced_control "0" sggui_pos "20,20,336,386" block_type "gatewayout" block_version "10.1.3" sg_icon_stat "60,20,1,1,white,yellow,0,38220381,right" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 ],[0 0 20 20 ],[0.95 0.93 0.65]);\npatch([24 21 26 21 24 29 30 31 37 33 29 26 31 26 29 33 37 31 30 29 24 ],[2 5 10 15 18 18 17 18 18 14 18 15 10 5 2 6 2 2 3 2 2 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 20 20 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1,' ');\ncolor('black');port_label('output',1,'\\fontsize{11pt}\\bf Out ','texmode','on');\nfprintf('','COMMENT: end icon text');\n" } Block { BlockType Reference Name "sda" Ports [1, 1] Position [985, 195, 1045, 215] SourceBlock "xbsIndex_r4/Gateway Out" SourceType "Xilinx Gateway Out Block" infoedit "Gateway out block. Converts Xilinx fixed point inputs into ouputs of type Simulink integer, double, or fixed point.

Hardware notes: In hardware these blocks become top level output ports or are discarded, depending on how they are configured." hdl_port on timing_constraint "None" locs_specified off LOCs "{}" xl_use_area off xl_area "[0,0,0,0,0,0,0]" has_advanced_control "0" sggui_pos "20,20,336,386" block_type "gatewayout" block_version "10.1.3" sg_icon_stat "60,20,1,1,white,yellow,0,38220381,right" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 ],[0 0 20 20 ],[0.95 0.93 0.65]);\npatch([24 21 26 21 24 29 30 31 37 33 29 26 31 26 29 33 37 31 30 29 24 ],[2 5 10 15 18 18 17 18 18 14 18 15 10 5 2 6 2 2 3 2 2 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 20 20 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1,' ');\ncolor('black');port_label('output',1,'\\fontsize{11pt}\\bf Out ','texmode','on');\nfprintf('','COMMENT: end icon text');\n" } Line { SrcBlock "2wire Count Gen" SrcPort 2 DstBlock "Delay4" DstPort 1 } Line { SrcBlock "2wire Count Gen" SrcPort 3 Points [20, 0] Branch { Points [0, -230] DstBlock "fallingedge" DstPort 1 } Branch { Labels [0, 0] Points [0, 125] DstBlock "SCLGenerate" DstPort 1 } } Line { SrcBlock "divider" SrcPort 1 Points [20, 0] Branch { DstBlock "ClockEnableGen" DstPort 1 } Branch { Points [0, 95] DstBlock "ClockEnableGen_div4" DstPort 1 } } Line { SrcBlock "Constant16" SrcPort 1 DstBlock "divider" DstPort 1 } Line { SrcBlock "ClockEnableGen" SrcPort 1 DstBlock "Goto" DstPort 1 } Line { SrcBlock "From" SrcPort 1 DstBlock "Delay4" DstPort 2 } Line { Labels [0, 0] SrcBlock "fallingedge" SrcPort 1 DstBlock "ConfigDataMuxCtrl" DstPort 2 } Line { SrcBlock "ClockEnableGen_div4" SrcPort 1 DstBlock "Goto1" DstPort 1 } Line { SrcBlock "Delay4" SrcPort 1 Points [25, 0; 0, -30] DstBlock "SDAGenerate" DstPort 7 } Line { SrcBlock "2wire Count Gen" SrcPort 1 DstBlock "SDAGenerate" DstPort 6 } Line { SrcBlock "ConfigDataMuxCtrl" SrcPort 1 Points [0, 10] DstBlock "SDAGenerate" DstPort 1 } Line { SrcBlock "From1" SrcPort 1 DstBlock "2wire Count Gen" DstPort 2 } Line { SrcBlock "SDAGenerate" SrcPort 1 DstBlock "sda" DstPort 1 } Line { SrcBlock "SCLGenerate" SrcPort 1 DstBlock "scl" DstPort 1 } Line { SrcBlock "sda" SrcPort 1 Points [75, 0; 0, 145] DstBlock "Scope2" DstPort 1 } Line { SrcBlock "scl" SrcPort 1 Points [75, 0; 0, -155] DstBlock "Scope2" DstPort 2 } Line { SrcBlock "Pulse\nGenerator1" SrcPort 1 DstBlock "reset" DstPort 1 } Line { SrcBlock "reset" SrcPort 1 Points [5, 0] Branch { Points [0, 115] DstBlock "Scope2" DstPort 3 } Branch { Points [0, 0] Branch { DstBlock "risingedge" DstPort 1 } Branch { Points [0, -195] DstBlock "fallingedge1" DstPort 1 } } } Line { SrcBlock "risingedge" SrcPort 1 Points [10, 0] Branch { Points [0, 290] Branch { DstBlock "SCLGenerate" DstPort 2 } Branch { Points [0, 125] Branch { Points [0, 95] DstBlock "ClockEnableGen_div4" DstPort 2 } Branch { Points [0, 0] DstBlock "ClockEnableGen" DstPort 2 } } } Branch { DstBlock "2wire Count Gen" DstPort 1 } } Line { SrcBlock "fallingedge1" SrcPort 1 DstBlock "Delay1" DstPort 1 } Line { SrcBlock "Delay1" SrcPort 1 DstBlock "ConfigDataMuxCtrl" DstPort 1 } } } MatData { NumRecords 1 DataRecord { Tag DataTag0 Data " %)30 . ^' 8 ( @ % \" $ ! 0 % 0 !@ $ , 0 . . 8 ( ! % \" $ ' 0 0 !P '1A7, !V86QU97, . \" $ 8 ( 0 % \" $ # 0 . 0 8 ( ! % \" $ + 0 0 \"P $A$3\"!.971L:7-T . 0 8 ( ! % \" $ + 0 0 \"P $Y'0R!.971L:7-T . 2 8 ( ! % \" $ 8 0 0 & $5X<&]R=\"!A 0 !H$ !I;F9O961I= !X:6QI;GAF86UI;'D !P87)T !S<&5E9 !P86-K86=E !S>6YT:&5S:7-?=&]O;%]S9V%D=F%N8V5D !S>6YT:&5S:7-?=&]O; !C;&]C:U]W7-C;&M?<&5R:6]D !D8VU?:6YP=71?8VQO8VM?<&5R:6]D !I;F-R7VYE=&QI7-T96T@1V5N97)A=&]R X X !@ @ $ 4 ( 0 < ! ! ' =FER=&5X- . 0 8 ( ! % \" $ ) 0 0 \"0 'AC-'9F>#$P, . , 8 ( ! % \" $ # 0 0 , +3$Q X X !@ @ $ 4 ( 0 8 ! ! & 9F8Q-3$W . , 8 ( ! % \" 0 0 X P !@ @ $ 4 ( 0 , ! ! P!84U0 #@ # & \" 0 !0 @ $ $ . 0 8 ( ! % \" $ - 0 0 #0 $-L;V-K($5N86)L97, . 0 8 ( ! % \" $ + 0 0 \"P \"XO;F5T;&ES=# R . , 8 ( ! % \" 0 0 X P !@ @ $ 4 ( 0 , ! ! P!O9F8 #@ # & \" 0 !0 @ ! @ $ $ \" #$P . , 8 ( ! % \" $ # 0 0 , ,3 P X P !@ @ $ 4 ( ! ! #@ # & \" 0 !0 @ $ $ . , 8 ( ! % \" 0 0 X P !@ @ $ 4 ( ! ! #@ $@ & \" 0 !0 @ ! & $ $ !@ !!8V-O7-G96X X X !@ @ $ 4 ( 0 8 ! ! & ,3 N,2XS . 6 8 ( ! % \" $ C 0 0 (P #4Q+#4P+\"TQ+\"TQ+')E9\"QB96EG92PP+# W-S,T+')I9VAT . P $ 8 ( ! % \" $ \". 0 0 0 C@$ &9P'0G*3L* . , 8 ( ! % \" 0 0 X P !@ @ $ 4 ( ! ! #@ # & \" 0 !0 @ $ $ . . 8 ( ! % \" $ ' 0 0 !P %9E&EL:6YX9F%M:6QY <&%R= 7-T96T@1V5N97)A=&]R X X !@ @ $ 4 ( 0 < ! ! ' =FER=&5X- . 0 8 ( ! % \" $ ) 0 0 \"0 'AC-'9F>#$P, . , 8 ( ! % \" $ # 0 0 , +3$Q X X !@ @ $ 4 ( 0 8 ! ! & 9F8Q-3$W . , 8 ( ! % \" 0 0 X P !@ @ $ 4 ( 0 , ! ! P!84U0 #@ # & \" 0 !0 @ $ $ . 0 8 ( ! % \" $ - 0 0 #0 $-L;V-K($5N86)L97, . 0 8 ( ! % \" $ - 0 0 #0 \"XO;F=C7VYE=&QI7-G96X X X !@ @ $ 4 ( 0 8 ! ! & ,3 N,2XS . 6 8 ( ! % \" $ C 0 0 (P #4Q+#4P+\"TQ+\"TQ+')E9\"QB96EG92PP+# W-S,T+')I9VAT . P $ 8 ( ! % \" $ \". 0 0 0 C@$ &9P'0G*3L* . , 8 ( ! % \" 0 0 X P !@ @ $ 4 ( ! ! #@ # & \" 0 !0 @ $ $ . . 8 ( ! % \" $ ' 0 0 !P %9E&QN9V-S971T:6YG

0 ,X$ !I;F9O961I= !X:6QI;GAF86UI;'D !P87)T !S<&5E9 !P86-K86=E !S>6YT:&5S:7-?=&]O;%]S9V%D=F%N8V5D !S>6YT:&5S:7-?=&]O; !C;&]C:U]W7-C;&M?<&5R:6]D !D8VU?:6YP=71?8VQO8VM?<&5R:6]D !I;F-R7VYE=&QI&,T=F9X,3 P X P !@ @ $ 4 ( 0 , ! ! P M,3$ #@ #@ & \" 0 !0 @ ! !@ $ $ 8 !F9C$U,3< X P !@ @ $ 4 ( ! ! #@ # & \" 0 !0 @ ! P $ $ # %A35 . , 8 ( ! % \" 0 0 X ! !@ @ $ 4 ( 0 T ! ! - 0VQO8VL@16YA8FQE

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

0 ,X$ !I;F9O961I= !X:6QI;GAF86UI;'D !P87)T !S<&5E9 !P86-K86=E !S>6YT:&5S:7-?=&]O;%]S9V%D=F%N8V5D !S>6YT:&5S:7-?=&]O; !C;&]C:U]W7-C;&M?<&5R:6]D !D8VU?:6YP=71?8VQO8VM?<&5R:6]D !I;F-R7VYE=&QI&,T=F9X,3 P X P !@ @ $ 4 ( 0 , ! ! P M,3$ #@ #@ & \" 0 !0 @ ! !@ $ $ 8 !F9C$U,3< X P !@ @ $ 4 ( ! ! #@ # & \" 0 !0 @ ! P $ $ # %A35 . , 8 ( ! % \" 0 0 X ! !@ @ $ 4 ( 0 T ! ! - 0VQO8VL@16YA8FQE

'0G*3L*9G!R:6YT9B@G)RPG0T]-345.5#H@96YD(&EC;VX@=&5X=\"0 ;6%J7W-L:61E<@ ;6EN;W)?5]S;&ED97( :7-$979E;&]P;65N= =7-E0W5S=&]M0G5S26YT97)F86-E 8W5S=&]M0G5S26YT97)F86-E5F%L=64 #@ #@ & \" 8 !0 @ ! 0 $ \"0 @ X P !@ @ $ 4 ( ! ! #@ $ & \" 0 !0 @ ! $ $ $ ! !T87)G971?9&ER96-T;W)Y#@ $ & \" 0 !0 @ ! \"P $ $ L N+VYE=&QI