Model { Name "rate_change_filters_txrx_2ch" Version 7.7 MdlSubVersion 0 GraphicalInterface { NumRootInports 0 NumRootOutports 0 ParameterArgumentNames "" ComputedModelVersion "1.52" NumModelReferences 0 NumTestPointedSignals 0 } SavedCharacterEncoding "windows-1252" SaveDefaultBlockParams on ScopeRefreshTime 0.035000 OverrideScopeRefreshTime on DisableAllScopes off DataTypeOverride "UseLocalSettings" DataTypeOverrideAppliesTo "AllNumericTypes" MinMaxOverflowLogging "UseLocalSettings" MinMaxOverflowArchiveMode "Overwrite" MaxMDLFileLineLength 120 InitFcn "rate_change_filters_init" StartFcn "rate_change_filters_init" Created "Sat Aug 28 15:03:25 2010" Creator "Administrator" UpdateHistory "UpdateHistoryNever" ModifiedByFormat "%" LastModifiedBy "murphpo" ModifiedDateFormat "%" LastModifiedDate "Wed Apr 25 11:19:27 2012" RTWModifiedTimeStamp 257253308 ModelVersionFormat "1.%" ConfigurationManager "None" SampleTimeColors on SampleTimeAnnotations off LibraryLinkDisplay "none" WideLines off ShowLineDimensions off ShowPortDataTypes on ShowLoopsOnError on IgnoreBidirectionalLines off ShowStorageClass off ShowTestPointIcons on ShowSignalResolutionIcons on ShowViewerIcons on SortedOrder off ExecutionContextIcon off ShowLinearizationAnnotations on BlockNameDataTip off BlockParametersDataTip off BlockDescriptionStringDataTip off ToolBar on StatusBar on BrowserShowLibraryLinks off BrowserLookUnderMasks off SimulationMode "normal" LinearizationMsg "none" Profile off ParamWorkspaceSource "MATLABWorkspace" AccelSystemTargetFile "accel.tlc" AccelTemplateMakefile "accel_default_tmf" AccelMakeCommand "make_rtw" TryForcingSFcnDF off RecordCoverage off CovPath "/" CovSaveName "covdata" CovMetricSettings "dw" CovNameIncrementing off CovHtmlReporting on CovForceBlockReductionOff on covSaveCumulativeToWorkspaceVar on CovSaveSingleToWorkspaceVar on CovCumulativeVarName "covCumulativeData" CovCumulativeReport off CovReportOnPause on CovModelRefEnable "Off" CovExternalEMLEnable off ExtModeBatchMode off ExtModeEnableFloating on ExtModeTrigType "manual" ExtModeTrigMode "normal" ExtModeTrigPort "1" ExtModeTrigElement "any" ExtModeTrigDuration 1000 ExtModeTrigDurationFloating "auto" ExtModeTrigHoldOff 0 ExtModeTrigDelay 0 ExtModeTrigDirection "rising" ExtModeTrigLevel 0 ExtModeArchiveMode "off" ExtModeAutoIncOneShot off ExtModeIncDirWhenArm off ExtModeAddSuffixToVar off ExtModeWriteAllDataToWs off ExtModeArmWhenConnect on ExtModeSkipDownloadWhenConnect off ExtModeLogAll on ExtModeAutoUpdateStatusClock on BufferReuse on ShowModelReferenceBlockVersion off ShowModelReferenceBlockIO off Array { Type "Handle" Dimension 1 Simulink.ConfigSet { $ObjectID 1 Version "1.11.0" Array { Type "Handle" Dimension 8 Simulink.SolverCC { $ObjectID 2 Version "1.11.0" StartTime "0.0" StopTime "1e3" AbsTol "auto" FixedStep "auto" InitialStep "auto" MaxNumMinSteps "-1" MaxOrder 5 ZcThreshold "auto" ConsecutiveZCsStepRelTol "10*128*eps" MaxConsecutiveZCs "1000" ExtrapolationOrder 4 NumberNewtonIterations 1 MaxStep "auto" MinStep "auto" MaxConsecutiveMinStep "1" RelTol "1e-3" SolverMode "SingleTasking" ConcurrentTasks off Solver "VariableStepDiscrete" SolverName "VariableStepDiscrete" SolverJacobianMethodControl "auto" ShapePreserveControl "DisableAll" ZeroCrossControl "UseLocalSettings" ZeroCrossAlgorithm "Nonadaptive" AlgebraicLoopSolver "TrustRegion" SolverResetMethod "Fast" PositivePriorityOrder off AutoInsertRateTranBlk off SampleTimeConstraint "Unconstrained" InsertRTBMode "Whenever possible" } Simulink.DataIOCC { $ObjectID 3 Version "1.11.0" Decimation "1" ExternalInput "[t, u]" FinalStateName "xFinal" InitialState "xInitial" LimitDataPoints on MaxDataPoints "1000" LoadExternalInput off LoadInitialState off SaveFinalState off SaveCompleteFinalSimState off SaveFormat "Array" SignalLoggingSaveFormat "ModelDataLogs" SaveOutput on SaveState off SignalLogging on DSMLogging on InspectSignalLogs off SaveTime on ReturnWorkspaceOutputs off StateSaveName "xout" TimeSaveName "tout" OutputSaveName "yout" SignalLoggingName "logsout" DSMLoggingName "dsmout" OutputOption "RefineOutputTimes" OutputTimes "[]" ReturnWorkspaceOutputsName "out" Refine "1" } Simulink.OptimizationCC { $ObjectID 4 Version "1.11.0" Array { Type "Cell" Dimension 4 Cell "ZeroExternalMemoryAtStartup" Cell "ZeroInternalMemoryAtStartup" Cell "NoFixptDivByZeroProtection" Cell "OptimizeModelRefInitCode" PropName "DisabledProps" } BlockReduction on BooleanDataType on ConditionallyExecuteInputs on InlineParams on UseIntDivNetSlope off UseSpecifiedMinMax off InlineInvariantSignals off OptimizeBlockIOStorage on BufferReuse on EnhancedBackFolding off StrengthReduction off ExpressionFolding on BooleansAsBitfields off BitfieldContainerType "uint_T" EnableMemcpy on MemcpyThreshold 64 PassReuseOutputArgsAs "Structure reference" ExpressionDepthLimit 2147483647 FoldNonRolledExpr on LocalBlockOutputs on RollThreshold 5 SystemCodeInlineAuto off StateBitsets off DataBitsets off UseTempVars off ZeroExternalMemoryAtStartup on ZeroInternalMemoryAtStartup on InitFltsAndDblsToZero on NoFixptDivByZeroProtection off EfficientFloat2IntCast off EfficientMapNaN2IntZero on OptimizeModelRefInitCode off LifeSpan "inf" MaxStackSize "Inherit from target" BufferReusableBoundary on SimCompilerOptimization "Off" AccelVerboseBuild off AccelParallelForEachSubsystem on } Simulink.DebuggingCC { $ObjectID 5 Version "1.11.0" RTPrefix "error" ConsistencyChecking "none" ArrayBoundsChecking "none" SignalInfNanChecking "none" SignalRangeChecking "none" ReadBeforeWriteMsg "UseLocalSettings" WriteAfterWriteMsg "UseLocalSettings" WriteAfterReadMsg "UseLocalSettings" AlgebraicLoopMsg "warning" ArtificialAlgebraicLoopMsg "warning" SaveWithDisabledLinksMsg "warning" SaveWithParameterizedLinksMsg "warning" CheckSSInitialOutputMsg on UnderspecifiedInitializationDetection "Classic" MergeDetectMultiDrivingBlocksExec "none" CheckExecutionContextPreStartOutputMsg off CheckExecutionContextRuntimeOutputMsg off SignalResolutionControl "UseLocalSettings" BlockPriorityViolationMsg "warning" MinStepSizeMsg "warning" TimeAdjustmentMsg "none" MaxConsecutiveZCsMsg "error" MaskedZcDiagnostic "warning" IgnoredZcDiagnostic "warning" SolverPrmCheckMsg "warning" InheritedTsInSrcMsg "warning" DiscreteInheritContinuousMsg "warning" MultiTaskDSMMsg "error" MultiTaskCondExecSysMsg "error" MultiTaskRateTransMsg "error" SingleTaskRateTransMsg "none" TasksWithSamePriorityMsg "warning" SigSpecEnsureSampleTimeMsg "warning" CheckMatrixSingularityMsg "none" IntegerOverflowMsg "warning" Int32ToFloatConvMsg "warning" ParameterDowncastMsg "error" ParameterOverflowMsg "error" ParameterUnderflowMsg "none" ParameterPrecisionLossMsg "warning" ParameterTunabilityLossMsg "warning" FixptConstUnderflowMsg "none" FixptConstOverflowMsg "none" FixptConstPrecisionLossMsg "none" UnderSpecifiedDataTypeMsg "none" UnnecessaryDatatypeConvMsg "none" VectorMatrixConversionMsg "none" InvalidFcnCallConnMsg "error" FcnCallInpInsideContextMsg "Use local settings" SignalLabelMismatchMsg "none" UnconnectedInputMsg "warning" UnconnectedOutputMsg "warning" UnconnectedLineMsg "warning" SFcnCompatibilityMsg "none" UniqueDataStoreMsg "none" BusObjectLabelMismatch "warning" RootOutportRequireBusObject "warning" AssertControl "UseLocalSettings" EnableOverflowDetection off ModelReferenceIOMsg "none" ModelReferenceMultiInstanceNormalModeStructChecksumCheck "error" ModelReferenceVersionMismatchMessage "none" ModelReferenceIOMismatchMessage "none" ModelReferenceCSMismatchMessage "none" UnknownTsInhSupMsg "warning" ModelReferenceDataLoggingMessage "warning" ModelReferenceSymbolNameMessage "warning" ModelReferenceExtraNoncontSigs "error" StateNameClashWarn "warning" SimStateInterfaceChecksumMismatchMsg "warning" SimStateOlderReleaseMsg "error" InitInArrayFormatMsg "warning" StrictBusMsg "Warning" BusNameAdapt "WarnAndRepair" NonBusSignalsTreatedAsBus "none" LoggingUnavailableSignals "error" BlockIODiagnostic "none" SFUnusedDataAndEventsDiag "warning" SFUnexpectedBacktrackingDiag "warning" SFInvalidInputDataAccessInChartInitDiag "warning" SFNoUnconditionalDefaultTransitionDiag "warning" SFTransitionOutsideNaturalParentDiag "warning" } Simulink.HardwareCC { $ObjectID 6 Version "1.11.0" ProdBitPerChar 8 ProdBitPerShort 16 ProdBitPerInt 32 ProdBitPerLong 32 ProdBitPerFloat 32 ProdBitPerDouble 64 ProdBitPerPointer 32 ProdLargestAtomicInteger "Char" ProdLargestAtomicFloat "None" ProdIntDivRoundTo "Undefined" ProdEndianess "Unspecified" ProdWordSize 32 ProdShiftRightIntArith on ProdHWDeviceType "32-bit Generic" TargetBitPerChar 8 TargetBitPerShort 16 TargetBitPerInt 32 TargetBitPerLong 32 TargetBitPerFloat 32 TargetBitPerDouble 64 TargetBitPerPointer 32 TargetLargestAtomicInteger "Char" TargetLargestAtomicFloat "None" TargetShiftRightIntArith on TargetIntDivRoundTo "Undefined" TargetEndianess "Unspecified" TargetWordSize 32 TargetTypeEmulationWarnSuppressLevel 0 TargetPreprocMaxBitsSint 32 TargetPreprocMaxBitsUint 32 TargetHWDeviceType "Specified" TargetUnknown off ProdEqTarget on } Simulink.ModelReferenceCC { $ObjectID 7 Version "1.11.0" UpdateModelReferenceTargets "IfOutOfDateOrStructuralChange" CheckModelReferenceTargetMessage "error" EnableParallelModelReferenceBuilds off ParallelModelReferenceErrorOnInvalidPool on ParallelModelReferenceMATLABWorkerInit "None" ModelReferenceNumInstancesAllowed "Multi" PropagateVarSize "Infer from blocks in model" ModelReferencePassRootInputsByReference on ModelReferenceMinAlgLoopOccurrences off PropagateSignalLabelsOutOfModel off SupportModelReferenceSimTargetCustomCode off } Simulink.SFSimCC { $ObjectID 8 Version "1.11.0" SFSimEnableDebug on SFSimOverflowDetection on SFSimEcho on SimBlas on SimCtrlC on SimExtrinsic on SimIntegrity on SimUseLocalCustomCode off SimParseCustomCode on SimBuildMode "sf_incremental_build" } Simulink.RTWCC { $BackupClass "Simulink.RTWCC" $ObjectID 9 Version "1.11.0" Array { Type "Cell" Dimension 6 Cell "IncludeHyperlinkInReport" Cell "GenerateTraceInfo" Cell "GenerateTraceReport" Cell "GenerateTraceReportSl" Cell "GenerateTraceReportSf" Cell "GenerateTraceReportEml" PropName "DisabledProps" } SystemTargetFile "grt.tlc" GenCodeOnly off MakeCommand "make_rtw" GenerateMakefile on TemplateMakefile "grt_default_tmf" GenerateReport off SaveLog off RTWVerbose on RetainRTWFile off ProfileTLC off TLCDebug off TLCCoverage off TLCAssert off ProcessScriptMode "Default" ConfigurationMode "Optimized" ConfigAtBuild off RTWUseLocalCustomCode off RTWUseSimCustomCode off IncludeHyperlinkInReport off LaunchReport off TargetLang "C" IncludeBusHierarchyInRTWFileBlockHierarchyMap off IncludeERTFirstTime off GenerateTraceInfo off GenerateTraceReport off GenerateTraceReportSl off GenerateTraceReportSf off GenerateTraceReportEml off GenerateCodeInfo off GenerateSLWebview off GenerateCodeMetricsReport off RTWCompilerOptimization "Off" CheckMdlBeforeBuild "Off" CustomRebuildMode "OnUpdate" Array { Type "Handle" Dimension 2 Simulink.CodeAppCC { $ObjectID 10 Version "1.11.0" Array { Type "Cell" Dimension 16 Cell "IgnoreCustomStorageClasses" Cell "InsertBlockDesc" Cell "SFDataObjDesc" Cell "SimulinkDataObjDesc" Cell "DefineNamingRule" Cell "SignalNamingRule" Cell "ParamNamingRule" Cell "InlinedPrmAccess" Cell "CustomSymbolStr" Cell "CustomSymbolStrGlobalVar" Cell "CustomSymbolStrType" Cell "CustomSymbolStrField" Cell "CustomSymbolStrFcn" Cell "CustomSymbolStrBlkIO" Cell "CustomSymbolStrTmpVar" Cell "CustomSymbolStrMacro" PropName "DisabledProps" } ForceParamTrailComments off GenerateComments on IgnoreCustomStorageClasses on IgnoreTestpoints off IncHierarchyInIds off MaxIdLength 31 PreserveName off PreserveNameWithParent off ShowEliminatedStatement off IncAutoGenComments off SimulinkDataObjDesc off SFDataObjDesc off MATLABFcnDesc off IncDataTypeInIds off MangleLength 1 CustomSymbolStrGlobalVar "$R$N$M" CustomSymbolStrType "$N$R$M" CustomSymbolStrField "$N$M" CustomSymbolStrFcn "$R$N$M$F" CustomSymbolStrFcnArg "rt$I$N$M" CustomSymbolStrBlkIO "rtb_$N$M" CustomSymbolStrTmpVar "$N$M" CustomSymbolStrMacro "$R$N$M" DefineNamingRule "None" ParamNamingRule "None" SignalNamingRule "None" InsertBlockDesc off InsertPolySpaceComments off SimulinkBlockComments on MATLABSourceComments off EnableCustomComments off InlinedPrmAccess "Literals" ReqsInCode off UseSimReservedNames off } Simulink.GRTTargetCC { $BackupClass "Simulink.TargetCC" $ObjectID 11 Version "1.11.0" Array { Type "Cell" Dimension 15 Cell "IncludeMdlTerminateFcn" Cell "CombineOutputUpdateFcns" Cell "SuppressErrorStatus" Cell "ERTCustomFileBanners" Cell "GenerateSampleERTMain" Cell "GenerateTestInterfaces" Cell "ModelStepFunctionPrototypeControlCompliant" Cell "CPPClassGenCompliant" Cell "MultiInstanceERTCode" Cell "PurelyIntegerCode" Cell "PortableWordSizes" Cell "SupportComplex" Cell "SupportAbsoluteTime" Cell "SupportContinuousTime" Cell "SupportNonInlinedSFcns" PropName "DisabledProps" } TargetFcnLib "ansi_tfl_table_tmw.mat" TargetLibSuffix "" TargetPreCompLibLocation "" TargetFunctionLibrary "ANSI_C" UtilityFuncGeneration "Auto" ERTMultiwordTypeDef "System defined" FunctionExecutionProfile off CodeExecutionProfiling off ERTCodeCoverageTool "None" ERTMultiwordLength 256 MultiwordLength 2048 GenerateFullHeader on GenerateSampleERTMain off GenerateTestInterfaces off IsPILTarget off ModelReferenceCompliant on ParMdlRefBuildCompliant on CompOptLevelCompliant on IncludeMdlTerminateFcn on GeneratePreprocessorConditionals "Disable all" CombineOutputUpdateFcns off CombineSignalStateStructs off SuppressErrorStatus off ERTFirstTimeCompliant off IncludeFileDelimiter "Auto" ERTCustomFileBanners off SupportAbsoluteTime on LogVarNameModifier "rt_" MatFileLogging on MultiInstanceERTCode off SupportNonFinite on SupportComplex on PurelyIntegerCode off SupportContinuousTime on SupportNonInlinedSFcns on SupportVariableSizeSignals off EnableShiftOperators on ParenthesesLevel "Nominal" PortableWordSizes off ModelStepFunctionPrototypeControlCompliant off CPPClassGenCompliant off AutosarCompliant off UseMalloc off ExtMode off ExtModeStaticAlloc off ExtModeTesting off ExtModeStaticAllocSize 1000000 ExtModeTransport 0 ExtModeMexFile "ext_comm" ExtModeIntrfLevel "Level1" RTWCAPISignals off RTWCAPIParams off RTWCAPIStates off RTWCAPIRootIO off GenerateASAP2 off } PropName "Components" } } PropName "Components" } Name "Configuration" CurrentDlgPage "Solver" ConfigPrmDlgPosition [ 840, 485, 1720, 1115 ] } PropName "ConfigurationSets" } Simulink.ConfigSet { $PropName "ActiveConfigurationSet" $ObjectID 1 } BlockDefaults { ForegroundColor "black" BackgroundColor "white" DropShadow off NamePlacement "normal" FontName "Helvetica" FontSize 10 FontWeight "normal" FontAngle "normal" ShowName on BlockRotation 0 BlockMirror off } AnnotationDefaults { HorizontalAlignment "center" VerticalAlignment "middle" ForegroundColor "black" BackgroundColor "white" DropShadow off FontName "Helvetica" FontSize 10 FontWeight "normal" FontAngle "normal" UseDisplayTextAsClickCallback off } LineDefaults { FontName "Helvetica" FontSize 9 FontWeight "normal" FontAngle "normal" } BlockParameterDefaults { Block { BlockType Constant Value "1" VectorParams1D on SamplingMode "Sample based" OutMin "[]" OutMax "[]" OutDataTypeStr "Inherit: Inherit from 'Constant value'" LockScale off SampleTime "inf" FramePeriod "inf" PreserveConstantTs off } Block { BlockType From IconDisplay "Tag" TagVisibility "local" } Block { BlockType Gain Gain "1" Multiplication "Element-wise(K.*u)" ParamMin "[]" ParamMax "[]" ParamDataTypeStr "Inherit: Same as input" OutMin "[]" OutMax "[]" OutDataTypeStr "Inherit: Same as input" LockScale off RndMeth "Floor" SaturateOnIntegerOverflow on SampleTime "-1" } Block { BlockType Goto IconDisplay "Tag" } Block { BlockType Inport Port "1" OutputFunctionCall off OutMin "[]" OutMax "[]" OutDataTypeStr "Inherit: auto" LockScale off BusOutputAsStruct off PortDimensions "-1" VarSizeSig "Inherit" SampleTime "-1" SignalType "auto" SamplingMode "auto" LatchByDelayingOutsideSignal off LatchInputForFeedbackSignals off Interpolate on } Block { BlockType Outport Port "1" OutMin "[]" OutMax "[]" OutDataTypeStr "Inherit: auto" LockScale off BusOutputAsStruct off PortDimensions "-1" VarSizeSig "Inherit" SampleTime "-1" SignalType "auto" SamplingMode "auto" SourceOfInitialOutputValue "Dialog" OutputWhenDisabled "held" InitialOutput "[]" } Block { BlockType Scope ModelBased off TickLabels "OneTimeTick" ZoomMode "on" Grid "on" TimeRange "auto" YMin "-5" YMax "5" SaveToWorkspace off SaveName "ScopeData" LimitDataPoints on MaxDataPoints "5000" Decimation "1" SampleInput off SampleTime "-1" } Block { BlockType SubSystem ShowPortLabels "FromPortIcon" Permissions "ReadWrite" PermitHierarchicalResolution "All" TreatAsAtomicUnit off CheckFcnCallInpInsideContextMsg off SystemSampleTime "-1" RTWFcnNameOpts "Auto" RTWFileNameOpts "Auto" RTWMemSecFuncInitTerm "Inherit from model" RTWMemSecFuncExecute "Inherit from model" RTWMemSecDataConstants "Inherit from model" RTWMemSecDataInternal "Inherit from model" RTWMemSecDataParameters "Inherit from model" SimViewingDevice off DataTypeOverride "UseLocalSettings" DataTypeOverrideAppliesTo "AllNumericTypes" MinMaxOverflowLogging "UseLocalSettings" Variant off GeneratePreprocessorConditionals off } Block { BlockType Sum IconShape "rectangular" Inputs "++" CollapseMode "All dimensions" CollapseDim "1" InputSameDT on AccumDataTypeStr "Inherit: Inherit via internal rule" OutMin "[]" OutMax "[]" OutDataTypeStr "Inherit: Same as first input" LockScale off RndMeth "Floor" SaturateOnIntegerOverflow on SampleTime "-1" } Block { BlockType Terminator } } System { Name "rate_change_filters_txrx_2ch" Location [423, 300, 2331, 1338] Open off ModelBrowserVisibility off ModelBrowserWidth 200 ScreenColor "white" PaperOrientation "landscape" PaperPositionMode "auto" PaperType "usletter" PaperUnits "inches" TiledPaperMargins [0.500000, 0.500000, 0.500000, 0.500000] TiledPageScale 1 ShowPageBoundaries off ZoomFactor "199" ReportName "simulink-default.rpt" SIDHighWatermark "1112" Block { BlockType Reference Name " System Generator" SID "1" Tag "genX" Ports [] Position [317, 185, 368, 235] ShowName off AttributesFormatString "System\\nGenerator" LibraryVersion "1.2" UserDataPersistent on UserData "DataTag0" SourceBlock "xbsIndex_r4/ System Generator" SourceType "Xilinx System Generator Block" ShowPortLabels "FromPortIcon" SystemSampleTime "-1" FunctionWithSeparateData off RTWMemSecFuncInitTerm "Inherit from model" RTWMemSecFuncExecute "Inherit from model" RTWMemSecDataConstants "Inherit from model" RTWMemSecDataInternal "Inherit from model" RTWMemSecDataParameters "Inherit from model" GeneratePreprocessorConditionals off infoedit " System Generator" xilinxfamily "virtex4" part "xc4vfx100" speed "-11" package "ff1517" synthesis_tool "XST" clock_wrapper "Clock Enables" directory "./filts_v4_v11_halfDSP48" proj_type "Project Navigator" Synth_file "XST Defaults" Impl_file "ISE Defaults" testbench off simulink_period "1/2" sysclk_period "10" dcm_input_clock_period "100" incr_netlist off trim_vbits "Everywhere in SubSystem" dbl_ovrd "According to Block Masks" core_generation "According to Block Masks" run_coregen off deprecated_control off eval_field "0" has_advanced_control "0" sggui_pos "326,241,464,470" block_type "sysgen" block_version "10.1.3" sg_icon_stat "51,50,0,0,token,white,0,58c5b5770fe5f7c311f53dbc6e73f0f6,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 51 51 0 0 ],[0 0 50 50 0 ],[1 1 1 ]" ");\npatch([1.6375 16.81 27.31 37.81 48.31 27.31 12.1375 1.6375 ],[36.655 36.655 47.155 36.655 47.155 47.155 47.1" "55 36.655 ],[0.933333 0.203922 0.141176 ]);\npatch([12.1375 27.31 16.81 1.6375 12.1375 ],[26.155 26.155 36.655 3" "6.655 26.155 ],[0.698039 0.0313725 0.219608 ]);\npatch([1.6375 16.81 27.31 12.1375 1.6375 ],[15.655 15.655 26.15" "5 26.155 15.655 ],[0.933333 0.203922 0.141176 ]);\npatch([12.1375 48.31 37.81 27.31 16.81 1.6375 12.1375 ],[5.15" "5 5.155 15.655 5.155 15.655 15.655 5.155 ],[0.698039 0.0313725 0.219608 ]);\nfprintf('','COMMENT: end icon graph" "ics');\nfprintf('','COMMENT: begin icon text');\nfprintf('','COMMENT: end icon text');" } Block { BlockType SubSystem Name "Control & Coefficients" SID "2" Ports [] Position [98, 185, 149, 235] MinAlgLoopOccurrences off PropExecContextOutsideSubsystem off RTWSystemCode "Auto" FunctionWithSeparateData off Opaque off RequestExecContextInheritance off MaskHideContents off System { Name "Control & Coefficients" Location [1409, 355, 1687, 1110] Open off ModelBrowserVisibility off ModelBrowserWidth 200 ScreenColor "white" PaperOrientation "landscape" PaperPositionMode "auto" PaperType "usletter" PaperUnits "inches" TiledPaperMargins [0.500000, 0.500000, 0.500000, 0.500000] TiledPageScale 1 ShowPageBoundaries off ZoomFactor "100" Block { BlockType Reference Name "1LSB" SID "3" Ports [1, 1] Position [260, 513, 300, 527] LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Slice" SourceType "Xilinx Bit Slice Extractor Block" infoedit "Extracts a given range of bits from each input sample and presents it at the output. The output type " "is ordinarily unsigned with binary point at zero, but can be Boolean when the slice is one bit wide.

Hardware " "notes: In hardware this block costs nothing." nbits "1" boolean_output on mode "Lower Bit Location + Width" bit1 "0" base1 "MSB of Input" bit0 "0" base0 "LSB of Input" dbl_ovrd off has_advanced_control "0" sggui_pos "20,20,449,376" block_type "slice" block_version "10.1.3" sg_icon_stat "40,14,1,1,white,blue,0,1fd851a7,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 40 40 0 0 ],[0 0 14 14 0 ],[0.77 0.82 0.91" " ]);\nplot([0 40 40 0 0 ],[0 0 14 14 0 ]);\npatch([15.55 18.44 20.44 22.44 24.44 20.44 17.55 15.55 ],[9.22 9.22 11." "22 9.22 11.22 11.22 11.22 9.22 ],[1 1 1 ]);\npatch([17.55 20.44 18.44 15.55 17.55 ],[7.22 7.22 9.22 9.22 7.22 ],[0." "931 0.946 0.973 ]);\npatch([15.55 18.44 20.44 17.55 15.55 ],[5.22 5.22 7.22 7.22 5.22 ],[1 1 1 ]);\npatch([17.55 24" ".44 22.44 20.44 18.44 15.55 17.55 ],[3.22 3.22 5.22 3.22 5.22 5.22 3.22 ],[0.931 0.946 0.973 ]);\nfprintf('','COMME" "NT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\n\ncolor('black');port_label('output',1,'[a:b]');" "\nfprintf('','COMMENT: end icon text');" } Block { BlockType Reference Name "2-bit\nCounter" SID "4" Ports [1, 1] Position [395, 507, 445, 533] LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Counter" SourceType "Xilinx Counter Block" infoedit "Hardware notes: Free running counters are the least expensive in hardware. A count limited counter is" " implemented by combining a counter with a comparator." cnt_type "Free Running" cnt_to "Inf" operation "Up" start_count "0" cnt_by_val "1" arith_type "Unsigned" n_bits "2" bin_pt "0" load_pin off rst on en off explicit_period "off" period "1" dbl_ovrd off use_behavioral_HDL off implementation "Fabric" xl_use_area off xl_area "[2 2 0 2 0 0 0]" use_rpm "on" has_advanced_control "0" sggui_pos "20,20,348,619" block_type "counter" block_version "9.1.01" sg_icon_stat "50,26,1,1,white,blue,0,803eba70,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 50 50 0 0 ],[0 0 26 26 0 ],[0.77 0.82 0.91" " ]);\nplot([0 50 50 0 0 ],[0 0 26 26 0 ]);\npatch([18.325 22.66 25.66 28.66 31.66 25.66 21.325 18.325 ],[16.33 16.3" "3 19.33 16.33 19.33 19.33 19.33 16.33 ],[1 1 1 ]);\npatch([21.325 25.66 22.66 18.325 21.325 ],[13.33 13.33 16.33 16" ".33 13.33 ],[0.931 0.946 0.973 ]);\npatch([18.325 22.66 25.66 21.325 18.325 ],[10.33 10.33 13.33 13.33 10.33 ],[1 1" " 1 ]);\npatch([21.325 31.66 28.66 25.66 22.66 18.325 21.325 ],[7.33 7.33 10.33 7.33 10.33 10.33 7.33 ],[0.931 0.946" " 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port" "_label('input',1,'rst');\n\ncolor('black');disp('{\\fontsize{14}\\bf++}','texmode','on');\nfprintf('','COMMENT: end" " icon text');" } Block { BlockType Reference Name "Constant" SID "5" Ports [0, 1] Position [615, 637, 640, 663] ShowName off LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Constant" SourceType "Xilinx Constant Block Block" const "1" gui_display_data_type "Fixed-point" arith_type "Boolean" n_bits "16" bin_pt "14" preci_type "Single" exp_width "8" frac_width "24" explicit_period off period "1" dsp48_infoedit "The use of this block for DSP48 instructions is deprecated. Please use the Opmode block." equ "P=C" opselect "C" inp2 "PCIN>>17" opr "+" inp1 "P" carry "CIN" dbl_ovrd off has_advanced_control "0" sggui_pos "20,20,400,346" block_type "constant" block_version "10.1.3" sg_icon_stat "25,26,0,1,white,blue,0,1c72b5be,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 25 25 0 0 ],[0 0 26 26 0 ],[0.77 0.82 0.91" " ]);\nplot([0 25 25 0 0 ],[0 0 26 26 0 ]);\npatch([5.325 9.66 12.66 15.66 18.66 12.66 8.325 5.325 ],[16.33 16.33 19" ".33 16.33 19.33 19.33 19.33 16.33 ],[1 1 1 ]);\npatch([8.325 12.66 9.66 5.325 8.325 ],[13.33 13.33 16.33 16.33 13.3" "3 ],[0.931 0.946 0.973 ]);\npatch([5.325 9.66 12.66 8.325 5.325 ],[10.33 10.33 13.33 13.33 10.33 ],[1 1 1 ]);\npatc" "h([8.325 18.66 15.66 12.66 9.66 5.325 8.325 ],[7.33 7.33 10.33 7.33 10.33 10.33 7.33 ],[0.931 0.946 0.973 ]);\nfpri" "ntf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('output'," "1,'1');\nfprintf('','COMMENT: end icon text');" } Block { BlockType Reference Name "Convert" SID "6" Ports [1, 1] Position [610, 613, 650, 627] ShowName off LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Convert" SourceType "Xilinx Type Converter Block" infoedit "Hardware notes: rounding and saturating require hardware resources; truncating and wrapping do not." gui_display_data_type "Fixed-point" arith_type "Unsigned" n_bits "32" bin_pt "0" float_type "Single" exp_bits "8" fraction_bits "24" quantization "Truncate" overflow "Wrap" en off latency "0" dbl_ovrd off pipeline off xl_use_area off xl_area "[0 0 0 0 0 0 0]" has_advanced_control "0" sggui_pos "20,20,461,334" block_type "convert" block_version "10.1.3" sg_icon_stat "40,14,1,1,white,blue,0,edca21da,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 40 40 0 0 ],[0 0 14 14 0 ],[0.77 0.82 0.91" " ]);\nplot([0 40 40 0 0 ],[0 0 14 14 0 ]);\npatch([15.55 18.44 20.44 22.44 24.44 20.44 17.55 15.55 ],[9.22 9.22 11." "22 9.22 11.22 11.22 11.22 9.22 ],[1 1 1 ]);\npatch([17.55 20.44 18.44 15.55 17.55 ],[7.22 7.22 9.22 9.22 7.22 ],[0." "931 0.946 0.973 ]);\npatch([15.55 18.44 20.44 17.55 15.55 ],[5.22 5.22 7.22 7.22 5.22 ],[1 1 1 ]);\npatch([17.55 24" ".44 22.44 20.44 18.44 15.55 17.55 ],[3.22 3.22 5.22 3.22 5.22 5.22 3.22 ],[0.931 0.946 0.973 ]);\nfprintf('','COMME" "NT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\n\ncolor('black');port_label('output',1,'cast');\n" "fprintf('','COMMENT: end icon text');" } Block { BlockType Reference Name "Delay" SID "7" Ports [1, 1] Position [525, 400, 560, 420] LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Delay" SourceType "Xilinx Delay Block" infoedit "Hardware notes: A delay line is a chain, each link of which is an SRL16 followed by a flip-flop." rst off infoeditControl "Selection of Reset will increase slice count due to use of real FFs and instead of SRLs" en off latency "3" dbl_ovrd off reg_retiming off xl_use_area off xl_area "[1 2 0 2 0 0 0]" has_advanced_control "0" sggui_pos "20,20,348,255" block_type "delay" block_version "10.1.3" sg_icon_stat "35,20,1,1,white,blue,0,83e6bb61,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 35 35 0 0 ],[0 0 20 20 0 ],[0.77 0.82 0.91" " ]);\nplot([0 35 35 0 0 ],[0 0 20 20 0 ]);\npatch([12.55 15.44 17.44 19.44 21.44 17.44 14.55 12.55 ],[12.22 12.22 1" "4.22 12.22 14.22 14.22 14.22 12.22 ],[1 1 1 ]);\npatch([14.55 17.44 15.44 12.55 14.55 ],[10.22 10.22 12.22 12.22 10" ".22 ],[0.931 0.946 0.973 ]);\npatch([12.55 15.44 17.44 14.55 12.55 ],[8.22 8.22 10.22 10.22 8.22 ],[1 1 1 ]);\npatc" "h([14.55 21.44 19.44 17.44 15.44 12.55 14.55 ],[6.22 6.22 8.22 6.22 8.22 8.22 6.22 ],[0.931 0.946 0.973 ]);\nfprint" "f('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\n\n\ncolor('black');disp('z^{-3}','tex" "mode','on');\nfprintf('','COMMENT: end icon text');" } Block { BlockType Reference Name "Down Sample" SID "8" Ports [1, 1] Position [330, 503, 365, 537] ShowName off LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Down Sample" SourceType "Xilinx Down Sampler Block" infoedit "Hardware notes: Sample and Latency controls determine the hardware implementation. The cost in hardwa" "re of different implementations varies considerably; press Help for details." sample_ratio "2" sample_phase "Last Value of Frame (most efficient)" en off latency "1" dbl_ovrd off xl_use_area off xl_area "[1 1 0 0 0 0 0]" has_advanced_control "0" sggui_pos "20,20,360,300" block_type "dsamp" block_version "10.1.3" sg_icon_stat "35,34,1,1,white,blue,0,80c3f0cd,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 35 35 0 0 ],[0 0 34 34 0 ],[0.77 0.82 0.91" " ]);\nplot([0 35 35 0 0 ],[0 0 34 34 0 ]);\npatch([8.1 13.88 17.88 21.88 25.88 17.88 12.1 8.1 ],[21.44 21.44 25.44 " "21.44 25.44 25.44 25.44 21.44 ],[1 1 1 ]);\npatch([12.1 17.88 13.88 8.1 12.1 ],[17.44 17.44 21.44 21.44 17.44 ],[0." "931 0.946 0.973 ]);\npatch([8.1 13.88 17.88 12.1 8.1 ],[13.44 13.44 17.44 17.44 13.44 ],[1 1 1 ]);\npatch([12.1 25." "88 21.88 17.88 13.88 8.1 12.1 ],[9.44 9.44 13.44 9.44 13.44 13.44 9.44 ],[0.931 0.946 0.973 ]);\nfprintf('','COMMEN" "T: end icon graphics');\nfprintf('','COMMENT: begin icon text');\n\n\ncolor('black');disp('z^{-1}\\newline ','texmo" "de','on');\ncolor('black');disp(' \\newline{\\fontsize{14pt}\\bf\\downarrow}2','texmode','on');\nfprintf('','COMMEN" "T: end icon text');" } Block { BlockType Reference Name "From Register" SID "9" Ports [0, 1] Position [135, 492, 195, 548] AttributesFormatString "<< % >>" LibraryVersion "1.2" SourceBlock "xbsIndex_r4/From Register" SourceType "Xilinx Shared Memory Based From Register Block" infoedit "Register block that reads data to a shared memory register. Delay of one sample period." shared_memory_name "'FilterOptions'" init "0" period "1/2" ownership "Locally owned and initialized" gui_display_data_type "Fixed-point" arith_type "Unsigned" n_bits "32" bin_pt "0" preci_type "Single" dbl_ovrd off xl_use_area off xl_area "[0,0,0,0,0,0,0]" has_advanced_control "0" sggui_pos "20,20,381,234" block_type "fromreg" block_version "10.1.3" sg_icon_stat "60,56,0,1,white,blue,0,b27a07ff,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 0 ],[0 0 56 56 0 ],[0.77 0.82 0.91" " ]);\nplot([0 60 60 0 0 ],[0 0 56 56 0 ]);\npatch([12.2 23.76 31.76 39.76 47.76 31.76 20.2 12.2 ],[36.88 36.88 44.8" "8 36.88 44.88 44.88 44.88 36.88 ],[1 1 1 ]);\npatch([20.2 31.76 23.76 12.2 20.2 ],[28.88 28.88 36.88 36.88 28.88 ]," "[0.931 0.946 0.973 ]);\npatch([12.2 23.76 31.76 20.2 12.2 ],[20.88 20.88 28.88 28.88 20.88 ],[1 1 1 ]);\npatch([20." "2 47.76 39.76 31.76 23.76 12.2 20.2 ],[12.88 12.88 20.88 12.88 20.88 20.88 12.88 ],[0.931 0.946 0.973 ]);\nfprintf(" "'','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('output',1,'d" "out');\nfprintf('','COMMENT: end icon text');" } Block { BlockType Goto Name "Goto1" SID "10" Position [635, 510, 735, 530] ShowName off GotoTag "CoefAddr" TagVisibility "global" } Block { BlockType SubSystem Name "Rx Coefficients" SID "11" Ports [1] Position [660, 456, 730, 484] MinAlgLoopOccurrences off PropExecContextOutsideSubsystem off RTWSystemCode "Auto" FunctionWithSeparateData off Opaque off RequestExecContextInheritance off MaskHideContents off System { Name "Rx Coefficients" Location [457, 111, 807, 885] Open off ModelBrowserVisibility off ModelBrowserWidth 200 ScreenColor "white" PaperOrientation "landscape" PaperPositionMode "auto" PaperType "usletter" PaperUnits "inches" TiledPaperMargins [0.500000, 0.500000, 0.500000, 0.500000] TiledPageScale 1 ShowPageBoundaries off ZoomFactor "100" Block { BlockType Inport Name "Addr" SID "12" Position [25, 735, 55, 750] BlockRotation 270 IconDisplay "Port number" } Block { BlockType Goto Name "Goto" SID "13" Position [225, 705, 325, 725] ShowName off GotoTag "ROM_0" TagVisibility "global" } Block { BlockType Goto Name "Goto1" SID "14" Position [225, 660, 325, 680] ShowName off GotoTag "ROM_1" TagVisibility "global" } Block { BlockType Goto Name "Goto10" SID "15" Position [225, 255, 325, 275] ShowName off GotoTag "ROM_10" TagVisibility "global" } Block { BlockType Goto Name "Goto11" SID "16" Position [225, 210, 325, 230] ShowName off GotoTag "ROM_11" TagVisibility "global" } Block { BlockType Goto Name "Goto12" SID "17" Position [225, 165, 325, 185] ShowName off GotoTag "ROM_12" TagVisibility "global" } Block { BlockType Goto Name "Goto13" SID "18" Position [225, 120, 325, 140] ShowName off GotoTag "ROM_13" TagVisibility "global" } Block { BlockType Goto Name "Goto14" SID "19" Position [225, 75, 325, 95] ShowName off GotoTag "ROM_14" TagVisibility "global" } Block { BlockType Goto Name "Goto15" SID "20" Position [225, 30, 325, 50] ShowName off GotoTag "ROM_15" TagVisibility "global" } Block { BlockType Goto Name "Goto2" SID "21" Position [225, 615, 325, 635] ShowName off GotoTag "ROM_2" TagVisibility "global" } Block { BlockType Goto Name "Goto3" SID "22" Position [225, 570, 325, 590] ShowName off GotoTag "ROM_3" TagVisibility "global" } Block { BlockType Goto Name "Goto4" SID "23" Position [225, 525, 325, 545] ShowName off GotoTag "ROM_4" TagVisibility "global" } Block { BlockType Goto Name "Goto5" SID "24" Position [225, 480, 325, 500] ShowName off GotoTag "ROM_5" TagVisibility "global" } Block { BlockType Goto Name "Goto6" SID "25" Position [225, 435, 325, 455] ShowName off GotoTag "ROM_6" TagVisibility "global" } Block { BlockType Goto Name "Goto7" SID "26" Position [225, 390, 325, 410] ShowName off GotoTag "ROM_7" TagVisibility "global" } Block { BlockType Goto Name "Goto8" SID "27" Position [225, 345, 325, 365] ShowName off GotoTag "ROM_8" TagVisibility "global" } Block { BlockType Goto Name "Goto9" SID "28" Position [225, 300, 325, 320] ShowName off GotoTag "ROM_9" TagVisibility "global" } Block { BlockType Reference Name "ROM 0" SID "29" Ports [1, 1] Position [100, 701, 150, 729] LibraryVersion "1.2" SourceBlock "xbsIndex_r4/ROM" SourceType "Xilinx Single Port Read-Only Memory Block" depth "4" initVector "h64_rs([1:4]+0)" distributed_mem "Distributed memory" rst off init_reg "0" en off latency "1" gui_display_data_type "Fixed-point" arith_type "Signed (2's comp)" n_bits "18" bin_pt "17" preci_type "Single" exp_width "8" frac_width "24" dbl_ovrd off optimize "Area" use_rpm off xl_use_area off xl_area "[9 18 0 18 0 0 0]" has_advanced_control "0" sggui_pos "20,20,348,308" block_type "sprom" block_version "9.1.01" sg_icon_stat "50,28,1,1,white,blue,0,bbc23d60,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 50 50 0 0 ],[0 0 28 28 0 ],[0.77 0" ".82 0.91 ]);\nplot([0 50 50 0 0 ],[0 0 28 28 0 ]);\npatch([16.1 21.88 25.88 29.88 33.88 25.88 20.1 16.1 ],[18.4" "4 18.44 22.44 18.44 22.44 22.44 22.44 18.44 ],[1 1 1 ]);\npatch([20.1 25.88 21.88 16.1 20.1 ],[14.44 14.44 18.4" "4 18.44 14.44 ],[0.931 0.946 0.973 ]);\npatch([16.1 21.88 25.88 20.1 16.1 ],[10.44 10.44 14.44 14.44 10.44 ],[1" " 1 1 ]);\npatch([20.1 33.88 29.88 25.88 21.88 16.1 20.1 ],[6.44 6.44 10.44 6.44 10.44 10.44 6.44 ],[0.931 0.946" " 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');" "port_label('input',1,'addr');\n\ncolor('black');disp('z^{-1}','texmode','on');\nfprintf('','COMMENT: end icon t" "ext');" } Block { BlockType Reference Name "ROM 1" SID "30" Ports [1, 1] Position [100, 656, 150, 684] LibraryVersion "1.2" SourceBlock "xbsIndex_r4/ROM" SourceType "Xilinx Single Port Read-Only Memory Block" depth "4" initVector "h64_rs([1:4]+4)" distributed_mem "Distributed memory" rst off init_reg "0" en off latency "1" gui_display_data_type "Fixed-point" arith_type "Signed (2's comp)" n_bits "18" bin_pt "17" preci_type "Single" exp_width "8" frac_width "24" dbl_ovrd off optimize "Area" use_rpm off xl_use_area off xl_area "[9 18 0 18 0 0 0]" has_advanced_control "0" sggui_pos "20,20,348,308" block_type "sprom" block_version "9.1.01" sg_icon_stat "50,28,1,1,white,blue,0,bbc23d60,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 50 50 0 0 ],[0 0 28 28 0 ],[0.77 0" ".82 0.91 ]);\nplot([0 50 50 0 0 ],[0 0 28 28 0 ]);\npatch([16.1 21.88 25.88 29.88 33.88 25.88 20.1 16.1 ],[18.4" "4 18.44 22.44 18.44 22.44 22.44 22.44 18.44 ],[1 1 1 ]);\npatch([20.1 25.88 21.88 16.1 20.1 ],[14.44 14.44 18.4" "4 18.44 14.44 ],[0.931 0.946 0.973 ]);\npatch([16.1 21.88 25.88 20.1 16.1 ],[10.44 10.44 14.44 14.44 10.44 ],[1" " 1 1 ]);\npatch([20.1 33.88 29.88 25.88 21.88 16.1 20.1 ],[6.44 6.44 10.44 6.44 10.44 10.44 6.44 ],[0.931 0.946" " 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');" "port_label('input',1,'addr');\n\ncolor('black');disp('z^{-1}','texmode','on');\nfprintf('','COMMENT: end icon t" "ext');" } Block { BlockType Reference Name "ROM 10" SID "31" Ports [1, 1] Position [100, 251, 150, 279] LibraryVersion "1.2" SourceBlock "xbsIndex_r4/ROM" SourceType "Xilinx Single Port Read-Only Memory Block" depth "4" initVector "h64_rs([1:4]+40)" distributed_mem "Distributed memory" rst off init_reg "0" en off latency "1" gui_display_data_type "Fixed-point" arith_type "Signed (2's comp)" n_bits "18" bin_pt "17" preci_type "Single" exp_width "8" frac_width "24" dbl_ovrd off optimize "Area" use_rpm off xl_use_area off xl_area "[9 18 0 17 0 0 0]" has_advanced_control "0" sggui_pos "20,20,348,308" block_type "sprom" block_version "9.1.01" sg_icon_stat "50,28,1,1,white,blue,0,bbc23d60,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 50 50 0 0 ],[0 0 28 28 0 ],[0.77 0" ".82 0.91 ]);\nplot([0 50 50 0 0 ],[0 0 28 28 0 ]);\npatch([16.1 21.88 25.88 29.88 33.88 25.88 20.1 16.1 ],[18.4" "4 18.44 22.44 18.44 22.44 22.44 22.44 18.44 ],[1 1 1 ]);\npatch([20.1 25.88 21.88 16.1 20.1 ],[14.44 14.44 18.4" "4 18.44 14.44 ],[0.931 0.946 0.973 ]);\npatch([16.1 21.88 25.88 20.1 16.1 ],[10.44 10.44 14.44 14.44 10.44 ],[1" " 1 1 ]);\npatch([20.1 33.88 29.88 25.88 21.88 16.1 20.1 ],[6.44 6.44 10.44 6.44 10.44 10.44 6.44 ],[0.931 0.946" " 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');" "port_label('input',1,'addr');\n\ncolor('black');disp('z^{-1}','texmode','on');\nfprintf('','COMMENT: end icon t" "ext');" } Block { BlockType Reference Name "ROM 11" SID "32" Ports [1, 1] Position [100, 206, 150, 234] LibraryVersion "1.2" SourceBlock "xbsIndex_r4/ROM" SourceType "Xilinx Single Port Read-Only Memory Block" depth "4" initVector "h64_rs([1:4]+44)" distributed_mem "Distributed memory" rst off init_reg "0" en off latency "1" gui_display_data_type "Fixed-point" arith_type "Signed (2's comp)" n_bits "18" bin_pt "17" preci_type "Single" exp_width "8" frac_width "24" dbl_ovrd off optimize "Area" use_rpm off xl_use_area off xl_area "[9 18 0 17 0 0 0]" has_advanced_control "0" sggui_pos "20,20,348,308" block_type "sprom" block_version "9.1.01" sg_icon_stat "50,28,1,1,white,blue,0,bbc23d60,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 50 50 0 0 ],[0 0 28 28 0 ],[0.77 0" ".82 0.91 ]);\nplot([0 50 50 0 0 ],[0 0 28 28 0 ]);\npatch([16.1 21.88 25.88 29.88 33.88 25.88 20.1 16.1 ],[18.4" "4 18.44 22.44 18.44 22.44 22.44 22.44 18.44 ],[1 1 1 ]);\npatch([20.1 25.88 21.88 16.1 20.1 ],[14.44 14.44 18.4" "4 18.44 14.44 ],[0.931 0.946 0.973 ]);\npatch([16.1 21.88 25.88 20.1 16.1 ],[10.44 10.44 14.44 14.44 10.44 ],[1" " 1 1 ]);\npatch([20.1 33.88 29.88 25.88 21.88 16.1 20.1 ],[6.44 6.44 10.44 6.44 10.44 10.44 6.44 ],[0.931 0.946" " 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');" "port_label('input',1,'addr');\n\ncolor('black');disp('z^{-1}','texmode','on');\nfprintf('','COMMENT: end icon t" "ext');" } Block { BlockType Reference Name "ROM 12" SID "33" Ports [1, 1] Position [100, 161, 150, 189] LibraryVersion "1.2" SourceBlock "xbsIndex_r4/ROM" SourceType "Xilinx Single Port Read-Only Memory Block" depth "4" initVector "h64_rs([1:4]+48)" distributed_mem "Distributed memory" rst off init_reg "0" en off latency "1" gui_display_data_type "Fixed-point" arith_type "Signed (2's comp)" n_bits "18" bin_pt "17" preci_type "Single" exp_width "8" frac_width "24" dbl_ovrd off optimize "Area" use_rpm off xl_use_area off xl_area "[9 18 0 17 0 0 0]" has_advanced_control "0" sggui_pos "20,20,348,308" block_type "sprom" block_version "9.1.01" sg_icon_stat "50,28,1,1,white,blue,0,bbc23d60,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 50 50 0 0 ],[0 0 28 28 0 ],[0.77 0" ".82 0.91 ]);\nplot([0 50 50 0 0 ],[0 0 28 28 0 ]);\npatch([16.1 21.88 25.88 29.88 33.88 25.88 20.1 16.1 ],[18.4" "4 18.44 22.44 18.44 22.44 22.44 22.44 18.44 ],[1 1 1 ]);\npatch([20.1 25.88 21.88 16.1 20.1 ],[14.44 14.44 18.4" "4 18.44 14.44 ],[0.931 0.946 0.973 ]);\npatch([16.1 21.88 25.88 20.1 16.1 ],[10.44 10.44 14.44 14.44 10.44 ],[1" " 1 1 ]);\npatch([20.1 33.88 29.88 25.88 21.88 16.1 20.1 ],[6.44 6.44 10.44 6.44 10.44 10.44 6.44 ],[0.931 0.946" " 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');" "port_label('input',1,'addr');\n\ncolor('black');disp('z^{-1}','texmode','on');\nfprintf('','COMMENT: end icon t" "ext');" } Block { BlockType Reference Name "ROM 13" SID "34" Ports [1, 1] Position [100, 116, 150, 144] LibraryVersion "1.2" SourceBlock "xbsIndex_r4/ROM" SourceType "Xilinx Single Port Read-Only Memory Block" depth "4" initVector "h64_rs([1:4]+52)" distributed_mem "Distributed memory" rst off init_reg "0" en off latency "1" gui_display_data_type "Fixed-point" arith_type "Signed (2's comp)" n_bits "18" bin_pt "17" preci_type "Single" exp_width "8" frac_width "24" dbl_ovrd off optimize "Area" use_rpm off xl_use_area off xl_area "[9 18 0 18 0 0 0]" has_advanced_control "0" sggui_pos "20,20,348,308" block_type "sprom" block_version "9.1.01" sg_icon_stat "50,28,1,1,white,blue,0,bbc23d60,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 50 50 0 0 ],[0 0 28 28 0 ],[0.77 0" ".82 0.91 ]);\nplot([0 50 50 0 0 ],[0 0 28 28 0 ]);\npatch([16.1 21.88 25.88 29.88 33.88 25.88 20.1 16.1 ],[18.4" "4 18.44 22.44 18.44 22.44 22.44 22.44 18.44 ],[1 1 1 ]);\npatch([20.1 25.88 21.88 16.1 20.1 ],[14.44 14.44 18.4" "4 18.44 14.44 ],[0.931 0.946 0.973 ]);\npatch([16.1 21.88 25.88 20.1 16.1 ],[10.44 10.44 14.44 14.44 10.44 ],[1" " 1 1 ]);\npatch([20.1 33.88 29.88 25.88 21.88 16.1 20.1 ],[6.44 6.44 10.44 6.44 10.44 10.44 6.44 ],[0.931 0.946" " 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');" "port_label('input',1,'addr');\n\ncolor('black');disp('z^{-1}','texmode','on');\nfprintf('','COMMENT: end icon t" "ext');" } Block { BlockType Reference Name "ROM 14" SID "35" Ports [1, 1] Position [100, 71, 150, 99] LibraryVersion "1.2" SourceBlock "xbsIndex_r4/ROM" SourceType "Xilinx Single Port Read-Only Memory Block" depth "4" initVector "h64_rs([1:4]+56)" distributed_mem "Distributed memory" rst off init_reg "0" en off latency "1" gui_display_data_type "Fixed-point" arith_type "Signed (2's comp)" n_bits "18" bin_pt "17" preci_type "Single" exp_width "8" frac_width "24" dbl_ovrd off optimize "Area" use_rpm off xl_use_area off xl_area "[9 18 0 18 0 0 0]" has_advanced_control "0" sggui_pos "20,20,348,308" block_type "sprom" block_version "9.1.01" sg_icon_stat "50,28,1,1,white,blue,0,bbc23d60,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 50 50 0 0 ],[0 0 28 28 0 ],[0.77 0" ".82 0.91 ]);\nplot([0 50 50 0 0 ],[0 0 28 28 0 ]);\npatch([16.1 21.88 25.88 29.88 33.88 25.88 20.1 16.1 ],[18.4" "4 18.44 22.44 18.44 22.44 22.44 22.44 18.44 ],[1 1 1 ]);\npatch([20.1 25.88 21.88 16.1 20.1 ],[14.44 14.44 18.4" "4 18.44 14.44 ],[0.931 0.946 0.973 ]);\npatch([16.1 21.88 25.88 20.1 16.1 ],[10.44 10.44 14.44 14.44 10.44 ],[1" " 1 1 ]);\npatch([20.1 33.88 29.88 25.88 21.88 16.1 20.1 ],[6.44 6.44 10.44 6.44 10.44 10.44 6.44 ],[0.931 0.946" " 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');" "port_label('input',1,'addr');\n\ncolor('black');disp('z^{-1}','texmode','on');\nfprintf('','COMMENT: end icon t" "ext');" } Block { BlockType Reference Name "ROM 15" SID "36" Ports [1, 1] Position [100, 26, 150, 54] LibraryVersion "1.2" SourceBlock "xbsIndex_r4/ROM" SourceType "Xilinx Single Port Read-Only Memory Block" depth "4" initVector "h64_rs([1:4]+60)" distributed_mem "Distributed memory" rst off init_reg "0" en off latency "1" gui_display_data_type "Fixed-point" arith_type "Signed (2's comp)" n_bits "18" bin_pt "17" preci_type "Single" exp_width "8" frac_width "24" dbl_ovrd off optimize "Area" use_rpm off xl_use_area off xl_area "[9 18 0 18 0 0 0]" has_advanced_control "0" sggui_pos "20,20,348,308" block_type "sprom" block_version "9.1.01" sg_icon_stat "50,28,1,1,white,blue,0,bbc23d60,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 50 50 0 0 ],[0 0 28 28 0 ],[0.77 0" ".82 0.91 ]);\nplot([0 50 50 0 0 ],[0 0 28 28 0 ]);\npatch([16.1 21.88 25.88 29.88 33.88 25.88 20.1 16.1 ],[18.4" "4 18.44 22.44 18.44 22.44 22.44 22.44 18.44 ],[1 1 1 ]);\npatch([20.1 25.88 21.88 16.1 20.1 ],[14.44 14.44 18.4" "4 18.44 14.44 ],[0.931 0.946 0.973 ]);\npatch([16.1 21.88 25.88 20.1 16.1 ],[10.44 10.44 14.44 14.44 10.44 ],[1" " 1 1 ]);\npatch([20.1 33.88 29.88 25.88 21.88 16.1 20.1 ],[6.44 6.44 10.44 6.44 10.44 10.44 6.44 ],[0.931 0.946" " 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');" "port_label('input',1,'addr');\n\ncolor('black');disp('z^{-1}','texmode','on');\nfprintf('','COMMENT: end icon t" "ext');" } Block { BlockType Reference Name "ROM 2" SID "37" Ports [1, 1] Position [100, 611, 150, 639] LibraryVersion "1.2" SourceBlock "xbsIndex_r4/ROM" SourceType "Xilinx Single Port Read-Only Memory Block" depth "4" initVector "h64_rs([1:4]+8)" distributed_mem "Distributed memory" rst off init_reg "0" en off latency "1" gui_display_data_type "Fixed-point" arith_type "Signed (2's comp)" n_bits "18" bin_pt "17" preci_type "Single" exp_width "8" frac_width "24" dbl_ovrd off optimize "Area" use_rpm off xl_use_area off xl_area "[9 18 0 18 0 0 0]" has_advanced_control "0" sggui_pos "20,20,348,308" block_type "sprom" block_version "9.1.01" sg_icon_stat "50,28,1,1,white,blue,0,bbc23d60,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 50 50 0 0 ],[0 0 28 28 0 ],[0.77 0" ".82 0.91 ]);\nplot([0 50 50 0 0 ],[0 0 28 28 0 ]);\npatch([16.1 21.88 25.88 29.88 33.88 25.88 20.1 16.1 ],[18.4" "4 18.44 22.44 18.44 22.44 22.44 22.44 18.44 ],[1 1 1 ]);\npatch([20.1 25.88 21.88 16.1 20.1 ],[14.44 14.44 18.4" "4 18.44 14.44 ],[0.931 0.946 0.973 ]);\npatch([16.1 21.88 25.88 20.1 16.1 ],[10.44 10.44 14.44 14.44 10.44 ],[1" " 1 1 ]);\npatch([20.1 33.88 29.88 25.88 21.88 16.1 20.1 ],[6.44 6.44 10.44 6.44 10.44 10.44 6.44 ],[0.931 0.946" " 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');" "port_label('input',1,'addr');\n\ncolor('black');disp('z^{-1}','texmode','on');\nfprintf('','COMMENT: end icon t" "ext');" } Block { BlockType Reference Name "ROM 3" SID "38" Ports [1, 1] Position [100, 566, 150, 594] LibraryVersion "1.2" SourceBlock "xbsIndex_r4/ROM" SourceType "Xilinx Single Port Read-Only Memory Block" depth "4" initVector "h64_rs([1:4]+12)" distributed_mem "Distributed memory" rst off init_reg "0" en off latency "1" gui_display_data_type "Fixed-point" arith_type "Signed (2's comp)" n_bits "18" bin_pt "17" preci_type "Single" exp_width "8" frac_width "24" dbl_ovrd off optimize "Area" use_rpm off xl_use_area off xl_area "[9 18 0 17 0 0 0]" has_advanced_control "0" sggui_pos "20,20,348,308" block_type "sprom" block_version "9.1.01" sg_icon_stat "50,28,1,1,white,blue,0,bbc23d60,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 50 50 0 0 ],[0 0 28 28 0 ],[0.77 0" ".82 0.91 ]);\nplot([0 50 50 0 0 ],[0 0 28 28 0 ]);\npatch([16.1 21.88 25.88 29.88 33.88 25.88 20.1 16.1 ],[18.4" "4 18.44 22.44 18.44 22.44 22.44 22.44 18.44 ],[1 1 1 ]);\npatch([20.1 25.88 21.88 16.1 20.1 ],[14.44 14.44 18.4" "4 18.44 14.44 ],[0.931 0.946 0.973 ]);\npatch([16.1 21.88 25.88 20.1 16.1 ],[10.44 10.44 14.44 14.44 10.44 ],[1" " 1 1 ]);\npatch([20.1 33.88 29.88 25.88 21.88 16.1 20.1 ],[6.44 6.44 10.44 6.44 10.44 10.44 6.44 ],[0.931 0.946" " 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');" "port_label('input',1,'addr');\n\ncolor('black');disp('z^{-1}','texmode','on');\nfprintf('','COMMENT: end icon t" "ext');" } Block { BlockType Reference Name "ROM 4" SID "39" Ports [1, 1] Position [100, 521, 150, 549] LibraryVersion "1.2" SourceBlock "xbsIndex_r4/ROM" SourceType "Xilinx Single Port Read-Only Memory Block" depth "4" initVector "h64_rs([1:4]+16)" distributed_mem "Distributed memory" rst off init_reg "0" en off latency "1" gui_display_data_type "Fixed-point" arith_type "Signed (2's comp)" n_bits "18" bin_pt "17" preci_type "Single" exp_width "8" frac_width "24" dbl_ovrd off optimize "Area" use_rpm off xl_use_area off xl_area "[9 18 0 17 0 0 0]" has_advanced_control "0" sggui_pos "20,20,348,308" block_type "sprom" block_version "9.1.01" sg_icon_stat "50,28,1,1,white,blue,0,bbc23d60,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 50 50 0 0 ],[0 0 28 28 0 ],[0.77 0" ".82 0.91 ]);\nplot([0 50 50 0 0 ],[0 0 28 28 0 ]);\npatch([16.1 21.88 25.88 29.88 33.88 25.88 20.1 16.1 ],[18.4" "4 18.44 22.44 18.44 22.44 22.44 22.44 18.44 ],[1 1 1 ]);\npatch([20.1 25.88 21.88 16.1 20.1 ],[14.44 14.44 18.4" "4 18.44 14.44 ],[0.931 0.946 0.973 ]);\npatch([16.1 21.88 25.88 20.1 16.1 ],[10.44 10.44 14.44 14.44 10.44 ],[1" " 1 1 ]);\npatch([20.1 33.88 29.88 25.88 21.88 16.1 20.1 ],[6.44 6.44 10.44 6.44 10.44 10.44 6.44 ],[0.931 0.946" " 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');" "port_label('input',1,'addr');\n\ncolor('black');disp('z^{-1}','texmode','on');\nfprintf('','COMMENT: end icon t" "ext');" } Block { BlockType Reference Name "ROM 5" SID "40" Ports [1, 1] Position [100, 476, 150, 504] LibraryVersion "1.2" SourceBlock "xbsIndex_r4/ROM" SourceType "Xilinx Single Port Read-Only Memory Block" depth "4" initVector "h64_rs([1:4]+20)" distributed_mem "Distributed memory" rst off init_reg "0" en off latency "1" gui_display_data_type "Fixed-point" arith_type "Signed (2's comp)" n_bits "18" bin_pt "17" preci_type "Single" exp_width "8" frac_width "24" dbl_ovrd off optimize "Area" use_rpm off xl_use_area off xl_area "[9 18 0 17 0 0 0]" has_advanced_control "0" sggui_pos "20,20,348,308" block_type "sprom" block_version "9.1.01" sg_icon_stat "50,28,1,1,white,blue,0,bbc23d60,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 50 50 0 0 ],[0 0 28 28 0 ],[0.77 0" ".82 0.91 ]);\nplot([0 50 50 0 0 ],[0 0 28 28 0 ]);\npatch([16.1 21.88 25.88 29.88 33.88 25.88 20.1 16.1 ],[18.4" "4 18.44 22.44 18.44 22.44 22.44 22.44 18.44 ],[1 1 1 ]);\npatch([20.1 25.88 21.88 16.1 20.1 ],[14.44 14.44 18.4" "4 18.44 14.44 ],[0.931 0.946 0.973 ]);\npatch([16.1 21.88 25.88 20.1 16.1 ],[10.44 10.44 14.44 14.44 10.44 ],[1" " 1 1 ]);\npatch([20.1 33.88 29.88 25.88 21.88 16.1 20.1 ],[6.44 6.44 10.44 6.44 10.44 10.44 6.44 ],[0.931 0.946" " 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');" "port_label('input',1,'addr');\n\ncolor('black');disp('z^{-1}','texmode','on');\nfprintf('','COMMENT: end icon t" "ext');" } Block { BlockType Reference Name "ROM 6" SID "41" Ports [1, 1] Position [100, 431, 150, 459] LibraryVersion "1.2" SourceBlock "xbsIndex_r4/ROM" SourceType "Xilinx Single Port Read-Only Memory Block" depth "4" initVector "h64_rs([1:4]+24)" distributed_mem "Distributed memory" rst off init_reg "0" en off latency "1" gui_display_data_type "Fixed-point" arith_type "Signed (2's comp)" n_bits "18" bin_pt "17" preci_type "Single" exp_width "8" frac_width "24" dbl_ovrd off optimize "Area" use_rpm off xl_use_area off xl_area "[9 18 0 18 0 0 0]" has_advanced_control "0" sggui_pos "20,20,348,308" block_type "sprom" block_version "9.1.01" sg_icon_stat "50,28,1,1,white,blue,0,bbc23d60,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 50 50 0 0 ],[0 0 28 28 0 ],[0.77 0" ".82 0.91 ]);\nplot([0 50 50 0 0 ],[0 0 28 28 0 ]);\npatch([16.1 21.88 25.88 29.88 33.88 25.88 20.1 16.1 ],[18.4" "4 18.44 22.44 18.44 22.44 22.44 22.44 18.44 ],[1 1 1 ]);\npatch([20.1 25.88 21.88 16.1 20.1 ],[14.44 14.44 18.4" "4 18.44 14.44 ],[0.931 0.946 0.973 ]);\npatch([16.1 21.88 25.88 20.1 16.1 ],[10.44 10.44 14.44 14.44 10.44 ],[1" " 1 1 ]);\npatch([20.1 33.88 29.88 25.88 21.88 16.1 20.1 ],[6.44 6.44 10.44 6.44 10.44 10.44 6.44 ],[0.931 0.946" " 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');" "port_label('input',1,'addr');\n\ncolor('black');disp('z^{-1}','texmode','on');\nfprintf('','COMMENT: end icon t" "ext');" } Block { BlockType Reference Name "ROM 7" SID "42" Ports [1, 1] Position [100, 386, 150, 414] LibraryVersion "1.2" SourceBlock "xbsIndex_r4/ROM" SourceType "Xilinx Single Port Read-Only Memory Block" depth "4" initVector "h64_rs([1:4]+28)" distributed_mem "Distributed memory" rst off init_reg "0" en off latency "1" gui_display_data_type "Fixed-point" arith_type "Signed (2's comp)" n_bits "18" bin_pt "17" preci_type "Single" exp_width "8" frac_width "24" dbl_ovrd off optimize "Area" use_rpm off xl_use_area off xl_area "[9 18 0 16 0 0 0]" has_advanced_control "0" sggui_pos "20,20,348,308" block_type "sprom" block_version "9.1.01" sg_icon_stat "50,28,1,1,white,blue,0,bbc23d60,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 50 50 0 0 ],[0 0 28 28 0 ],[0.77 0" ".82 0.91 ]);\nplot([0 50 50 0 0 ],[0 0 28 28 0 ]);\npatch([16.1 21.88 25.88 29.88 33.88 25.88 20.1 16.1 ],[18.4" "4 18.44 22.44 18.44 22.44 22.44 22.44 18.44 ],[1 1 1 ]);\npatch([20.1 25.88 21.88 16.1 20.1 ],[14.44 14.44 18.4" "4 18.44 14.44 ],[0.931 0.946 0.973 ]);\npatch([16.1 21.88 25.88 20.1 16.1 ],[10.44 10.44 14.44 14.44 10.44 ],[1" " 1 1 ]);\npatch([20.1 33.88 29.88 25.88 21.88 16.1 20.1 ],[6.44 6.44 10.44 6.44 10.44 10.44 6.44 ],[0.931 0.946" " 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');" "port_label('input',1,'addr');\n\ncolor('black');disp('z^{-1}','texmode','on');\nfprintf('','COMMENT: end icon t" "ext');" } Block { BlockType Reference Name "ROM 8" SID "43" Ports [1, 1] Position [100, 341, 150, 369] LibraryVersion "1.2" SourceBlock "xbsIndex_r4/ROM" SourceType "Xilinx Single Port Read-Only Memory Block" depth "4" initVector "h64_rs([1:4]+32)" distributed_mem "Distributed memory" rst off init_reg "0" en off latency "1" gui_display_data_type "Fixed-point" arith_type "Signed (2's comp)" n_bits "18" bin_pt "17" preci_type "Single" exp_width "8" frac_width "24" dbl_ovrd off optimize "Area" use_rpm off xl_use_area off xl_area "[9 18 0 16 0 0 0]" has_advanced_control "0" sggui_pos "20,20,348,308" block_type "sprom" block_version "9.1.01" sg_icon_stat "50,28,1,1,white,blue,0,bbc23d60,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 50 50 0 0 ],[0 0 28 28 0 ],[0.77 0" ".82 0.91 ]);\nplot([0 50 50 0 0 ],[0 0 28 28 0 ]);\npatch([16.1 21.88 25.88 29.88 33.88 25.88 20.1 16.1 ],[18.4" "4 18.44 22.44 18.44 22.44 22.44 22.44 18.44 ],[1 1 1 ]);\npatch([20.1 25.88 21.88 16.1 20.1 ],[14.44 14.44 18.4" "4 18.44 14.44 ],[0.931 0.946 0.973 ]);\npatch([16.1 21.88 25.88 20.1 16.1 ],[10.44 10.44 14.44 14.44 10.44 ],[1" " 1 1 ]);\npatch([20.1 33.88 29.88 25.88 21.88 16.1 20.1 ],[6.44 6.44 10.44 6.44 10.44 10.44 6.44 ],[0.931 0.946" " 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');" "port_label('input',1,'addr');\n\ncolor('black');disp('z^{-1}','texmode','on');\nfprintf('','COMMENT: end icon t" "ext');" } Block { BlockType Reference Name "ROM 9" SID "44" Ports [1, 1] Position [100, 296, 150, 324] LibraryVersion "1.2" SourceBlock "xbsIndex_r4/ROM" SourceType "Xilinx Single Port Read-Only Memory Block" depth "4" initVector "h64_rs([1:4]+36)" distributed_mem "Distributed memory" rst off init_reg "0" en off latency "1" gui_display_data_type "Fixed-point" arith_type "Signed (2's comp)" n_bits "18" bin_pt "17" preci_type "Single" exp_width "8" frac_width "24" dbl_ovrd off optimize "Area" use_rpm off xl_use_area off xl_area "[9 18 0 18 0 0 0]" has_advanced_control "0" sggui_pos "20,20,348,308" block_type "sprom" block_version "9.1.01" sg_icon_stat "50,28,1,1,white,blue,0,bbc23d60,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 50 50 0 0 ],[0 0 28 28 0 ],[0.77 0" ".82 0.91 ]);\nplot([0 50 50 0 0 ],[0 0 28 28 0 ]);\npatch([16.1 21.88 25.88 29.88 33.88 25.88 20.1 16.1 ],[18.4" "4 18.44 22.44 18.44 22.44 22.44 22.44 18.44 ],[1 1 1 ]);\npatch([20.1 25.88 21.88 16.1 20.1 ],[14.44 14.44 18.4" "4 18.44 14.44 ],[0.931 0.946 0.973 ]);\npatch([16.1 21.88 25.88 20.1 16.1 ],[10.44 10.44 14.44 14.44 10.44 ],[1" " 1 1 ]);\npatch([20.1 33.88 29.88 25.88 21.88 16.1 20.1 ],[6.44 6.44 10.44 6.44 10.44 10.44 6.44 ],[0.931 0.946" " 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');" "port_label('input',1,'addr');\n\ncolor('black');disp('z^{-1}','texmode','on');\nfprintf('','COMMENT: end icon t" "ext');" } Line { SrcBlock "Addr" SrcPort 1 Points [0, -15] Branch { DstBlock "ROM 0" DstPort 1 } Branch { Points [0, -45] Branch { Points [0, -45] Branch { Points [0, -45] Branch { Points [0, -45] Branch { Points [0, -45] Branch { Points [0, -45] Branch { Points [0, -45] Branch { Points [0, -45] Branch { Points [0, -45] Branch { Points [0, -45] Branch { Points [0, -45] Branch { Points [0, -45] Branch { Points [0, -45] Branch { Points [0, -45] Branch { DstBlock "ROM 14" DstPort 1 } Branch { Points [0, -45] DstBlock "ROM 15" DstPort 1 } } Branch { DstBlock "ROM 13" DstPort 1 } } Branch { DstBlock "ROM 12" DstPort 1 } } Branch { DstBlock "ROM 11" DstPort 1 } } Branch { DstBlock "ROM 10" DstPort 1 } } Branch { DstBlock "ROM 9" DstPort 1 } } Branch { DstBlock "ROM 8" DstPort 1 } } Branch { DstBlock "ROM 7" DstPort 1 } } Branch { DstBlock "ROM 6" DstPort 1 } } Branch { DstBlock "ROM 5" DstPort 1 } } Branch { DstBlock "ROM 4" DstPort 1 } } Branch { DstBlock "ROM 3" DstPort 1 } } Branch { DstBlock "ROM 2" DstPort 1 } } Branch { DstBlock "ROM 1" DstPort 1 } } } Line { SrcBlock "ROM 15" SrcPort 1 DstBlock "Goto15" DstPort 1 } Line { SrcBlock "ROM 14" SrcPort 1 DstBlock "Goto14" DstPort 1 } Line { SrcBlock "ROM 13" SrcPort 1 DstBlock "Goto13" DstPort 1 } Line { SrcBlock "ROM 12" SrcPort 1 DstBlock "Goto12" DstPort 1 } Line { SrcBlock "ROM 11" SrcPort 1 DstBlock "Goto11" DstPort 1 } Line { SrcBlock "ROM 10" SrcPort 1 DstBlock "Goto10" DstPort 1 } Line { SrcBlock "ROM 9" SrcPort 1 DstBlock "Goto9" DstPort 1 } Line { SrcBlock "ROM 8" SrcPort 1 DstBlock "Goto8" DstPort 1 } Line { SrcBlock "ROM 7" SrcPort 1 DstBlock "Goto7" DstPort 1 } Line { SrcBlock "ROM 6" SrcPort 1 DstBlock "Goto6" DstPort 1 } Line { SrcBlock "ROM 5" SrcPort 1 DstBlock "Goto5" DstPort 1 } Line { SrcBlock "ROM 4" SrcPort 1 DstBlock "Goto4" DstPort 1 } Line { SrcBlock "ROM 3" SrcPort 1 DstBlock "Goto3" DstPort 1 } Line { SrcBlock "ROM 2" SrcPort 1 DstBlock "Goto2" DstPort 1 } Line { SrcBlock "ROM 1" SrcPort 1 DstBlock "Goto1" DstPort 1 } Line { SrcBlock "ROM 0" SrcPort 1 DstBlock "Goto" DstPort 1 } } } Block { BlockType Terminator Name "Terminator" SID "45" Position [875, 625, 895, 645] ShowName off } Block { BlockType Reference Name "To Register" SID "46" Ports [2, 1] Position [760, 607, 820, 663] AttributesFormatString "<< % >>" LibraryVersion "1.2" SourceBlock "xbsIndex_r4/To Register" SourceType "Xilinx Shared Memory Based To Register Block" infoedit "Register block that writes data to a shared memory register. Delay of one sample period." shared_memory_name "'CoefCounter'" init "0" ownership "Locally owned and initialized" explicit_data_type on gui_display_data_type "Fixed-point" arith_type "Unsigned" n_bits "32" bin_pt "0" preci_type "Single" dbl_ovrd off xl_use_area off xl_area "[0,0,0,0,0,0,0]" has_advanced_control "0" sggui_pos "20,20,381,266" block_type "toreg" block_version "10.1.3" sg_icon_stat "60,56,2,1,white,blue,0,10ab453e,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 0 ],[0 0 56 56 0 ],[0.77 0.82 0.91" " ]);\nplot([0 60 60 0 0 ],[0 0 56 56 0 ]);\npatch([12.2 23.76 31.76 39.76 47.76 31.76 20.2 12.2 ],[36.88 36.88 44.8" "8 36.88 44.88 44.88 44.88 36.88 ],[1 1 1 ]);\npatch([20.2 31.76 23.76 12.2 20.2 ],[28.88 28.88 36.88 36.88 28.88 ]," "[0.931 0.946 0.973 ]);\npatch([12.2 23.76 31.76 20.2 12.2 ],[20.88 20.88 28.88 28.88 20.88 ],[1 1 1 ]);\npatch([20." "2 47.76 39.76 31.76 23.76 12.2 20.2 ],[12.88 12.88 20.88 12.88 20.88 20.88 12.88 ],[0.931 0.946 0.973 ]);\nfprintf(" "'','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1,'di" "n');\ncolor('black');port_label('input',2,'en');\ncolor('black');port_label('output',1,'dout');\nfprintf('','COMMEN" "T: end icon text');" } Block { BlockType SubSystem Name "Tx Coefficients" SID "47" Ports [1] Position [660, 396, 730, 424] MinAlgLoopOccurrences off PropExecContextOutsideSubsystem off RTWSystemCode "Auto" FunctionWithSeparateData off Opaque off RequestExecContextInheritance off MaskHideContents off System { Name "Tx Coefficients" Location [2, 74, 1910, 1112] Open off ModelBrowserVisibility off ModelBrowserWidth 200 ScreenColor "white" PaperOrientation "landscape" PaperPositionMode "auto" PaperType "usletter" PaperUnits "inches" TiledPaperMargins [0.500000, 0.500000, 0.500000, 0.500000] TiledPageScale 1 ShowPageBoundaries off ZoomFactor "100" Block { BlockType Inport Name "Addr" SID "48" Position [25, 735, 55, 750] BlockRotation 270 IconDisplay "Port number" } Block { BlockType Goto Name "Goto" SID "49" Position [225, 705, 325, 725] ShowName off GotoTag "TX_ROM_0" TagVisibility "global" } Block { BlockType Goto Name "Goto1" SID "50" Position [225, 660, 325, 680] ShowName off GotoTag "TX_ROM_1" TagVisibility "global" } Block { BlockType Goto Name "Goto2" SID "51" Position [225, 615, 325, 635] ShowName off GotoTag "TX_ROM_2" TagVisibility "global" } Block { BlockType Goto Name "Goto3" SID "52" Position [225, 570, 325, 590] ShowName off GotoTag "TX_ROM_3" TagVisibility "global" } Block { BlockType Goto Name "Goto4" SID "53" Position [225, 525, 325, 545] ShowName off GotoTag "TX_ROM_4" TagVisibility "global" } Block { BlockType Goto Name "Goto5" SID "54" Position [225, 480, 325, 500] ShowName off GotoTag "TX_ROM_5" TagVisibility "global" } Block { BlockType Goto Name "Goto6" SID "55" Position [225, 435, 325, 455] ShowName off GotoTag "TX_ROM_6" TagVisibility "global" } Block { BlockType Goto Name "Goto7" SID "56" Position [225, 390, 325, 410] ShowName off GotoTag "TX_ROM_7" TagVisibility "global" } Block { BlockType Reference Name "ROM 0" SID "57" Ports [1, 1] Position [100, 701, 150, 729] LibraryVersion "1.2" SourceBlock "xbsIndex_r4/ROM" SourceType "Xilinx Single Port Read-Only Memory Block" depth "4" initVector "h32_rs([1:4]+0)" distributed_mem "Distributed memory" rst off init_reg "0" en off latency "1" gui_display_data_type "Fixed-point" arith_type "Signed (2's comp)" n_bits "18" bin_pt "17" preci_type "Single" exp_width "8" frac_width "24" dbl_ovrd off optimize "Area" use_rpm off xl_use_area off xl_area "[9 18 0 18 0 0 0]" has_advanced_control "0" sggui_pos "20,20,348,308" block_type "sprom" block_version "9.1.01" sg_icon_stat "50,28,1,1,white,blue,0,bbc23d60,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 50 50 0 0 ],[0 0 28 28 0 ],[0.77 0" ".82 0.91 ]);\nplot([0 50 50 0 0 ],[0 0 28 28 0 ]);\npatch([16.1 21.88 25.88 29.88 33.88 25.88 20.1 16.1 ],[18.4" "4 18.44 22.44 18.44 22.44 22.44 22.44 18.44 ],[1 1 1 ]);\npatch([20.1 25.88 21.88 16.1 20.1 ],[14.44 14.44 18.4" "4 18.44 14.44 ],[0.931 0.946 0.973 ]);\npatch([16.1 21.88 25.88 20.1 16.1 ],[10.44 10.44 14.44 14.44 10.44 ],[1" " 1 1 ]);\npatch([20.1 33.88 29.88 25.88 21.88 16.1 20.1 ],[6.44 6.44 10.44 6.44 10.44 10.44 6.44 ],[0.931 0.946" " 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');" "port_label('input',1,'addr');\n\ncolor('black');disp('z^{-1}','texmode','on');\nfprintf('','COMMENT: end icon t" "ext');" } Block { BlockType Reference Name "ROM 1" SID "58" Ports [1, 1] Position [100, 656, 150, 684] LibraryVersion "1.2" SourceBlock "xbsIndex_r4/ROM" SourceType "Xilinx Single Port Read-Only Memory Block" depth "4" initVector "h32_rs([1:4]+4)" distributed_mem "Distributed memory" rst off init_reg "0" en off latency "1" gui_display_data_type "Fixed-point" arith_type "Signed (2's comp)" n_bits "18" bin_pt "17" preci_type "Single" exp_width "8" frac_width "24" dbl_ovrd off optimize "Area" use_rpm off xl_use_area off xl_area "[9 18 0 14 0 0 0]" has_advanced_control "0" sggui_pos "20,20,348,308" block_type "sprom" block_version "9.1.01" sg_icon_stat "50,28,1,1,white,blue,0,bbc23d60,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 50 50 0 0 ],[0 0 28 28 0 ],[0.77 0" ".82 0.91 ]);\nplot([0 50 50 0 0 ],[0 0 28 28 0 ]);\npatch([16.1 21.88 25.88 29.88 33.88 25.88 20.1 16.1 ],[18.4" "4 18.44 22.44 18.44 22.44 22.44 22.44 18.44 ],[1 1 1 ]);\npatch([20.1 25.88 21.88 16.1 20.1 ],[14.44 14.44 18.4" "4 18.44 14.44 ],[0.931 0.946 0.973 ]);\npatch([16.1 21.88 25.88 20.1 16.1 ],[10.44 10.44 14.44 14.44 10.44 ],[1" " 1 1 ]);\npatch([20.1 33.88 29.88 25.88 21.88 16.1 20.1 ],[6.44 6.44 10.44 6.44 10.44 10.44 6.44 ],[0.931 0.946" " 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');" "port_label('input',1,'addr');\n\ncolor('black');disp('z^{-1}','texmode','on');\nfprintf('','COMMENT: end icon t" "ext');" } Block { BlockType Reference Name "ROM 2" SID "59" Ports [1, 1] Position [100, 611, 150, 639] LibraryVersion "1.2" SourceBlock "xbsIndex_r4/ROM" SourceType "Xilinx Single Port Read-Only Memory Block" depth "4" initVector "h32_rs([1:4]+8)" distributed_mem "Distributed memory" rst off init_reg "0" en off latency "1" gui_display_data_type "Fixed-point" arith_type "Signed (2's comp)" n_bits "18" bin_pt "17" preci_type "Single" exp_width "8" frac_width "24" dbl_ovrd off optimize "Area" use_rpm off xl_use_area off xl_area "[9 18 0 17 0 0 0]" has_advanced_control "0" sggui_pos "20,20,348,308" block_type "sprom" block_version "9.1.01" sg_icon_stat "50,28,1,1,white,blue,0,bbc23d60,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 50 50 0 0 ],[0 0 28 28 0 ],[0.77 0" ".82 0.91 ]);\nplot([0 50 50 0 0 ],[0 0 28 28 0 ]);\npatch([16.1 21.88 25.88 29.88 33.88 25.88 20.1 16.1 ],[18.4" "4 18.44 22.44 18.44 22.44 22.44 22.44 18.44 ],[1 1 1 ]);\npatch([20.1 25.88 21.88 16.1 20.1 ],[14.44 14.44 18.4" "4 18.44 14.44 ],[0.931 0.946 0.973 ]);\npatch([16.1 21.88 25.88 20.1 16.1 ],[10.44 10.44 14.44 14.44 10.44 ],[1" " 1 1 ]);\npatch([20.1 33.88 29.88 25.88 21.88 16.1 20.1 ],[6.44 6.44 10.44 6.44 10.44 10.44 6.44 ],[0.931 0.946" " 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');" "port_label('input',1,'addr');\n\ncolor('black');disp('z^{-1}','texmode','on');\nfprintf('','COMMENT: end icon t" "ext');" } Block { BlockType Reference Name "ROM 3" SID "60" Ports [1, 1] Position [100, 566, 150, 594] LibraryVersion "1.2" SourceBlock "xbsIndex_r4/ROM" SourceType "Xilinx Single Port Read-Only Memory Block" depth "4" initVector "h32_rs([1:4]+12)" distributed_mem "Distributed memory" rst off init_reg "0" en off latency "1" gui_display_data_type "Fixed-point" arith_type "Signed (2's comp)" n_bits "18" bin_pt "17" preci_type "Single" exp_width "8" frac_width "24" dbl_ovrd off optimize "Area" use_rpm off xl_use_area off xl_area "[9 18 0 16 0 0 0]" has_advanced_control "0" sggui_pos "20,20,348,308" block_type "sprom" block_version "9.1.01" sg_icon_stat "50,28,1,1,white,blue,0,bbc23d60,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 50 50 0 0 ],[0 0 28 28 0 ],[0.77 0" ".82 0.91 ]);\nplot([0 50 50 0 0 ],[0 0 28 28 0 ]);\npatch([16.1 21.88 25.88 29.88 33.88 25.88 20.1 16.1 ],[18.4" "4 18.44 22.44 18.44 22.44 22.44 22.44 18.44 ],[1 1 1 ]);\npatch([20.1 25.88 21.88 16.1 20.1 ],[14.44 14.44 18.4" "4 18.44 14.44 ],[0.931 0.946 0.973 ]);\npatch([16.1 21.88 25.88 20.1 16.1 ],[10.44 10.44 14.44 14.44 10.44 ],[1" " 1 1 ]);\npatch([20.1 33.88 29.88 25.88 21.88 16.1 20.1 ],[6.44 6.44 10.44 6.44 10.44 10.44 6.44 ],[0.931 0.946" " 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');" "port_label('input',1,'addr');\n\ncolor('black');disp('z^{-1}','texmode','on');\nfprintf('','COMMENT: end icon t" "ext');" } Block { BlockType Reference Name "ROM 4" SID "61" Ports [1, 1] Position [100, 521, 150, 549] LibraryVersion "1.2" SourceBlock "xbsIndex_r4/ROM" SourceType "Xilinx Single Port Read-Only Memory Block" depth "4" initVector "h32_rs([1:4]+16)" distributed_mem "Distributed memory" rst off init_reg "0" en off latency "1" gui_display_data_type "Fixed-point" arith_type "Signed (2's comp)" n_bits "18" bin_pt "17" preci_type "Single" exp_width "8" frac_width "24" dbl_ovrd off optimize "Area" use_rpm off xl_use_area off xl_area "[9 18 0 16 0 0 0]" has_advanced_control "0" sggui_pos "20,20,348,308" block_type "sprom" block_version "9.1.01" sg_icon_stat "50,28,1,1,white,blue,0,bbc23d60,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 50 50 0 0 ],[0 0 28 28 0 ],[0.77 0" ".82 0.91 ]);\nplot([0 50 50 0 0 ],[0 0 28 28 0 ]);\npatch([16.1 21.88 25.88 29.88 33.88 25.88 20.1 16.1 ],[18.4" "4 18.44 22.44 18.44 22.44 22.44 22.44 18.44 ],[1 1 1 ]);\npatch([20.1 25.88 21.88 16.1 20.1 ],[14.44 14.44 18.4" "4 18.44 14.44 ],[0.931 0.946 0.973 ]);\npatch([16.1 21.88 25.88 20.1 16.1 ],[10.44 10.44 14.44 14.44 10.44 ],[1" " 1 1 ]);\npatch([20.1 33.88 29.88 25.88 21.88 16.1 20.1 ],[6.44 6.44 10.44 6.44 10.44 10.44 6.44 ],[0.931 0.946" " 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');" "port_label('input',1,'addr');\n\ncolor('black');disp('z^{-1}','texmode','on');\nfprintf('','COMMENT: end icon t" "ext');" } Block { BlockType Reference Name "ROM 5" SID "62" Ports [1, 1] Position [100, 476, 150, 504] LibraryVersion "1.2" SourceBlock "xbsIndex_r4/ROM" SourceType "Xilinx Single Port Read-Only Memory Block" depth "4" initVector "h32_rs([1:4]+20)" distributed_mem "Distributed memory" rst off init_reg "0" en off latency "1" gui_display_data_type "Fixed-point" arith_type "Signed (2's comp)" n_bits "18" bin_pt "17" preci_type "Single" exp_width "8" frac_width "24" dbl_ovrd off optimize "Area" use_rpm off xl_use_area off xl_area "[9 18 0 17 0 0 0]" has_advanced_control "0" sggui_pos "20,20,348,308" block_type "sprom" block_version "9.1.01" sg_icon_stat "50,28,1,1,white,blue,0,bbc23d60,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 50 50 0 0 ],[0 0 28 28 0 ],[0.77 0" ".82 0.91 ]);\nplot([0 50 50 0 0 ],[0 0 28 28 0 ]);\npatch([16.1 21.88 25.88 29.88 33.88 25.88 20.1 16.1 ],[18.4" "4 18.44 22.44 18.44 22.44 22.44 22.44 18.44 ],[1 1 1 ]);\npatch([20.1 25.88 21.88 16.1 20.1 ],[14.44 14.44 18.4" "4 18.44 14.44 ],[0.931 0.946 0.973 ]);\npatch([16.1 21.88 25.88 20.1 16.1 ],[10.44 10.44 14.44 14.44 10.44 ],[1" " 1 1 ]);\npatch([20.1 33.88 29.88 25.88 21.88 16.1 20.1 ],[6.44 6.44 10.44 6.44 10.44 10.44 6.44 ],[0.931 0.946" " 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');" "port_label('input',1,'addr');\n\ncolor('black');disp('z^{-1}','texmode','on');\nfprintf('','COMMENT: end icon t" "ext');" } Block { BlockType Reference Name "ROM 6" SID "63" Ports [1, 1] Position [100, 431, 150, 459] LibraryVersion "1.2" SourceBlock "xbsIndex_r4/ROM" SourceType "Xilinx Single Port Read-Only Memory Block" depth "4" initVector "h32_rs([1:4]+24)" distributed_mem "Distributed memory" rst off init_reg "0" en off latency "1" gui_display_data_type "Fixed-point" arith_type "Signed (2's comp)" n_bits "18" bin_pt "17" preci_type "Single" exp_width "8" frac_width "24" dbl_ovrd off optimize "Area" use_rpm off xl_use_area off xl_area "[9 18 0 14 0 0 0]" has_advanced_control "0" sggui_pos "20,20,348,308" block_type "sprom" block_version "9.1.01" sg_icon_stat "50,28,1,1,white,blue,0,bbc23d60,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 50 50 0 0 ],[0 0 28 28 0 ],[0.77 0" ".82 0.91 ]);\nplot([0 50 50 0 0 ],[0 0 28 28 0 ]);\npatch([16.1 21.88 25.88 29.88 33.88 25.88 20.1 16.1 ],[18.4" "4 18.44 22.44 18.44 22.44 22.44 22.44 18.44 ],[1 1 1 ]);\npatch([20.1 25.88 21.88 16.1 20.1 ],[14.44 14.44 18.4" "4 18.44 14.44 ],[0.931 0.946 0.973 ]);\npatch([16.1 21.88 25.88 20.1 16.1 ],[10.44 10.44 14.44 14.44 10.44 ],[1" " 1 1 ]);\npatch([20.1 33.88 29.88 25.88 21.88 16.1 20.1 ],[6.44 6.44 10.44 6.44 10.44 10.44 6.44 ],[0.931 0.946" " 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');" "port_label('input',1,'addr');\n\ncolor('black');disp('z^{-1}','texmode','on');\nfprintf('','COMMENT: end icon t" "ext');" } Block { BlockType Reference Name "ROM 7" SID "64" Ports [1, 1] Position [100, 386, 150, 414] LibraryVersion "1.2" SourceBlock "xbsIndex_r4/ROM" SourceType "Xilinx Single Port Read-Only Memory Block" depth "4" initVector "h32_rs([1:4]+28)" distributed_mem "Distributed memory" rst off init_reg "0" en off latency "1" gui_display_data_type "Fixed-point" arith_type "Signed (2's comp)" n_bits "18" bin_pt "17" preci_type "Single" exp_width "8" frac_width "24" dbl_ovrd off optimize "Area" use_rpm off xl_use_area off xl_area "[9 18 0 18 0 0 0]" has_advanced_control "0" sggui_pos "20,20,348,308" block_type "sprom" block_version "9.1.01" sg_icon_stat "50,28,1,1,white,blue,0,bbc23d60,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 50 50 0 0 ],[0 0 28 28 0 ],[0.77 0" ".82 0.91 ]);\nplot([0 50 50 0 0 ],[0 0 28 28 0 ]);\npatch([16.1 21.88 25.88 29.88 33.88 25.88 20.1 16.1 ],[18.4" "4 18.44 22.44 18.44 22.44 22.44 22.44 18.44 ],[1 1 1 ]);\npatch([20.1 25.88 21.88 16.1 20.1 ],[14.44 14.44 18.4" "4 18.44 14.44 ],[0.931 0.946 0.973 ]);\npatch([16.1 21.88 25.88 20.1 16.1 ],[10.44 10.44 14.44 14.44 10.44 ],[1" " 1 1 ]);\npatch([20.1 33.88 29.88 25.88 21.88 16.1 20.1 ],[6.44 6.44 10.44 6.44 10.44 10.44 6.44 ],[0.931 0.946" " 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');" "port_label('input',1,'addr');\n\ncolor('black');disp('z^{-1}','texmode','on');\nfprintf('','COMMENT: end icon t" "ext');" } Line { SrcBlock "ROM 0" SrcPort 1 DstBlock "Goto" DstPort 1 } Line { SrcBlock "ROM 1" SrcPort 1 DstBlock "Goto1" DstPort 1 } Line { SrcBlock "ROM 2" SrcPort 1 DstBlock "Goto2" DstPort 1 } Line { SrcBlock "ROM 3" SrcPort 1 DstBlock "Goto3" DstPort 1 } Line { SrcBlock "ROM 4" SrcPort 1 DstBlock "Goto4" DstPort 1 } Line { SrcBlock "ROM 5" SrcPort 1 DstBlock "Goto5" DstPort 1 } Line { SrcBlock "ROM 6" SrcPort 1 DstBlock "Goto6" DstPort 1 } Line { SrcBlock "ROM 7" SrcPort 1 DstBlock "Goto7" DstPort 1 } Line { SrcBlock "Addr" SrcPort 1 Points [0, -15] Branch { Points [0, -45] Branch { DstBlock "ROM 1" DstPort 1 } Branch { Points [0, -45] Branch { DstBlock "ROM 2" DstPort 1 } Branch { Points [0, -45] Branch { DstBlock "ROM 3" DstPort 1 } Branch { Points [0, -45] Branch { DstBlock "ROM 4" DstPort 1 } Branch { Points [0, -45] Branch { DstBlock "ROM 5" DstPort 1 } Branch { Points [0, -45] Branch { DstBlock "ROM 6" DstPort 1 } Branch { Points [0, -45] DstBlock "ROM 7" DstPort 1 } } } } } } } Branch { DstBlock "ROM 0" DstPort 1 } } } } Block { BlockType Reference Name "Up Sample1" SID "65" Ports [1, 1] Position [520, 609, 540, 631] ShowName off LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Up Sample" SourceType "Xilinx Up Sampler Block" infoedit "Up samples input data. Inserted values can be zeros or copies of the most recent input sample.

" "Hardware notes: No hardware is needed if inserted values are copies of the input sample; otherwise, a mux and singl" "e bit flip-flop are used." sample_ratio "2" copy_samples on en off latency "0" dbl_ovrd off xl_use_area off xl_area "[0 0 0 0 0 0 0]" has_advanced_control "0" sggui_pos "20,20,348,277" block_type "usamp" block_version "10.1.3" sg_icon_stat "20,22,1,1,white,blue,0,cf641474,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 20 20 0 0 ],[0 0 22 22 0 ],[0.77 0.82 0.91" " ]);\nplot([0 20 20 0 0 ],[0 0 22 22 0 ]);\npatch([5.55 8.44 10.44 12.44 14.44 10.44 7.55 5.55 ],[13.22 13.22 15.22" " 13.22 15.22 15.22 15.22 13.22 ],[1 1 1 ]);\npatch([7.55 10.44 8.44 5.55 7.55 ],[11.22 11.22 13.22 13.22 11.22 ],[0" ".931 0.946 0.973 ]);\npatch([5.55 8.44 10.44 7.55 5.55 ],[9.22 9.22 11.22 11.22 9.22 ],[1 1 1 ]);\npatch([7.55 14.4" "4 12.44 10.44 8.44 5.55 7.55 ],[7.22 7.22 9.22 7.22 9.22 9.22 7.22 ],[0.931 0.946 0.973 ]);\nfprintf('','COMMENT: e" "nd icon graphics');\nfprintf('','COMMENT: begin icon text');\n\n\ncolor('black');disp('{\\fontsize{14pt}\\bf\\uparr" "ow}2','texmode','on');\nfprintf('','COMMENT: end icon text');" } Line { SrcBlock "From Register" SrcPort 1 DstBlock "1LSB" DstPort 1 } Line { SrcBlock "1LSB" SrcPort 1 DstBlock "Down Sample" DstPort 1 } Line { SrcBlock "2-bit\nCounter" SrcPort 1 Points [35, 0] Branch { Labels [0, 0] Points [0, -50] Branch { DstBlock "Rx Coefficients" DstPort 1 } Branch { Points [0, -60] DstBlock "Delay" DstPort 1 } } Branch { DstBlock "Goto1" DstPort 1 } Branch { Points [0, 100] DstBlock "Up Sample1" DstPort 1 } } Line { SrcBlock "Delay" SrcPort 1 DstBlock "Tx Coefficients" DstPort 1 } Line { SrcBlock "Down Sample" SrcPort 1 DstBlock "2-bit\nCounter" DstPort 1 } Line { SrcBlock "Convert" SrcPort 1 DstBlock "To Register" DstPort 1 } Line { SrcBlock "Constant" SrcPort 1 DstBlock "To Register" DstPort 2 } Line { SrcBlock "To Register" SrcPort 1 DstBlock "Terminator" DstPort 1 } Line { SrcBlock "Up Sample1" SrcPort 1 DstBlock "Convert" DstPort 1 } } } Block { BlockType SubSystem Name "EDK Processor" SID "1106" Ports [] Position [203, 183, 253, 232] CopyFcn "xlProcBlockCopyCallback(gcbh);xlBlockMoveCallback(gcbh);" DeleteFcn "xlDestroyGui(gcbh);" LoadFcn "xlBlockLoadCallback(gcbh);" ModelCloseFcn "xlDestroyGui(gcbh);" PreSaveFcn "xlBlockPreSaveCallback(gcbh);" PostSaveFcn "xlBlockPostSaveCallback(gcbh);" DestroyFcn "xlDestroyGui(gcbh);" OpenFcn "bh=gcbh;xlProcBlockCallbacks('populatesharedmemorylistbox',bh);xlOpenGui(bh, 'edkprocessor_gui.x" "ml', @xlProcBlockEnablement, @xlProcBlockAction);" CloseFcn "xlDestroyGui(gcbh);" MoveFcn "xlBlockMoveCallback(gcbh);" MinAlgLoopOccurrences off PropExecContextOutsideSubsystem off RTWSystemCode "Auto" FunctionWithSeparateData off Opaque off RequestExecContextInheritance off MaskHideContents off MaskType "Xilinx EDK Processor Block" MaskDescription "Xilinx EDK Processor" MaskHelp "eval('');xlDoc('-book','sysgen','-topic','EDK_Processor');" MaskPromptString "Configure Processor for|XPS Project| |Available Memories| | |Bus Type|Base Address| |Loc" "k| |Dual Clocks| |Register Read-Back|Constraint File| |Inherit Device Type|Initial Program| |Enable Co-Debug wit" "h Xilinx SDK (Beta)| | | | | | | | | | | | | | | | " MaskStyleString "popup(EDK pcore generation|HDL netlisting),edit,edit,edit,edit,edit,popup(AXI|PLB),edit,e" "dit,checkbox,edit,checkbox,edit,checkbox,edit,edit,checkbox,edit,edit,checkbox,edit,edit,edit,edit,edit,edit,edi" "t,edit,edit,edit,edit,edit,edit,edit,edit,edit" MaskVariables "mode=&1;xmp=&2;MemVisToProc=&3;AvailableMemories=&4;portInterfaceTable=&5;bus_type_sgadvanc" "ed=&6;bus_type=&7;baseaddr=&8;baseaddr_lock_sgadvanced=&9;baseaddr_lock=@10;dual_clock_sgadvanced=&11;dual_clock" "=@12;reg_readback_sgadvanced=&13;reg_readback=@14;ucf_file=&15;inheritDeviceType_sgadvanced=&16;inheritDeviceTyp" "e=@17;elf_file=&18;codebug_sgadvanced=&19;codebug=@20;clock_name=&21;internalPortList=&22;resetPolarity=&23;memx" "table=&24;procinfo=&25;memmapdirty=&26;blockname=&27;xpsintstyle=&28;has_advanced_control=@29;sggui_pos=&30;bloc" "k_type=&31;block_version=&32;sg_icon_stat=&33;sg_mask_display=&34;sg_list_contents=&35;sg_blockgui_xml=&36;" MaskTunableValueString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on," "on,on,on,on,on,on,on" MaskCallbackString "|||||||||||||||||||||||||||||||||||" MaskEnableString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,o" "n,on,on,on,on,on,on" MaskVisibilityString "on,on,off,on,on,off,on,on,off,on,off,on,off,on,on,off,on,on,off,on,off,off,off,off,off," "off,off,off,off,off,off,off,off,off,off,off" MaskToolTipString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on," "on,on,on,on,on,on,on" MaskInitialization "try\n tmp_gcb = gcb;\n tmp_gcbh = gcbh;\n if (strcmp('SysGenIndex',get_param(bdroot(" "tmp_gcbh),'tag')) && ~isempty(regexp(bdroot(tmp_gcb), '^xbs', 'once')))\n return;\n end;\n xlMungeMaskParam" "s;\n\n block_type='edkprocessor';\n\n serialized_declarations = '{''block_type''=>''String''}';\n xledkproces" "sor_init();\n ptable_ = xlblockprep(get_param(tmp_gcb, 'MaskWSVariables'));\n try\n xlBlockMoveCallback(tmp" "_gcbh);\n catch \n clear global xl_updateicon_recursion_guard;\n end;\ncatch\n global dbgsysgen;\n if(~i" "sempty(dbgsysgen) && dbgsysgen)\n e = regexprep(lasterr, '\\n', '\\nError: ');\n disp(['Error: While runni" "ng MaskInit code on block ' tmp_gcb ': ' e]);\n error(e);\n end\nend\n" MaskSelfModifiable on MaskDisplay "fprintf('','COMMENT: begin icon graphics');\npatch([0 50 50 0 0 ],[0 0 49 49 0 ],[0.77 0.82 0" ".91 ]);\nplot([0 50 50 0 0 ],[0 0 49 49 0 ]);\npatch([9.425 19.54 26.54 33.54 40.54 26.54 16.425 9.425 ],[31.77 " "31.77 38.77 31.77 38.77 38.77 38.77 31.77 ],[1 1 1 ]);\npatch([16.425 26.54 19.54 9.425 16.425 ],[24.77 24.77 31" ".77 31.77 24.77 ],[0.931 0.946 0.973 ]);\npatch([9.425 19.54 26.54 16.425 9.425 ],[17.77 17.77 24.77 24.77 17.77" " ],[1 1 1 ]);\npatch([16.425 40.54 33.54 26.54 19.54 9.425 16.425 ],[10.77 10.77 17.77 10.77 17.77 17.77 10.77 ]" ",[0.931 0.946 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ndis" "p('');\n\nfprintf('','COMMENT: end icon text');" MaskIconFrame off MaskIconOpaque on MaskIconRotate "none" MaskPortRotate "default" MaskIconUnits "autoscale" MaskValueString "EDK pcore generation||

<<FilterOptions>>
<<CoefCounter>>
||{'exposed'=>[]," "'portdir'=>[],'portname'=>[],'shortname'=>[]}||PLB|0x80000000||off||off||off|||off|||on|plb|{}|0|{'mladdr'=>[0.0" "0000000000000000,0.00000000000000000],'mlist'=>['rate_change_filters_txrx_2ch/Control & Coefficients/From Regist" "er','rate_change_filters_txrx_2ch/Control & Coefficients/To Register'],'mlname'=>['\\\\'FilterOptions\\\\'','\\\\" "'CoefCounter\\\\''],'mlstate'=>[0.00000000000000000,0.00000000000000000]}|{}|off||default|0|-1,-1,-1,-1|edkproce" "ssor|2.7|50,49,-1,-1,white,blue,0,07734,right,,[ ],[ ]|fprintf('','COMMENT: begin icon graphics');\npatch([0 50 " "50 0 0 ],[0 0 49 49 0 ],[0.77 0.82 0.91 ]);\nplot([0 50 50 0 0 ],[0 0 49 49 0 ]);\npatch([9.425 19.54 26.54 33.5" "4 40.54 26.54 16.425 9.425 ],[31.77 31.77 38.77 31.77 38.77 38.77 38.77 31.77 ],[1 1 1 ]);\npatch([16.425 26.54 " "19.54 9.425 16.425 ],[24.77 24.77 31.77 31.77 24.77 ],[0.931 0.946 0.973 ]);\npatch([9.425 19.54 26.54 16.425 9." "425 ],[17.77 17.77 24.77 24.77 17.77 ],[1 1 1 ]);\npatch([16.425 40.54 33.54 26.54 19.54 9.425 16.425 ],[10.77 1" "0.77 17.77 10.77 17.77 17.77 10.77 ],[0.931 0.946 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf(" "'','COMMENT: begin icon text');\nfprintf('','COMMENT: end icon text');|{'table'=>{'AvailableMemories'=>'popup()'}}|" System { Name "EDK Processor" Location [514, 91, 900, 269] Open off ModelBrowserVisibility off ModelBrowserWidth 200 ScreenColor "white" PaperOrientation "landscape" PaperPositionMode "auto" PaperType "usletter" PaperUnits "inches" TiledPaperMargins [0.500000, 0.500000, 0.500000, 0.500000] TiledPageScale 1 ShowPageBoundaries off ZoomFactor "100" SIDHighWatermark "106" Block { BlockType Constant Name "Constant" SID "1106:89" Position [40, 125, 60, 145] ShowName off } Block { BlockType Constant Name "Constant1" SID "1106:91" Position [40, 190, 60, 210] ShowName off } Block { BlockType Constant Name "Constant2" SID "1106:93" Position [40, 260, 60, 280] ShowName off } Block { BlockType Constant Name "Constant3" SID "1106:95" Position [40, 325, 60, 345] ShowName off } Block { BlockType Constant Name "Constant4" SID "1106:97" Position [40, 395, 60, 415] ShowName off } Block { BlockType Reference Name "Constant5" SID "1106:99" Ports [0, 1] Position [20, 52, 75, 78] ShowName off LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Constant" SourceType "Xilinx Constant Block Block" const "0" gui_display_data_type "Fixed-point" arith_type "Unsigned" n_bits "1" bin_pt "0" preci_type "Single" exp_width "8" frac_width "24" explicit_period on period "xlGetNormalizedPeriod()" dsp48_infoedit "The use of this block for DSP48 instructions is deprecated. Please use the Opmode block." equ "P=C" opselect "C" inp2 "PCIN>>17" opr "+" inp1 "P" carry "CIN" dbl_ovrd off has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "constant" sg_icon_stat "55,26,0,1,white,blue,0,bf4ddd8b,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 0 ],[0 0 26 26 0 ],[0.77 0.82 0.91" " ]);\nplot([0 55 55 0 0 ],[0 0 26 26 0 ]);\npatch([20.325 24.66 27.66 30.66 33.66 27.66 23.325 20.325 ],[16.33 16.3" "3 19.33 16.33 19.33 19.33 19.33 16.33 ],[1 1 1 ]);\npatch([23.325 27.66 24.66 20.325 23.325 ],[13.33 13.33 16.33 16" ".33 13.33 ],[0.931 0.946 0.973 ]);\npatch([20.325 24.66 27.66 23.325 20.325 ],[10.33 10.33 13.33 13.33 10.33 ],[1 1" " 1 ]);\npatch([23.325 33.66 30.66 27.66 24.66 20.325 23.325 ],[7.33 7.33 10.33 7.33 10.33 10.33 7.33 ],[0.931 0.946" " 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port" "_label('output',1,'0');\nfprintf('','COMMENT: end icon text');" Port { PortNumber 1 Name "Sl_wait" RTWStorageClass "Auto" DataLoggingNameMode "SignalName" } } Block { BlockType Constant Name "Constant6" SID "1106:100" Position [40, 495, 60, 515] ShowName off } Block { BlockType Reference Name "From Register" SID "1106:103" Ports [0, 1] Position [400, 582, 460, 638] AttributesFormatString "<< % >>" LibraryVersion "1.2" SourceBlock "xbsIndex_r4/From Register" SourceType "Xilinx Shared Memory Based From Register Block" infoedit "Register block that reads data to a shared memory register. Delay of one sample period." shared_memory_name "'CoefCounter'" init "0" period "xlGetNormalizedPeriod()" ownership "Owned and initialized elsewhere" gui_display_data_type "Fixed-point" arith_type "Unsigned" n_bits "32" bin_pt "0" preci_type "Single" dbl_ovrd off xl_use_area off xl_area "[0,0,0,0,0,0,0]" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "fromreg" sg_icon_stat "60,56,0,1,white,blue,0,b27a07ff,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 0 ],[0 0 56 56 0 ],[0.77 0.82 0.91" " ]);\nplot([0 60 60 0 0 ],[0 0 56 56 0 ]);\npatch([12.2 23.76 31.76 39.76 47.76 31.76 20.2 12.2 ],[36.88 36.88 44.8" "8 36.88 44.88 44.88 44.88 36.88 ],[1 1 1 ]);\npatch([20.2 31.76 23.76 12.2 20.2 ],[28.88 28.88 36.88 36.88 28.88 ]," "[0.931 0.946 0.973 ]);\npatch([12.2 23.76 31.76 20.2 12.2 ],[20.88 20.88 28.88 28.88 20.88 ],[1 1 1 ]);\npatch([20." "2 47.76 39.76 31.76 23.76 12.2 20.2 ],[12.88 12.88 20.88 12.88 20.88 20.88 12.88 ],[0.931 0.946 0.973 ]);\nfprintf(" "'','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('output',1,'d" "out');\nfprintf('','COMMENT: end icon text');" Port { PortNumber 1 Name "CoefCounter_dout" RTWStorageClass "Auto" DataLoggingNameMode "SignalName" } } Block { BlockType Reference Name "PLB_ABus" SID "1106:92" Ports [1, 1] Position [175, 190, 245, 210] LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Gateway In" SourceType "Xilinx Gateway In Block" infoedit "Gateway in block. Converts inputs of type Simulink integer, single, double and fixed point to Xilinx" " fixed-point or floating-point data type.

Hardware notes: In hardware these blocks become top level input p" "orts." gui_display_data_type "Fixed-point" arith_type "Unsigned" n_bits "32" bin_pt "0" preci_type "Single" exp_width "8" frac_width "24" quantization "Round (unbiased: +/- Inf)" overflow "Saturate" period "xlGetNormalizedPeriod()" dbl_ovrd off timing_constraint "None" locs_specified off LOCs "{}" xl_use_area off xl_area "[0,0,0,0,0,0,0]" inherit_from_input off UseAsADC off ADCChannel "'1'" hdl_port "on" sginterface "{'Xilinx'=>{'jtaghwcosim'=>{'non_memory_mapped_port'=>'PLB_ABus'}},'iopad'=>{'constraint'=>'#'}}" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "gatewayin" sg_icon_stat "70,20,1,1,white,yellow,1,00d3666e,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 70 70 0 0 ],[0 0 20 20 0 ],[0.95 0.93 0.65" " ]);\nplot([0 70 70 0 0 ],[0 0 20 20 0 ]);\npatch([30.55 33.44 35.44 37.44 39.44 35.44 32.55 30.55 ],[12.22 12.22 1" "4.22 12.22 14.22 14.22 14.22 12.22 ],[1 1 1 ]);\npatch([32.55 35.44 33.44 30.55 32.55 ],[10.22 10.22 12.22 12.22 10" ".22 ],[0.985 0.979 0.895 ]);\npatch([30.55 33.44 35.44 32.55 30.55 ],[8.22 8.22 10.22 10.22 8.22 ],[1 1 1 ]);\npatc" "h([32.55 39.44 37.44 35.44 33.44 30.55 32.55 ],[6.22 6.22 8.22 6.22 8.22 8.22 6.22 ],[0.985 0.979 0.895 ]);\nfprint" "f('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1,'" "\\fontsize{11pt}\\bf In ','texmode','on');\ncolor('black');port_label('output',1,' ');\nfprintf('','COMMENT: end ic" "on text');" Port { PortNumber 1 Name "PLB_ABus" RTWStorageClass "Auto" DataLoggingNameMode "SignalName" } } Block { BlockType Reference Name "PLB_PAValid" SID "1106:94" Ports [1, 1] Position [175, 260, 245, 280] LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Gateway In" SourceType "Xilinx Gateway In Block" infoedit "Gateway in block. Converts inputs of type Simulink integer, single, double and fixed point to Xilinx" " fixed-point or floating-point data type.

Hardware notes: In hardware these blocks become top level input p" "orts." gui_display_data_type "Fixed-point" arith_type "Unsigned" n_bits "1" bin_pt "0" preci_type "Single" exp_width "8" frac_width "24" quantization "Round (unbiased: +/- Inf)" overflow "Saturate" period "xlGetNormalizedPeriod()" dbl_ovrd off timing_constraint "None" locs_specified off LOCs "{}" xl_use_area off xl_area "[0,0,0,0,0,0,0]" inherit_from_input off UseAsADC off ADCChannel "'1'" hdl_port "on" sginterface "{'Xilinx'=>{'jtaghwcosim'=>{'non_memory_mapped_port'=>'PLB_PAValid'}},'iopad'=>{'constraint'=>'#'}}" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "gatewayin" sg_icon_stat "70,20,1,1,white,yellow,1,00d3666e,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 70 70 0 0 ],[0 0 20 20 0 ],[0.95 0.93 0.65" " ]);\nplot([0 70 70 0 0 ],[0 0 20 20 0 ]);\npatch([30.55 33.44 35.44 37.44 39.44 35.44 32.55 30.55 ],[12.22 12.22 1" "4.22 12.22 14.22 14.22 14.22 12.22 ],[1 1 1 ]);\npatch([32.55 35.44 33.44 30.55 32.55 ],[10.22 10.22 12.22 12.22 10" ".22 ],[0.985 0.979 0.895 ]);\npatch([30.55 33.44 35.44 32.55 30.55 ],[8.22 8.22 10.22 10.22 8.22 ],[1 1 1 ]);\npatc" "h([32.55 39.44 37.44 35.44 33.44 30.55 32.55 ],[6.22 6.22 8.22 6.22 8.22 8.22 6.22 ],[0.985 0.979 0.895 ]);\nfprint" "f('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1,'" "\\fontsize{11pt}\\bf In ','texmode','on');\ncolor('black');port_label('output',1,' ');\nfprintf('','COMMENT: end ic" "on text');" Port { PortNumber 1 Name "PLB_PAValid" RTWStorageClass "Auto" DataLoggingNameMode "SignalName" } } Block { BlockType Reference Name "PLB_RNW" SID "1106:96" Ports [1, 1] Position [175, 325, 245, 345] LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Gateway In" SourceType "Xilinx Gateway In Block" infoedit "Gateway in block. Converts inputs of type Simulink integer, single, double and fixed point to Xilinx" " fixed-point or floating-point data type.

Hardware notes: In hardware these blocks become top level input p" "orts." gui_display_data_type "Fixed-point" arith_type "Unsigned" n_bits "1" bin_pt "0" preci_type "Single" exp_width "8" frac_width "24" quantization "Round (unbiased: +/- Inf)" overflow "Saturate" period "xlGetNormalizedPeriod()" dbl_ovrd off timing_constraint "None" locs_specified off LOCs "{}" xl_use_area off xl_area "[0,0,0,0,0,0,0]" inherit_from_input off UseAsADC off ADCChannel "'1'" hdl_port "on" sginterface "{'Xilinx'=>{'jtaghwcosim'=>{'non_memory_mapped_port'=>'PLB_RNW'}},'iopad'=>{'constraint'=>'#'}}" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "gatewayin" sg_icon_stat "70,20,1,1,white,yellow,1,00d3666e,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 70 70 0 0 ],[0 0 20 20 0 ],[0.95 0.93 0.65" " ]);\nplot([0 70 70 0 0 ],[0 0 20 20 0 ]);\npatch([30.55 33.44 35.44 37.44 39.44 35.44 32.55 30.55 ],[12.22 12.22 1" "4.22 12.22 14.22 14.22 14.22 12.22 ],[1 1 1 ]);\npatch([32.55 35.44 33.44 30.55 32.55 ],[10.22 10.22 12.22 12.22 10" ".22 ],[0.985 0.979 0.895 ]);\npatch([30.55 33.44 35.44 32.55 30.55 ],[8.22 8.22 10.22 10.22 8.22 ],[1 1 1 ]);\npatc" "h([32.55 39.44 37.44 35.44 33.44 30.55 32.55 ],[6.22 6.22 8.22 6.22 8.22 8.22 6.22 ],[0.985 0.979 0.895 ]);\nfprint" "f('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1,'" "\\fontsize{11pt}\\bf In ','texmode','on');\ncolor('black');port_label('output',1,' ');\nfprintf('','COMMENT: end ic" "on text');" Port { PortNumber 1 Name "PLB_RNW" RTWStorageClass "Auto" DataLoggingNameMode "SignalName" } } Block { BlockType Reference Name "PLB_wrDBus" SID "1106:98" Ports [1, 1] Position [175, 395, 245, 415] LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Gateway In" SourceType "Xilinx Gateway In Block" infoedit "Gateway in block. Converts inputs of type Simulink integer, single, double and fixed point to Xilinx" " fixed-point or floating-point data type.

Hardware notes: In hardware these blocks become top level input p" "orts." gui_display_data_type "Fixed-point" arith_type "Unsigned" n_bits "32" bin_pt "0" preci_type "Single" exp_width "8" frac_width "24" quantization "Round (unbiased: +/- Inf)" overflow "Saturate" period "xlGetNormalizedPeriod()" dbl_ovrd off timing_constraint "None" locs_specified off LOCs "{}" xl_use_area off xl_area "[0,0,0,0,0,0,0]" inherit_from_input off UseAsADC off ADCChannel "'1'" hdl_port "on" sginterface "{'Xilinx'=>{'jtaghwcosim'=>{'non_memory_mapped_port'=>'PLB_wrDBus'}},'iopad'=>{'constraint'=>'#'}}" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "gatewayin" sg_icon_stat "70,20,1,1,white,yellow,1,00d3666e,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 70 70 0 0 ],[0 0 20 20 0 ],[0.95 0.93 0.65" " ]);\nplot([0 70 70 0 0 ],[0 0 20 20 0 ]);\npatch([30.55 33.44 35.44 37.44 39.44 35.44 32.55 30.55 ],[12.22 12.22 1" "4.22 12.22 14.22 14.22 14.22 12.22 ],[1 1 1 ]);\npatch([32.55 35.44 33.44 30.55 32.55 ],[10.22 10.22 12.22 12.22 10" ".22 ],[0.985 0.979 0.895 ]);\npatch([30.55 33.44 35.44 32.55 30.55 ],[8.22 8.22 10.22 10.22 8.22 ],[1 1 1 ]);\npatc" "h([32.55 39.44 37.44 35.44 33.44 30.55 32.55 ],[6.22 6.22 8.22 6.22 8.22 8.22 6.22 ],[0.985 0.979 0.895 ]);\nfprint" "f('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1,'" "\\fontsize{11pt}\\bf In ','texmode','on');\ncolor('black');port_label('output',1,' ');\nfprintf('','COMMENT: end ic" "on text');" Port { PortNumber 1 Name "PLB_wrDBus" RTWStorageClass "Auto" DataLoggingNameMode "SignalName" } } Block { BlockType Reference Name "SPLB_Rst" SID "1106:90" Ports [1, 1] Position [175, 125, 245, 145] LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Gateway In" SourceType "Xilinx Gateway In Block" infoedit "Gateway in block. Converts inputs of type Simulink integer, single, double and fixed point to Xilinx" " fixed-point or floating-point data type.

Hardware notes: In hardware these blocks become top level input p" "orts." gui_display_data_type "Fixed-point" arith_type "Unsigned" n_bits "1" bin_pt "0" preci_type "Single" exp_width "8" frac_width "24" quantization "Round (unbiased: +/- Inf)" overflow "Saturate" period "xlGetNormalizedPeriod()" dbl_ovrd off timing_constraint "None" locs_specified off LOCs "{}" xl_use_area off xl_area "[0,0,0,0,0,0,0]" inherit_from_input off UseAsADC off ADCChannel "'1'" hdl_port "on" sginterface "{'Xilinx'=>{'jtaghwcosim'=>{'non_memory_mapped_port'=>'SPLB_Rst'}},'iopad'=>{'constraint'=>'#'}}" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "gatewayin" sg_icon_stat "70,20,1,1,white,yellow,1,00d3666e,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 70 70 0 0 ],[0 0 20 20 0 ],[0.95 0.93 0.65" " ]);\nplot([0 70 70 0 0 ],[0 0 20 20 0 ]);\npatch([30.55 33.44 35.44 37.44 39.44 35.44 32.55 30.55 ],[12.22 12.22 1" "4.22 12.22 14.22 14.22 14.22 12.22 ],[1 1 1 ]);\npatch([32.55 35.44 33.44 30.55 32.55 ],[10.22 10.22 12.22 12.22 10" ".22 ],[0.985 0.979 0.895 ]);\npatch([30.55 33.44 35.44 32.55 30.55 ],[8.22 8.22 10.22 10.22 8.22 ],[1 1 1 ]);\npatc" "h([32.55 39.44 37.44 35.44 33.44 30.55 32.55 ],[6.22 6.22 8.22 6.22 8.22 8.22 6.22 ],[0.985 0.979 0.895 ]);\nfprint" "f('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1,'" "\\fontsize{11pt}\\bf In ','texmode','on');\ncolor('black');port_label('output',1,' ');\nfprintf('','COMMENT: end ic" "on text');" Port { PortNumber 1 Name "SPLB_Rst" RTWStorageClass "Auto" DataLoggingNameMode "SignalName" } } Block { BlockType Reference Name "Sl_addrAck" SID "1106:76" Ports [1, 1] Position [670, 70, 730, 90] LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Gateway Out" SourceType "Xilinx Gateway Out Block" infoedit "Gateway out block. Converts Xilinx fixed-point or floating-point type inputs into ouputs of type Simu" "link integer, single, double, or fixed point.

Hardware notes: In hardware these blocks become top level out" "put ports or are discarded, depending on how they are configured." inherit_from_input off hdl_port on timing_constraint "None" locs_specified off LOCs "{}" xl_use_area off xl_area "[0,0,0,0,0,0,0]" UseAsDAC off DACChannel "'1'" sginterface "{'Xilinx'=>{'jtaghwcosim'=>{'non_memory_mapped_port'=>'Sl_addrAck'}},'iopad'=>{'constraint'=>'#'}}" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "gatewayout" sg_icon_stat "60,20,1,1,white,yellow,1,cc31b7ac,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 0 ],[0 0 20 20 0 ],[0.95 0.93 0.65" " ]);\nplot([0 60 60 0 0 ],[0 0 20 20 0 ]);\npatch([25.55 28.44 30.44 32.44 34.44 30.44 27.55 25.55 ],[12.22 12.22 1" "4.22 12.22 14.22 14.22 14.22 12.22 ],[1 1 1 ]);\npatch([27.55 30.44 28.44 25.55 27.55 ],[10.22 10.22 12.22 12.22 10" ".22 ],[0.985 0.979 0.895 ]);\npatch([25.55 28.44 30.44 27.55 25.55 ],[8.22 8.22 10.22 10.22 8.22 ],[1 1 1 ]);\npatc" "h([27.55 34.44 32.44 30.44 28.44 25.55 27.55 ],[6.22 6.22 8.22 6.22 8.22 8.22 6.22 ],[0.985 0.979 0.895 ]);\nfprint" "f('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1,'" " ');\ncolor('black');port_label('output',1,'\\fontsize{11pt}\\bf Out ','texmode','on');\nfprintf('','COMMENT: end i" "con text');" } Block { BlockType Reference Name "Sl_rdComp" SID "1106:78" Ports [1, 1] Position [670, 135, 730, 155] LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Gateway Out" SourceType "Xilinx Gateway Out Block" infoedit "Gateway out block. Converts Xilinx fixed-point or floating-point type inputs into ouputs of type Simu" "link integer, single, double, or fixed point.

Hardware notes: In hardware these blocks become top level out" "put ports or are discarded, depending on how they are configured." inherit_from_input off hdl_port on timing_constraint "None" locs_specified off LOCs "{}" xl_use_area off xl_area "[0,0,0,0,0,0,0]" UseAsDAC off DACChannel "'1'" sginterface "{'Xilinx'=>{'jtaghwcosim'=>{'non_memory_mapped_port'=>'Sl_rdComp'}},'iopad'=>{'constraint'=>'#'}}" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "gatewayout" sg_icon_stat "60,20,1,1,white,yellow,1,cc31b7ac,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 0 ],[0 0 20 20 0 ],[0.95 0.93 0.65" " ]);\nplot([0 60 60 0 0 ],[0 0 20 20 0 ]);\npatch([25.55 28.44 30.44 32.44 34.44 30.44 27.55 25.55 ],[12.22 12.22 1" "4.22 12.22 14.22 14.22 14.22 12.22 ],[1 1 1 ]);\npatch([27.55 30.44 28.44 25.55 27.55 ],[10.22 10.22 12.22 12.22 10" ".22 ],[0.985 0.979 0.895 ]);\npatch([25.55 28.44 30.44 27.55 25.55 ],[8.22 8.22 10.22 10.22 8.22 ],[1 1 1 ]);\npatc" "h([27.55 34.44 32.44 30.44 28.44 25.55 27.55 ],[6.22 6.22 8.22 6.22 8.22 8.22 6.22 ],[0.985 0.979 0.895 ]);\nfprint" "f('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1,'" " ');\ncolor('black');port_label('output',1,'\\fontsize{11pt}\\bf Out ','texmode','on');\nfprintf('','COMMENT: end i" "con text');" } Block { BlockType Reference Name "Sl_rdDAck" SID "1106:80" Ports [1, 1] Position [670, 640, 730, 660] LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Gateway Out" SourceType "Xilinx Gateway Out Block" infoedit "Gateway out block. Converts Xilinx fixed-point or floating-point type inputs into ouputs of type Simu" "link integer, single, double, or fixed point.

Hardware notes: In hardware these blocks become top level out" "put ports or are discarded, depending on how they are configured." inherit_from_input off hdl_port on timing_constraint "None" locs_specified off LOCs "{}" xl_use_area off xl_area "[0,0,0,0,0,0,0]" UseAsDAC off DACChannel "'1'" sginterface "{'Xilinx'=>{'jtaghwcosim'=>{'non_memory_mapped_port'=>'Sl_rdDAck'}},'iopad'=>{'constraint'=>'#'}}" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "gatewayout" sg_icon_stat "60,20,1,1,white,yellow,1,cc31b7ac,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 0 ],[0 0 20 20 0 ],[0.95 0.93 0.65" " ]);\nplot([0 60 60 0 0 ],[0 0 20 20 0 ]);\npatch([25.55 28.44 30.44 32.44 34.44 30.44 27.55 25.55 ],[12.22 12.22 1" "4.22 12.22 14.22 14.22 14.22 12.22 ],[1 1 1 ]);\npatch([27.55 30.44 28.44 25.55 27.55 ],[10.22 10.22 12.22 12.22 10" ".22 ],[0.985 0.979 0.895 ]);\npatch([25.55 28.44 30.44 27.55 25.55 ],[8.22 8.22 10.22 10.22 8.22 ],[1 1 1 ]);\npatc" "h([27.55 34.44 32.44 30.44 28.44 25.55 27.55 ],[6.22 6.22 8.22 6.22 8.22 8.22 6.22 ],[0.985 0.979 0.895 ]);\nfprint" "f('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1,'" " ');\ncolor('black');port_label('output',1,'\\fontsize{11pt}\\bf Out ','texmode','on');\nfprintf('','COMMENT: end i" "con text');" } Block { BlockType Reference Name "Sl_rdDBus" SID "1106:82" Ports [1, 1] Position [670, 705, 730, 725] LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Gateway Out" SourceType "Xilinx Gateway Out Block" infoedit "Gateway out block. Converts Xilinx fixed-point or floating-point type inputs into ouputs of type Simu" "link integer, single, double, or fixed point.

Hardware notes: In hardware these blocks become top level out" "put ports or are discarded, depending on how they are configured." inherit_from_input off hdl_port on timing_constraint "None" locs_specified off LOCs "{}" xl_use_area off xl_area "[0,0,0,0,0,0,0]" UseAsDAC off DACChannel "'1'" sginterface "{'Xilinx'=>{'jtaghwcosim'=>{'non_memory_mapped_port'=>'Sl_rdDBus'}},'iopad'=>{'constraint'=>'#'}}" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "gatewayout" sg_icon_stat "60,20,1,1,white,yellow,1,cc31b7ac,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 0 ],[0 0 20 20 0 ],[0.95 0.93 0.65" " ]);\nplot([0 60 60 0 0 ],[0 0 20 20 0 ]);\npatch([25.55 28.44 30.44 32.44 34.44 30.44 27.55 25.55 ],[12.22 12.22 1" "4.22 12.22 14.22 14.22 14.22 12.22 ],[1 1 1 ]);\npatch([27.55 30.44 28.44 25.55 27.55 ],[10.22 10.22 12.22 12.22 10" ".22 ],[0.985 0.979 0.895 ]);\npatch([25.55 28.44 30.44 27.55 25.55 ],[8.22 8.22 10.22 10.22 8.22 ],[1 1 1 ]);\npatc" "h([27.55 34.44 32.44 30.44 28.44 25.55 27.55 ],[6.22 6.22 8.22 6.22 8.22 8.22 6.22 ],[0.985 0.979 0.895 ]);\nfprint" "f('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1,'" " ');\ncolor('black');port_label('output',1,'\\fontsize{11pt}\\bf Out ','texmode','on');\nfprintf('','COMMENT: end i" "con text');" } Block { BlockType Reference Name "Sl_wait" SID "1106:84" Ports [1, 1] Position [180, 50, 240, 70] LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Gateway Out" SourceType "Xilinx Gateway Out Block" infoedit "Gateway out block. Converts Xilinx fixed-point or floating-point type inputs into ouputs of type Simu" "link integer, single, double, or fixed point.

Hardware notes: In hardware these blocks become top level out" "put ports or are discarded, depending on how they are configured." inherit_from_input off hdl_port on timing_constraint "None" locs_specified off LOCs "{}" xl_use_area off xl_area "[0,0,0,0,0,0,0]" UseAsDAC off DACChannel "'1'" sginterface "{'Xilinx'=>{'jtaghwcosim'=>{'non_memory_mapped_port'=>'Sl_wait'}},'iopad'=>{'constraint'=>'#'}}" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "gatewayout" sg_icon_stat "60,20,1,1,white,yellow,1,cc31b7ac,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 0 ],[0 0 20 20 0 ],[0.95 0.93 0.65" " ]);\nplot([0 60 60 0 0 ],[0 0 20 20 0 ]);\npatch([25.55 28.44 30.44 32.44 34.44 30.44 27.55 25.55 ],[12.22 12.22 1" "4.22 12.22 14.22 14.22 14.22 12.22 ],[1 1 1 ]);\npatch([27.55 30.44 28.44 25.55 27.55 ],[10.22 10.22 12.22 12.22 10" ".22 ],[0.985 0.979 0.895 ]);\npatch([25.55 28.44 30.44 27.55 25.55 ],[8.22 8.22 10.22 10.22 8.22 ],[1 1 1 ]);\npatc" "h([27.55 34.44 32.44 30.44 28.44 25.55 27.55 ],[6.22 6.22 8.22 6.22 8.22 8.22 6.22 ],[0.985 0.979 0.895 ]);\nfprint" "f('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1,'" " ');\ncolor('black');port_label('output',1,'\\fontsize{11pt}\\bf Out ','texmode','on');\nfprintf('','COMMENT: end i" "con text');" } Block { BlockType Reference Name "Sl_wrComp" SID "1106:88" Ports [1, 1] Position [670, 270, 730, 290] LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Gateway Out" SourceType "Xilinx Gateway Out Block" infoedit "Gateway out block. Converts Xilinx fixed-point or floating-point type inputs into ouputs of type Simu" "link integer, single, double, or fixed point.

Hardware notes: In hardware these blocks become top level out" "put ports or are discarded, depending on how they are configured." inherit_from_input off hdl_port on timing_constraint "None" locs_specified off LOCs "{}" xl_use_area off xl_area "[0,0,0,0,0,0,0]" UseAsDAC off DACChannel "'1'" sginterface "{'Xilinx'=>{'jtaghwcosim'=>{'non_memory_mapped_port'=>'Sl_wrComp'}},'iopad'=>{'constraint'=>'#'}}" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "gatewayout" sg_icon_stat "60,20,1,1,white,yellow,1,cc31b7ac,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 0 ],[0 0 20 20 0 ],[0.95 0.93 0.65" " ]);\nplot([0 60 60 0 0 ],[0 0 20 20 0 ]);\npatch([25.55 28.44 30.44 32.44 34.44 30.44 27.55 25.55 ],[12.22 12.22 1" "4.22 12.22 14.22 14.22 14.22 12.22 ],[1 1 1 ]);\npatch([27.55 30.44 28.44 25.55 27.55 ],[10.22 10.22 12.22 12.22 10" ".22 ],[0.985 0.979 0.895 ]);\npatch([25.55 28.44 30.44 27.55 25.55 ],[8.22 8.22 10.22 10.22 8.22 ],[1 1 1 ]);\npatc" "h([27.55 34.44 32.44 30.44 28.44 25.55 27.55 ],[6.22 6.22 8.22 6.22 8.22 8.22 6.22 ],[0.985 0.979 0.895 ]);\nfprint" "f('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1,'" " ');\ncolor('black');port_label('output',1,'\\fontsize{11pt}\\bf Out ','texmode','on');\nfprintf('','COMMENT: end i" "con text');" } Block { BlockType Reference Name "Sl_wrDAck" SID "1106:86" Ports [1, 1] Position [670, 205, 730, 225] LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Gateway Out" SourceType "Xilinx Gateway Out Block" infoedit "Gateway out block. Converts Xilinx fixed-point or floating-point type inputs into ouputs of type Simu" "link integer, single, double, or fixed point.

Hardware notes: In hardware these blocks become top level out" "put ports or are discarded, depending on how they are configured." inherit_from_input off hdl_port on timing_constraint "None" locs_specified off LOCs "{}" xl_use_area off xl_area "[0,0,0,0,0,0,0]" UseAsDAC off DACChannel "'1'" sginterface "{'Xilinx'=>{'jtaghwcosim'=>{'non_memory_mapped_port'=>'Sl_wrDAck'}},'iopad'=>{'constraint'=>'#'}}" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "gatewayout" sg_icon_stat "60,20,1,1,white,yellow,1,cc31b7ac,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 0 ],[0 0 20 20 0 ],[0.95 0.93 0.65" " ]);\nplot([0 60 60 0 0 ],[0 0 20 20 0 ]);\npatch([25.55 28.44 30.44 32.44 34.44 30.44 27.55 25.55 ],[12.22 12.22 1" "4.22 12.22 14.22 14.22 14.22 12.22 ],[1 1 1 ]);\npatch([27.55 30.44 28.44 25.55 27.55 ],[10.22 10.22 12.22 12.22 10" ".22 ],[0.985 0.979 0.895 ]);\npatch([25.55 28.44 30.44 27.55 25.55 ],[8.22 8.22 10.22 10.22 8.22 ],[1 1 1 ]);\npatc" "h([27.55 34.44 32.44 30.44 28.44 25.55 27.55 ],[6.22 6.22 8.22 6.22 8.22 8.22 6.22 ],[0.985 0.979 0.895 ]);\nfprint" "f('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1,'" " ');\ncolor('black');port_label('output',1,'\\fontsize{11pt}\\bf Out ','texmode','on');\nfprintf('','COMMENT: end i" "con text');" } Block { BlockType Terminator Name "Terminator" SID "1106:75" Position [905, 70, 925, 90] ShowName off } Block { BlockType Terminator Name "Terminator1" SID "1106:77" Position [905, 135, 925, 155] ShowName off } Block { BlockType Terminator Name "Terminator2" SID "1106:79" Position [905, 640, 925, 660] ShowName off } Block { BlockType Terminator Name "Terminator3" SID "1106:81" Position [905, 705, 925, 725] ShowName off } Block { BlockType Terminator Name "Terminator4" SID "1106:83" Position [420, 50, 440, 70] ShowName off } Block { BlockType Terminator Name "Terminator5" SID "1106:85" Position [905, 205, 925, 225] ShowName off } Block { BlockType Terminator Name "Terminator6" SID "1106:87" Position [905, 270, 925, 290] ShowName off } Block { BlockType Terminator Name "Terminator7" SID "1106:105" Position [1045, 495, 1065, 515] ShowName off } Block { BlockType Reference Name "To Register" SID "1106:104" Ports [2, 1] Position [885, 477, 945, 533] AttributesFormatString "<< % >>" LibraryVersion "1.2" SourceBlock "xbsIndex_r4/To Register" SourceType "Xilinx Shared Memory Based To Register Block" infoedit "Register block that writes data to a shared memory register. Delay of one sample period." shared_memory_name "'FilterOptions'" init "0" ownership "Owned and initialized elsewhere" explicit_data_type on gui_display_data_type "Fixed-point" arith_type "Unsigned" n_bits "32" bin_pt "0" preci_type "Single" dbl_ovrd off xl_use_area off xl_area "[0,0,0,0,0,0,0]" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "toreg" sg_icon_stat "60,56,2,1,white,blue,0,10ab453e,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 0 ],[0 0 56 56 0 ],[0.77 0.82 0.91" " ]);\nplot([0 60 60 0 0 ],[0 0 56 56 0 ]);\npatch([12.2 23.76 31.76 39.76 47.76 31.76 20.2 12.2 ],[36.88 36.88 44.8" "8 36.88 44.88 44.88 44.88 36.88 ],[1 1 1 ]);\npatch([20.2 31.76 23.76 12.2 20.2 ],[28.88 28.88 36.88 36.88 28.88 ]," "[0.931 0.946 0.973 ]);\npatch([12.2 23.76 31.76 20.2 12.2 ],[20.88 20.88 28.88 28.88 20.88 ],[1 1 1 ]);\npatch([20." "2 47.76 39.76 31.76 23.76 12.2 20.2 ],[12.88 12.88 20.88 12.88 20.88 20.88 12.88 ],[0.931 0.946 0.973 ]);\nfprintf(" "'','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1,'di" "n');\ncolor('black');port_label('input',2,'en');\ncolor('black');port_label('output',1,'dout');\nfprintf('','COMMEN" "T: end icon text');" Port { PortNumber 1 Name "FilterOptions_dout" RTWStorageClass "Auto" DataLoggingNameMode "SignalName" } } Block { BlockType Reference Name "plb_decode" SID "1106:102" Ports [7, 9] Position [345, 124, 515, 536] LibraryVersion "1.2" SourceBlock "xbsIndex_r4/MCode" SourceType "Xilinx MCode Block Block" infoedit "Pass input values to a MATLAB function for evaluation in Xilinx fixed-point type. The input ports of t" "he block are input arguments of the function. The output ports of the block are output arguments of the function." mfname "xlmax" explicit_period off period "1" dbl_ovrd off enable_stdout off enable_debug off xl_use_area off xl_area "[0,0,0,0,0,0,0]" mfilecontent "function [wrDBusReg, addrAck, rdComp, wrDAck, bankAddr, RNWReg, rdDAck, rdDBus, linearAddr] = plb_" "bus_decode(plbRst, plbABus, plbPAValid, plbRNW, plbWrDBus, rdData, addrPref)\n\n% constant variables (TODO: should " "pass from outside)\nADDRPREF_LEN = 20;\nBANKADDR_LEN = 2;\nLINEARADDR_LEN = 8;\nABUS_LEN = 32;\nDBUS_LEN = 32;\n\n%" " declare and initialize persistent variables\n% register input bus signals\npersistent plbRstReg_, plbRstReg_ = xl_" "state(0, {xlBoolean});\npersistent plbABusReg_, plbABusReg_ = xl_state(0, {xlUnsigned, ABUS_LEN, 0});\npersistent p" "lbPAValidReg_, plbPAValidReg_ = xl_state(0, {xlBoolean});\npersistent plbRNWReg_, plbRNWReg_ = xl_state(0, {xlUnsig" "ned, 1, 0});\npersistent plbWrDBusReg_, plbWrDBusReg_ = xl_state(0, {xlUnsigned, DBUS_LEN, 0});\n\n% ===== rest of " "the outputs =====\n\nbankAddr = xl_slice(plbABusReg_, 2+BANKADDR_LEN+LINEARADDR_LEN-1, 2+LINEARADDR_LEN);\nlinear" "Addr = xl_slice(plbABusReg_, 2+LINEARADDR_LEN-1, 2);\nRNWReg = plbRNWReg_;\nwrDBusReg = plbWrDBusReg_;\n\n% ===== p" "_select =====\n\n% register PAValid\npersistent aValidReg, aValidReg = xl_state(0, {xlBoolean});\naValidReg = plbPA" "ValidReg_;\n\n% extract and register the address prefix\naddrPref_in = xl_slice(plbABusReg_, xl_nbits(plbABusReg_)-" "1, xl_nbits(plbABusReg_)-ADDRPREF_LEN);\nif addrPref_in == addrPref\n ps1 = true;\nelse \n ps1 = false;\nend " "\n\npersistent ps1Reg, ps1Reg = xl_state(0, ps1);\nps1Reg = ps1;\n\nps = xl_and(ps1Reg, aValidReg);\n\n% ===== addr" "Ack =====\n\n% register ps\npersistent psReg, psReg = xl_state(0, ps);\n\naddrAck = xfix({xlUnsigned, 1, 0}, xl_and" "(xl_not(plbRstReg_), ps, xl_not(psReg)));\n\npsReg = ps;\n\n% ===== rdComp, rd/wr DAck =====\n \nrdComp1 = xfix({xl" "Unsigned, 1, 0}, xl_and(addrAck, RNWReg));\n\nNUM_rdCompDelay = 3;\npersistent rdCompDelay, rdCompDelay = xl_state(" "zeros(1, NUM_rdCompDelay), rdComp1, NUM_rdCompDelay);\nrdComp2 = rdCompDelay.back;\nrdCompDelay.push_front_pop_back" "(rdComp1);\n\npersistent rdCompReg, rdCompReg = xl_state(0, rdComp1);\nrdComp = rdCompReg;\nrdCompReg = rdComp2;\n\n" "persistent rdDAckReg, rdDAckReg = xl_state(0, rdComp1);\nrdDAck = rdDAckReg;\nrdDAckReg = rdComp;\n\npersistent wrD" "AckReg, wrDAckReg = xl_state(0, addrAck);\nwrDAck = wrDAckReg;\nwrDAckReg = xl_and(addrAck, xl_not(RNWReg));\n\n% =" "==== rdDBus =====\n\nrdSel = xl_or(rdComp2, rdComp);\n\nif rdSel == 1\n rdDBus1 = rdData;\nelse\n rdDBus1 = 0" ";\nend % if\n\npersistent rdDBusReg, rdDBusReg = xl_state(0, rdDBus1);\nrdDBus = rdDBusReg;\nrdDBusReg = rdDBus1;\n" "\n% rdDBus = xl_concat(rdDBus32, rdDBus32);\n% rdDBus = rdDBus32;\n\n% ===== update the persistent variables =====\n" "\nplbRstReg_ = plbRst;\nplbABusReg_ = plbABus;\nplbPAValidReg_ = plbPAValid;\nplbRNWReg_ = plbRNW;\nplbWrDBusReg_ =" " xl_slice(plbWrDBus, DBUS_LEN-1, 0);\n" suppress_output "on" defparams "{}" hide_port_list "{}" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "mcode" sg_icon_stat "170,412,7,9,white,blue,0,43a237d5,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 170 170 0 0 ],[0 0 412 412 0 ],[0.77 0.82 " "0.91 ]);\nplot([0 170 170 0 0 ],[0 0 412 412 0 ]);\npatch([31.6 66.28 90.28 114.28 138.28 90.28 55.6 31.6 ],[232.64" " 232.64 256.64 232.64 256.64 256.64 256.64 232.64 ],[1 1 1 ]);\npatch([55.6 90.28 66.28 31.6 55.6 ],[208.64 208.64 " "232.64 232.64 208.64 ],[0.931 0.946 0.973 ]);\npatch([31.6 66.28 90.28 55.6 31.6 ],[184.64 184.64 208.64 208.64 184" ".64 ],[1 1 1 ]);\npatch([55.6 138.28 114.28 90.28 66.28 31.6 55.6 ],[160.64 160.64 184.64 160.64 184.64 184.64 160." "64 ],[0.931 0.946 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\nco" "lor('black');port_label('input',1,'plbRst');\ncolor('black');port_label('input',2,'plbABus');\ncolor('black');port_" "label('input',3,'plbPAValid');\ncolor('black');port_label('input',4,'plbRNW');\ncolor('black');port_label('input',5" ",'plbWrDBus');\ncolor('black');port_label('input',6,'rdData');\ncolor('black');port_label('input',7,'addrPref');\nc" "olor('black');port_label('output',1,'wrDBusReg');\ncolor('black');port_label('output',2,'addrAck');\ncolor('black')" ";port_label('output',3,'rdComp');\ncolor('black');port_label('output',4,'wrDAck');\ncolor('black');port_label('outp" "ut',5,'bankAddr');\ncolor('black');port_label('output',6,'RNWReg');\ncolor('black');port_label('output',7,'rdDAck')" ";\ncolor('black');port_label('output',8,'rdDBus');\ncolor('black');port_label('output',9,'linearAddr');\ncolor('bla" "ck');disp('\\bf{xlmax}','texmode','on');\nfprintf('','COMMENT: end icon text');" Port { PortNumber 1 Name "wrDBusReg" RTWStorageClass "Auto" DataLoggingNameMode "SignalName" } Port { PortNumber 2 Name "Sl_addrAck" RTWStorageClass "Auto" DataLoggingNameMode "SignalName" } Port { PortNumber 3 Name "Sl_rdComp" RTWStorageClass "Auto" DataLoggingNameMode "SignalName" } Port { PortNumber 4 Name "Sl_wrDAck" RTWStorageClass "Auto" DataLoggingNameMode "SignalName" } Port { PortNumber 5 Name "bankAddr" RTWStorageClass "Auto" DataLoggingNameMode "SignalName" } Port { PortNumber 6 Name "RNWReg" RTWStorageClass "Auto" DataLoggingNameMode "SignalName" } Port { PortNumber 7 Name "Sl_rdDAck" RTWStorageClass "Auto" DataLoggingNameMode "SignalName" } Port { PortNumber 8 Name "Sl_rdDBus" RTWStorageClass "Auto" DataLoggingNameMode "SignalName" } Port { PortNumber 9 Name "linearAddr" RTWStorageClass "Auto" DataLoggingNameMode "SignalName" } } Block { BlockType Reference Name "plb_memmap" SID "1106:106" Ports [6, 3] Position [615, 340, 785, 590] LibraryVersion "1.2" SourceBlock "xbsIndex_r4/MCode" SourceType "Xilinx MCode Block Block" infoedit "Pass input values to a MATLAB function for evaluation in Xilinx fixed-point type. The input ports of t" "he block are input arguments of the function. The output ports of the block are output arguments of the function." mfname "xlmax" explicit_period off period "1" dbl_ovrd off enable_stdout off enable_debug off xl_use_area off xl_area "[0,0,0,0,0,0,0]" mfilecontent "function [read_bank_out, sm_FilterOptions_din, sm_FilterOptions_en] = plb_memmap(wrDBus, bankAddr," " linearAddr, RNWReg, addrAck, sm_CoefCounter)\n\n\n% connvert the input data to UFix_32_0 (the bus data type)\n% 'F" "rom Register' blocks\n% sm_CoefCounter_bus = xfix({xlUnsigned, 32, 0}, 0);\nsm_CoefCounter_bus = xl_force(sm_CoefCo" "unter, xlUnsigned, 0);\n\n% 'To Register' blocks\n\n% 'From FIFO' blocks\n% 'To FIFO' blocks\n% 'Shared Memory' blo" "cks\n\n% 'dout' ports of 'From Register' blocks\n\n% registered register mux output\npersistent reg_bank_out_reg; r" "eg_bank_out_reg = xl_state(0, {xlUnsigned, 32, 0});\nreg_bank_out = reg_bank_out_reg;\n\n% direct connection if the" "re is one 'From Reg' but no 'To Reg'\nreg_bank_out_reg = sm_CoefCounter_bus;\n\n% 'From FIFO' and 'To FIFO' blocks\n" "\n\n\n\n\nopCode = xl_concat(addrAck, RNWReg, bankAddr, linearAddr);\n\n% 'Shared Memory' blocks\n\n\n\n\n\n% 'din'" " ports of 'Shared Memory' blocks\n\n\n% 'we' ports of 'Shared Memory' blocks\n\n\n% 'addr' ports of 'Shared Memory'" " blocks\n\n\n% 're' ports of 'From FIFO' blocks\n\n\n% 'en' ports of 'To Register' blocks\nif opCode == xl_concat(x" "fix({xlUnsigned, 4, 0}, 10), ...\n xfix({xlUnsigned, xl_nbits(linearAddr), 0}, 0))\n sm_Fi" "lterOptions_en = true;\nelse\n sm_FilterOptions_en = false;\nend\n\n\n% 'din' ports of 'To FIFO' blocks\n\n\n% '" "we' ports of 'To FIFO' blocks\n\n\n% 'din' ports of 'To Register' blocks\nsm_FilterOptions_din = xl_force(xl_slice(" "wrDBus, 32 - 1, 0), ...\n xlUnsigned, ...\n 0);\n\n" "\npersistent read_bank_out_reg; read_bank_out_reg = xl_state(0, {xlUnsigned, 32, 0});\nread_bank_out = read_bank_ou" "t_reg;\n\npersistent bankAddr_reg; bankAddr_reg = xl_state(0, bankAddr);\n\nif bankAddr_reg == 0\n % Bank 0: Sha" "red Memories\n read_bank_out_reg = 0;\nelseif bankAddr_reg == 1\n % Bank 1: From/To FIFOs\n read_bank_out_" "reg = 0;\nelseif bankAddr_reg == 2\n % Bank 2: From/To Registers\n read_bank_out_reg = reg_bank_out;\nelseif" " bankAddr_reg == 3\n % Bank 3: Configuration Registers\n read_bank_out_reg = 0;\nend\n\nbankAddr_reg = bankAd" "dr;\n" suppress_output "on" defparams "{}" hide_port_list "{}" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "mcode" sg_icon_stat "170,250,6,3,white,blue,0,3ca34304,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 170 170 0 0 ],[0 0 250 250 0 ],[0.77 0.82 " "0.91 ]);\nplot([0 170 170 0 0 ],[0 0 250 250 0 ]);\npatch([31.6 66.28 90.28 114.28 138.28 90.28 55.6 31.6 ],[151.64" " 151.64 175.64 151.64 175.64 175.64 175.64 151.64 ],[1 1 1 ]);\npatch([55.6 90.28 66.28 31.6 55.6 ],[127.64 127.64 " "151.64 151.64 127.64 ],[0.931 0.946 0.973 ]);\npatch([31.6 66.28 90.28 55.6 31.6 ],[103.64 103.64 127.64 127.64 103" ".64 ],[1 1 1 ]);\npatch([55.6 138.28 114.28 90.28 66.28 31.6 55.6 ],[79.64 79.64 103.64 79.64 103.64 103.64 79.64 ]" ",[0.931 0.946 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor(" "'black');port_label('input',1,'wrDBus');\ncolor('black');port_label('input',2,'bankAddr');\ncolor('black');port_lab" "el('input',3,'linearAddr');\ncolor('black');port_label('input',4,'RNWReg');\ncolor('black');port_label('input',5,'a" "ddrAck');\ncolor('black');port_label('input',6,'sm_CoefCounter');\ncolor('black');port_label('output',1,'read_bank_" "out');\ncolor('black');port_label('output',2,'sm_FilterOptions_din');\ncolor('black');port_label('output',3,'sm_Fil" "terOptions_en');\ncolor('black');disp('\\bf{xlmax}','texmode','on');\nfprintf('','COMMENT: end icon text');" Port { PortNumber 1 Name "rdData" RTWStorageClass "Auto" DataLoggingNameMode "SignalName" } Port { PortNumber 2 Name "FilterOptions_din" RTWStorageClass "Auto" DataLoggingNameMode "SignalName" } Port { PortNumber 3 Name "FilterOptions_en" RTWStorageClass "Auto" DataLoggingNameMode "SignalName" } } Block { BlockType Reference Name "sg_plb_addrpref" SID "1106:101" Ports [1, 1] Position [175, 495, 245, 515] LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Gateway In" SourceType "Xilinx Gateway In Block" infoedit "Gateway in block. Converts inputs of type Simulink integer, single, double and fixed point to Xilinx" " fixed-point or floating-point data type.

Hardware notes: In hardware these blocks become top level input p" "orts." gui_display_data_type "Fixed-point" arith_type "Unsigned" n_bits "20" bin_pt "0" preci_type "Single" exp_width "8" frac_width "24" quantization "Round (unbiased: +/- Inf)" overflow "Saturate" period "xlGetNormalizedPeriod()" dbl_ovrd off timing_constraint "None" locs_specified off LOCs "{}" xl_use_area off xl_area "[0,0,0,0,0,0,0]" inherit_from_input off UseAsADC off ADCChannel "'1'" hdl_port "on" sginterface "{'Xilinx'=>{'jtaghwcosim'=>{'non_memory_mapped_port'=>'sg_plb_addrpref'}},'iopad'=>{'constraint'=>'" "#'}}" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "gatewayin" sg_icon_stat "70,20,1,1,white,yellow,1,00d3666e,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 70 70 0 0 ],[0 0 20 20 0 ],[0.95 0.93 0.65" " ]);\nplot([0 70 70 0 0 ],[0 0 20 20 0 ]);\npatch([30.55 33.44 35.44 37.44 39.44 35.44 32.55 30.55 ],[12.22 12.22 1" "4.22 12.22 14.22 14.22 14.22 12.22 ],[1 1 1 ]);\npatch([32.55 35.44 33.44 30.55 32.55 ],[10.22 10.22 12.22 12.22 10" ".22 ],[0.985 0.979 0.895 ]);\npatch([30.55 33.44 35.44 32.55 30.55 ],[8.22 8.22 10.22 10.22 8.22 ],[1 1 1 ]);\npatc" "h([32.55 39.44 37.44 35.44 33.44 30.55 32.55 ],[6.22 6.22 8.22 6.22 8.22 8.22 6.22 ],[0.985 0.979 0.895 ]);\nfprint" "f('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1,'" "\\fontsize{11pt}\\bf In ','texmode','on');\ncolor('black');port_label('output',1,' ');\nfprintf('','COMMENT: end ic" "on text');" Port { PortNumber 1 Name "addrPref" RTWStorageClass "Auto" DataLoggingNameMode "SignalName" } } Line { Name "Sl_addrAck" SrcBlock "plb_decode" SrcPort 2 Points [0, 0] Branch { Labels [1, 0] DstBlock "plb_memmap" DstPort 5 } Branch { Labels [0, 0] DstBlock "Sl_addrAck" DstPort 1 } } Line { Name "Sl_wrDAck" SrcBlock "plb_decode" SrcPort 4 Points [0, 0] Branch { Labels [1, 0] DstBlock "Sl_wrDAck" DstPort 1 } Branch { Labels [0, 0] DstBlock "Sl_wrComp" DstPort 1 } } Line { Name "FilterOptions_en" Labels [0, 0; 0, 0] SrcBlock "plb_memmap" SrcPort 3 DstBlock "To Register" DstPort 2 } Line { Name "FilterOptions_din" Labels [0, 0; 0, 0] SrcBlock "plb_memmap" SrcPort 2 DstBlock "To Register" DstPort 1 } Line { Name "rdData" Labels [0, 0; 0, 0] SrcBlock "plb_memmap" SrcPort 1 DstBlock "plb_decode" DstPort 6 } Line { Name "CoefCounter_dout" Labels [0, 0; 0, 0] SrcBlock "From Register" SrcPort 1 DstBlock "plb_memmap" DstPort 6 } Line { Name "RNWReg" Labels [0, 0; 0, 0] SrcBlock "plb_decode" SrcPort 6 DstBlock "plb_memmap" DstPort 4 } Line { Name "linearAddr" Labels [0, 0; 0, 0] SrcBlock "plb_decode" SrcPort 9 DstBlock "plb_memmap" DstPort 3 } Line { Name "bankAddr" Labels [0, 0; 0, 0] SrcBlock "plb_decode" SrcPort 5 DstBlock "plb_memmap" DstPort 2 } Line { Name "wrDBusReg" Labels [0, 0; 0, 0] SrcBlock "plb_decode" SrcPort 1 DstBlock "plb_memmap" DstPort 1 } Line { Name "FilterOptions_dout" Labels [0, 0; 0, 0] SrcBlock "To Register" SrcPort 1 DstBlock "Terminator7" DstPort 1 } Line { Name "Sl_rdDBus" Labels [0, 0; 0, 0] SrcBlock "plb_decode" SrcPort 8 DstBlock "Sl_rdDBus" DstPort 1 } Line { Name "Sl_rdDAck" Labels [0, 0; 0, 0] SrcBlock "plb_decode" SrcPort 7 DstBlock "Sl_rdDAck" DstPort 1 } Line { Name "Sl_rdComp" Labels [0, 0; 0, 0] SrcBlock "plb_decode" SrcPort 3 DstBlock "Sl_rdComp" DstPort 1 } Line { Name "addrPref" Labels [0, 0; 0, 0] SrcBlock "sg_plb_addrpref" SrcPort 1 DstBlock "plb_decode" DstPort 7 } Line { Name "PLB_wrDBus" Labels [0, 0; 0, 0] SrcBlock "PLB_wrDBus" SrcPort 1 DstBlock "plb_decode" DstPort 5 } Line { Name "PLB_RNW" Labels [0, 0; 0, 0] SrcBlock "PLB_RNW" SrcPort 1 DstBlock "plb_decode" DstPort 4 } Line { Name "PLB_PAValid" Labels [0, 0; 0, 0] SrcBlock "PLB_PAValid" SrcPort 1 DstBlock "plb_decode" DstPort 3 } Line { Name "PLB_ABus" Labels [0, 0; 0, 0] SrcBlock "PLB_ABus" SrcPort 1 DstBlock "plb_decode" DstPort 2 } Line { Name "SPLB_Rst" Labels [0, 0; 0, 0] SrcBlock "SPLB_Rst" SrcPort 1 DstBlock "plb_decode" DstPort 1 } Line { SrcBlock "Constant6" SrcPort 1 DstBlock "sg_plb_addrpref" DstPort 1 } Line { Name "Sl_wait" Labels [0, 0; 0, 0] SrcBlock "Constant5" SrcPort 1 DstBlock "Sl_wait" DstPort 1 } Line { SrcBlock "Constant4" SrcPort 1 DstBlock "PLB_wrDBus" DstPort 1 } Line { SrcBlock "Constant3" SrcPort 1 DstBlock "PLB_RNW" DstPort 1 } Line { SrcBlock "Constant2" SrcPort 1 DstBlock "PLB_PAValid" DstPort 1 } Line { SrcBlock "Constant1" SrcPort 1 DstBlock "PLB_ABus" DstPort 1 } Line { SrcBlock "Constant" SrcPort 1 DstBlock "SPLB_Rst" DstPort 1 } Line { SrcBlock "Sl_wrComp" SrcPort 1 DstBlock "Terminator6" DstPort 1 } Line { SrcBlock "Sl_wrDAck" SrcPort 1 DstBlock "Terminator5" DstPort 1 } Line { SrcBlock "Sl_wait" SrcPort 1 DstBlock "Terminator4" DstPort 1 } Line { SrcBlock "Sl_rdDBus" SrcPort 1 DstBlock "Terminator3" DstPort 1 } Line { SrcBlock "Sl_rdDAck" SrcPort 1 DstBlock "Terminator2" DstPort 1 } Line { SrcBlock "Sl_rdComp" SrcPort 1 DstBlock "Terminator1" DstPort 1 } Line { SrcBlock "Sl_addrAck" SrcPort 1 DstBlock "Terminator" DstPort 1 } } } Block { BlockType Reference Name "Resource Estimator" SID "67" Tag "resEstTag" Ports [] Position [206, 268, 252, 312] ShowName off AttributesFormatString "Resource\\nEstimator" LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Resource Estimator" SourceType "Xilinx Resource Estimator Block" Slices "3628" FFs "4465" BRAMs "0" LUTs "6136" IOBs "365" EBMs "48" TBUFs "0" xl_use_estimator_area off est_options "Estimate" xl_estimator_area "[3628 4465 0 6136 365 48 0]" has_advanced_control "0" sggui_pos "20,20,336,293" block_type "resource_estimator" block_version "10.1.3" sg_icon_stat "46,44,-1,-1,blue,white,0,0,right" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 46 46 0 ],[0 0 44 44 ],[0.98 0.96 0" ".92]);\npatch([11 4 14 4 11 23 26 29 41 31 22 15 24 15 22 31 41 29 26 23 11 ],[5 12 22 32 39 39 36 39 39 29 38 3" "1 22 13 6 15 5 5 8 5 5 ],[0.77 0.82 0.91]);\nplot([0 46 46 0 0 ],[0 0 44 44 0 ]);\nfprintf('','COMMENT: end icon" " graphics');\nfprintf('','COMMENT: begin icon text');\nfprintf('','COMMENT: end icon text');\n" } Block { BlockType SubSystem Name "Rx Path" SID "68" Ports [] Position [170, 104, 281, 140] MinAlgLoopOccurrences off PropExecContextOutsideSubsystem off RTWSystemCode "Auto" FunctionWithSeparateData off Opaque off RequestExecContextInheritance off MaskHideContents off System { Name "Rx Path" Location [627, 202, 1637, 917] Open off ModelBrowserVisibility off ModelBrowserWidth 200 ScreenColor "white" PaperOrientation "landscape" PaperPositionMode "auto" PaperType "usletter" PaperUnits "inches" TiledPaperMargins [0.500000, 0.500000, 0.500000, 0.500000] TiledPageScale 1 ShowPageBoundaries off ZoomFactor "94" Block { BlockType SubSystem Name "2-Ch Decimation A" SID "69" Ports [2, 2] Position [520, 361, 585, 414] MinAlgLoopOccurrences off PropExecContextOutsideSubsystem off RTWSystemCode "Auto" FunctionWithSeparateData off Opaque off RequestExecContextInheritance off MaskHideContents off System { Name "2-Ch Decimation A" Location [2, 74, 1894, 1112] Open off ModelBrowserVisibility off ModelBrowserWidth 200 ScreenColor "white" PaperOrientation "landscape" PaperPositionMode "auto" PaperType "usletter" PaperUnits "inches" TiledPaperMargins [0.500000, 0.500000, 0.500000, 0.500000] TiledPageScale 1 ShowPageBoundaries off ZoomFactor "100" Block { BlockType Inport Name "XA" SID "70" Position [320, 283, 350, 297] IconDisplay "Port number" } Block { BlockType Inport Name "XB" SID "71" Position [320, 393, 350, 407] Port "2" IconDisplay "Port number" } Block { BlockType Reference Name "Accumulator" SID "72" Ports [2, 1] Position [1115, 443, 1165, 492] NamePlacement "alternate" LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Accumulator" SourceType "Xilinx Accumulator Block" infoedit "Adder or subtractor-based accumulator. Output type and binary point position match the input" ".

Hardware notes: When \"Reinitialize with input 'b' on reset\" is selected, the accumulator is forced to " "run at the system rate even if the input 'b' is running at a slower rate." operation "Add" n_bits "32" overflow "Flag as error" scale "1" rst on hasbypass on en off dbl_ovrd off use_behavioral_HDL on implementation "Fabric" xl_use_area off xl_area "[17 33 0 32 0 0 0]" has_advanced_control "0" sggui_pos "20,20,348,457" block_type "accum" block_version "9.1.01" sg_icon_stat "50,49,2,1,white,blue,0,6949434e,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 50 50 0 0 ],[0 0 49 49 0 ],[0.77 0" ".82 0.91 ]);\nplot([0 50 50 0 0 ],[0 0 49 49 0 ]);\npatch([9.425 19.54 26.54 33.54 40.54 26.54 16.425 9.425 ],[" "31.77 31.77 38.77 31.77 38.77 38.77 38.77 31.77 ],[1 1 1 ]);\npatch([16.425 26.54 19.54 9.425 16.425 ],[24.77 2" "4.77 31.77 31.77 24.77 ],[0.931 0.946 0.973 ]);\npatch([9.425 19.54 26.54 16.425 9.425 ],[17.77 17.77 24.77 24." "77 17.77 ],[1 1 1 ]);\npatch([16.425 40.54 33.54 26.54 19.54 9.425 16.425 ],[10.77 10.77 17.77 10.77 17.77 17.7" "7 10.77 ],[0.931 0.946 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon te" "xt');\ncolor('black');port_label('input',1,'b');\ncolor('black');port_label('input',2,'rst');\ncolor('black');p" "ort_label('output',1,'\\bf+=b','texmode','on');\nfprintf('','COMMENT: end icon text');" } Block { BlockType Reference Name "Accumulator1" SID "73" Ports [2, 1] Position [1115, 378, 1165, 427] NamePlacement "alternate" LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Accumulator" SourceType "Xilinx Accumulator Block" infoedit "Adder or subtractor-based accumulator. Output type and binary point position match the input" ".

Hardware notes: When \"Reinitialize with input 'b' on reset\" is selected, the accumulator is forced to " "run at the system rate even if the input 'b' is running at a slower rate." operation "Add" n_bits "32" overflow "Flag as error" scale "1" rst on hasbypass on en off dbl_ovrd off use_behavioral_HDL on implementation "Fabric" xl_use_area off xl_area "[17 33 0 32 0 0 0]" has_advanced_control "0" sggui_pos "20,20,348,457" block_type "accum" block_version "9.1.01" sg_icon_stat "50,49,2,1,white,blue,0,6949434e,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 50 50 0 0 ],[0 0 49 49 0 ],[0.77 0" ".82 0.91 ]);\nplot([0 50 50 0 0 ],[0 0 49 49 0 ]);\npatch([9.425 19.54 26.54 33.54 40.54 26.54 16.425 9.425 ],[" "31.77 31.77 38.77 31.77 38.77 38.77 38.77 31.77 ],[1 1 1 ]);\npatch([16.425 26.54 19.54 9.425 16.425 ],[24.77 2" "4.77 31.77 31.77 24.77 ],[0.931 0.946 0.973 ]);\npatch([9.425 19.54 26.54 16.425 9.425 ],[17.77 17.77 24.77 24." "77 17.77 ],[1 1 1 ]);\npatch([16.425 40.54 33.54 26.54 19.54 9.425 16.425 ],[10.77 10.77 17.77 10.77 17.77 17.7" "7 10.77 ],[0.931 0.946 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon te" "xt');\ncolor('black');port_label('input',1,'b');\ncolor('black');port_label('input',2,'rst');\ncolor('black');p" "ort_label('output',1,'\\bf+=b','texmode','on');\nfprintf('','COMMENT: end icon text');" } Block { BlockType SubSystem Name "Adders" SID "74" Ports [16, 1] Position [815, 230, 890, 570] MinAlgLoopOccurrences off PropExecContextOutsideSubsystem off RTWSystemCode "Auto" FunctionWithSeparateData off Opaque off RequestExecContextInheritance off MaskHideContents off System { Name "Adders" Location [1072, 3, 1437, 1082] Open off ModelBrowserVisibility off ModelBrowserWidth 200 ScreenColor "white" PaperOrientation "landscape" PaperPositionMode "auto" PaperType "usletter" PaperUnits "inches" TiledPaperMargins [0.500000, 0.500000, 0.500000, 0.500000] TiledPageScale 1 ShowPageBoundaries off ZoomFactor "100" Block { BlockType Inport Name "In1" SID "75" Position [25, 48, 55, 62] IconDisplay "Port number" } Block { BlockType Inport Name "In2" SID "76" Position [25, 113, 55, 127] Port "2" IconDisplay "Port number" } Block { BlockType Inport Name "In3" SID "77" Position [25, 178, 55, 192] Port "3" IconDisplay "Port number" } Block { BlockType Inport Name "In4" SID "78" Position [25, 243, 55, 257] Port "4" IconDisplay "Port number" } Block { BlockType Inport Name "In5" SID "79" Position [25, 308, 55, 322] Port "5" IconDisplay "Port number" } Block { BlockType Inport Name "In6" SID "80" Position [25, 373, 55, 387] Port "6" IconDisplay "Port number" } Block { BlockType Inport Name "In7" SID "81" Position [25, 438, 55, 452] Port "7" IconDisplay "Port number" } Block { BlockType Inport Name "In8" SID "82" Position [25, 503, 55, 517] Port "8" IconDisplay "Port number" } Block { BlockType Inport Name "In9" SID "83" Position [25, 568, 55, 582] Port "9" IconDisplay "Port number" } Block { BlockType Inport Name "In10" SID "84" Position [25, 633, 55, 647] Port "10" IconDisplay "Port number" } Block { BlockType Inport Name "In11" SID "85" Position [25, 698, 55, 712] Port "11" IconDisplay "Port number" } Block { BlockType Inport Name "In12" SID "86" Position [25, 763, 55, 777] Port "12" IconDisplay "Port number" } Block { BlockType Inport Name "In13" SID "87" Position [25, 828, 55, 842] Port "13" IconDisplay "Port number" } Block { BlockType Inport Name "In14" SID "88" Position [25, 893, 55, 907] Port "14" IconDisplay "Port number" } Block { BlockType Inport Name "In15" SID "89" Position [25, 958, 55, 972] Port "15" IconDisplay "Port number" } Block { BlockType Inport Name "In16" SID "90" Position [25, 1023, 55, 1037] Port "16" IconDisplay "Port number" } Block { BlockType Reference Name "AddSub6" SID "91" Ports [2, 1] Position [235, 767, 285, 818] ShowName off LibraryVersion "1.2" SourceBlock "xbsIndex_r4/AddSub" SourceType "Xilinx Adder/Subtracter Block" mode "Addition" use_carryin off use_carryout off en off latency "0" precision "Full" arith_type "Signed (2's comp)" n_bits "1" bin_pt "0" quantization "Truncate" overflow "Flag as error" dbl_ovrd off use_behavioral_HDL on hw_selection "Fabric" pipelined off xl_use_area off xl_area "[15 0 0 28 0 0 0]" use_rpm "on" has_advanced_control "0" sggui_pos "20,20,348,344" block_type "addsub" block_version "9.1.01" sg_icon_stat "50,51,2,1,white,blue,0,e139daf6,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 50 50 0 0 ],[0 0 51 51 0 ],[0.77 0.82 0.9" "1 ]);\nplot([0 50 50 0 0 ],[0 0 51 51 0 ]);\npatch([9.425 19.54 26.54 33.54 40.54 26.54 16.425 9.425 ],[32.77 32.7" "7 39.77 32.77 39.77 39.77 39.77 32.77 ],[1 1 1 ]);\npatch([16.425 26.54 19.54 9.425 16.425 ],[25.77 25.77 32.77 32" ".77 25.77 ],[0.931 0.946 0.973 ]);\npatch([9.425 19.54 26.54 16.425 9.425 ],[18.77 18.77 25.77 25.77 18.77 ],[1 1 " "1 ]);\npatch([16.425 40.54 33.54 26.54 19.54 9.425 16.425 ],[11.77 11.77 18.77 11.77 18.77 18.77 11.77 ],[0.931 0." "946 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');" "port_label('input',1,'a');\ncolor('black');port_label('input',2,'b');\ncolor('black');port_label('output',1,'\\bf{" "a + b}','texmode','on');\nfprintf('','COMMENT: end icon text');" } Block { BlockType SubSystem Name "Adder Tree" SID "92" Ports [8, 1] Position [80, 550, 135, 1055] MinAlgLoopOccurrences off PropExecContextOutsideSubsystem off RTWSystemCode "Auto" FunctionWithSeparateData off Opaque off RequestExecContextInheritance off MaskHideContents off System { Name "Adder Tree" Location [2, 70, 1918, 1108] Open off ModelBrowserVisibility off ModelBrowserWidth 200 ScreenColor "white" PaperOrientation "landscape" PaperPositionMode "auto" PaperType "usletter" PaperUnits "inches" TiledPaperMargins [0.500000, 0.500000, 0.500000, 0.500000] TiledPageScale 1 ShowPageBoundaries off ZoomFactor "100" Block { BlockType Inport Name "In1" SID "93" Position [25, 33, 55, 47] IconDisplay "Port number" } Block { BlockType Inport Name "In2" SID "94" Position [25, 58, 55, 72] Port "2" IconDisplay "Port number" } Block { BlockType Inport Name "In3" SID "95" Position [25, 143, 55, 157] Port "3" IconDisplay "Port number" } Block { BlockType Inport Name "In4" SID "96" Position [25, 168, 55, 182] Port "4" IconDisplay "Port number" } Block { BlockType Inport Name "In5" SID "97" Position [25, 283, 55, 297] Port "5" IconDisplay "Port number" } Block { BlockType Inport Name "In6" SID "98" Position [25, 308, 55, 322] Port "6" IconDisplay "Port number" } Block { BlockType Inport Name "In7" SID "99" Position [25, 398, 55, 412] Port "7" IconDisplay "Port number" } Block { BlockType Inport Name "In8" SID "100" Position [25, 423, 55, 437] Port "8" IconDisplay "Port number" } Block { BlockType Reference Name "AddSub" SID "101" Ports [2, 1] Position [80, 27, 130, 78] ShowName off LibraryVersion "1.2" SourceBlock "xbsIndex_r4/AddSub" SourceType "Xilinx Adder/Subtracter Block" mode "Addition" use_carryin off use_carryout off en off latency "0" precision "Full" arith_type "Unsigned" n_bits "8" bin_pt "2" quantization "Truncate" overflow "Wrap" dbl_ovrd off use_behavioral_HDL on hw_selection "Fabric" pipelined off xl_use_area off xl_area "[13 0 0 25 0 0 0]" use_rpm "on" has_advanced_control "0" sggui_pos "20,20,348,344" block_type "addsub" block_version "9.1.01" sg_icon_stat "50,51,2,1,white,blue,0,e139daf6,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 50 50 0 0 ],[0 0 51 51 0 ],[0.77 0.82" " 0.91 ]);\nplot([0 50 50 0 0 ],[0 0 51 51 0 ]);\npatch([9.425 19.54 26.54 33.54 40.54 26.54 16.425 9.425 ],[32.7" "7 32.77 39.77 32.77 39.77 39.77 39.77 32.77 ],[1 1 1 ]);\npatch([16.425 26.54 19.54 9.425 16.425 ],[25.77 25.77 " "32.77 32.77 25.77 ],[0.931 0.946 0.973 ]);\npatch([9.425 19.54 26.54 16.425 9.425 ],[18.77 18.77 25.77 25.77 18." "77 ],[1 1 1 ]);\npatch([16.425 40.54 33.54 26.54 19.54 9.425 16.425 ],[11.77 11.77 18.77 11.77 18.77 18.77 11.77" " ],[0.931 0.946 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\nc" "olor('black');port_label('input',1,'a');\ncolor('black');port_label('input',2,'b');\ncolor('black');port_label('" "output',1,'\\bf{a + b}','texmode','on');\nfprintf('','COMMENT: end icon text');" } Block { BlockType Reference Name "AddSub1" SID "102" Ports [2, 1] Position [80, 137, 130, 188] ShowName off LibraryVersion "1.2" SourceBlock "xbsIndex_r4/AddSub" SourceType "Xilinx Adder/Subtracter Block" mode "Addition" use_carryin off use_carryout off en off latency "0" precision "Full" arith_type "Unsigned" n_bits "8" bin_pt "2" quantization "Truncate" overflow "Wrap" dbl_ovrd off use_behavioral_HDL on hw_selection "Fabric" pipelined off xl_use_area off xl_area "[13 0 0 25 0 0 0]" use_rpm "on" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "addsub" block_version "9.1.01" sg_icon_stat "50,51,2,1,white,blue,0,e139daf6,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 50 50 0 0 ],[0 0 51 51 0 ],[0.77 0.82" " 0.91 ]);\nplot([0 50 50 0 0 ],[0 0 51 51 0 ]);\npatch([9.425 19.54 26.54 33.54 40.54 26.54 16.425 9.425 ],[32.7" "7 32.77 39.77 32.77 39.77 39.77 39.77 32.77 ],[1 1 1 ]);\npatch([16.425 26.54 19.54 9.425 16.425 ],[25.77 25.77 " "32.77 32.77 25.77 ],[0.931 0.946 0.973 ]);\npatch([9.425 19.54 26.54 16.425 9.425 ],[18.77 18.77 25.77 25.77 18." "77 ],[1 1 1 ]);\npatch([16.425 40.54 33.54 26.54 19.54 9.425 16.425 ],[11.77 11.77 18.77 11.77 18.77 18.77 11.77" " ],[0.931 0.946 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\nc" "olor('black');port_label('input',1,'a');\ncolor('black');port_label('input',2,'b');\ncolor('black');port_label('" "output',1,'\\bf{a + b}','texmode','on');\nfprintf('','COMMENT: end icon text');" } Block { BlockType Reference Name "AddSub2" SID "103" Ports [2, 1] Position [165, 127, 215, 178] ShowName off LibraryVersion "1.2" SourceBlock "xbsIndex_r4/AddSub" SourceType "Xilinx Adder/Subtracter Block" mode "Addition" use_carryin off use_carryout off en off latency "1" precision "Full" arith_type "Unsigned" n_bits "8" bin_pt "2" quantization "Truncate" overflow "Wrap" dbl_ovrd off use_behavioral_HDL on hw_selection "Fabric" pipelined off xl_use_area off xl_area "[14 0 0 26 0 0 0]" use_rpm "on" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "addsub" block_version "9.1.01" sg_icon_stat "50,51,2,1,white,blue,0,e85d8a90,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 50 50 0 0 ],[0 0 51 51 0 ],[0.77 0.82" " 0.91 ]);\nplot([0 50 50 0 0 ],[0 0 51 51 0 ]);\npatch([9.425 19.54 26.54 33.54 40.54 26.54 16.425 9.425 ],[32.7" "7 32.77 39.77 32.77 39.77 39.77 39.77 32.77 ],[1 1 1 ]);\npatch([16.425 26.54 19.54 9.425 16.425 ],[25.77 25.77 " "32.77 32.77 25.77 ],[0.931 0.946 0.973 ]);\npatch([9.425 19.54 26.54 16.425 9.425 ],[18.77 18.77 25.77 25.77 18." "77 ],[1 1 1 ]);\npatch([16.425 40.54 33.54 26.54 19.54 9.425 16.425 ],[11.77 11.77 18.77 11.77 18.77 18.77 11.77" " ],[0.931 0.946 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\nc" "olor('black');port_label('input',1,'a');\ncolor('black');port_label('input',2,'b');\ncolor('black');port_label('" "output',1,'\\bf{a + b}','texmode','on');\ncolor('black');disp('z^{-1}\\newline ','texmode','on');\ncolor('black'" ");disp(' \\newline\\bf{}','texmode','on');\nfprintf('','COMMENT: end icon text');" } Block { BlockType Reference Name "AddSub3" SID "104" Ports [2, 1] Position [80, 277, 130, 328] ShowName off LibraryVersion "1.2" SourceBlock "xbsIndex_r4/AddSub" SourceType "Xilinx Adder/Subtracter Block" mode "Addition" use_carryin off use_carryout off en off latency "0" precision "Full" arith_type "Unsigned" n_bits "8" bin_pt "2" quantization "Truncate" overflow "Wrap" dbl_ovrd off use_behavioral_HDL on hw_selection "Fabric" pipelined off xl_use_area off xl_area "[13 0 0 25 0 0 0]" use_rpm "on" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "addsub" block_version "9.1.01" sg_icon_stat "50,51,2,1,white,blue,0,e139daf6,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 50 50 0 0 ],[0 0 51 51 0 ],[0.77 0.82" " 0.91 ]);\nplot([0 50 50 0 0 ],[0 0 51 51 0 ]);\npatch([9.425 19.54 26.54 33.54 40.54 26.54 16.425 9.425 ],[32.7" "7 32.77 39.77 32.77 39.77 39.77 39.77 32.77 ],[1 1 1 ]);\npatch([16.425 26.54 19.54 9.425 16.425 ],[25.77 25.77 " "32.77 32.77 25.77 ],[0.931 0.946 0.973 ]);\npatch([9.425 19.54 26.54 16.425 9.425 ],[18.77 18.77 25.77 25.77 18." "77 ],[1 1 1 ]);\npatch([16.425 40.54 33.54 26.54 19.54 9.425 16.425 ],[11.77 11.77 18.77 11.77 18.77 18.77 11.77" " ],[0.931 0.946 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\nc" "olor('black');port_label('input',1,'a');\ncolor('black');port_label('input',2,'b');\ncolor('black');port_label('" "output',1,'\\bf{a + b}','texmode','on');\nfprintf('','COMMENT: end icon text');" } Block { BlockType Reference Name "AddSub4" SID "105" Ports [2, 1] Position [80, 392, 130, 443] ShowName off LibraryVersion "1.2" SourceBlock "xbsIndex_r4/AddSub" SourceType "Xilinx Adder/Subtracter Block" mode "Addition" use_carryin off use_carryout off en off latency "0" precision "Full" arith_type "Unsigned" n_bits "8" bin_pt "2" quantization "Truncate" overflow "Wrap" dbl_ovrd off use_behavioral_HDL on hw_selection "Fabric" pipelined off xl_use_area off xl_area "[13 0 0 25 0 0 0]" use_rpm "on" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "addsub" block_version "9.1.01" sg_icon_stat "50,51,2,1,white,blue,0,e139daf6,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 50 50 0 0 ],[0 0 51 51 0 ],[0.77 0.82" " 0.91 ]);\nplot([0 50 50 0 0 ],[0 0 51 51 0 ]);\npatch([9.425 19.54 26.54 33.54 40.54 26.54 16.425 9.425 ],[32.7" "7 32.77 39.77 32.77 39.77 39.77 39.77 32.77 ],[1 1 1 ]);\npatch([16.425 26.54 19.54 9.425 16.425 ],[25.77 25.77 " "32.77 32.77 25.77 ],[0.931 0.946 0.973 ]);\npatch([9.425 19.54 26.54 16.425 9.425 ],[18.77 18.77 25.77 25.77 18." "77 ],[1 1 1 ]);\npatch([16.425 40.54 33.54 26.54 19.54 9.425 16.425 ],[11.77 11.77 18.77 11.77 18.77 18.77 11.77" " ],[0.931 0.946 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\nc" "olor('black');port_label('input',1,'a');\ncolor('black');port_label('input',2,'b');\ncolor('black');port_label('" "output',1,'\\bf{a + b}','texmode','on');\nfprintf('','COMMENT: end icon text');" } Block { BlockType Reference Name "AddSub5" SID "106" Ports [2, 1] Position [165, 292, 215, 343] ShowName off LibraryVersion "1.2" SourceBlock "xbsIndex_r4/AddSub" SourceType "Xilinx Adder/Subtracter Block" mode "Addition" use_carryin off use_carryout off en off latency "1" precision "Full" arith_type "Unsigned" n_bits "8" bin_pt "2" quantization "Truncate" overflow "Wrap" dbl_ovrd off use_behavioral_HDL on hw_selection "Fabric" pipelined off xl_use_area off xl_area "[14 0 0 26 0 0 0]" use_rpm "on" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "addsub" block_version "9.1.01" sg_icon_stat "50,51,2,1,white,blue,0,e85d8a90,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 50 50 0 0 ],[0 0 51 51 0 ],[0.77 0.82" " 0.91 ]);\nplot([0 50 50 0 0 ],[0 0 51 51 0 ]);\npatch([9.425 19.54 26.54 33.54 40.54 26.54 16.425 9.425 ],[32.7" "7 32.77 39.77 32.77 39.77 39.77 39.77 32.77 ],[1 1 1 ]);\npatch([16.425 26.54 19.54 9.425 16.425 ],[25.77 25.77 " "32.77 32.77 25.77 ],[0.931 0.946 0.973 ]);\npatch([9.425 19.54 26.54 16.425 9.425 ],[18.77 18.77 25.77 25.77 18." "77 ],[1 1 1 ]);\npatch([16.425 40.54 33.54 26.54 19.54 9.425 16.425 ],[11.77 11.77 18.77 11.77 18.77 18.77 11.77" " ],[0.931 0.946 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\nc" "olor('black');port_label('input',1,'a');\ncolor('black');port_label('input',2,'b');\ncolor('black');port_label('" "output',1,'\\bf{a + b}','texmode','on');\ncolor('black');disp('z^{-1}\\newline ','texmode','on');\ncolor('black'" ");disp(' \\newline\\bf{}','texmode','on');\nfprintf('','COMMENT: end icon text');" } Block { BlockType Reference Name "AddSub6" SID "107" Ports [2, 1] Position [265, 192, 315, 243] ShowName off LibraryVersion "1.2" SourceBlock "xbsIndex_r4/AddSub" SourceType "Xilinx Adder/Subtracter Block" mode "Addition" use_carryin off use_carryout off en off latency "0" precision "Full" arith_type "Signed (2's comp)" n_bits "1" bin_pt "0" quantization "Truncate" overflow "Flag as error" dbl_ovrd off use_behavioral_HDL on hw_selection "Fabric" pipelined off xl_use_area off xl_area "[14 0 0 27 0 0 0]" use_rpm "on" has_advanced_control "0" sggui_pos "20,20,348,344" block_type "addsub" block_version "9.1.01" sg_icon_stat "50,51,2,1,white,blue,0,e139daf6,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 50 50 0 0 ],[0 0 51 51 0 ],[0.77 0.82" " 0.91 ]);\nplot([0 50 50 0 0 ],[0 0 51 51 0 ]);\npatch([9.425 19.54 26.54 33.54 40.54 26.54 16.425 9.425 ],[32.7" "7 32.77 39.77 32.77 39.77 39.77 39.77 32.77 ],[1 1 1 ]);\npatch([16.425 26.54 19.54 9.425 16.425 ],[25.77 25.77 " "32.77 32.77 25.77 ],[0.931 0.946 0.973 ]);\npatch([9.425 19.54 26.54 16.425 9.425 ],[18.77 18.77 25.77 25.77 18." "77 ],[1 1 1 ]);\npatch([16.425 40.54 33.54 26.54 19.54 9.425 16.425 ],[11.77 11.77 18.77 11.77 18.77 18.77 11.77" " ],[0.931 0.946 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\nc" "olor('black');port_label('input',1,'a');\ncolor('black');port_label('input',2,'b');\ncolor('black');port_label('" "output',1,'\\bf{a + b}','texmode','on');\nfprintf('','COMMENT: end icon text');" } Block { BlockType Outport Name "Out1" SID "108" Position [340, 213, 370, 227] IconDisplay "Port number" } Line { SrcBlock "In8" SrcPort 1 DstBlock "AddSub4" DstPort 2 } Line { SrcBlock "In7" SrcPort 1 DstBlock "AddSub4" DstPort 1 } Line { SrcBlock "In6" SrcPort 1 DstBlock "AddSub3" DstPort 2 } Line { SrcBlock "In5" SrcPort 1 DstBlock "AddSub3" DstPort 1 } Line { SrcBlock "AddSub6" SrcPort 1 DstBlock "Out1" DstPort 1 } Line { SrcBlock "In4" SrcPort 1 DstBlock "AddSub1" DstPort 2 } Line { SrcBlock "In3" SrcPort 1 DstBlock "AddSub1" DstPort 1 } Line { SrcBlock "In2" SrcPort 1 DstBlock "AddSub" DstPort 2 } Line { SrcBlock "In1" SrcPort 1 DstBlock "AddSub" DstPort 1 } Line { SrcBlock "AddSub" SrcPort 1 Points [10, 0; 0, 85] DstBlock "AddSub2" DstPort 1 } Line { SrcBlock "AddSub1" SrcPort 1 DstBlock "AddSub2" DstPort 2 } Line { SrcBlock "AddSub3" SrcPort 1 DstBlock "AddSub5" DstPort 1 } Line { SrcBlock "AddSub4" SrcPort 1 Points [15, 0] DstBlock "AddSub5" DstPort 2 } Line { SrcBlock "AddSub2" SrcPort 1 Points [30, 0] DstBlock "AddSub6" DstPort 1 } Line { SrcBlock "AddSub5" SrcPort 1 Points [30, 0] DstBlock "AddSub6" DstPort 2 } } } Block { BlockType SubSystem Name "Adder Tree1" SID "109" Ports [8, 1] Position [80, 30, 135, 535] NamePlacement "alternate" MinAlgLoopOccurrences off PropExecContextOutsideSubsystem off RTWSystemCode "Auto" FunctionWithSeparateData off Opaque off RequestExecContextInheritance off MaskHideContents off System { Name "Adder Tree1" Location [2, 70, 1918, 1108] Open off ModelBrowserVisibility off ModelBrowserWidth 200 ScreenColor "white" PaperOrientation "landscape" PaperPositionMode "auto" PaperType "usletter" PaperUnits "inches" TiledPaperMargins [0.500000, 0.500000, 0.500000, 0.500000] TiledPageScale 1 ShowPageBoundaries off ZoomFactor "100" Block { BlockType Inport Name "In1" SID "110" Position [25, 33, 55, 47] IconDisplay "Port number" } Block { BlockType Inport Name "In2" SID "111" Position [25, 58, 55, 72] Port "2" IconDisplay "Port number" } Block { BlockType Inport Name "In3" SID "112" Position [25, 143, 55, 157] Port "3" IconDisplay "Port number" } Block { BlockType Inport Name "In4" SID "113" Position [25, 168, 55, 182] Port "4" IconDisplay "Port number" } Block { BlockType Inport Name "In5" SID "114" Position [25, 283, 55, 297] Port "5" IconDisplay "Port number" } Block { BlockType Inport Name "In6" SID "115" Position [25, 308, 55, 322] Port "6" IconDisplay "Port number" } Block { BlockType Inport Name "In7" SID "116" Position [25, 398, 55, 412] Port "7" IconDisplay "Port number" } Block { BlockType Inport Name "In8" SID "117" Position [25, 423, 55, 437] Port "8" IconDisplay "Port number" } Block { BlockType Reference Name "AddSub" SID "118" Ports [2, 1] Position [80, 27, 130, 78] ShowName off LibraryVersion "1.2" SourceBlock "xbsIndex_r4/AddSub" SourceType "Xilinx Adder/Subtracter Block" mode "Addition" use_carryin off use_carryout off en off latency "0" precision "Full" arith_type "Unsigned" n_bits "8" bin_pt "2" quantization "Truncate" overflow "Wrap" dbl_ovrd off use_behavioral_HDL on hw_selection "Fabric" pipelined off xl_use_area off xl_area "[13 0 0 25 0 0 0]" use_rpm "on" has_advanced_control "0" sggui_pos "20,20,348,344" block_type "addsub" block_version "9.1.01" sg_icon_stat "50,51,2,1,white,blue,0,e139daf6,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 50 50 0 0 ],[0 0 51 51 0 ],[0.77 0.82" " 0.91 ]);\nplot([0 50 50 0 0 ],[0 0 51 51 0 ]);\npatch([9.425 19.54 26.54 33.54 40.54 26.54 16.425 9.425 ],[32.7" "7 32.77 39.77 32.77 39.77 39.77 39.77 32.77 ],[1 1 1 ]);\npatch([16.425 26.54 19.54 9.425 16.425 ],[25.77 25.77 " "32.77 32.77 25.77 ],[0.931 0.946 0.973 ]);\npatch([9.425 19.54 26.54 16.425 9.425 ],[18.77 18.77 25.77 25.77 18." "77 ],[1 1 1 ]);\npatch([16.425 40.54 33.54 26.54 19.54 9.425 16.425 ],[11.77 11.77 18.77 11.77 18.77 18.77 11.77" " ],[0.931 0.946 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\nc" "olor('black');port_label('input',1,'a');\ncolor('black');port_label('input',2,'b');\ncolor('black');port_label('" "output',1,'\\bf{a + b}','texmode','on');\nfprintf('','COMMENT: end icon text');" } Block { BlockType Reference Name "AddSub1" SID "119" Ports [2, 1] Position [80, 137, 130, 188] ShowName off LibraryVersion "1.2" SourceBlock "xbsIndex_r4/AddSub" SourceType "Xilinx Adder/Subtracter Block" mode "Addition" use_carryin off use_carryout off en off latency "0" precision "Full" arith_type "Unsigned" n_bits "8" bin_pt "2" quantization "Truncate" overflow "Wrap" dbl_ovrd off use_behavioral_HDL on hw_selection "Fabric" pipelined off xl_use_area off xl_area "[13 0 0 25 0 0 0]" use_rpm "on" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "addsub" block_version "9.1.01" sg_icon_stat "50,51,2,1,white,blue,0,e139daf6,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 50 50 0 0 ],[0 0 51 51 0 ],[0.77 0.82" " 0.91 ]);\nplot([0 50 50 0 0 ],[0 0 51 51 0 ]);\npatch([9.425 19.54 26.54 33.54 40.54 26.54 16.425 9.425 ],[32.7" "7 32.77 39.77 32.77 39.77 39.77 39.77 32.77 ],[1 1 1 ]);\npatch([16.425 26.54 19.54 9.425 16.425 ],[25.77 25.77 " "32.77 32.77 25.77 ],[0.931 0.946 0.973 ]);\npatch([9.425 19.54 26.54 16.425 9.425 ],[18.77 18.77 25.77 25.77 18." "77 ],[1 1 1 ]);\npatch([16.425 40.54 33.54 26.54 19.54 9.425 16.425 ],[11.77 11.77 18.77 11.77 18.77 18.77 11.77" " ],[0.931 0.946 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\nc" "olor('black');port_label('input',1,'a');\ncolor('black');port_label('input',2,'b');\ncolor('black');port_label('" "output',1,'\\bf{a + b}','texmode','on');\nfprintf('','COMMENT: end icon text');" } Block { BlockType Reference Name "AddSub2" SID "120" Ports [2, 1] Position [165, 127, 215, 178] ShowName off LibraryVersion "1.2" SourceBlock "xbsIndex_r4/AddSub" SourceType "Xilinx Adder/Subtracter Block" mode "Addition" use_carryin off use_carryout off en off latency "1" precision "Full" arith_type "Unsigned" n_bits "8" bin_pt "2" quantization "Truncate" overflow "Wrap" dbl_ovrd off use_behavioral_HDL on hw_selection "Fabric" pipelined off xl_use_area off xl_area "[14 0 0 26 0 0 0]" use_rpm "on" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "addsub" block_version "9.1.01" sg_icon_stat "50,51,2,1,white,blue,0,e85d8a90,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 50 50 0 0 ],[0 0 51 51 0 ],[0.77 0.82" " 0.91 ]);\nplot([0 50 50 0 0 ],[0 0 51 51 0 ]);\npatch([9.425 19.54 26.54 33.54 40.54 26.54 16.425 9.425 ],[32.7" "7 32.77 39.77 32.77 39.77 39.77 39.77 32.77 ],[1 1 1 ]);\npatch([16.425 26.54 19.54 9.425 16.425 ],[25.77 25.77 " "32.77 32.77 25.77 ],[0.931 0.946 0.973 ]);\npatch([9.425 19.54 26.54 16.425 9.425 ],[18.77 18.77 25.77 25.77 18." "77 ],[1 1 1 ]);\npatch([16.425 40.54 33.54 26.54 19.54 9.425 16.425 ],[11.77 11.77 18.77 11.77 18.77 18.77 11.77" " ],[0.931 0.946 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\nc" "olor('black');port_label('input',1,'a');\ncolor('black');port_label('input',2,'b');\ncolor('black');port_label('" "output',1,'\\bf{a + b}','texmode','on');\ncolor('black');disp('z^{-1}\\newline ','texmode','on');\ncolor('black'" ");disp(' \\newline\\bf{}','texmode','on');\nfprintf('','COMMENT: end icon text');" } Block { BlockType Reference Name "AddSub3" SID "121" Ports [2, 1] Position [80, 277, 130, 328] ShowName off LibraryVersion "1.2" SourceBlock "xbsIndex_r4/AddSub" SourceType "Xilinx Adder/Subtracter Block" mode "Addition" use_carryin off use_carryout off en off latency "0" precision "Full" arith_type "Unsigned" n_bits "8" bin_pt "2" quantization "Truncate" overflow "Wrap" dbl_ovrd off use_behavioral_HDL on hw_selection "Fabric" pipelined off xl_use_area off xl_area "[13 0 0 25 0 0 0]" use_rpm "on" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "addsub" block_version "9.1.01" sg_icon_stat "50,51,2,1,white,blue,0,e139daf6,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 50 50 0 0 ],[0 0 51 51 0 ],[0.77 0.82" " 0.91 ]);\nplot([0 50 50 0 0 ],[0 0 51 51 0 ]);\npatch([9.425 19.54 26.54 33.54 40.54 26.54 16.425 9.425 ],[32.7" "7 32.77 39.77 32.77 39.77 39.77 39.77 32.77 ],[1 1 1 ]);\npatch([16.425 26.54 19.54 9.425 16.425 ],[25.77 25.77 " "32.77 32.77 25.77 ],[0.931 0.946 0.973 ]);\npatch([9.425 19.54 26.54 16.425 9.425 ],[18.77 18.77 25.77 25.77 18." "77 ],[1 1 1 ]);\npatch([16.425 40.54 33.54 26.54 19.54 9.425 16.425 ],[11.77 11.77 18.77 11.77 18.77 18.77 11.77" " ],[0.931 0.946 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\nc" "olor('black');port_label('input',1,'a');\ncolor('black');port_label('input',2,'b');\ncolor('black');port_label('" "output',1,'\\bf{a + b}','texmode','on');\nfprintf('','COMMENT: end icon text');" } Block { BlockType Reference Name "AddSub4" SID "122" Ports [2, 1] Position [80, 392, 130, 443] ShowName off LibraryVersion "1.2" SourceBlock "xbsIndex_r4/AddSub" SourceType "Xilinx Adder/Subtracter Block" mode "Addition" use_carryin off use_carryout off en off latency "0" precision "Full" arith_type "Unsigned" n_bits "8" bin_pt "2" quantization "Truncate" overflow "Wrap" dbl_ovrd off use_behavioral_HDL on hw_selection "Fabric" pipelined off xl_use_area off xl_area "[13 0 0 25 0 0 0]" use_rpm "on" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "addsub" block_version "9.1.01" sg_icon_stat "50,51,2,1,white,blue,0,e139daf6,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 50 50 0 0 ],[0 0 51 51 0 ],[0.77 0.82" " 0.91 ]);\nplot([0 50 50 0 0 ],[0 0 51 51 0 ]);\npatch([9.425 19.54 26.54 33.54 40.54 26.54 16.425 9.425 ],[32.7" "7 32.77 39.77 32.77 39.77 39.77 39.77 32.77 ],[1 1 1 ]);\npatch([16.425 26.54 19.54 9.425 16.425 ],[25.77 25.77 " "32.77 32.77 25.77 ],[0.931 0.946 0.973 ]);\npatch([9.425 19.54 26.54 16.425 9.425 ],[18.77 18.77 25.77 25.77 18." "77 ],[1 1 1 ]);\npatch([16.425 40.54 33.54 26.54 19.54 9.425 16.425 ],[11.77 11.77 18.77 11.77 18.77 18.77 11.77" " ],[0.931 0.946 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\nc" "olor('black');port_label('input',1,'a');\ncolor('black');port_label('input',2,'b');\ncolor('black');port_label('" "output',1,'\\bf{a + b}','texmode','on');\nfprintf('','COMMENT: end icon text');" } Block { BlockType Reference Name "AddSub5" SID "123" Ports [2, 1] Position [165, 292, 215, 343] ShowName off LibraryVersion "1.2" SourceBlock "xbsIndex_r4/AddSub" SourceType "Xilinx Adder/Subtracter Block" mode "Addition" use_carryin off use_carryout off en off latency "1" precision "Full" arith_type "Unsigned" n_bits "8" bin_pt "2" quantization "Truncate" overflow "Wrap" dbl_ovrd off use_behavioral_HDL on hw_selection "Fabric" pipelined off xl_use_area off xl_area "[14 0 0 26 0 0 0]" use_rpm "on" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "addsub" block_version "9.1.01" sg_icon_stat "50,51,2,1,white,blue,0,e85d8a90,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 50 50 0 0 ],[0 0 51 51 0 ],[0.77 0.82" " 0.91 ]);\nplot([0 50 50 0 0 ],[0 0 51 51 0 ]);\npatch([9.425 19.54 26.54 33.54 40.54 26.54 16.425 9.425 ],[32.7" "7 32.77 39.77 32.77 39.77 39.77 39.77 32.77 ],[1 1 1 ]);\npatch([16.425 26.54 19.54 9.425 16.425 ],[25.77 25.77 " "32.77 32.77 25.77 ],[0.931 0.946 0.973 ]);\npatch([9.425 19.54 26.54 16.425 9.425 ],[18.77 18.77 25.77 25.77 18." "77 ],[1 1 1 ]);\npatch([16.425 40.54 33.54 26.54 19.54 9.425 16.425 ],[11.77 11.77 18.77 11.77 18.77 18.77 11.77" " ],[0.931 0.946 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\nc" "olor('black');port_label('input',1,'a');\ncolor('black');port_label('input',2,'b');\ncolor('black');port_label('" "output',1,'\\bf{a + b}','texmode','on');\ncolor('black');disp('z^{-1}\\newline ','texmode','on');\ncolor('black'" ");disp(' \\newline\\bf{}','texmode','on');\nfprintf('','COMMENT: end icon text');" } Block { BlockType Reference Name "AddSub6" SID "124" Ports [2, 1] Position [265, 192, 315, 243] ShowName off LibraryVersion "1.2" SourceBlock "xbsIndex_r4/AddSub" SourceType "Xilinx Adder/Subtracter Block" mode "Addition" use_carryin off use_carryout off en off latency "0" precision "Full" arith_type "Signed (2's comp)" n_bits "1" bin_pt "0" quantization "Truncate" overflow "Flag as error" dbl_ovrd off use_behavioral_HDL on hw_selection "Fabric" pipelined off xl_use_area off xl_area "[14 0 0 27 0 0 0]" use_rpm "on" has_advanced_control "0" sggui_pos "20,20,348,344" block_type "addsub" block_version "9.1.01" sg_icon_stat "50,51,2,1,white,blue,0,e139daf6,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 50 50 0 0 ],[0 0 51 51 0 ],[0.77 0.82" " 0.91 ]);\nplot([0 50 50 0 0 ],[0 0 51 51 0 ]);\npatch([9.425 19.54 26.54 33.54 40.54 26.54 16.425 9.425 ],[32.7" "7 32.77 39.77 32.77 39.77 39.77 39.77 32.77 ],[1 1 1 ]);\npatch([16.425 26.54 19.54 9.425 16.425 ],[25.77 25.77 " "32.77 32.77 25.77 ],[0.931 0.946 0.973 ]);\npatch([9.425 19.54 26.54 16.425 9.425 ],[18.77 18.77 25.77 25.77 18." "77 ],[1 1 1 ]);\npatch([16.425 40.54 33.54 26.54 19.54 9.425 16.425 ],[11.77 11.77 18.77 11.77 18.77 18.77 11.77" " ],[0.931 0.946 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\nc" "olor('black');port_label('input',1,'a');\ncolor('black');port_label('input',2,'b');\ncolor('black');port_label('" "output',1,'\\bf{a + b}','texmode','on');\nfprintf('','COMMENT: end icon text');" } Block { BlockType Outport Name "Out1" SID "125" Position [340, 213, 370, 227] IconDisplay "Port number" } Line { SrcBlock "AddSub5" SrcPort 1 Points [30, 0] DstBlock "AddSub6" DstPort 2 } Line { SrcBlock "AddSub2" SrcPort 1 Points [30, 0] DstBlock "AddSub6" DstPort 1 } Line { SrcBlock "AddSub4" SrcPort 1 Points [15, 0] DstBlock "AddSub5" DstPort 2 } Line { SrcBlock "AddSub3" SrcPort 1 DstBlock "AddSub5" DstPort 1 } Line { SrcBlock "AddSub1" SrcPort 1 DstBlock "AddSub2" DstPort 2 } Line { SrcBlock "AddSub" SrcPort 1 Points [10, 0; 0, 85] DstBlock "AddSub2" DstPort 1 } Line { SrcBlock "In1" SrcPort 1 DstBlock "AddSub" DstPort 1 } Line { SrcBlock "In2" SrcPort 1 DstBlock "AddSub" DstPort 2 } Line { SrcBlock "In3" SrcPort 1 DstBlock "AddSub1" DstPort 1 } Line { SrcBlock "In4" SrcPort 1 DstBlock "AddSub1" DstPort 2 } Line { SrcBlock "AddSub6" SrcPort 1 DstBlock "Out1" DstPort 1 } Line { SrcBlock "In5" SrcPort 1 DstBlock "AddSub3" DstPort 1 } Line { SrcBlock "In6" SrcPort 1 DstBlock "AddSub3" DstPort 2 } Line { SrcBlock "In7" SrcPort 1 DstBlock "AddSub4" DstPort 1 } Line { SrcBlock "In8" SrcPort 1 DstBlock "AddSub4" DstPort 2 } } } Block { BlockType Outport Name "Out1" SID "126" Position [310, 788, 340, 802] IconDisplay "Port number" } Line { SrcBlock "Adder Tree" SrcPort 1 DstBlock "AddSub6" DstPort 2 } Line { SrcBlock "Adder Tree1" SrcPort 1 Points [25, 0; 0, 495] DstBlock "AddSub6" DstPort 1 } Line { SrcBlock "In1" SrcPort 1 DstBlock "Adder Tree1" DstPort 1 } Line { SrcBlock "In2" SrcPort 1 DstBlock "Adder Tree1" DstPort 2 } Line { SrcBlock "In3" SrcPort 1 DstBlock "Adder Tree1" DstPort 3 } Line { SrcBlock "In4" SrcPort 1 DstBlock "Adder Tree1" DstPort 4 } Line { SrcBlock "In5" SrcPort 1 DstBlock "Adder Tree1" DstPort 5 } Line { SrcBlock "In6" SrcPort 1 DstBlock "Adder Tree1" DstPort 6 } Line { SrcBlock "In7" SrcPort 1 DstBlock "Adder Tree1" DstPort 7 } Line { SrcBlock "In8" SrcPort 1 DstBlock "Adder Tree1" DstPort 8 } Line { SrcBlock "In9" SrcPort 1 DstBlock "Adder Tree" DstPort 1 } Line { SrcBlock "In10" SrcPort 1 DstBlock "Adder Tree" DstPort 2 } Line { SrcBlock "In11" SrcPort 1 DstBlock "Adder Tree" DstPort 3 } Line { SrcBlock "In12" SrcPort 1 DstBlock "Adder Tree" DstPort 4 } Line { SrcBlock "AddSub6" SrcPort 1 DstBlock "Out1" DstPort 1 } Line { SrcBlock "In13" SrcPort 1 DstBlock "Adder Tree" DstPort 5 } Line { SrcBlock "In14" SrcPort 1 DstBlock "Adder Tree" DstPort 6 } Line { SrcBlock "In15" SrcPort 1 DstBlock "Adder Tree" DstPort 7 } Line { SrcBlock "In16" SrcPort 1 DstBlock "Adder Tree" DstPort 8 } } } Block { BlockType Reference Name "Constant" SID "127" Ports [0, 1] Position [785, 660, 810, 680] ShowName off LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Constant" SourceType "Xilinx Constant Block Block" const "3" gui_display_data_type "Fixed-point" arith_type "Unsigned" n_bits "3" bin_pt "0" preci_type "Single" exp_width "8" frac_width "24" explicit_period off period "1" dsp48_infoedit "The use of this block for DSP48 instructions is deprecated. Please use the Opmode block." equ "P=C" opselect "C" inp2 "PCIN>>17" opr "+" inp1 "P" carry "CIN" dbl_ovrd off has_advanced_control "0" sggui_pos "20,20,400,346" block_type "constant" block_version "9.1.01" sg_icon_stat "25,20,0,1,white,blue,0,279a71c8,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 25 25 0 0 ],[0 0 20 20 0 ],[0.77 0" ".82 0.91 ]);\nplot([0 25 25 0 0 ],[0 0 20 20 0 ]);\npatch([7.55 10.44 12.44 14.44 16.44 12.44 9.55 7.55 ],[12.2" "2 12.22 14.22 12.22 14.22 14.22 14.22 12.22 ],[1 1 1 ]);\npatch([9.55 12.44 10.44 7.55 9.55 ],[10.22 10.22 12.2" "2 12.22 10.22 ],[0.931 0.946 0.973 ]);\npatch([7.55 10.44 12.44 9.55 7.55 ],[8.22 8.22 10.22 10.22 8.22 ],[1 1 " "1 ]);\npatch([9.55 16.44 14.44 12.44 10.44 7.55 9.55 ],[6.22 6.22 8.22 6.22 8.22 8.22 6.22 ],[0.931 0.946 0.973" " ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_l" "abel('output',1,'3');\nfprintf('','COMMENT: end icon text');" } Block { BlockType Reference Name "Convert" SID "128" Ports [1, 1] Position [1300, 462, 1335, 478] ShowName off LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Convert" SourceType "Xilinx Type Converter Block" infoedit "Hardware notes: rounding and saturating require hardware resources; truncating and wrapping do" " not." gui_display_data_type "Fixed-point" arith_type "Signed (2's comp)" n_bits "14" bin_pt "13" float_type "Single" exp_bits "8" fraction_bits "24" quantization "Round (unbiased: +/- Inf)" overflow "Wrap" en off latency "0" dbl_ovrd off pipeline off xl_use_area off xl_area "[11 0 0 18 0 0 0]" has_advanced_control "0" sggui_pos "20,20,461,334" block_type "convert" block_version "10.1.3" sg_icon_stat "35,16,1,1,white,blue,0,edca21da,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 35 35 0 0 ],[0 0 16 16 0 ],[0.77 0" ".82 0.91 ]);\nplot([0 35 35 0 0 ],[0 0 16 16 0 ]);\npatch([12.55 15.44 17.44 19.44 21.44 17.44 14.55 12.55 ],[1" "0.22 10.22 12.22 10.22 12.22 12.22 12.22 10.22 ],[1 1 1 ]);\npatch([14.55 17.44 15.44 12.55 14.55 ],[8.22 8.22 " "10.22 10.22 8.22 ],[0.931 0.946 0.973 ]);\npatch([12.55 15.44 17.44 14.55 12.55 ],[6.22 6.22 8.22 8.22 6.22 ],[" "1 1 1 ]);\npatch([14.55 21.44 19.44 17.44 15.44 12.55 14.55 ],[4.22 4.22 6.22 4.22 6.22 6.22 4.22 ],[0.931 0.94" "6 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\n\ncolor('black" "');port_label('output',1,'cast');\nfprintf('','COMMENT: end icon text');" } Block { BlockType Reference Name "Convert1" SID "129" Ports [1, 1] Position [1300, 397, 1335, 413] ShowName off LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Convert" SourceType "Xilinx Type Converter Block" infoedit "Hardware notes: rounding and saturating require hardware resources; truncating and wrapping do" " not." gui_display_data_type "Fixed-point" arith_type "Signed (2's comp)" n_bits "14" bin_pt "13" float_type "Single" exp_bits "8" fraction_bits "24" quantization "Round (unbiased: +/- Inf)" overflow "Wrap" en off latency "0" dbl_ovrd off pipeline off xl_use_area off xl_area "[11 0 0 18 0 0 0]" has_advanced_control "0" sggui_pos "20,20,461,334" block_type "convert" block_version "10.1.3" sg_icon_stat "35,16,1,1,white,blue,0,edca21da,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 35 35 0 0 ],[0 0 16 16 0 ],[0.77 0" ".82 0.91 ]);\nplot([0 35 35 0 0 ],[0 0 16 16 0 ]);\npatch([12.55 15.44 17.44 19.44 21.44 17.44 14.55 12.55 ],[1" "0.22 10.22 12.22 10.22 12.22 12.22 12.22 10.22 ],[1 1 1 ]);\npatch([14.55 17.44 15.44 12.55 14.55 ],[8.22 8.22 " "10.22 10.22 8.22 ],[0.931 0.946 0.973 ]);\npatch([12.55 15.44 17.44 14.55 12.55 ],[6.22 6.22 8.22 8.22 6.22 ],[" "1 1 1 ]);\npatch([14.55 21.44 19.44 17.44 15.44 12.55 14.55 ],[4.22 4.22 6.22 4.22 6.22 6.22 4.22 ],[0.931 0.94" "6 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\n\ncolor('black" "');port_label('output',1,'cast');\nfprintf('','COMMENT: end icon text');" } Block { BlockType Reference Name "Delay1" SID "130" Ports [1, 1] Position [510, 675, 535, 705] ShowName off LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Delay" SourceType "Xilinx Delay Block" infoedit "Hardware notes: A delay line is a chain, each link of which is an SRL16 followed by a flip-flo" "p." rst off infoeditControl "Selection of Reset will increase slice count due to use of real FFs and instead of SRLs" en off latency "0" dbl_ovrd off reg_retiming off xl_use_area off xl_area "[0 0 0 0 0 0 0]" has_advanced_control "0" sggui_pos "20,20,348,255" block_type "delay" block_version "9.1.01" sg_icon_stat "25,30,1,1,white,blue,0,24450e6f,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 25 25 0 0 ],[0 0 30 30 0 ],[0.77 0" ".82 0.91 ]);\nplot([0 25 25 0 0 ],[0 0 30 30 0 ]);\npatch([5.325 9.66 12.66 15.66 18.66 12.66 8.325 5.325 ],[18" ".33 18.33 21.33 18.33 21.33 21.33 21.33 18.33 ],[1 1 1 ]);\npatch([8.325 12.66 9.66 5.325 8.325 ],[15.33 15.33 " "18.33 18.33 15.33 ],[0.931 0.946 0.973 ]);\npatch([5.325 9.66 12.66 8.325 5.325 ],[12.33 12.33 15.33 15.33 12.3" "3 ],[1 1 1 ]);\npatch([8.325 18.66 15.66 12.66 9.66 5.325 8.325 ],[9.33 9.33 12.33 9.33 12.33 12.33 9.33 ],[0.9" "31 0.946 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\n\n\ncol" "or('black');disp('z^{-0}','texmode','on');\nfprintf('','COMMENT: end icon text');" } Block { BlockType Reference Name "Delay16" SID "131" Ports [1, 1] Position [380, 610, 410, 635] BlockRotation 270 ShowName off LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Delay" SourceType "Xilinx Delay Block" infoedit "Hardware notes: A delay line is a chain, each link of which is an SRL16 followed by a flip-flo" "p." rst off infoeditControl "Selection of Reset will increase slice count due to use of real FFs and instead of SRLs" en off latency "0" dbl_ovrd off reg_retiming off xl_use_area off xl_area "[0 0 0 0 0 0 0]" has_advanced_control "0" sggui_pos "20,20,348,255" block_type "delay" block_version "9.1.01" sg_icon_stat "30,25,1,1,white,blue,0,24450e6f,up,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 30 30 0 0 ],[0 0 25 25 0 ],[0.77 0" ".82 0.91 ]);\nplot([0 30 30 0 0 ],[0 0 25 25 0 ]);\npatch([8.325 12.66 15.66 18.66 21.66 15.66 11.325 8.325 ],[" "15.33 15.33 18.33 15.33 18.33 18.33 18.33 15.33 ],[1 1 1 ]);\npatch([11.325 15.66 12.66 8.325 11.325 ],[12.33 1" "2.33 15.33 15.33 12.33 ],[0.931 0.946 0.973 ]);\npatch([8.325 12.66 15.66 11.325 8.325 ],[9.33 9.33 12.33 12.33" " 9.33 ],[1 1 1 ]);\npatch([11.325 21.66 18.66 15.66 12.66 8.325 11.325 ],[6.33 6.33 9.33 6.33 9.33 9.33 6.33 ]," "[0.931 0.946 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\n\n\n" "color('black');disp('z^{-0}','texmode','on');\nfprintf('','COMMENT: end icon text');" } Block { BlockType SubSystem Name "Delays" SID "132" Ports [16, 16] Position [550, 236, 610, 564] MinAlgLoopOccurrences off PropExecContextOutsideSubsystem off RTWSystemCode "Auto" FunctionWithSeparateData off Opaque off RequestExecContextInheritance off MaskHideContents off System { Name "Delays" Location [447, 284, 682, 658] Open off ModelBrowserVisibility off ModelBrowserWidth 200 ScreenColor "white" PaperOrientation "landscape" PaperPositionMode "auto" PaperType "usletter" PaperUnits "inches" TiledPaperMargins [0.500000, 0.500000, 0.500000, 0.500000] TiledPageScale 1 ShowPageBoundaries off ZoomFactor "100" Block { BlockType Inport Name "In1" SID "133" Position [25, 33, 55, 47] IconDisplay "Port number" } Block { BlockType Inport Name "In2" SID "134" Position [25, 53, 55, 67] Port "2" IconDisplay "Port number" } Block { BlockType Inport Name "In3" SID "135" Position [25, 73, 55, 87] Port "3" IconDisplay "Port number" } Block { BlockType Inport Name "In4" SID "136" Position [25, 93, 55, 107] Port "4" IconDisplay "Port number" } Block { BlockType Inport Name "In5" SID "137" Position [25, 113, 55, 127] Port "5" IconDisplay "Port number" } Block { BlockType Inport Name "In6" SID "138" Position [25, 133, 55, 147] Port "6" IconDisplay "Port number" } Block { BlockType Inport Name "In7" SID "139" Position [25, 153, 55, 167] Port "7" IconDisplay "Port number" } Block { BlockType Inport Name "In8" SID "140" Position [25, 173, 55, 187] Port "8" IconDisplay "Port number" } Block { BlockType Inport Name "In9" SID "141" Position [25, 193, 55, 207] Port "9" IconDisplay "Port number" } Block { BlockType Inport Name "In10" SID "142" Position [25, 213, 55, 227] Port "10" IconDisplay "Port number" } Block { BlockType Inport Name "In11" SID "143" Position [25, 233, 55, 247] Port "11" IconDisplay "Port number" } Block { BlockType Inport Name "In12" SID "144" Position [25, 253, 55, 267] Port "12" IconDisplay "Port number" } Block { BlockType Inport Name "In13" SID "145" Position [25, 273, 55, 287] Port "13" IconDisplay "Port number" } Block { BlockType Inport Name "In14" SID "146" Position [25, 293, 55, 307] Port "14" IconDisplay "Port number" } Block { BlockType Inport Name "In15" SID "147" Position [25, 313, 55, 327] Port "15" IconDisplay "Port number" } Block { BlockType Inport Name "In16" SID "148" Position [25, 333, 55, 347] Port "16" IconDisplay "Port number" } Block { BlockType Reference Name "Register10" SID "149" Ports [1, 1] Position [80, 189, 105, 211] ShowName off LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Register" SourceType "Xilinx Register Block" init "0" rst off en off dbl_ovrd off xl_use_area off xl_area "[7 14 0 0 0 0 0]" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "register" block_version "10.1.3" sg_icon_stat "25,22,1,1,white,blue,0,c80657c5,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 25 25 0 0 ],[0 0 22 22 0 ],[0.77 0.82 0.9" "1 ]);\nplot([0 25 25 0 0 ],[0 0 22 22 0 ]);\npatch([5.325 9.66 12.66 15.66 18.66 12.66 8.325 5.325 ],[14.33 14.33 " "17.33 14.33 17.33 17.33 17.33 14.33 ],[1 1 1 ]);\npatch([8.325 12.66 9.66 5.325 8.325 ],[11.33 11.33 14.33 14.33 1" "1.33 ],[0.931 0.946 0.973 ]);\npatch([5.325 9.66 12.66 8.325 5.325 ],[8.33 8.33 11.33 11.33 8.33 ],[1 1 1 ]);\npat" "ch([8.325 18.66 15.66 12.66 9.66 5.325 8.325 ],[5.33 5.33 8.33 5.33 8.33 8.33 5.33 ],[0.931 0.946 0.973 ]);\nfprin" "tf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1" ",'d');\ncolor('black');port_label('output',1,'q');\ncolor('black');disp('z^{-1}','texmode','on');\nfprintf('','COM" "MENT: end icon text');" } Block { BlockType Reference Name "Register11" SID "150" Ports [1, 1] Position [80, 209, 105, 231] ShowName off LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Register" SourceType "Xilinx Register Block" init "0" rst off en off dbl_ovrd off xl_use_area off xl_area "[7 14 0 0 0 0 0]" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "register" block_version "10.1.3" sg_icon_stat "25,22,1,1,white,blue,0,c80657c5,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 25 25 0 0 ],[0 0 22 22 0 ],[0.77 0.82 0.9" "1 ]);\nplot([0 25 25 0 0 ],[0 0 22 22 0 ]);\npatch([5.325 9.66 12.66 15.66 18.66 12.66 8.325 5.325 ],[14.33 14.33 " "17.33 14.33 17.33 17.33 17.33 14.33 ],[1 1 1 ]);\npatch([8.325 12.66 9.66 5.325 8.325 ],[11.33 11.33 14.33 14.33 1" "1.33 ],[0.931 0.946 0.973 ]);\npatch([5.325 9.66 12.66 8.325 5.325 ],[8.33 8.33 11.33 11.33 8.33 ],[1 1 1 ]);\npat" "ch([8.325 18.66 15.66 12.66 9.66 5.325 8.325 ],[5.33 5.33 8.33 5.33 8.33 8.33 5.33 ],[0.931 0.946 0.973 ]);\nfprin" "tf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1" ",'d');\ncolor('black');port_label('output',1,'q');\ncolor('black');disp('z^{-1}','texmode','on');\nfprintf('','COM" "MENT: end icon text');" } Block { BlockType Reference Name "Register12" SID "151" Ports [1, 1] Position [80, 229, 105, 251] ShowName off LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Register" SourceType "Xilinx Register Block" init "0" rst off en off dbl_ovrd off xl_use_area off xl_area "[7 14 0 0 0 0 0]" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "register" block_version "10.1.3" sg_icon_stat "25,22,1,1,white,blue,0,c80657c5,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 25 25 0 0 ],[0 0 22 22 0 ],[0.77 0.82 0.9" "1 ]);\nplot([0 25 25 0 0 ],[0 0 22 22 0 ]);\npatch([5.325 9.66 12.66 15.66 18.66 12.66 8.325 5.325 ],[14.33 14.33 " "17.33 14.33 17.33 17.33 17.33 14.33 ],[1 1 1 ]);\npatch([8.325 12.66 9.66 5.325 8.325 ],[11.33 11.33 14.33 14.33 1" "1.33 ],[0.931 0.946 0.973 ]);\npatch([5.325 9.66 12.66 8.325 5.325 ],[8.33 8.33 11.33 11.33 8.33 ],[1 1 1 ]);\npat" "ch([8.325 18.66 15.66 12.66 9.66 5.325 8.325 ],[5.33 5.33 8.33 5.33 8.33 8.33 5.33 ],[0.931 0.946 0.973 ]);\nfprin" "tf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1" ",'d');\ncolor('black');port_label('output',1,'q');\ncolor('black');disp('z^{-1}','texmode','on');\nfprintf('','COM" "MENT: end icon text');" } Block { BlockType Reference Name "Register13" SID "152" Ports [1, 1] Position [80, 249, 105, 271] ShowName off LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Register" SourceType "Xilinx Register Block" init "0" rst off en off dbl_ovrd off xl_use_area off xl_area "[7 14 0 0 0 0 0]" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "register" block_version "10.1.3" sg_icon_stat "25,22,1,1,white,blue,0,c80657c5,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 25 25 0 0 ],[0 0 22 22 0 ],[0.77 0.82 0.9" "1 ]);\nplot([0 25 25 0 0 ],[0 0 22 22 0 ]);\npatch([5.325 9.66 12.66 15.66 18.66 12.66 8.325 5.325 ],[14.33 14.33 " "17.33 14.33 17.33 17.33 17.33 14.33 ],[1 1 1 ]);\npatch([8.325 12.66 9.66 5.325 8.325 ],[11.33 11.33 14.33 14.33 1" "1.33 ],[0.931 0.946 0.973 ]);\npatch([5.325 9.66 12.66 8.325 5.325 ],[8.33 8.33 11.33 11.33 8.33 ],[1 1 1 ]);\npat" "ch([8.325 18.66 15.66 12.66 9.66 5.325 8.325 ],[5.33 5.33 8.33 5.33 8.33 8.33 5.33 ],[0.931 0.946 0.973 ]);\nfprin" "tf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1" ",'d');\ncolor('black');port_label('output',1,'q');\ncolor('black');disp('z^{-1}','texmode','on');\nfprintf('','COM" "MENT: end icon text');" } Block { BlockType Reference Name "Register14" SID "153" Ports [1, 1] Position [80, 269, 105, 291] ShowName off LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Register" SourceType "Xilinx Register Block" init "0" rst off en off dbl_ovrd off xl_use_area off xl_area "[7 14 0 0 0 0 0]" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "register" block_version "10.1.3" sg_icon_stat "25,22,1,1,white,blue,0,c80657c5,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 25 25 0 0 ],[0 0 22 22 0 ],[0.77 0.82 0.9" "1 ]);\nplot([0 25 25 0 0 ],[0 0 22 22 0 ]);\npatch([5.325 9.66 12.66 15.66 18.66 12.66 8.325 5.325 ],[14.33 14.33 " "17.33 14.33 17.33 17.33 17.33 14.33 ],[1 1 1 ]);\npatch([8.325 12.66 9.66 5.325 8.325 ],[11.33 11.33 14.33 14.33 1" "1.33 ],[0.931 0.946 0.973 ]);\npatch([5.325 9.66 12.66 8.325 5.325 ],[8.33 8.33 11.33 11.33 8.33 ],[1 1 1 ]);\npat" "ch([8.325 18.66 15.66 12.66 9.66 5.325 8.325 ],[5.33 5.33 8.33 5.33 8.33 8.33 5.33 ],[0.931 0.946 0.973 ]);\nfprin" "tf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1" ",'d');\ncolor('black');port_label('output',1,'q');\ncolor('black');disp('z^{-1}','texmode','on');\nfprintf('','COM" "MENT: end icon text');" } Block { BlockType Reference Name "Register15" SID "154" Ports [1, 1] Position [80, 289, 105, 311] ShowName off LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Register" SourceType "Xilinx Register Block" init "0" rst off en off dbl_ovrd off xl_use_area off xl_area "[7 14 0 0 0 0 0]" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "register" block_version "10.1.3" sg_icon_stat "25,22,1,1,white,blue,0,c80657c5,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 25 25 0 0 ],[0 0 22 22 0 ],[0.77 0.82 0.9" "1 ]);\nplot([0 25 25 0 0 ],[0 0 22 22 0 ]);\npatch([5.325 9.66 12.66 15.66 18.66 12.66 8.325 5.325 ],[14.33 14.33 " "17.33 14.33 17.33 17.33 17.33 14.33 ],[1 1 1 ]);\npatch([8.325 12.66 9.66 5.325 8.325 ],[11.33 11.33 14.33 14.33 1" "1.33 ],[0.931 0.946 0.973 ]);\npatch([5.325 9.66 12.66 8.325 5.325 ],[8.33 8.33 11.33 11.33 8.33 ],[1 1 1 ]);\npat" "ch([8.325 18.66 15.66 12.66 9.66 5.325 8.325 ],[5.33 5.33 8.33 5.33 8.33 8.33 5.33 ],[0.931 0.946 0.973 ]);\nfprin" "tf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1" ",'d');\ncolor('black');port_label('output',1,'q');\ncolor('black');disp('z^{-1}','texmode','on');\nfprintf('','COM" "MENT: end icon text');" } Block { BlockType Reference Name "Register16" SID "155" Ports [1, 1] Position [80, 309, 105, 331] ShowName off LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Register" SourceType "Xilinx Register Block" init "0" rst off en off dbl_ovrd off xl_use_area off xl_area "[7 14 0 0 0 0 0]" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "register" block_version "10.1.3" sg_icon_stat "25,22,1,1,white,blue,0,c80657c5,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 25 25 0 0 ],[0 0 22 22 0 ],[0.77 0.82 0.9" "1 ]);\nplot([0 25 25 0 0 ],[0 0 22 22 0 ]);\npatch([5.325 9.66 12.66 15.66 18.66 12.66 8.325 5.325 ],[14.33 14.33 " "17.33 14.33 17.33 17.33 17.33 14.33 ],[1 1 1 ]);\npatch([8.325 12.66 9.66 5.325 8.325 ],[11.33 11.33 14.33 14.33 1" "1.33 ],[0.931 0.946 0.973 ]);\npatch([5.325 9.66 12.66 8.325 5.325 ],[8.33 8.33 11.33 11.33 8.33 ],[1 1 1 ]);\npat" "ch([8.325 18.66 15.66 12.66 9.66 5.325 8.325 ],[5.33 5.33 8.33 5.33 8.33 8.33 5.33 ],[0.931 0.946 0.973 ]);\nfprin" "tf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1" ",'d');\ncolor('black');port_label('output',1,'q');\ncolor('black');disp('z^{-1}','texmode','on');\nfprintf('','COM" "MENT: end icon text');" } Block { BlockType Reference Name "Register17" SID "156" Ports [1, 1] Position [80, 329, 105, 351] ShowName off LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Register" SourceType "Xilinx Register Block" init "0" rst off en off dbl_ovrd off xl_use_area off xl_area "[7 14 0 0 0 0 0]" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "register" block_version "10.1.3" sg_icon_stat "25,22,1,1,white,blue,0,c80657c5,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 25 25 0 0 ],[0 0 22 22 0 ],[0.77 0.82 0.9" "1 ]);\nplot([0 25 25 0 0 ],[0 0 22 22 0 ]);\npatch([5.325 9.66 12.66 15.66 18.66 12.66 8.325 5.325 ],[14.33 14.33 " "17.33 14.33 17.33 17.33 17.33 14.33 ],[1 1 1 ]);\npatch([8.325 12.66 9.66 5.325 8.325 ],[11.33 11.33 14.33 14.33 1" "1.33 ],[0.931 0.946 0.973 ]);\npatch([5.325 9.66 12.66 8.325 5.325 ],[8.33 8.33 11.33 11.33 8.33 ],[1 1 1 ]);\npat" "ch([8.325 18.66 15.66 12.66 9.66 5.325 8.325 ],[5.33 5.33 8.33 5.33 8.33 8.33 5.33 ],[0.931 0.946 0.973 ]);\nfprin" "tf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1" ",'d');\ncolor('black');port_label('output',1,'q');\ncolor('black');disp('z^{-1}','texmode','on');\nfprintf('','COM" "MENT: end icon text');" } Block { BlockType Reference Name "Register18" SID "157" Ports [1, 1] Position [130, 189, 155, 211] ShowName off LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Register" SourceType "Xilinx Register Block" init "0" rst off en off dbl_ovrd off xl_use_area off xl_area "[7 14 0 0 0 0 0]" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "register" block_version "10.1.3" sg_icon_stat "25,22,1,1,white,blue,0,c80657c5,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 25 25 0 0 ],[0 0 22 22 0 ],[0.77 0.82 0.9" "1 ]);\nplot([0 25 25 0 0 ],[0 0 22 22 0 ]);\npatch([5.325 9.66 12.66 15.66 18.66 12.66 8.325 5.325 ],[14.33 14.33 " "17.33 14.33 17.33 17.33 17.33 14.33 ],[1 1 1 ]);\npatch([8.325 12.66 9.66 5.325 8.325 ],[11.33 11.33 14.33 14.33 1" "1.33 ],[0.931 0.946 0.973 ]);\npatch([5.325 9.66 12.66 8.325 5.325 ],[8.33 8.33 11.33 11.33 8.33 ],[1 1 1 ]);\npat" "ch([8.325 18.66 15.66 12.66 9.66 5.325 8.325 ],[5.33 5.33 8.33 5.33 8.33 8.33 5.33 ],[0.931 0.946 0.973 ]);\nfprin" "tf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1" ",'d');\ncolor('black');port_label('output',1,'q');\ncolor('black');disp('z^{-1}','texmode','on');\nfprintf('','COM" "MENT: end icon text');" } Block { BlockType Reference Name "Register19" SID "158" Ports [1, 1] Position [130, 209, 155, 231] ShowName off LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Register" SourceType "Xilinx Register Block" init "0" rst off en off dbl_ovrd off xl_use_area off xl_area "[7 14 0 0 0 0 0]" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "register" block_version "10.1.3" sg_icon_stat "25,22,1,1,white,blue,0,c80657c5,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 25 25 0 0 ],[0 0 22 22 0 ],[0.77 0.82 0.9" "1 ]);\nplot([0 25 25 0 0 ],[0 0 22 22 0 ]);\npatch([5.325 9.66 12.66 15.66 18.66 12.66 8.325 5.325 ],[14.33 14.33 " "17.33 14.33 17.33 17.33 17.33 14.33 ],[1 1 1 ]);\npatch([8.325 12.66 9.66 5.325 8.325 ],[11.33 11.33 14.33 14.33 1" "1.33 ],[0.931 0.946 0.973 ]);\npatch([5.325 9.66 12.66 8.325 5.325 ],[8.33 8.33 11.33 11.33 8.33 ],[1 1 1 ]);\npat" "ch([8.325 18.66 15.66 12.66 9.66 5.325 8.325 ],[5.33 5.33 8.33 5.33 8.33 8.33 5.33 ],[0.931 0.946 0.973 ]);\nfprin" "tf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1" ",'d');\ncolor('black');port_label('output',1,'q');\ncolor('black');disp('z^{-1}','texmode','on');\nfprintf('','COM" "MENT: end icon text');" } Block { BlockType Reference Name "Register2" SID "159" Ports [1, 1] Position [80, 29, 105, 51] ShowName off LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Register" SourceType "Xilinx Register Block" init "0" rst off en off dbl_ovrd off xl_use_area off xl_area "[7 14 0 0 0 0 0]" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "register" block_version "10.1.3" sg_icon_stat "25,22,1,1,white,blue,0,c80657c5,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 25 25 0 0 ],[0 0 22 22 0 ],[0.77 0.82 0.9" "1 ]);\nplot([0 25 25 0 0 ],[0 0 22 22 0 ]);\npatch([5.325 9.66 12.66 15.66 18.66 12.66 8.325 5.325 ],[14.33 14.33 " "17.33 14.33 17.33 17.33 17.33 14.33 ],[1 1 1 ]);\npatch([8.325 12.66 9.66 5.325 8.325 ],[11.33 11.33 14.33 14.33 1" "1.33 ],[0.931 0.946 0.973 ]);\npatch([5.325 9.66 12.66 8.325 5.325 ],[8.33 8.33 11.33 11.33 8.33 ],[1 1 1 ]);\npat" "ch([8.325 18.66 15.66 12.66 9.66 5.325 8.325 ],[5.33 5.33 8.33 5.33 8.33 8.33 5.33 ],[0.931 0.946 0.973 ]);\nfprin" "tf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1" ",'d');\ncolor('black');port_label('output',1,'q');\ncolor('black');disp('z^{-1}','texmode','on');\nfprintf('','COM" "MENT: end icon text');" } Block { BlockType Reference Name "Register20" SID "160" Ports [1, 1] Position [130, 229, 155, 251] ShowName off LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Register" SourceType "Xilinx Register Block" init "0" rst off en off dbl_ovrd off xl_use_area off xl_area "[7 14 0 0 0 0 0]" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "register" block_version "10.1.3" sg_icon_stat "25,22,1,1,white,blue,0,c80657c5,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 25 25 0 0 ],[0 0 22 22 0 ],[0.77 0.82 0.9" "1 ]);\nplot([0 25 25 0 0 ],[0 0 22 22 0 ]);\npatch([5.325 9.66 12.66 15.66 18.66 12.66 8.325 5.325 ],[14.33 14.33 " "17.33 14.33 17.33 17.33 17.33 14.33 ],[1 1 1 ]);\npatch([8.325 12.66 9.66 5.325 8.325 ],[11.33 11.33 14.33 14.33 1" "1.33 ],[0.931 0.946 0.973 ]);\npatch([5.325 9.66 12.66 8.325 5.325 ],[8.33 8.33 11.33 11.33 8.33 ],[1 1 1 ]);\npat" "ch([8.325 18.66 15.66 12.66 9.66 5.325 8.325 ],[5.33 5.33 8.33 5.33 8.33 8.33 5.33 ],[0.931 0.946 0.973 ]);\nfprin" "tf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1" ",'d');\ncolor('black');port_label('output',1,'q');\ncolor('black');disp('z^{-1}','texmode','on');\nfprintf('','COM" "MENT: end icon text');" } Block { BlockType Reference Name "Register21" SID "161" Ports [1, 1] Position [130, 249, 155, 271] ShowName off LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Register" SourceType "Xilinx Register Block" init "0" rst off en off dbl_ovrd off xl_use_area off xl_area "[7 14 0 0 0 0 0]" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "register" block_version "10.1.3" sg_icon_stat "25,22,1,1,white,blue,0,c80657c5,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 25 25 0 0 ],[0 0 22 22 0 ],[0.77 0.82 0.9" "1 ]);\nplot([0 25 25 0 0 ],[0 0 22 22 0 ]);\npatch([5.325 9.66 12.66 15.66 18.66 12.66 8.325 5.325 ],[14.33 14.33 " "17.33 14.33 17.33 17.33 17.33 14.33 ],[1 1 1 ]);\npatch([8.325 12.66 9.66 5.325 8.325 ],[11.33 11.33 14.33 14.33 1" "1.33 ],[0.931 0.946 0.973 ]);\npatch([5.325 9.66 12.66 8.325 5.325 ],[8.33 8.33 11.33 11.33 8.33 ],[1 1 1 ]);\npat" "ch([8.325 18.66 15.66 12.66 9.66 5.325 8.325 ],[5.33 5.33 8.33 5.33 8.33 8.33 5.33 ],[0.931 0.946 0.973 ]);\nfprin" "tf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1" ",'d');\ncolor('black');port_label('output',1,'q');\ncolor('black');disp('z^{-1}','texmode','on');\nfprintf('','COM" "MENT: end icon text');" } Block { BlockType Reference Name "Register22" SID "162" Ports [1, 1] Position [130, 269, 155, 291] ShowName off LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Register" SourceType "Xilinx Register Block" init "0" rst off en off dbl_ovrd off xl_use_area off xl_area "[7 14 0 0 0 0 0]" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "register" block_version "10.1.3" sg_icon_stat "25,22,1,1,white,blue,0,c80657c5,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 25 25 0 0 ],[0 0 22 22 0 ],[0.77 0.82 0.9" "1 ]);\nplot([0 25 25 0 0 ],[0 0 22 22 0 ]);\npatch([5.325 9.66 12.66 15.66 18.66 12.66 8.325 5.325 ],[14.33 14.33 " "17.33 14.33 17.33 17.33 17.33 14.33 ],[1 1 1 ]);\npatch([8.325 12.66 9.66 5.325 8.325 ],[11.33 11.33 14.33 14.33 1" "1.33 ],[0.931 0.946 0.973 ]);\npatch([5.325 9.66 12.66 8.325 5.325 ],[8.33 8.33 11.33 11.33 8.33 ],[1 1 1 ]);\npat" "ch([8.325 18.66 15.66 12.66 9.66 5.325 8.325 ],[5.33 5.33 8.33 5.33 8.33 8.33 5.33 ],[0.931 0.946 0.973 ]);\nfprin" "tf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1" ",'d');\ncolor('black');port_label('output',1,'q');\ncolor('black');disp('z^{-1}','texmode','on');\nfprintf('','COM" "MENT: end icon text');" } Block { BlockType Reference Name "Register23" SID "163" Ports [1, 1] Position [130, 289, 155, 311] ShowName off LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Register" SourceType "Xilinx Register Block" init "0" rst off en off dbl_ovrd off xl_use_area off xl_area "[7 14 0 0 0 0 0]" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "register" block_version "10.1.3" sg_icon_stat "25,22,1,1,white,blue,0,c80657c5,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 25 25 0 0 ],[0 0 22 22 0 ],[0.77 0.82 0.9" "1 ]);\nplot([0 25 25 0 0 ],[0 0 22 22 0 ]);\npatch([5.325 9.66 12.66 15.66 18.66 12.66 8.325 5.325 ],[14.33 14.33 " "17.33 14.33 17.33 17.33 17.33 14.33 ],[1 1 1 ]);\npatch([8.325 12.66 9.66 5.325 8.325 ],[11.33 11.33 14.33 14.33 1" "1.33 ],[0.931 0.946 0.973 ]);\npatch([5.325 9.66 12.66 8.325 5.325 ],[8.33 8.33 11.33 11.33 8.33 ],[1 1 1 ]);\npat" "ch([8.325 18.66 15.66 12.66 9.66 5.325 8.325 ],[5.33 5.33 8.33 5.33 8.33 8.33 5.33 ],[0.931 0.946 0.973 ]);\nfprin" "tf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1" ",'d');\ncolor('black');port_label('output',1,'q');\ncolor('black');disp('z^{-1}','texmode','on');\nfprintf('','COM" "MENT: end icon text');" } Block { BlockType Reference Name "Register24" SID "164" Ports [1, 1] Position [130, 309, 155, 331] ShowName off LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Register" SourceType "Xilinx Register Block" init "0" rst off en off dbl_ovrd off xl_use_area off xl_area "[7 14 0 0 0 0 0]" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "register" block_version "10.1.3" sg_icon_stat "25,22,1,1,white,blue,0,c80657c5,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 25 25 0 0 ],[0 0 22 22 0 ],[0.77 0.82 0.9" "1 ]);\nplot([0 25 25 0 0 ],[0 0 22 22 0 ]);\npatch([5.325 9.66 12.66 15.66 18.66 12.66 8.325 5.325 ],[14.33 14.33 " "17.33 14.33 17.33 17.33 17.33 14.33 ],[1 1 1 ]);\npatch([8.325 12.66 9.66 5.325 8.325 ],[11.33 11.33 14.33 14.33 1" "1.33 ],[0.931 0.946 0.973 ]);\npatch([5.325 9.66 12.66 8.325 5.325 ],[8.33 8.33 11.33 11.33 8.33 ],[1 1 1 ]);\npat" "ch([8.325 18.66 15.66 12.66 9.66 5.325 8.325 ],[5.33 5.33 8.33 5.33 8.33 8.33 5.33 ],[0.931 0.946 0.973 ]);\nfprin" "tf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1" ",'d');\ncolor('black');port_label('output',1,'q');\ncolor('black');disp('z^{-1}','texmode','on');\nfprintf('','COM" "MENT: end icon text');" } Block { BlockType Reference Name "Register25" SID "165" Ports [1, 1] Position [130, 329, 155, 351] ShowName off LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Register" SourceType "Xilinx Register Block" init "0" rst off en off dbl_ovrd off xl_use_area off xl_area "[7 14 0 0 0 0 0]" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "register" block_version "10.1.3" sg_icon_stat "25,22,1,1,white,blue,0,c80657c5,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 25 25 0 0 ],[0 0 22 22 0 ],[0.77 0.82 0.9" "1 ]);\nplot([0 25 25 0 0 ],[0 0 22 22 0 ]);\npatch([5.325 9.66 12.66 15.66 18.66 12.66 8.325 5.325 ],[14.33 14.33 " "17.33 14.33 17.33 17.33 17.33 14.33 ],[1 1 1 ]);\npatch([8.325 12.66 9.66 5.325 8.325 ],[11.33 11.33 14.33 14.33 1" "1.33 ],[0.931 0.946 0.973 ]);\npatch([5.325 9.66 12.66 8.325 5.325 ],[8.33 8.33 11.33 11.33 8.33 ],[1 1 1 ]);\npat" "ch([8.325 18.66 15.66 12.66 9.66 5.325 8.325 ],[5.33 5.33 8.33 5.33 8.33 8.33 5.33 ],[0.931 0.946 0.973 ]);\nfprin" "tf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1" ",'d');\ncolor('black');port_label('output',1,'q');\ncolor('black');disp('z^{-1}','texmode','on');\nfprintf('','COM" "MENT: end icon text');" } Block { BlockType Reference Name "Register26" SID "166" Ports [1, 1] Position [130, 29, 155, 51] ShowName off LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Register" SourceType "Xilinx Register Block" init "0" rst off en off dbl_ovrd off xl_use_area off xl_area "[7 14 0 0 0 0 0]" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "register" block_version "10.1.3" sg_icon_stat "25,22,1,1,white,blue,0,c80657c5,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 25 25 0 0 ],[0 0 22 22 0 ],[0.77 0.82 0.9" "1 ]);\nplot([0 25 25 0 0 ],[0 0 22 22 0 ]);\npatch([5.325 9.66 12.66 15.66 18.66 12.66 8.325 5.325 ],[14.33 14.33 " "17.33 14.33 17.33 17.33 17.33 14.33 ],[1 1 1 ]);\npatch([8.325 12.66 9.66 5.325 8.325 ],[11.33 11.33 14.33 14.33 1" "1.33 ],[0.931 0.946 0.973 ]);\npatch([5.325 9.66 12.66 8.325 5.325 ],[8.33 8.33 11.33 11.33 8.33 ],[1 1 1 ]);\npat" "ch([8.325 18.66 15.66 12.66 9.66 5.325 8.325 ],[5.33 5.33 8.33 5.33 8.33 8.33 5.33 ],[0.931 0.946 0.973 ]);\nfprin" "tf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1" ",'d');\ncolor('black');port_label('output',1,'q');\ncolor('black');disp('z^{-1}','texmode','on');\nfprintf('','COM" "MENT: end icon text');" } Block { BlockType Reference Name "Register27" SID "167" Ports [1, 1] Position [130, 49, 155, 71] ShowName off LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Register" SourceType "Xilinx Register Block" init "0" rst off en off dbl_ovrd off xl_use_area off xl_area "[7 14 0 0 0 0 0]" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "register" block_version "10.1.3" sg_icon_stat "25,22,1,1,white,blue,0,c80657c5,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 25 25 0 0 ],[0 0 22 22 0 ],[0.77 0.82 0.9" "1 ]);\nplot([0 25 25 0 0 ],[0 0 22 22 0 ]);\npatch([5.325 9.66 12.66 15.66 18.66 12.66 8.325 5.325 ],[14.33 14.33 " "17.33 14.33 17.33 17.33 17.33 14.33 ],[1 1 1 ]);\npatch([8.325 12.66 9.66 5.325 8.325 ],[11.33 11.33 14.33 14.33 1" "1.33 ],[0.931 0.946 0.973 ]);\npatch([5.325 9.66 12.66 8.325 5.325 ],[8.33 8.33 11.33 11.33 8.33 ],[1 1 1 ]);\npat" "ch([8.325 18.66 15.66 12.66 9.66 5.325 8.325 ],[5.33 5.33 8.33 5.33 8.33 8.33 5.33 ],[0.931 0.946 0.973 ]);\nfprin" "tf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1" ",'d');\ncolor('black');port_label('output',1,'q');\ncolor('black');disp('z^{-1}','texmode','on');\nfprintf('','COM" "MENT: end icon text');" } Block { BlockType Reference Name "Register28" SID "168" Ports [1, 1] Position [130, 69, 155, 91] ShowName off LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Register" SourceType "Xilinx Register Block" init "0" rst off en off dbl_ovrd off xl_use_area off xl_area "[7 14 0 0 0 0 0]" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "register" block_version "10.1.3" sg_icon_stat "25,22,1,1,white,blue,0,c80657c5,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 25 25 0 0 ],[0 0 22 22 0 ],[0.77 0.82 0.9" "1 ]);\nplot([0 25 25 0 0 ],[0 0 22 22 0 ]);\npatch([5.325 9.66 12.66 15.66 18.66 12.66 8.325 5.325 ],[14.33 14.33 " "17.33 14.33 17.33 17.33 17.33 14.33 ],[1 1 1 ]);\npatch([8.325 12.66 9.66 5.325 8.325 ],[11.33 11.33 14.33 14.33 1" "1.33 ],[0.931 0.946 0.973 ]);\npatch([5.325 9.66 12.66 8.325 5.325 ],[8.33 8.33 11.33 11.33 8.33 ],[1 1 1 ]);\npat" "ch([8.325 18.66 15.66 12.66 9.66 5.325 8.325 ],[5.33 5.33 8.33 5.33 8.33 8.33 5.33 ],[0.931 0.946 0.973 ]);\nfprin" "tf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1" ",'d');\ncolor('black');port_label('output',1,'q');\ncolor('black');disp('z^{-1}','texmode','on');\nfprintf('','COM" "MENT: end icon text');" } Block { BlockType Reference Name "Register29" SID "169" Ports [1, 1] Position [130, 89, 155, 111] ShowName off LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Register" SourceType "Xilinx Register Block" init "0" rst off en off dbl_ovrd off xl_use_area off xl_area "[7 14 0 0 0 0 0]" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "register" block_version "10.1.3" sg_icon_stat "25,22,1,1,white,blue,0,c80657c5,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 25 25 0 0 ],[0 0 22 22 0 ],[0.77 0.82 0.9" "1 ]);\nplot([0 25 25 0 0 ],[0 0 22 22 0 ]);\npatch([5.325 9.66 12.66 15.66 18.66 12.66 8.325 5.325 ],[14.33 14.33 " "17.33 14.33 17.33 17.33 17.33 14.33 ],[1 1 1 ]);\npatch([8.325 12.66 9.66 5.325 8.325 ],[11.33 11.33 14.33 14.33 1" "1.33 ],[0.931 0.946 0.973 ]);\npatch([5.325 9.66 12.66 8.325 5.325 ],[8.33 8.33 11.33 11.33 8.33 ],[1 1 1 ]);\npat" "ch([8.325 18.66 15.66 12.66 9.66 5.325 8.325 ],[5.33 5.33 8.33 5.33 8.33 8.33 5.33 ],[0.931 0.946 0.973 ]);\nfprin" "tf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1" ",'d');\ncolor('black');port_label('output',1,'q');\ncolor('black');disp('z^{-1}','texmode','on');\nfprintf('','COM" "MENT: end icon text');" } Block { BlockType Reference Name "Register3" SID "170" Ports [1, 1] Position [80, 49, 105, 71] ShowName off LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Register" SourceType "Xilinx Register Block" init "0" rst off en off dbl_ovrd off xl_use_area off xl_area "[7 14 0 0 0 0 0]" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "register" block_version "10.1.3" sg_icon_stat "25,22,1,1,white,blue,0,c80657c5,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 25 25 0 0 ],[0 0 22 22 0 ],[0.77 0.82 0.9" "1 ]);\nplot([0 25 25 0 0 ],[0 0 22 22 0 ]);\npatch([5.325 9.66 12.66 15.66 18.66 12.66 8.325 5.325 ],[14.33 14.33 " "17.33 14.33 17.33 17.33 17.33 14.33 ],[1 1 1 ]);\npatch([8.325 12.66 9.66 5.325 8.325 ],[11.33 11.33 14.33 14.33 1" "1.33 ],[0.931 0.946 0.973 ]);\npatch([5.325 9.66 12.66 8.325 5.325 ],[8.33 8.33 11.33 11.33 8.33 ],[1 1 1 ]);\npat" "ch([8.325 18.66 15.66 12.66 9.66 5.325 8.325 ],[5.33 5.33 8.33 5.33 8.33 8.33 5.33 ],[0.931 0.946 0.973 ]);\nfprin" "tf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1" ",'d');\ncolor('black');port_label('output',1,'q');\ncolor('black');disp('z^{-1}','texmode','on');\nfprintf('','COM" "MENT: end icon text');" } Block { BlockType Reference Name "Register30" SID "171" Ports [1, 1] Position [130, 109, 155, 131] ShowName off LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Register" SourceType "Xilinx Register Block" init "0" rst off en off dbl_ovrd off xl_use_area off xl_area "[7 14 0 0 0 0 0]" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "register" block_version "10.1.3" sg_icon_stat "25,22,1,1,white,blue,0,c80657c5,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 25 25 0 0 ],[0 0 22 22 0 ],[0.77 0.82 0.9" "1 ]);\nplot([0 25 25 0 0 ],[0 0 22 22 0 ]);\npatch([5.325 9.66 12.66 15.66 18.66 12.66 8.325 5.325 ],[14.33 14.33 " "17.33 14.33 17.33 17.33 17.33 14.33 ],[1 1 1 ]);\npatch([8.325 12.66 9.66 5.325 8.325 ],[11.33 11.33 14.33 14.33 1" "1.33 ],[0.931 0.946 0.973 ]);\npatch([5.325 9.66 12.66 8.325 5.325 ],[8.33 8.33 11.33 11.33 8.33 ],[1 1 1 ]);\npat" "ch([8.325 18.66 15.66 12.66 9.66 5.325 8.325 ],[5.33 5.33 8.33 5.33 8.33 8.33 5.33 ],[0.931 0.946 0.973 ]);\nfprin" "tf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1" ",'d');\ncolor('black');port_label('output',1,'q');\ncolor('black');disp('z^{-1}','texmode','on');\nfprintf('','COM" "MENT: end icon text');" } Block { BlockType Reference Name "Register31" SID "172" Ports [1, 1] Position [130, 129, 155, 151] ShowName off LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Register" SourceType "Xilinx Register Block" init "0" rst off en off dbl_ovrd off xl_use_area off xl_area "[7 14 0 0 0 0 0]" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "register" block_version "10.1.3" sg_icon_stat "25,22,1,1,white,blue,0,c80657c5,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 25 25 0 0 ],[0 0 22 22 0 ],[0.77 0.82 0.9" "1 ]);\nplot([0 25 25 0 0 ],[0 0 22 22 0 ]);\npatch([5.325 9.66 12.66 15.66 18.66 12.66 8.325 5.325 ],[14.33 14.33 " "17.33 14.33 17.33 17.33 17.33 14.33 ],[1 1 1 ]);\npatch([8.325 12.66 9.66 5.325 8.325 ],[11.33 11.33 14.33 14.33 1" "1.33 ],[0.931 0.946 0.973 ]);\npatch([5.325 9.66 12.66 8.325 5.325 ],[8.33 8.33 11.33 11.33 8.33 ],[1 1 1 ]);\npat" "ch([8.325 18.66 15.66 12.66 9.66 5.325 8.325 ],[5.33 5.33 8.33 5.33 8.33 8.33 5.33 ],[0.931 0.946 0.973 ]);\nfprin" "tf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1" ",'d');\ncolor('black');port_label('output',1,'q');\ncolor('black');disp('z^{-1}','texmode','on');\nfprintf('','COM" "MENT: end icon text');" } Block { BlockType Reference Name "Register32" SID "173" Ports [1, 1] Position [130, 149, 155, 171] ShowName off LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Register" SourceType "Xilinx Register Block" init "0" rst off en off dbl_ovrd off xl_use_area off xl_area "[7 14 0 0 0 0 0]" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "register" block_version "10.1.3" sg_icon_stat "25,22,1,1,white,blue,0,c80657c5,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 25 25 0 0 ],[0 0 22 22 0 ],[0.77 0.82 0.9" "1 ]);\nplot([0 25 25 0 0 ],[0 0 22 22 0 ]);\npatch([5.325 9.66 12.66 15.66 18.66 12.66 8.325 5.325 ],[14.33 14.33 " "17.33 14.33 17.33 17.33 17.33 14.33 ],[1 1 1 ]);\npatch([8.325 12.66 9.66 5.325 8.325 ],[11.33 11.33 14.33 14.33 1" "1.33 ],[0.931 0.946 0.973 ]);\npatch([5.325 9.66 12.66 8.325 5.325 ],[8.33 8.33 11.33 11.33 8.33 ],[1 1 1 ]);\npat" "ch([8.325 18.66 15.66 12.66 9.66 5.325 8.325 ],[5.33 5.33 8.33 5.33 8.33 8.33 5.33 ],[0.931 0.946 0.973 ]);\nfprin" "tf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1" ",'d');\ncolor('black');port_label('output',1,'q');\ncolor('black');disp('z^{-1}','texmode','on');\nfprintf('','COM" "MENT: end icon text');" } Block { BlockType Reference Name "Register33" SID "174" Ports [1, 1] Position [130, 169, 155, 191] ShowName off LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Register" SourceType "Xilinx Register Block" init "0" rst off en off dbl_ovrd off xl_use_area off xl_area "[7 14 0 0 0 0 0]" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "register" block_version "10.1.3" sg_icon_stat "25,22,1,1,white,blue,0,c80657c5,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 25 25 0 0 ],[0 0 22 22 0 ],[0.77 0.82 0.9" "1 ]);\nplot([0 25 25 0 0 ],[0 0 22 22 0 ]);\npatch([5.325 9.66 12.66 15.66 18.66 12.66 8.325 5.325 ],[14.33 14.33 " "17.33 14.33 17.33 17.33 17.33 14.33 ],[1 1 1 ]);\npatch([8.325 12.66 9.66 5.325 8.325 ],[11.33 11.33 14.33 14.33 1" "1.33 ],[0.931 0.946 0.973 ]);\npatch([5.325 9.66 12.66 8.325 5.325 ],[8.33 8.33 11.33 11.33 8.33 ],[1 1 1 ]);\npat" "ch([8.325 18.66 15.66 12.66 9.66 5.325 8.325 ],[5.33 5.33 8.33 5.33 8.33 8.33 5.33 ],[0.931 0.946 0.973 ]);\nfprin" "tf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1" ",'d');\ncolor('black');port_label('output',1,'q');\ncolor('black');disp('z^{-1}','texmode','on');\nfprintf('','COM" "MENT: end icon text');" } Block { BlockType Reference Name "Register4" SID "175" Ports [1, 1] Position [80, 69, 105, 91] ShowName off LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Register" SourceType "Xilinx Register Block" init "0" rst off en off dbl_ovrd off xl_use_area off xl_area "[7 14 0 0 0 0 0]" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "register" block_version "10.1.3" sg_icon_stat "25,22,1,1,white,blue,0,c80657c5,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 25 25 0 0 ],[0 0 22 22 0 ],[0.77 0.82 0.9" "1 ]);\nplot([0 25 25 0 0 ],[0 0 22 22 0 ]);\npatch([5.325 9.66 12.66 15.66 18.66 12.66 8.325 5.325 ],[14.33 14.33 " "17.33 14.33 17.33 17.33 17.33 14.33 ],[1 1 1 ]);\npatch([8.325 12.66 9.66 5.325 8.325 ],[11.33 11.33 14.33 14.33 1" "1.33 ],[0.931 0.946 0.973 ]);\npatch([5.325 9.66 12.66 8.325 5.325 ],[8.33 8.33 11.33 11.33 8.33 ],[1 1 1 ]);\npat" "ch([8.325 18.66 15.66 12.66 9.66 5.325 8.325 ],[5.33 5.33 8.33 5.33 8.33 8.33 5.33 ],[0.931 0.946 0.973 ]);\nfprin" "tf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1" ",'d');\ncolor('black');port_label('output',1,'q');\ncolor('black');disp('z^{-1}','texmode','on');\nfprintf('','COM" "MENT: end icon text');" } Block { BlockType Reference Name "Register5" SID "176" Ports [1, 1] Position [80, 89, 105, 111] ShowName off LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Register" SourceType "Xilinx Register Block" init "0" rst off en off dbl_ovrd off xl_use_area off xl_area "[7 14 0 0 0 0 0]" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "register" block_version "10.1.3" sg_icon_stat "25,22,1,1,white,blue,0,c80657c5,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 25 25 0 0 ],[0 0 22 22 0 ],[0.77 0.82 0.9" "1 ]);\nplot([0 25 25 0 0 ],[0 0 22 22 0 ]);\npatch([5.325 9.66 12.66 15.66 18.66 12.66 8.325 5.325 ],[14.33 14.33 " "17.33 14.33 17.33 17.33 17.33 14.33 ],[1 1 1 ]);\npatch([8.325 12.66 9.66 5.325 8.325 ],[11.33 11.33 14.33 14.33 1" "1.33 ],[0.931 0.946 0.973 ]);\npatch([5.325 9.66 12.66 8.325 5.325 ],[8.33 8.33 11.33 11.33 8.33 ],[1 1 1 ]);\npat" "ch([8.325 18.66 15.66 12.66 9.66 5.325 8.325 ],[5.33 5.33 8.33 5.33 8.33 8.33 5.33 ],[0.931 0.946 0.973 ]);\nfprin" "tf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1" ",'d');\ncolor('black');port_label('output',1,'q');\ncolor('black');disp('z^{-1}','texmode','on');\nfprintf('','COM" "MENT: end icon text');" } Block { BlockType Reference Name "Register6" SID "177" Ports [1, 1] Position [80, 109, 105, 131] ShowName off LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Register" SourceType "Xilinx Register Block" init "0" rst off en off dbl_ovrd off xl_use_area off xl_area "[7 14 0 0 0 0 0]" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "register" block_version "10.1.3" sg_icon_stat "25,22,1,1,white,blue,0,c80657c5,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 25 25 0 0 ],[0 0 22 22 0 ],[0.77 0.82 0.9" "1 ]);\nplot([0 25 25 0 0 ],[0 0 22 22 0 ]);\npatch([5.325 9.66 12.66 15.66 18.66 12.66 8.325 5.325 ],[14.33 14.33 " "17.33 14.33 17.33 17.33 17.33 14.33 ],[1 1 1 ]);\npatch([8.325 12.66 9.66 5.325 8.325 ],[11.33 11.33 14.33 14.33 1" "1.33 ],[0.931 0.946 0.973 ]);\npatch([5.325 9.66 12.66 8.325 5.325 ],[8.33 8.33 11.33 11.33 8.33 ],[1 1 1 ]);\npat" "ch([8.325 18.66 15.66 12.66 9.66 5.325 8.325 ],[5.33 5.33 8.33 5.33 8.33 8.33 5.33 ],[0.931 0.946 0.973 ]);\nfprin" "tf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1" ",'d');\ncolor('black');port_label('output',1,'q');\ncolor('black');disp('z^{-1}','texmode','on');\nfprintf('','COM" "MENT: end icon text');" } Block { BlockType Reference Name "Register7" SID "178" Ports [1, 1] Position [80, 129, 105, 151] ShowName off LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Register" SourceType "Xilinx Register Block" init "0" rst off en off dbl_ovrd off xl_use_area off xl_area "[7 14 0 0 0 0 0]" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "register" block_version "10.1.3" sg_icon_stat "25,22,1,1,white,blue,0,c80657c5,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 25 25 0 0 ],[0 0 22 22 0 ],[0.77 0.82 0.9" "1 ]);\nplot([0 25 25 0 0 ],[0 0 22 22 0 ]);\npatch([5.325 9.66 12.66 15.66 18.66 12.66 8.325 5.325 ],[14.33 14.33 " "17.33 14.33 17.33 17.33 17.33 14.33 ],[1 1 1 ]);\npatch([8.325 12.66 9.66 5.325 8.325 ],[11.33 11.33 14.33 14.33 1" "1.33 ],[0.931 0.946 0.973 ]);\npatch([5.325 9.66 12.66 8.325 5.325 ],[8.33 8.33 11.33 11.33 8.33 ],[1 1 1 ]);\npat" "ch([8.325 18.66 15.66 12.66 9.66 5.325 8.325 ],[5.33 5.33 8.33 5.33 8.33 8.33 5.33 ],[0.931 0.946 0.973 ]);\nfprin" "tf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1" ",'d');\ncolor('black');port_label('output',1,'q');\ncolor('black');disp('z^{-1}','texmode','on');\nfprintf('','COM" "MENT: end icon text');" } Block { BlockType Reference Name "Register8" SID "179" Ports [1, 1] Position [80, 149, 105, 171] ShowName off LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Register" SourceType "Xilinx Register Block" init "0" rst off en off dbl_ovrd off xl_use_area off xl_area "[7 14 0 0 0 0 0]" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "register" block_version "10.1.3" sg_icon_stat "25,22,1,1,white,blue,0,c80657c5,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 25 25 0 0 ],[0 0 22 22 0 ],[0.77 0.82 0.9" "1 ]);\nplot([0 25 25 0 0 ],[0 0 22 22 0 ]);\npatch([5.325 9.66 12.66 15.66 18.66 12.66 8.325 5.325 ],[14.33 14.33 " "17.33 14.33 17.33 17.33 17.33 14.33 ],[1 1 1 ]);\npatch([8.325 12.66 9.66 5.325 8.325 ],[11.33 11.33 14.33 14.33 1" "1.33 ],[0.931 0.946 0.973 ]);\npatch([5.325 9.66 12.66 8.325 5.325 ],[8.33 8.33 11.33 11.33 8.33 ],[1 1 1 ]);\npat" "ch([8.325 18.66 15.66 12.66 9.66 5.325 8.325 ],[5.33 5.33 8.33 5.33 8.33 8.33 5.33 ],[0.931 0.946 0.973 ]);\nfprin" "tf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1" ",'d');\ncolor('black');port_label('output',1,'q');\ncolor('black');disp('z^{-1}','texmode','on');\nfprintf('','COM" "MENT: end icon text');" } Block { BlockType Reference Name "Register9" SID "180" Ports [1, 1] Position [80, 169, 105, 191] ShowName off LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Register" SourceType "Xilinx Register Block" init "0" rst off en off dbl_ovrd off xl_use_area off xl_area "[7 14 0 0 0 0 0]" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "register" block_version "10.1.3" sg_icon_stat "25,22,1,1,white,blue,0,c80657c5,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 25 25 0 0 ],[0 0 22 22 0 ],[0.77 0.82 0.9" "1 ]);\nplot([0 25 25 0 0 ],[0 0 22 22 0 ]);\npatch([5.325 9.66 12.66 15.66 18.66 12.66 8.325 5.325 ],[14.33 14.33 " "17.33 14.33 17.33 17.33 17.33 14.33 ],[1 1 1 ]);\npatch([8.325 12.66 9.66 5.325 8.325 ],[11.33 11.33 14.33 14.33 1" "1.33 ],[0.931 0.946 0.973 ]);\npatch([5.325 9.66 12.66 8.325 5.325 ],[8.33 8.33 11.33 11.33 8.33 ],[1 1 1 ]);\npat" "ch([8.325 18.66 15.66 12.66 9.66 5.325 8.325 ],[5.33 5.33 8.33 5.33 8.33 8.33 5.33 ],[0.931 0.946 0.973 ]);\nfprin" "tf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1" ",'d');\ncolor('black');port_label('output',1,'q');\ncolor('black');disp('z^{-1}','texmode','on');\nfprintf('','COM" "MENT: end icon text');" } Block { BlockType Outport Name "Out1" SID "181" Position [180, 33, 210, 47] IconDisplay "Port number" } Block { BlockType Outport Name "Out2" SID "182" Position [180, 53, 210, 67] Port "2" IconDisplay "Port number" } Block { BlockType Outport Name "Out3" SID "183" Position [180, 73, 210, 87] Port "3" IconDisplay "Port number" } Block { BlockType Outport Name "Out4" SID "184" Position [180, 93, 210, 107] Port "4" IconDisplay "Port number" } Block { BlockType Outport Name "Out5" SID "185" Position [180, 113, 210, 127] Port "5" IconDisplay "Port number" } Block { BlockType Outport Name "Out6" SID "186" Position [180, 133, 210, 147] Port "6" IconDisplay "Port number" } Block { BlockType Outport Name "Out7" SID "187" Position [180, 153, 210, 167] Port "7" IconDisplay "Port number" } Block { BlockType Outport Name "Out8" SID "188" Position [180, 173, 210, 187] Port "8" IconDisplay "Port number" } Block { BlockType Outport Name "Out9" SID "189" Position [180, 193, 210, 207] Port "9" IconDisplay "Port number" } Block { BlockType Outport Name "Out10" SID "190" Position [180, 213, 210, 227] Port "10" IconDisplay "Port number" } Block { BlockType Outport Name "Out11" SID "191" Position [180, 233, 210, 247] Port "11" IconDisplay "Port number" } Block { BlockType Outport Name "Out12" SID "192" Position [180, 253, 210, 267] Port "12" IconDisplay "Port number" } Block { BlockType Outport Name "Out13" SID "193" Position [180, 273, 210, 287] Port "13" IconDisplay "Port number" } Block { BlockType Outport Name "Out14" SID "194" Position [180, 293, 210, 307] Port "14" IconDisplay "Port number" } Block { BlockType Outport Name "Out15" SID "195" Position [180, 313, 210, 327] Port "15" IconDisplay "Port number" } Block { BlockType Outport Name "Out16" SID "196" Position [180, 333, 210, 347] Port "16" IconDisplay "Port number" } Line { SrcBlock "Register2" SrcPort 1 DstBlock "Register26" DstPort 1 } Line { SrcBlock "Register3" SrcPort 1 DstBlock "Register27" DstPort 1 } Line { SrcBlock "Register4" SrcPort 1 DstBlock "Register28" DstPort 1 } Line { SrcBlock "Register5" SrcPort 1 DstBlock "Register29" DstPort 1 } Line { SrcBlock "Register6" SrcPort 1 DstBlock "Register30" DstPort 1 } Line { SrcBlock "Register7" SrcPort 1 DstBlock "Register31" DstPort 1 } Line { SrcBlock "Register8" SrcPort 1 DstBlock "Register32" DstPort 1 } Line { SrcBlock "Register9" SrcPort 1 DstBlock "Register33" DstPort 1 } Line { SrcBlock "Register10" SrcPort 1 DstBlock "Register18" DstPort 1 } Line { SrcBlock "Register11" SrcPort 1 DstBlock "Register19" DstPort 1 } Line { SrcBlock "Register12" SrcPort 1 DstBlock "Register20" DstPort 1 } Line { SrcBlock "Register13" SrcPort 1 DstBlock "Register21" DstPort 1 } Line { SrcBlock "Register14" SrcPort 1 DstBlock "Register22" DstPort 1 } Line { SrcBlock "Register15" SrcPort 1 DstBlock "Register23" DstPort 1 } Line { SrcBlock "Register16" SrcPort 1 DstBlock "Register24" DstPort 1 } Line { SrcBlock "Register17" SrcPort 1 DstBlock "Register25" DstPort 1 } Line { SrcBlock "In1" SrcPort 1 DstBlock "Register2" DstPort 1 } Line { SrcBlock "Register26" SrcPort 1 DstBlock "Out1" DstPort 1 } Line { SrcBlock "Register27" SrcPort 1 DstBlock "Out2" DstPort 1 } Line { SrcBlock "In2" SrcPort 1 DstBlock "Register3" DstPort 1 } Line { SrcBlock "Register28" SrcPort 1 DstBlock "Out3" DstPort 1 } Line { SrcBlock "In3" SrcPort 1 DstBlock "Register4" DstPort 1 } Line { SrcBlock "Register29" SrcPort 1 DstBlock "Out4" DstPort 1 } Line { SrcBlock "In4" SrcPort 1 DstBlock "Register5" DstPort 1 } Line { SrcBlock "Register30" SrcPort 1 DstBlock "Out5" DstPort 1 } Line { SrcBlock "In5" SrcPort 1 DstBlock "Register6" DstPort 1 } Line { SrcBlock "Register31" SrcPort 1 DstBlock "Out6" DstPort 1 } Line { SrcBlock "In6" SrcPort 1 DstBlock "Register7" DstPort 1 } Line { SrcBlock "Register32" SrcPort 1 DstBlock "Out7" DstPort 1 } Line { SrcBlock "In7" SrcPort 1 DstBlock "Register8" DstPort 1 } Line { SrcBlock "Register33" SrcPort 1 DstBlock "Out8" DstPort 1 } Line { SrcBlock "In8" SrcPort 1 DstBlock "Register9" DstPort 1 } Line { SrcBlock "In9" SrcPort 1 DstBlock "Register10" DstPort 1 } Line { SrcBlock "Register18" SrcPort 1 DstBlock "Out9" DstPort 1 } Line { SrcBlock "In10" SrcPort 1 DstBlock "Register11" DstPort 1 } Line { SrcBlock "Register19" SrcPort 1 DstBlock "Out10" DstPort 1 } Line { SrcBlock "In11" SrcPort 1 DstBlock "Register12" DstPort 1 } Line { SrcBlock "Register20" SrcPort 1 DstBlock "Out11" DstPort 1 } Line { SrcBlock "In12" SrcPort 1 DstBlock "Register13" DstPort 1 } Line { SrcBlock "Register21" SrcPort 1 DstBlock "Out12" DstPort 1 } Line { SrcBlock "In13" SrcPort 1 DstBlock "Register14" DstPort 1 } Line { SrcBlock "Register22" SrcPort 1 DstBlock "Out13" DstPort 1 } Line { SrcBlock "In14" SrcPort 1 DstBlock "Register15" DstPort 1 } Line { SrcBlock "Register23" SrcPort 1 DstBlock "Out14" DstPort 1 } Line { SrcBlock "In15" SrcPort 1 DstBlock "Register16" DstPort 1 } Line { SrcBlock "Register24" SrcPort 1 DstBlock "Out15" DstPort 1 } Line { SrcBlock "In16" SrcPort 1 DstBlock "Register17" DstPort 1 } Line { SrcBlock "Register25" SrcPort 1 DstBlock "Out16" DstPort 1 } } } Block { BlockType Reference Name "Down Sample" SID "197" Ports [1, 1] Position [1470, 471, 1495, 499] ShowName off LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Down Sample" SourceType "Xilinx Down Sampler Block" infoedit "Hardware notes: Sample and Latency controls determine the hardware implementation. The cost i" "n hardware of different implementations varies considerably; press Help for details." sample_ratio "4" sample_phase "First Value of Frame" en off latency "0" dbl_ovrd off xl_use_area off xl_area "[15 15 0 14 0 0 0]" has_advanced_control "0" sggui_pos "20,20,360,300" block_type "dsamp" block_version "9.1.01" sg_icon_stat "25,28,1,1,white,blue,0,ab0018e7,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 25 25 0 0 ],[0 0 28 28 0 ],[0.77 0" ".82 0.91 ]);\nplot([0 25 25 0 0 ],[0 0 28 28 0 ]);\npatch([5.325 9.66 12.66 15.66 18.66 12.66 8.325 5.325 ],[17" ".33 17.33 20.33 17.33 20.33 20.33 20.33 17.33 ],[1 1 1 ]);\npatch([8.325 12.66 9.66 5.325 8.325 ],[14.33 14.33 " "17.33 17.33 14.33 ],[0.931 0.946 0.973 ]);\npatch([5.325 9.66 12.66 8.325 5.325 ],[11.33 11.33 14.33 14.33 11.3" "3 ],[1 1 1 ]);\npatch([8.325 18.66 15.66 12.66 9.66 5.325 8.325 ],[8.33 8.33 11.33 8.33 11.33 11.33 8.33 ],[0.9" "31 0.946 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\n\n\ncol" "or('black');disp('{\\fontsize{14pt}\\bf\\downarrow}4','texmode','on');\nfprintf('','COMMENT: end icon text');" } Block { BlockType Reference Name "Down Sample1" SID "198" Ports [1, 1] Position [1470, 406, 1495, 434] ShowName off LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Down Sample" SourceType "Xilinx Down Sampler Block" infoedit "Hardware notes: Sample and Latency controls determine the hardware implementation. The cost i" "n hardware of different implementations varies considerably; press Help for details." sample_ratio "4" sample_phase "First Value of Frame" en off latency "0" dbl_ovrd off xl_use_area off xl_area "[15 15 0 14 0 0 0]" has_advanced_control "0" sggui_pos "20,20,360,300" block_type "dsamp" block_version "9.1.01" sg_icon_stat "25,28,1,1,white,blue,0,ab0018e7,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 25 25 0 0 ],[0 0 28 28 0 ],[0.77 0" ".82 0.91 ]);\nplot([0 25 25 0 0 ],[0 0 28 28 0 ]);\npatch([5.325 9.66 12.66 15.66 18.66 12.66 8.325 5.325 ],[17" ".33 17.33 20.33 17.33 20.33 20.33 20.33 17.33 ],[1 1 1 ]);\npatch([8.325 12.66 9.66 5.325 8.325 ],[14.33 14.33 " "17.33 17.33 14.33 ],[0.931 0.946 0.973 ]);\npatch([5.325 9.66 12.66 8.325 5.325 ],[11.33 11.33 14.33 14.33 11.3" "3 ],[1 1 1 ]);\npatch([8.325 18.66 15.66 12.66 9.66 5.325 8.325 ],[8.33 8.33 11.33 8.33 11.33 11.33 8.33 ],[0.9" "31 0.946 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\n\n\ncol" "or('black');disp('{\\fontsize{14pt}\\bf\\downarrow}4','texmode','on');\nfprintf('','COMMENT: end icon text');" } Block { BlockType From Name "From2" SID "199" Position [240, 680, 340, 700] ShowName off CloseFcn "tagdialog Close" GotoTag "CoefAddr" TagVisibility "global" } Block { BlockType SubSystem Name "ROMS & Mults" SID "200" Ports [16, 16] Position [670, 224, 750, 576] MinAlgLoopOccurrences off PropExecContextOutsideSubsystem off RTWSystemCode "Auto" FunctionWithSeparateData off Opaque off RequestExecContextInheritance off MaskHideContents off System { Name "ROMS & Mults" Location [857, 12, 1162, 1077] Open off ModelBrowserVisibility off ModelBrowserWidth 200 ScreenColor "white" PaperOrientation "landscape" PaperPositionMode "auto" PaperType "usletter" PaperUnits "inches" TiledPaperMargins [0.500000, 0.500000, 0.500000, 0.500000] TiledPageScale 1 ShowPageBoundaries off ZoomFactor "100" Block { BlockType Inport Name "In1" SID "201" Position [125, 28, 155, 42] IconDisplay "Port number" } Block { BlockType Inport Name "In2" SID "202" Position [125, 93, 155, 107] Port "2" IconDisplay "Port number" } Block { BlockType Inport Name "In3" SID "203" Position [125, 158, 155, 172] Port "3" IconDisplay "Port number" } Block { BlockType Inport Name "In4" SID "204" Position [125, 223, 155, 237] Port "4" IconDisplay "Port number" } Block { BlockType Inport Name "In5" SID "205" Position [125, 288, 155, 302] Port "5" IconDisplay "Port number" } Block { BlockType Inport Name "In6" SID "206" Position [125, 353, 155, 367] Port "6" IconDisplay "Port number" } Block { BlockType Inport Name "In7" SID "207" Position [125, 418, 155, 432] Port "7" IconDisplay "Port number" } Block { BlockType Inport Name "In8" SID "208" Position [125, 483, 155, 497] Port "8" IconDisplay "Port number" } Block { BlockType Inport Name "In9" SID "209" Position [125, 548, 155, 562] Port "9" IconDisplay "Port number" } Block { BlockType Inport Name "In10" SID "210" Position [125, 613, 155, 627] Port "10" IconDisplay "Port number" } Block { BlockType Inport Name "In11" SID "211" Position [125, 678, 155, 692] Port "11" IconDisplay "Port number" } Block { BlockType Inport Name "In12" SID "212" Position [125, 743, 155, 757] Port "12" IconDisplay "Port number" } Block { BlockType Inport Name "In13" SID "213" Position [125, 808, 155, 822] Port "13" IconDisplay "Port number" } Block { BlockType Inport Name "In14" SID "214" Position [125, 873, 155, 887] Port "14" IconDisplay "Port number" } Block { BlockType Inport Name "In15" SID "215" Position [125, 938, 155, 952] Port "15" IconDisplay "Port number" } Block { BlockType Inport Name "In16" SID "216" Position [125, 1003, 155, 1017] Port "16" IconDisplay "Port number" } Block { BlockType From Name "From" SID "217" Position [30, 1020, 130, 1040] ShowName off CloseFcn "tagdialog Close" GotoTag "ROM_0" TagVisibility "global" } Block { BlockType From Name "From10" SID "218" Position [30, 435, 130, 455] ShowName off CloseFcn "tagdialog Close" GotoTag "ROM_9" TagVisibility "global" } Block { BlockType From Name "From11" SID "219" Position [30, 370, 130, 390] ShowName off CloseFcn "tagdialog Close" GotoTag "ROM_10" TagVisibility "global" } Block { BlockType From Name "From12" SID "220" Position [30, 305, 130, 325] ShowName off CloseFcn "tagdialog Close" GotoTag "ROM_11" TagVisibility "global" } Block { BlockType From Name "From13" SID "221" Position [25, 175, 125, 195] ShowName off CloseFcn "tagdialog Close" GotoTag "ROM_13" TagVisibility "global" } Block { BlockType From Name "From14" SID "222" Position [25, 110, 125, 130] ShowName off CloseFcn "tagdialog Close" GotoTag "ROM_14" TagVisibility "global" } Block { BlockType From Name "From15" SID "223" Position [25, 45, 125, 65] ShowName off CloseFcn "tagdialog Close" GotoTag "ROM_15" TagVisibility "global" } Block { BlockType From Name "From16" SID "224" Position [25, 240, 125, 260] ShowName off CloseFcn "tagdialog Close" GotoTag "ROM_12" TagVisibility "global" } Block { BlockType From Name "From2" SID "225" Position [30, 955, 130, 975] ShowName off CloseFcn "tagdialog Close" GotoTag "ROM_1" TagVisibility "global" } Block { BlockType From Name "From3" SID "226" Position [30, 890, 130, 910] ShowName off CloseFcn "tagdialog Close" GotoTag "ROM_2" TagVisibility "global" } Block { BlockType From Name "From4" SID "227" Position [30, 825, 130, 845] ShowName off CloseFcn "tagdialog Close" GotoTag "ROM_3" TagVisibility "global" } Block { BlockType From Name "From5" SID "228" Position [30, 760, 130, 780] ShowName off CloseFcn "tagdialog Close" GotoTag "ROM_4" TagVisibility "global" } Block { BlockType From Name "From6" SID "229" Position [30, 695, 130, 715] ShowName off CloseFcn "tagdialog Close" GotoTag "ROM_5" TagVisibility "global" } Block { BlockType From Name "From7" SID "230" Position [30, 630, 130, 650] ShowName off CloseFcn "tagdialog Close" GotoTag "ROM_6" TagVisibility "global" } Block { BlockType From Name "From8" SID "231" Position [30, 565, 130, 585] ShowName off CloseFcn "tagdialog Close" GotoTag "ROM_7" TagVisibility "global" } Block { BlockType From Name "From9" SID "232" Position [30, 500, 130, 520] ShowName off CloseFcn "tagdialog Close" GotoTag "ROM_8" TagVisibility "global" } Block { BlockType Reference Name "Mult1" SID "233" Ports [2, 1] Position [180, 937, 225, 973] ShowName off LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Mult" SourceType "Xilinx Multiplier Block" infoedit "Hardware notes: To use the internal pipeline stage of the dedicated multiplier you must select 'Pipel" "ine for maximum performance'." precision "User Defined" arith_type "Signed (2's comp)" n_bits "24" bin_pt "22" quantization "Truncate" overflow "Flag as error" en off latency "1" dbl_ovrd off use_behavioral_HDL off opt "Speed" use_embedded on optimum_pipeline off xl_use_area off xl_area "[16 32 0 32 0 1 0]" pipeline "off" use_rpm "on" placement_style "Rectangular shape" has_advanced_control "0" sggui_pos "20,20,348,433" block_type "mult" block_version "9.1.01" sg_icon_stat "45,36,2,1,white,blue,0,82c891c1,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 45 45 0 0 ],[0 0 36 36 0 ],[0.77 0.82 0.9" "1 ]);\nplot([0 45 45 0 0 ],[0 0 36 36 0 ]);\npatch([10.875 18.1 23.1 28.1 33.1 23.1 15.875 10.875 ],[23.55 23.55 2" "8.55 23.55 28.55 28.55 28.55 23.55 ],[1 1 1 ]);\npatch([15.875 23.1 18.1 10.875 15.875 ],[18.55 18.55 23.55 23.55 " "18.55 ],[0.931 0.946 0.973 ]);\npatch([10.875 18.1 23.1 15.875 10.875 ],[13.55 13.55 18.55 18.55 13.55 ],[1 1 1 ])" ";\npatch([15.875 33.1 28.1 23.1 18.1 10.875 15.875 ],[8.55 8.55 13.55 8.55 13.55 13.55 8.55 ],[0.931 0.946 0.973 ]" ");\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label(" "'input',1,'a');\ncolor('black');port_label('input',2,'b');\ncolor('black');port_label('output',1,'\\bfa \\times b'" ",'texmode','on');\ncolor('black');disp('z^{-1}\\newline ','texmode','on');\ncolor('black');disp(' \\n ');\nfprintf" "('','COMMENT: end icon text');" } Block { BlockType Reference Name "Mult10" SID "234" Ports [2, 1] Position [180, 287, 225, 323] ShowName off LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Mult" SourceType "Xilinx Multiplier Block" infoedit "Hardware notes: To use the internal pipeline stage of the dedicated multiplier you must select 'Pipel" "ine for maximum performance'." precision "User Defined" arith_type "Signed (2's comp)" n_bits "24" bin_pt "22" quantization "Truncate" overflow "Flag as error" en off latency "1" dbl_ovrd off use_behavioral_HDL off opt "Speed" use_embedded on optimum_pipeline off xl_use_area off xl_area "[16 32 0 32 0 1 0]" pipeline "off" use_rpm "on" placement_style "Rectangular shape" has_advanced_control "0" sggui_pos "20,20,348,433" block_type "mult" block_version "9.1.01" sg_icon_stat "45,36,2,1,white,blue,0,82c891c1,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 45 45 0 0 ],[0 0 36 36 0 ],[0.77 0.82 0.9" "1 ]);\nplot([0 45 45 0 0 ],[0 0 36 36 0 ]);\npatch([10.875 18.1 23.1 28.1 33.1 23.1 15.875 10.875 ],[23.55 23.55 2" "8.55 23.55 28.55 28.55 28.55 23.55 ],[1 1 1 ]);\npatch([15.875 23.1 18.1 10.875 15.875 ],[18.55 18.55 23.55 23.55 " "18.55 ],[0.931 0.946 0.973 ]);\npatch([10.875 18.1 23.1 15.875 10.875 ],[13.55 13.55 18.55 18.55 13.55 ],[1 1 1 ])" ";\npatch([15.875 33.1 28.1 23.1 18.1 10.875 15.875 ],[8.55 8.55 13.55 8.55 13.55 13.55 8.55 ],[0.931 0.946 0.973 ]" ");\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label(" "'input',1,'a');\ncolor('black');port_label('input',2,'b');\ncolor('black');port_label('output',1,'\\bfa \\times b'" ",'texmode','on');\ncolor('black');disp('z^{-1}\\newline ','texmode','on');\ncolor('black');disp(' \\n ');\nfprintf" "('','COMMENT: end icon text');" } Block { BlockType Reference Name "Mult11" SID "235" Ports [2, 1] Position [180, 352, 225, 388] ShowName off LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Mult" SourceType "Xilinx Multiplier Block" infoedit "Hardware notes: To use the internal pipeline stage of the dedicated multiplier you must select 'Pipel" "ine for maximum performance'." precision "User Defined" arith_type "Signed (2's comp)" n_bits "24" bin_pt "22" quantization "Truncate" overflow "Flag as error" en off latency "1" dbl_ovrd off use_behavioral_HDL off opt "Speed" use_embedded on optimum_pipeline off xl_use_area off xl_area "[16 32 0 32 0 1 0]" pipeline "off" use_rpm "on" placement_style "Rectangular shape" has_advanced_control "0" sggui_pos "20,20,348,433" block_type "mult" block_version "9.1.01" sg_icon_stat "45,36,2,1,white,blue,0,82c891c1,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 45 45 0 0 ],[0 0 36 36 0 ],[0.77 0.82 0.9" "1 ]);\nplot([0 45 45 0 0 ],[0 0 36 36 0 ]);\npatch([10.875 18.1 23.1 28.1 33.1 23.1 15.875 10.875 ],[23.55 23.55 2" "8.55 23.55 28.55 28.55 28.55 23.55 ],[1 1 1 ]);\npatch([15.875 23.1 18.1 10.875 15.875 ],[18.55 18.55 23.55 23.55 " "18.55 ],[0.931 0.946 0.973 ]);\npatch([10.875 18.1 23.1 15.875 10.875 ],[13.55 13.55 18.55 18.55 13.55 ],[1 1 1 ])" ";\npatch([15.875 33.1 28.1 23.1 18.1 10.875 15.875 ],[8.55 8.55 13.55 8.55 13.55 13.55 8.55 ],[0.931 0.946 0.973 ]" ");\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label(" "'input',1,'a');\ncolor('black');port_label('input',2,'b');\ncolor('black');port_label('output',1,'\\bfa \\times b'" ",'texmode','on');\ncolor('black');disp('z^{-1}\\newline ','texmode','on');\ncolor('black');disp(' \\n ');\nfprintf" "('','COMMENT: end icon text');" } Block { BlockType Reference Name "Mult12" SID "236" Ports [2, 1] Position [180, 157, 225, 193] ShowName off LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Mult" SourceType "Xilinx Multiplier Block" infoedit "Hardware notes: To use the internal pipeline stage of the dedicated multiplier you must select 'Pipel" "ine for maximum performance'." precision "User Defined" arith_type "Signed (2's comp)" n_bits "24" bin_pt "22" quantization "Truncate" overflow "Flag as error" en off latency "1" dbl_ovrd off use_behavioral_HDL off opt "Speed" use_embedded on optimum_pipeline off xl_use_area off xl_area "[16 32 0 32 0 1 0]" pipeline "off" use_rpm "on" placement_style "Rectangular shape" has_advanced_control "0" sggui_pos "20,20,348,433" block_type "mult" block_version "9.1.01" sg_icon_stat "45,36,2,1,white,blue,0,82c891c1,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 45 45 0 0 ],[0 0 36 36 0 ],[0.77 0.82 0.9" "1 ]);\nplot([0 45 45 0 0 ],[0 0 36 36 0 ]);\npatch([10.875 18.1 23.1 28.1 33.1 23.1 15.875 10.875 ],[23.55 23.55 2" "8.55 23.55 28.55 28.55 28.55 23.55 ],[1 1 1 ]);\npatch([15.875 23.1 18.1 10.875 15.875 ],[18.55 18.55 23.55 23.55 " "18.55 ],[0.931 0.946 0.973 ]);\npatch([10.875 18.1 23.1 15.875 10.875 ],[13.55 13.55 18.55 18.55 13.55 ],[1 1 1 ])" ";\npatch([15.875 33.1 28.1 23.1 18.1 10.875 15.875 ],[8.55 8.55 13.55 8.55 13.55 13.55 8.55 ],[0.931 0.946 0.973 ]" ");\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label(" "'input',1,'a');\ncolor('black');port_label('input',2,'b');\ncolor('black');port_label('output',1,'\\bfa \\times b'" ",'texmode','on');\ncolor('black');disp('z^{-1}\\newline ','texmode','on');\ncolor('black');disp(' \\n ');\nfprintf" "('','COMMENT: end icon text');" } Block { BlockType Reference Name "Mult13" SID "237" Ports [2, 1] Position [180, 27, 225, 63] ShowName off LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Mult" SourceType "Xilinx Multiplier Block" infoedit "Hardware notes: To use the internal pipeline stage of the dedicated multiplier you must select 'Pipel" "ine for maximum performance'." precision "User Defined" arith_type "Signed (2's comp)" n_bits "24" bin_pt "22" quantization "Truncate" overflow "Flag as error" en off latency "1" dbl_ovrd off use_behavioral_HDL off opt "Speed" use_embedded on optimum_pipeline off xl_use_area off xl_area "[16 32 0 32 0 1 0]" pipeline "off" use_rpm "on" placement_style "Rectangular shape" has_advanced_control "0" sggui_pos "20,20,348,433" block_type "mult" block_version "9.1.01" sg_icon_stat "45,36,2,1,white,blue,0,82c891c1,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 45 45 0 0 ],[0 0 36 36 0 ],[0.77 0.82 0.9" "1 ]);\nplot([0 45 45 0 0 ],[0 0 36 36 0 ]);\npatch([10.875 18.1 23.1 28.1 33.1 23.1 15.875 10.875 ],[23.55 23.55 2" "8.55 23.55 28.55 28.55 28.55 23.55 ],[1 1 1 ]);\npatch([15.875 23.1 18.1 10.875 15.875 ],[18.55 18.55 23.55 23.55 " "18.55 ],[0.931 0.946 0.973 ]);\npatch([10.875 18.1 23.1 15.875 10.875 ],[13.55 13.55 18.55 18.55 13.55 ],[1 1 1 ])" ";\npatch([15.875 33.1 28.1 23.1 18.1 10.875 15.875 ],[8.55 8.55 13.55 8.55 13.55 13.55 8.55 ],[0.931 0.946 0.973 ]" ");\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label(" "'input',1,'a');\ncolor('black');port_label('input',2,'b');\ncolor('black');port_label('output',1,'\\bfa \\times b'" ",'texmode','on');\ncolor('black');disp('z^{-1}\\newline ','texmode','on');\ncolor('black');disp(' \\n ');\nfprintf" "('','COMMENT: end icon text');" } Block { BlockType Reference Name "Mult14" SID "238" Ports [2, 1] Position [180, 92, 225, 128] ShowName off LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Mult" SourceType "Xilinx Multiplier Block" infoedit "Hardware notes: To use the internal pipeline stage of the dedicated multiplier you must select 'Pipel" "ine for maximum performance'." precision "User Defined" arith_type "Signed (2's comp)" n_bits "24" bin_pt "22" quantization "Truncate" overflow "Flag as error" en off latency "1" dbl_ovrd off use_behavioral_HDL off opt "Speed" use_embedded on optimum_pipeline off xl_use_area off xl_area "[16 32 0 32 0 1 0]" pipeline "off" use_rpm "on" placement_style "Rectangular shape" has_advanced_control "0" sggui_pos "20,20,348,433" block_type "mult" block_version "9.1.01" sg_icon_stat "45,36,2,1,white,blue,0,82c891c1,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 45 45 0 0 ],[0 0 36 36 0 ],[0.77 0.82 0.9" "1 ]);\nplot([0 45 45 0 0 ],[0 0 36 36 0 ]);\npatch([10.875 18.1 23.1 28.1 33.1 23.1 15.875 10.875 ],[23.55 23.55 2" "8.55 23.55 28.55 28.55 28.55 23.55 ],[1 1 1 ]);\npatch([15.875 23.1 18.1 10.875 15.875 ],[18.55 18.55 23.55 23.55 " "18.55 ],[0.931 0.946 0.973 ]);\npatch([10.875 18.1 23.1 15.875 10.875 ],[13.55 13.55 18.55 18.55 13.55 ],[1 1 1 ])" ";\npatch([15.875 33.1 28.1 23.1 18.1 10.875 15.875 ],[8.55 8.55 13.55 8.55 13.55 13.55 8.55 ],[0.931 0.946 0.973 ]" ");\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label(" "'input',1,'a');\ncolor('black');port_label('input',2,'b');\ncolor('black');port_label('output',1,'\\bfa \\times b'" ",'texmode','on');\ncolor('black');disp('z^{-1}\\newline ','texmode','on');\ncolor('black');disp(' \\n ');\nfprintf" "('','COMMENT: end icon text');" } Block { BlockType Reference Name "Mult15" SID "239" Ports [2, 1] Position [180, 482, 225, 518] ShowName off LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Mult" SourceType "Xilinx Multiplier Block" infoedit "Hardware notes: To use the internal pipeline stage of the dedicated multiplier you must select 'Pipel" "ine for maximum performance'." precision "User Defined" arith_type "Signed (2's comp)" n_bits "24" bin_pt "22" quantization "Truncate" overflow "Flag as error" en off latency "1" dbl_ovrd off use_behavioral_HDL off opt "Speed" use_embedded on optimum_pipeline off xl_use_area off xl_area "[16 32 0 32 0 1 0]" pipeline "off" use_rpm "on" placement_style "Rectangular shape" has_advanced_control "0" sggui_pos "20,20,348,433" block_type "mult" block_version "9.1.01" sg_icon_stat "45,36,2,1,white,blue,0,82c891c1,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 45 45 0 0 ],[0 0 36 36 0 ],[0.77 0.82 0.9" "1 ]);\nplot([0 45 45 0 0 ],[0 0 36 36 0 ]);\npatch([10.875 18.1 23.1 28.1 33.1 23.1 15.875 10.875 ],[23.55 23.55 2" "8.55 23.55 28.55 28.55 28.55 23.55 ],[1 1 1 ]);\npatch([15.875 23.1 18.1 10.875 15.875 ],[18.55 18.55 23.55 23.55 " "18.55 ],[0.931 0.946 0.973 ]);\npatch([10.875 18.1 23.1 15.875 10.875 ],[13.55 13.55 18.55 18.55 13.55 ],[1 1 1 ])" ";\npatch([15.875 33.1 28.1 23.1 18.1 10.875 15.875 ],[8.55 8.55 13.55 8.55 13.55 13.55 8.55 ],[0.931 0.946 0.973 ]" ");\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label(" "'input',1,'a');\ncolor('black');port_label('input',2,'b');\ncolor('black');port_label('output',1,'\\bfa \\times b'" ",'texmode','on');\ncolor('black');disp('z^{-1}\\newline ','texmode','on');\ncolor('black');disp(' \\n ');\nfprintf" "('','COMMENT: end icon text');" } Block { BlockType Reference Name "Mult16" SID "240" Ports [2, 1] Position [180, 222, 225, 258] ShowName off LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Mult" SourceType "Xilinx Multiplier Block" infoedit "Hardware notes: To use the internal pipeline stage of the dedicated multiplier you must select 'Pipel" "ine for maximum performance'." precision "User Defined" arith_type "Signed (2's comp)" n_bits "24" bin_pt "22" quantization "Truncate" overflow "Flag as error" en off latency "1" dbl_ovrd off use_behavioral_HDL off opt "Speed" use_embedded on optimum_pipeline off xl_use_area off xl_area "[16 32 0 32 0 1 0]" pipeline "off" use_rpm "on" placement_style "Rectangular shape" has_advanced_control "0" sggui_pos "20,20,348,433" block_type "mult" block_version "9.1.01" sg_icon_stat "45,36,2,1,white,blue,0,82c891c1,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 45 45 0 0 ],[0 0 36 36 0 ],[0.77 0.82 0.9" "1 ]);\nplot([0 45 45 0 0 ],[0 0 36 36 0 ]);\npatch([10.875 18.1 23.1 28.1 33.1 23.1 15.875 10.875 ],[23.55 23.55 2" "8.55 23.55 28.55 28.55 28.55 23.55 ],[1 1 1 ]);\npatch([15.875 23.1 18.1 10.875 15.875 ],[18.55 18.55 23.55 23.55 " "18.55 ],[0.931 0.946 0.973 ]);\npatch([10.875 18.1 23.1 15.875 10.875 ],[13.55 13.55 18.55 18.55 13.55 ],[1 1 1 ])" ";\npatch([15.875 33.1 28.1 23.1 18.1 10.875 15.875 ],[8.55 8.55 13.55 8.55 13.55 13.55 8.55 ],[0.931 0.946 0.973 ]" ");\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label(" "'input',1,'a');\ncolor('black');port_label('input',2,'b');\ncolor('black');port_label('output',1,'\\bfa \\times b'" ",'texmode','on');\ncolor('black');disp('z^{-1}\\newline ','texmode','on');\ncolor('black');disp(' \\n ');\nfprintf" "('','COMMENT: end icon text');" } Block { BlockType Reference Name "Mult2" SID "241" Ports [2, 1] Position [180, 807, 225, 843] ShowName off LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Mult" SourceType "Xilinx Multiplier Block" infoedit "Hardware notes: To use the internal pipeline stage of the dedicated multiplier you must select 'Pipel" "ine for maximum performance'." precision "User Defined" arith_type "Signed (2's comp)" n_bits "24" bin_pt "22" quantization "Truncate" overflow "Flag as error" en off latency "1" dbl_ovrd off use_behavioral_HDL off opt "Speed" use_embedded on optimum_pipeline off xl_use_area off xl_area "[16 32 0 32 0 1 0]" pipeline "off" use_rpm "on" placement_style "Rectangular shape" has_advanced_control "0" sggui_pos "20,20,348,433" block_type "mult" block_version "9.1.01" sg_icon_stat "45,36,2,1,white,blue,0,82c891c1,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 45 45 0 0 ],[0 0 36 36 0 ],[0.77 0.82 0.9" "1 ]);\nplot([0 45 45 0 0 ],[0 0 36 36 0 ]);\npatch([10.875 18.1 23.1 28.1 33.1 23.1 15.875 10.875 ],[23.55 23.55 2" "8.55 23.55 28.55 28.55 28.55 23.55 ],[1 1 1 ]);\npatch([15.875 23.1 18.1 10.875 15.875 ],[18.55 18.55 23.55 23.55 " "18.55 ],[0.931 0.946 0.973 ]);\npatch([10.875 18.1 23.1 15.875 10.875 ],[13.55 13.55 18.55 18.55 13.55 ],[1 1 1 ])" ";\npatch([15.875 33.1 28.1 23.1 18.1 10.875 15.875 ],[8.55 8.55 13.55 8.55 13.55 13.55 8.55 ],[0.931 0.946 0.973 ]" ");\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label(" "'input',1,'a');\ncolor('black');port_label('input',2,'b');\ncolor('black');port_label('output',1,'\\bfa \\times b'" ",'texmode','on');\ncolor('black');disp('z^{-1}\\newline ','texmode','on');\ncolor('black');disp(' \\n ');\nfprintf" "('','COMMENT: end icon text');" } Block { BlockType Reference Name "Mult3" SID "242" Ports [2, 1] Position [180, 872, 225, 908] ShowName off LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Mult" SourceType "Xilinx Multiplier Block" infoedit "Hardware notes: To use the internal pipeline stage of the dedicated multiplier you must select 'Pipel" "ine for maximum performance'." precision "User Defined" arith_type "Signed (2's comp)" n_bits "24" bin_pt "22" quantization "Truncate" overflow "Flag as error" en off latency "1" dbl_ovrd off use_behavioral_HDL off opt "Speed" use_embedded on optimum_pipeline off xl_use_area off xl_area "[16 32 0 32 0 1 0]" pipeline "off" use_rpm "on" placement_style "Rectangular shape" has_advanced_control "0" sggui_pos "20,20,348,433" block_type "mult" block_version "9.1.01" sg_icon_stat "45,36,2,1,white,blue,0,82c891c1,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 45 45 0 0 ],[0 0 36 36 0 ],[0.77 0.82 0.9" "1 ]);\nplot([0 45 45 0 0 ],[0 0 36 36 0 ]);\npatch([10.875 18.1 23.1 28.1 33.1 23.1 15.875 10.875 ],[23.55 23.55 2" "8.55 23.55 28.55 28.55 28.55 23.55 ],[1 1 1 ]);\npatch([15.875 23.1 18.1 10.875 15.875 ],[18.55 18.55 23.55 23.55 " "18.55 ],[0.931 0.946 0.973 ]);\npatch([10.875 18.1 23.1 15.875 10.875 ],[13.55 13.55 18.55 18.55 13.55 ],[1 1 1 ])" ";\npatch([15.875 33.1 28.1 23.1 18.1 10.875 15.875 ],[8.55 8.55 13.55 8.55 13.55 13.55 8.55 ],[0.931 0.946 0.973 ]" ");\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label(" "'input',1,'a');\ncolor('black');port_label('input',2,'b');\ncolor('black');port_label('output',1,'\\bfa \\times b'" ",'texmode','on');\ncolor('black');disp('z^{-1}\\newline ','texmode','on');\ncolor('black');disp(' \\n ');\nfprintf" "('','COMMENT: end icon text');" } Block { BlockType Reference Name "Mult4" SID "243" Ports [2, 1] Position [180, 677, 225, 713] ShowName off LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Mult" SourceType "Xilinx Multiplier Block" infoedit "Hardware notes: To use the internal pipeline stage of the dedicated multiplier you must select 'Pipel" "ine for maximum performance'." precision "User Defined" arith_type "Signed (2's comp)" n_bits "24" bin_pt "22" quantization "Truncate" overflow "Flag as error" en off latency "1" dbl_ovrd off use_behavioral_HDL off opt "Speed" use_embedded on optimum_pipeline off xl_use_area off xl_area "[16 32 0 32 0 1 0]" pipeline "off" use_rpm "on" placement_style "Rectangular shape" has_advanced_control "0" sggui_pos "20,20,348,433" block_type "mult" block_version "9.1.01" sg_icon_stat "45,36,2,1,white,blue,0,82c891c1,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 45 45 0 0 ],[0 0 36 36 0 ],[0.77 0.82 0.9" "1 ]);\nplot([0 45 45 0 0 ],[0 0 36 36 0 ]);\npatch([10.875 18.1 23.1 28.1 33.1 23.1 15.875 10.875 ],[23.55 23.55 2" "8.55 23.55 28.55 28.55 28.55 23.55 ],[1 1 1 ]);\npatch([15.875 23.1 18.1 10.875 15.875 ],[18.55 18.55 23.55 23.55 " "18.55 ],[0.931 0.946 0.973 ]);\npatch([10.875 18.1 23.1 15.875 10.875 ],[13.55 13.55 18.55 18.55 13.55 ],[1 1 1 ])" ";\npatch([15.875 33.1 28.1 23.1 18.1 10.875 15.875 ],[8.55 8.55 13.55 8.55 13.55 13.55 8.55 ],[0.931 0.946 0.973 ]" ");\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label(" "'input',1,'a');\ncolor('black');port_label('input',2,'b');\ncolor('black');port_label('output',1,'\\bfa \\times b'" ",'texmode','on');\ncolor('black');disp('z^{-1}\\newline ','texmode','on');\ncolor('black');disp(' \\n ');\nfprintf" "('','COMMENT: end icon text');" } Block { BlockType Reference Name "Mult5" SID "244" Ports [2, 1] Position [180, 547, 225, 583] ShowName off LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Mult" SourceType "Xilinx Multiplier Block" infoedit "Hardware notes: To use the internal pipeline stage of the dedicated multiplier you must select 'Pipel" "ine for maximum performance'." precision "User Defined" arith_type "Signed (2's comp)" n_bits "24" bin_pt "22" quantization "Truncate" overflow "Flag as error" en off latency "1" dbl_ovrd off use_behavioral_HDL off opt "Speed" use_embedded on optimum_pipeline off xl_use_area off xl_area "[16 32 0 32 0 1 0]" pipeline "off" use_rpm "on" placement_style "Rectangular shape" has_advanced_control "0" sggui_pos "20,20,348,433" block_type "mult" block_version "9.1.01" sg_icon_stat "45,36,2,1,white,blue,0,82c891c1,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 45 45 0 0 ],[0 0 36 36 0 ],[0.77 0.82 0.9" "1 ]);\nplot([0 45 45 0 0 ],[0 0 36 36 0 ]);\npatch([10.875 18.1 23.1 28.1 33.1 23.1 15.875 10.875 ],[23.55 23.55 2" "8.55 23.55 28.55 28.55 28.55 23.55 ],[1 1 1 ]);\npatch([15.875 23.1 18.1 10.875 15.875 ],[18.55 18.55 23.55 23.55 " "18.55 ],[0.931 0.946 0.973 ]);\npatch([10.875 18.1 23.1 15.875 10.875 ],[13.55 13.55 18.55 18.55 13.55 ],[1 1 1 ])" ";\npatch([15.875 33.1 28.1 23.1 18.1 10.875 15.875 ],[8.55 8.55 13.55 8.55 13.55 13.55 8.55 ],[0.931 0.946 0.973 ]" ");\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label(" "'input',1,'a');\ncolor('black');port_label('input',2,'b');\ncolor('black');port_label('output',1,'\\bfa \\times b'" ",'texmode','on');\ncolor('black');disp('z^{-1}\\newline ','texmode','on');\ncolor('black');disp(' \\n ');\nfprintf" "('','COMMENT: end icon text');" } Block { BlockType Reference Name "Mult6" SID "245" Ports [2, 1] Position [180, 612, 225, 648] ShowName off LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Mult" SourceType "Xilinx Multiplier Block" infoedit "Hardware notes: To use the internal pipeline stage of the dedicated multiplier you must select 'Pipel" "ine for maximum performance'." precision "User Defined" arith_type "Signed (2's comp)" n_bits "24" bin_pt "22" quantization "Truncate" overflow "Flag as error" en off latency "1" dbl_ovrd off use_behavioral_HDL off opt "Speed" use_embedded on optimum_pipeline off xl_use_area off xl_area "[16 32 0 32 0 1 0]" pipeline "off" use_rpm "on" placement_style "Rectangular shape" has_advanced_control "0" sggui_pos "20,20,348,433" block_type "mult" block_version "9.1.01" sg_icon_stat "45,36,2,1,white,blue,0,82c891c1,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 45 45 0 0 ],[0 0 36 36 0 ],[0.77 0.82 0.9" "1 ]);\nplot([0 45 45 0 0 ],[0 0 36 36 0 ]);\npatch([10.875 18.1 23.1 28.1 33.1 23.1 15.875 10.875 ],[23.55 23.55 2" "8.55 23.55 28.55 28.55 28.55 23.55 ],[1 1 1 ]);\npatch([15.875 23.1 18.1 10.875 15.875 ],[18.55 18.55 23.55 23.55 " "18.55 ],[0.931 0.946 0.973 ]);\npatch([10.875 18.1 23.1 15.875 10.875 ],[13.55 13.55 18.55 18.55 13.55 ],[1 1 1 ])" ";\npatch([15.875 33.1 28.1 23.1 18.1 10.875 15.875 ],[8.55 8.55 13.55 8.55 13.55 13.55 8.55 ],[0.931 0.946 0.973 ]" ");\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label(" "'input',1,'a');\ncolor('black');port_label('input',2,'b');\ncolor('black');port_label('output',1,'\\bfa \\times b'" ",'texmode','on');\ncolor('black');disp('z^{-1}\\newline ','texmode','on');\ncolor('black');disp(' \\n ');\nfprintf" "('','COMMENT: end icon text');" } Block { BlockType Reference Name "Mult7" SID "246" Ports [2, 1] Position [180, 1002, 225, 1038] ShowName off LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Mult" SourceType "Xilinx Multiplier Block" infoedit "Hardware notes: To use the internal pipeline stage of the dedicated multiplier you must select 'Pipel" "ine for maximum performance'." precision "User Defined" arith_type "Signed (2's comp)" n_bits "24" bin_pt "22" quantization "Truncate" overflow "Flag as error" en off latency "1" dbl_ovrd off use_behavioral_HDL off opt "Speed" use_embedded on optimum_pipeline off xl_use_area off xl_area "[16 32 0 32 0 1 0]" pipeline "off" use_rpm "on" placement_style "Rectangular shape" has_advanced_control "0" sggui_pos "20,20,348,433" block_type "mult" block_version "9.1.01" sg_icon_stat "45,36,2,1,white,blue,0,82c891c1,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 45 45 0 0 ],[0 0 36 36 0 ],[0.77 0.82 0.9" "1 ]);\nplot([0 45 45 0 0 ],[0 0 36 36 0 ]);\npatch([10.875 18.1 23.1 28.1 33.1 23.1 15.875 10.875 ],[23.55 23.55 2" "8.55 23.55 28.55 28.55 28.55 23.55 ],[1 1 1 ]);\npatch([15.875 23.1 18.1 10.875 15.875 ],[18.55 18.55 23.55 23.55 " "18.55 ],[0.931 0.946 0.973 ]);\npatch([10.875 18.1 23.1 15.875 10.875 ],[13.55 13.55 18.55 18.55 13.55 ],[1 1 1 ])" ";\npatch([15.875 33.1 28.1 23.1 18.1 10.875 15.875 ],[8.55 8.55 13.55 8.55 13.55 13.55 8.55 ],[0.931 0.946 0.973 ]" ");\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label(" "'input',1,'a');\ncolor('black');port_label('input',2,'b');\ncolor('black');port_label('output',1,'\\bfa \\times b'" ",'texmode','on');\ncolor('black');disp('z^{-1}\\newline ','texmode','on');\ncolor('black');disp(' \\n ');\nfprintf" "('','COMMENT: end icon text');" } Block { BlockType Reference Name "Mult8" SID "247" Ports [2, 1] Position [180, 742, 225, 778] ShowName off LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Mult" SourceType "Xilinx Multiplier Block" infoedit "Hardware notes: To use the internal pipeline stage of the dedicated multiplier you must select 'Pipel" "ine for maximum performance'." precision "User Defined" arith_type "Signed (2's comp)" n_bits "24" bin_pt "22" quantization "Truncate" overflow "Flag as error" en off latency "1" dbl_ovrd off use_behavioral_HDL off opt "Speed" use_embedded on optimum_pipeline off xl_use_area off xl_area "[16 32 0 32 0 1 0]" pipeline "off" use_rpm "on" placement_style "Rectangular shape" has_advanced_control "0" sggui_pos "20,20,348,433" block_type "mult" block_version "9.1.01" sg_icon_stat "45,36,2,1,white,blue,0,82c891c1,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 45 45 0 0 ],[0 0 36 36 0 ],[0.77 0.82 0.9" "1 ]);\nplot([0 45 45 0 0 ],[0 0 36 36 0 ]);\npatch([10.875 18.1 23.1 28.1 33.1 23.1 15.875 10.875 ],[23.55 23.55 2" "8.55 23.55 28.55 28.55 28.55 23.55 ],[1 1 1 ]);\npatch([15.875 23.1 18.1 10.875 15.875 ],[18.55 18.55 23.55 23.55 " "18.55 ],[0.931 0.946 0.973 ]);\npatch([10.875 18.1 23.1 15.875 10.875 ],[13.55 13.55 18.55 18.55 13.55 ],[1 1 1 ])" ";\npatch([15.875 33.1 28.1 23.1 18.1 10.875 15.875 ],[8.55 8.55 13.55 8.55 13.55 13.55 8.55 ],[0.931 0.946 0.973 ]" ");\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label(" "'input',1,'a');\ncolor('black');port_label('input',2,'b');\ncolor('black');port_label('output',1,'\\bfa \\times b'" ",'texmode','on');\ncolor('black');disp('z^{-1}\\newline ','texmode','on');\ncolor('black');disp(' \\n ');\nfprintf" "('','COMMENT: end icon text');" } Block { BlockType Reference Name "Mult9" SID "248" Ports [2, 1] Position [180, 417, 225, 453] ShowName off LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Mult" SourceType "Xilinx Multiplier Block" infoedit "Hardware notes: To use the internal pipeline stage of the dedicated multiplier you must select 'Pipel" "ine for maximum performance'." precision "User Defined" arith_type "Signed (2's comp)" n_bits "24" bin_pt "22" quantization "Truncate" overflow "Flag as error" en off latency "1" dbl_ovrd off use_behavioral_HDL off opt "Speed" use_embedded on optimum_pipeline off xl_use_area off xl_area "[16 32 0 32 0 1 0]" pipeline "off" use_rpm "on" placement_style "Rectangular shape" has_advanced_control "0" sggui_pos "20,20,348,433" block_type "mult" block_version "9.1.01" sg_icon_stat "45,36,2,1,white,blue,0,82c891c1,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 45 45 0 0 ],[0 0 36 36 0 ],[0.77 0.82 0.9" "1 ]);\nplot([0 45 45 0 0 ],[0 0 36 36 0 ]);\npatch([10.875 18.1 23.1 28.1 33.1 23.1 15.875 10.875 ],[23.55 23.55 2" "8.55 23.55 28.55 28.55 28.55 23.55 ],[1 1 1 ]);\npatch([15.875 23.1 18.1 10.875 15.875 ],[18.55 18.55 23.55 23.55 " "18.55 ],[0.931 0.946 0.973 ]);\npatch([10.875 18.1 23.1 15.875 10.875 ],[13.55 13.55 18.55 18.55 13.55 ],[1 1 1 ])" ";\npatch([15.875 33.1 28.1 23.1 18.1 10.875 15.875 ],[8.55 8.55 13.55 8.55 13.55 13.55 8.55 ],[0.931 0.946 0.973 ]" ");\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label(" "'input',1,'a');\ncolor('black');port_label('input',2,'b');\ncolor('black');port_label('output',1,'\\bfa \\times b'" ",'texmode','on');\ncolor('black');disp('z^{-1}\\newline ','texmode','on');\ncolor('black');disp(' \\n ');\nfprintf" "('','COMMENT: end icon text');" } Block { BlockType Outport Name "Out1" SID "249" Position [250, 38, 280, 52] IconDisplay "Port number" } Block { BlockType Outport Name "Out2" SID "250" Position [250, 103, 280, 117] Port "2" IconDisplay "Port number" } Block { BlockType Outport Name "Out3" SID "251" Position [250, 168, 280, 182] Port "3" IconDisplay "Port number" } Block { BlockType Outport Name "Out4" SID "252" Position [250, 233, 280, 247] Port "4" IconDisplay "Port number" } Block { BlockType Outport Name "Out5" SID "253" Position [250, 298, 280, 312] Port "5" IconDisplay "Port number" } Block { BlockType Outport Name "Out6" SID "254" Position [250, 363, 280, 377] Port "6" IconDisplay "Port number" } Block { BlockType Outport Name "Out7" SID "255" Position [250, 428, 280, 442] Port "7" IconDisplay "Port number" } Block { BlockType Outport Name "Out8" SID "256" Position [250, 493, 280, 507] Port "8" IconDisplay "Port number" } Block { BlockType Outport Name "Out9" SID "257" Position [250, 558, 280, 572] Port "9" IconDisplay "Port number" } Block { BlockType Outport Name "Out10" SID "258" Position [250, 623, 280, 637] Port "10" IconDisplay "Port number" } Block { BlockType Outport Name "Out11" SID "259" Position [250, 688, 280, 702] Port "11" IconDisplay "Port number" } Block { BlockType Outport Name "Out12" SID "260" Position [250, 753, 280, 767] Port "12" IconDisplay "Port number" } Block { BlockType Outport Name "Out13" SID "261" Position [250, 818, 280, 832] Port "13" IconDisplay "Port number" } Block { BlockType Outport Name "Out14" SID "262" Position [250, 883, 280, 897] Port "14" IconDisplay "Port number" } Block { BlockType Outport Name "Out15" SID "263" Position [250, 948, 280, 962] Port "15" IconDisplay "Port number" } Block { BlockType Outport Name "Out16" SID "264" Position [250, 1013, 280, 1027] Port "16" IconDisplay "Port number" } Line { SrcBlock "From15" SrcPort 1 DstBlock "Mult13" DstPort 2 } Line { SrcBlock "From14" SrcPort 1 DstBlock "Mult14" DstPort 2 } Line { SrcBlock "From13" SrcPort 1 DstBlock "Mult12" DstPort 2 } Line { SrcBlock "From16" SrcPort 1 DstBlock "Mult16" DstPort 2 } Line { SrcBlock "From12" SrcPort 1 DstBlock "Mult10" DstPort 2 } Line { SrcBlock "From11" SrcPort 1 DstBlock "Mult11" DstPort 2 } Line { SrcBlock "From10" SrcPort 1 DstBlock "Mult9" DstPort 2 } Line { SrcBlock "From9" SrcPort 1 DstBlock "Mult15" DstPort 2 } Line { SrcBlock "From8" SrcPort 1 DstBlock "Mult5" DstPort 2 } Line { SrcBlock "From7" SrcPort 1 DstBlock "Mult6" DstPort 2 } Line { SrcBlock "From6" SrcPort 1 DstBlock "Mult4" DstPort 2 } Line { SrcBlock "From5" SrcPort 1 DstBlock "Mult8" DstPort 2 } Line { SrcBlock "From4" SrcPort 1 DstBlock "Mult2" DstPort 2 } Line { SrcBlock "From3" SrcPort 1 DstBlock "Mult3" DstPort 2 } Line { SrcBlock "From2" SrcPort 1 DstBlock "Mult1" DstPort 2 } Line { SrcBlock "From" SrcPort 1 DstBlock "Mult7" DstPort 2 } Line { SrcBlock "In1" SrcPort 1 DstBlock "Mult13" DstPort 1 } Line { SrcBlock "Mult13" SrcPort 1 DstBlock "Out1" DstPort 1 } Line { SrcBlock "In2" SrcPort 1 DstBlock "Mult14" DstPort 1 } Line { SrcBlock "Mult14" SrcPort 1 DstBlock "Out2" DstPort 1 } Line { SrcBlock "In3" SrcPort 1 DstBlock "Mult12" DstPort 1 } Line { SrcBlock "Mult12" SrcPort 1 DstBlock "Out3" DstPort 1 } Line { SrcBlock "In4" SrcPort 1 DstBlock "Mult16" DstPort 1 } Line { SrcBlock "Mult16" SrcPort 1 DstBlock "Out4" DstPort 1 } Line { SrcBlock "In5" SrcPort 1 DstBlock "Mult10" DstPort 1 } Line { SrcBlock "Mult10" SrcPort 1 DstBlock "Out5" DstPort 1 } Line { SrcBlock "In6" SrcPort 1 DstBlock "Mult11" DstPort 1 } Line { SrcBlock "Mult11" SrcPort 1 DstBlock "Out6" DstPort 1 } Line { SrcBlock "In7" SrcPort 1 DstBlock "Mult9" DstPort 1 } Line { SrcBlock "Mult9" SrcPort 1 DstBlock "Out7" DstPort 1 } Line { SrcBlock "In8" SrcPort 1 DstBlock "Mult15" DstPort 1 } Line { SrcBlock "Mult15" SrcPort 1 DstBlock "Out8" DstPort 1 } Line { SrcBlock "In9" SrcPort 1 DstBlock "Mult5" DstPort 1 } Line { SrcBlock "Mult5" SrcPort 1 DstBlock "Out9" DstPort 1 } Line { SrcBlock "In10" SrcPort 1 DstBlock "Mult6" DstPort 1 } Line { SrcBlock "Mult6" SrcPort 1 DstBlock "Out10" DstPort 1 } Line { SrcBlock "In11" SrcPort 1 DstBlock "Mult4" DstPort 1 } Line { SrcBlock "Mult4" SrcPort 1 DstBlock "Out11" DstPort 1 } Line { SrcBlock "In12" SrcPort 1 DstBlock "Mult8" DstPort 1 } Line { SrcBlock "Mult8" SrcPort 1 DstBlock "Out12" DstPort 1 } Line { SrcBlock "In13" SrcPort 1 DstBlock "Mult2" DstPort 1 } Line { SrcBlock "Mult2" SrcPort 1 DstBlock "Out13" DstPort 1 } Line { SrcBlock "In14" SrcPort 1 DstBlock "Mult3" DstPort 1 } Line { SrcBlock "Mult3" SrcPort 1 DstBlock "Out14" DstPort 1 } Line { SrcBlock "In15" SrcPort 1 DstBlock "Mult1" DstPort 1 } Line { SrcBlock "Mult1" SrcPort 1 DstBlock "Out15" DstPort 1 } Line { SrcBlock "In16" SrcPort 1 DstBlock "Mult7" DstPort 1 } Line { SrcBlock "Mult7" SrcPort 1 DstBlock "Out16" DstPort 1 } } } Block { BlockType Reference Name "Register" SID "265" Ports [2, 1] Position [1375, 458, 1420, 507] ShowName off LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Register" SourceType "Xilinx Register Block" init "0" rst off en on dbl_ovrd off xl_use_area off xl_area "[7 14 0 0 0 0 0]" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "register" block_version "9.1.01" sg_icon_stat "45,49,2,1,white,blue,0,6bd0930c,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 45 45 0 0 ],[0 0 49 49 0 ],[0.77 0" ".82 0.91 ]);\nplot([0 45 45 0 0 ],[0 0 49 49 0 ]);\npatch([8.65 17.32 23.32 29.32 35.32 23.32 14.65 8.65 ],[30." "66 30.66 36.66 30.66 36.66 36.66 36.66 30.66 ],[1 1 1 ]);\npatch([14.65 23.32 17.32 8.65 14.65 ],[24.66 24.66 3" "0.66 30.66 24.66 ],[0.931 0.946 0.973 ]);\npatch([8.65 17.32 23.32 14.65 8.65 ],[18.66 18.66 24.66 24.66 18.66 " "],[1 1 1 ]);\npatch([14.65 35.32 29.32 23.32 17.32 8.65 14.65 ],[12.66 12.66 18.66 12.66 18.66 18.66 12.66 ],[0" ".931 0.946 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor" "('black');port_label('input',1,'d');\ncolor('black');port_label('input',2,'en');\ncolor('black');port_label('ou" "tput',1,'q');\ncolor('black');disp('z^{-1}','texmode','on');\nfprintf('','COMMENT: end icon text');\n" } Block { BlockType Reference Name "Register1" SID "266" Ports [2, 1] Position [1375, 393, 1420, 442] ShowName off LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Register" SourceType "Xilinx Register Block" init "0" rst off en on dbl_ovrd off xl_use_area off xl_area "[7 14 0 0 0 0 0]" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "register" block_version "9.1.01" sg_icon_stat "45,49,2,1,white,blue,0,6bd0930c,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 45 45 0 0 ],[0 0 49 49 0 ],[0.77 0" ".82 0.91 ]);\nplot([0 45 45 0 0 ],[0 0 49 49 0 ]);\npatch([8.65 17.32 23.32 29.32 35.32 23.32 14.65 8.65 ],[30." "66 30.66 36.66 30.66 36.66 36.66 36.66 30.66 ],[1 1 1 ]);\npatch([14.65 23.32 17.32 8.65 14.65 ],[24.66 24.66 3" "0.66 30.66 24.66 ],[0.931 0.946 0.973 ]);\npatch([8.65 17.32 23.32 14.65 8.65 ],[18.66 18.66 24.66 24.66 18.66 " "],[1 1 1 ]);\npatch([14.65 35.32 29.32 23.32 17.32 8.65 14.65 ],[12.66 12.66 18.66 12.66 18.66 18.66 12.66 ],[0" ".931 0.946 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor" "('black');port_label('input',1,'d');\ncolor('black');port_label('input',2,'en');\ncolor('black');port_label('ou" "tput',1,'q');\ncolor('black');disp('z^{-1}','texmode','on');\nfprintf('','COMMENT: end icon text');\n" } Block { BlockType Reference Name "Relational" SID "267" Ports [2, 1] Position [850, 658, 895, 702] ShowName off LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Relational" SourceType "Xilinx Arithmetic Relational Operator Block" mode "a=b" en off latency "0" dbl_ovrd off xl_use_area off xl_area "[1 0 0 2 0 0 0]" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "relational" block_version "9.1.01" sg_icon_stat "45,44,2,1,white,blue,0,2a81ff49,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 45 45 0 0 ],[0 0 44 44 0 ],[0.77 0" ".82 0.91 ]);\nplot([0 45 45 0 0 ],[0 0 44 44 0 ]);\npatch([8.65 17.32 23.32 29.32 35.32 23.32 14.65 8.65 ],[28." "66 28.66 34.66 28.66 34.66 34.66 34.66 28.66 ],[1 1 1 ]);\npatch([14.65 23.32 17.32 8.65 14.65 ],[22.66 22.66 2" "8.66 28.66 22.66 ],[0.931 0.946 0.973 ]);\npatch([8.65 17.32 23.32 14.65 8.65 ],[16.66 16.66 22.66 22.66 16.66 " "],[1 1 1 ]);\npatch([14.65 35.32 29.32 23.32 17.32 8.65 14.65 ],[10.66 10.66 16.66 10.66 16.66 16.66 10.66 ],[0" ".931 0.946 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor" "('black');port_label('input',1,'a');\ncolor('black');port_label('input',2,'b');\ncolor('black');port_label('out" "put',1,'\\bfa = b','texmode','on');\ncolor('black');disp(' ');\nfprintf('','COMMENT: end icon text');" } Block { BlockType SubSystem Name "Sample\nRAMs" SID "268" Ports [3, 16] Position [430, 237, 490, 563] MinAlgLoopOccurrences off PropExecContextOutsideSubsystem off RTWSystemCode "Auto" FunctionWithSeparateData off Opaque off RequestExecContextInheritance off MaskHideContents off System { Name "Sample\nRAMs" Location [602, 203, 1072, 897] Open off ModelBrowserVisibility off ModelBrowserWidth 200 ScreenColor "white" PaperOrientation "landscape" PaperPositionMode "auto" PaperType "usletter" PaperUnits "inches" TiledPaperMargins [0.500000, 0.500000, 0.500000, 0.500000] TiledPageScale 1 ShowPageBoundaries off ZoomFactor "100" Block { BlockType Inport Name "XA" SID "269" Position [40, 103, 70, 117] IconDisplay "Port number" } Block { BlockType Inport Name "XB" SID "270" Position [40, 423, 70, 437] Port "2" IconDisplay "Port number" } Block { BlockType Inport Name "Addr" SID "271" Position [25, 610, 55, 625] BlockRotation 270 Port "3" IconDisplay "Port number" } Block { BlockType SubSystem Name "MemoryA" SID "272" Ports [2, 16] Position [95, 30, 165, 350] NamePlacement "alternate" MinAlgLoopOccurrences off PropExecContextOutsideSubsystem off RTWSystemCode "Auto" FunctionWithSeparateData off Opaque off RequestExecContextInheritance off MaskHideContents off System { Name "MemoryA" Location [362, -8, 942, 1090] Open off ModelBrowserVisibility off ModelBrowserWidth 200 ScreenColor "white" PaperOrientation "landscape" PaperPositionMode "auto" PaperType "usletter" PaperUnits "inches" TiledPaperMargins [0.500000, 0.500000, 0.500000, 0.500000] TiledPageScale 1 ShowPageBoundaries off ZoomFactor "100" Block { BlockType Inport Name "X" SID "273" Position [25, 913, 55, 927] IconDisplay "Port number" } Block { BlockType Inport Name "Addr" SID "274" Position [365, 1065, 395, 1080] BlockRotation 270 Port "2" IconDisplay "Port number" } Block { BlockType Reference Name "ASR 0" SID "275" Ports [2, 1] Position [450, 547, 500, 598] LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Addressable Shift Register" SourceType "Xilinx Addressable Shift Register Block" infoedit "Delay of configurable length. Any element in the delay\n line can be addressed and" " driven onto the output\n port.

Hardware notes: Implemented using SRL16s.\n If Virtex-4, V" "irtex-II or Spartan-3 devices are used, multiple SRLC16s are cascaded together." infer_latency on depth "2" initVector "[0]" en off dbl_ovrd off use_behavioral_HDL off Optimization "Resource" xl_use_area off xl_area "[7 0 0 14 0 0 0]" use_rpm "on" has_advanced_control "0" sggui_pos "20,20,348,389" block_type "addrsr" block_version "9.1.01" sg_icon_stat "50,51,2,1,white,blue,0,a352fb33,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 50 50 0 0 ],[0 0 51 51 0 ],[0.77 0.82" " 0.91 ]);\nplot([0 50 50 0 0 ],[0 0 51 51 0 ]);\npatch([9.425 19.54 26.54 33.54 40.54 26.54 16.425 9.425 ],[32.7" "7 32.77 39.77 32.77 39.77 39.77 39.77 32.77 ],[1 1 1 ]);\npatch([16.425 26.54 19.54 9.425 16.425 ],[25.77 25.77 " "32.77 32.77 25.77 ],[0.931 0.946 0.973 ]);\npatch([9.425 19.54 26.54 16.425 9.425 ],[18.77 18.77 25.77 25.77 18." "77 ],[1 1 1 ]);\npatch([16.425 40.54 33.54 26.54 19.54 9.425 16.425 ],[11.77 11.77 18.77 11.77 18.77 18.77 11.77" " ],[0.931 0.946 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\nc" "olor('black');port_label('input',1,'d');\ncolor('black');port_label('input',2,'addr');\ncolor('black');port_labe" "l('output',1,'q');\nfprintf('','COMMENT: end icon text');" } Block { BlockType Reference Name "ASR 1" SID "276" Ports [2, 1] Position [450, 612, 500, 663] LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Addressable Shift Register" SourceType "Xilinx Addressable Shift Register Block" infoedit "Delay of configurable length. Any element in the delay\n line can be addressed and" " driven onto the output\n port.

Hardware notes: Implemented using SRL16s.\n If Virtex-4, V" "irtex-II or Spartan-3 devices are used, multiple SRLC16s are cascaded together." infer_latency on depth "2" initVector "[0]" en off dbl_ovrd off use_behavioral_HDL off Optimization "Resource" xl_use_area off xl_area "[7 0 0 14 0 0 0]" use_rpm "on" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "addrsr" block_version "9.1.01" sg_icon_stat "50,51,2,1,white,blue,0,a352fb33,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 50 50 0 0 ],[0 0 51 51 0 ],[0.77 0.82" " 0.91 ]);\nplot([0 50 50 0 0 ],[0 0 51 51 0 ]);\npatch([9.425 19.54 26.54 33.54 40.54 26.54 16.425 9.425 ],[32.7" "7 32.77 39.77 32.77 39.77 39.77 39.77 32.77 ],[1 1 1 ]);\npatch([16.425 26.54 19.54 9.425 16.425 ],[25.77 25.77 " "32.77 32.77 25.77 ],[0.931 0.946 0.973 ]);\npatch([9.425 19.54 26.54 16.425 9.425 ],[18.77 18.77 25.77 25.77 18." "77 ],[1 1 1 ]);\npatch([16.425 40.54 33.54 26.54 19.54 9.425 16.425 ],[11.77 11.77 18.77 11.77 18.77 18.77 11.77" " ],[0.931 0.946 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\nc" "olor('black');port_label('input',1,'d');\ncolor('black');port_label('input',2,'addr');\ncolor('black');port_labe" "l('output',1,'q');\nfprintf('','COMMENT: end icon text');" } Block { BlockType Reference Name "ASR 10" SID "277" Ports [2, 1] Position [450, 157, 500, 208] LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Addressable Shift Register" SourceType "Xilinx Addressable Shift Register Block" infoedit "Delay of configurable length. Any element in the delay\n line can be addressed and" " driven onto the output\n port.

Hardware notes: Implemented using SRL16s.\n If Virtex-4, V" "irtex-II or Spartan-3 devices are used, multiple SRLC16s are cascaded together." infer_latency on depth "2" initVector "[0]" en off dbl_ovrd off use_behavioral_HDL off Optimization "Resource" xl_use_area off xl_area "[7 0 0 14 0 0 0]" use_rpm "on" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "addrsr" block_version "9.1.01" sg_icon_stat "50,51,2,1,white,blue,0,a352fb33,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 50 50 0 0 ],[0 0 51 51 0 ],[0.77 0.82" " 0.91 ]);\nplot([0 50 50 0 0 ],[0 0 51 51 0 ]);\npatch([9.425 19.54 26.54 33.54 40.54 26.54 16.425 9.425 ],[32.7" "7 32.77 39.77 32.77 39.77 39.77 39.77 32.77 ],[1 1 1 ]);\npatch([16.425 26.54 19.54 9.425 16.425 ],[25.77 25.77 " "32.77 32.77 25.77 ],[0.931 0.946 0.973 ]);\npatch([9.425 19.54 26.54 16.425 9.425 ],[18.77 18.77 25.77 25.77 18." "77 ],[1 1 1 ]);\npatch([16.425 40.54 33.54 26.54 19.54 9.425 16.425 ],[11.77 11.77 18.77 11.77 18.77 18.77 11.77" " ],[0.931 0.946 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\nc" "olor('black');port_label('input',1,'d');\ncolor('black');port_label('input',2,'addr');\ncolor('black');port_labe" "l('output',1,'q');\nfprintf('','COMMENT: end icon text');" } Block { BlockType Reference Name "ASR 11" SID "278" Ports [2, 1] Position [450, 222, 500, 273] LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Addressable Shift Register" SourceType "Xilinx Addressable Shift Register Block" infoedit "Delay of configurable length. Any element in the delay\n line can be addressed and" " driven onto the output\n port.

Hardware notes: Implemented using SRL16s.\n If Virtex-4, V" "irtex-II or Spartan-3 devices are used, multiple SRLC16s are cascaded together." infer_latency on depth "2" initVector "[0]" en off dbl_ovrd off use_behavioral_HDL off Optimization "Resource" xl_use_area off xl_area "[7 0 0 14 0 0 0]" use_rpm "on" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "addrsr" block_version "9.1.01" sg_icon_stat "50,51,2,1,white,blue,0,a352fb33,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 50 50 0 0 ],[0 0 51 51 0 ],[0.77 0.82" " 0.91 ]);\nplot([0 50 50 0 0 ],[0 0 51 51 0 ]);\npatch([9.425 19.54 26.54 33.54 40.54 26.54 16.425 9.425 ],[32.7" "7 32.77 39.77 32.77 39.77 39.77 39.77 32.77 ],[1 1 1 ]);\npatch([16.425 26.54 19.54 9.425 16.425 ],[25.77 25.77 " "32.77 32.77 25.77 ],[0.931 0.946 0.973 ]);\npatch([9.425 19.54 26.54 16.425 9.425 ],[18.77 18.77 25.77 25.77 18." "77 ],[1 1 1 ]);\npatch([16.425 40.54 33.54 26.54 19.54 9.425 16.425 ],[11.77 11.77 18.77 11.77 18.77 18.77 11.77" " ],[0.931 0.946 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\nc" "olor('black');port_label('input',1,'d');\ncolor('black');port_label('input',2,'addr');\ncolor('black');port_labe" "l('output',1,'q');\nfprintf('','COMMENT: end icon text');" } Block { BlockType Reference Name "ASR 12" SID "279" Ports [2, 1] Position [450, 287, 500, 338] LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Addressable Shift Register" SourceType "Xilinx Addressable Shift Register Block" infoedit "Delay of configurable length. Any element in the delay\n line can be addressed and" " driven onto the output\n port.

Hardware notes: Implemented using SRL16s.\n If Virtex-4, V" "irtex-II or Spartan-3 devices are used, multiple SRLC16s are cascaded together." infer_latency on depth "2" initVector "[0]" en off dbl_ovrd off use_behavioral_HDL off Optimization "Resource" xl_use_area off xl_area "[7 0 0 14 0 0 0]" use_rpm "on" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "addrsr" block_version "9.1.01" sg_icon_stat "50,51,2,1,white,blue,0,a352fb33,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 50 50 0 0 ],[0 0 51 51 0 ],[0.77 0.82" " 0.91 ]);\nplot([0 50 50 0 0 ],[0 0 51 51 0 ]);\npatch([9.425 19.54 26.54 33.54 40.54 26.54 16.425 9.425 ],[32.7" "7 32.77 39.77 32.77 39.77 39.77 39.77 32.77 ],[1 1 1 ]);\npatch([16.425 26.54 19.54 9.425 16.425 ],[25.77 25.77 " "32.77 32.77 25.77 ],[0.931 0.946 0.973 ]);\npatch([9.425 19.54 26.54 16.425 9.425 ],[18.77 18.77 25.77 25.77 18." "77 ],[1 1 1 ]);\npatch([16.425 40.54 33.54 26.54 19.54 9.425 16.425 ],[11.77 11.77 18.77 11.77 18.77 18.77 11.77" " ],[0.931 0.946 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\nc" "olor('black');port_label('input',1,'d');\ncolor('black');port_label('input',2,'addr');\ncolor('black');port_labe" "l('output',1,'q');\nfprintf('','COMMENT: end icon text');" } Block { BlockType Reference Name "ASR 13" SID "280" Ports [2, 1] Position [450, 352, 500, 403] LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Addressable Shift Register" SourceType "Xilinx Addressable Shift Register Block" infoedit "Delay of configurable length. Any element in the delay\n line can be addressed and" " driven onto the output\n port.

Hardware notes: Implemented using SRL16s.\n If Virtex-4, V" "irtex-II or Spartan-3 devices are used, multiple SRLC16s are cascaded together." infer_latency on depth "2" initVector "[0]" en off dbl_ovrd off use_behavioral_HDL off Optimization "Resource" xl_use_area off xl_area "[7 0 0 14 0 0 0]" use_rpm "on" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "addrsr" block_version "9.1.01" sg_icon_stat "50,51,2,1,white,blue,0,a352fb33,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 50 50 0 0 ],[0 0 51 51 0 ],[0.77 0.82" " 0.91 ]);\nplot([0 50 50 0 0 ],[0 0 51 51 0 ]);\npatch([9.425 19.54 26.54 33.54 40.54 26.54 16.425 9.425 ],[32.7" "7 32.77 39.77 32.77 39.77 39.77 39.77 32.77 ],[1 1 1 ]);\npatch([16.425 26.54 19.54 9.425 16.425 ],[25.77 25.77 " "32.77 32.77 25.77 ],[0.931 0.946 0.973 ]);\npatch([9.425 19.54 26.54 16.425 9.425 ],[18.77 18.77 25.77 25.77 18." "77 ],[1 1 1 ]);\npatch([16.425 40.54 33.54 26.54 19.54 9.425 16.425 ],[11.77 11.77 18.77 11.77 18.77 18.77 11.77" " ],[0.931 0.946 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\nc" "olor('black');port_label('input',1,'d');\ncolor('black');port_label('input',2,'addr');\ncolor('black');port_labe" "l('output',1,'q');\nfprintf('','COMMENT: end icon text');" } Block { BlockType Reference Name "ASR 14" SID "281" Ports [2, 1] Position [450, 417, 500, 468] LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Addressable Shift Register" SourceType "Xilinx Addressable Shift Register Block" infoedit "Delay of configurable length. Any element in the delay\n line can be addressed and" " driven onto the output\n port.

Hardware notes: Implemented using SRL16s.\n If Virtex-4, V" "irtex-II or Spartan-3 devices are used, multiple SRLC16s are cascaded together." infer_latency on depth "2" initVector "[0]" en off dbl_ovrd off use_behavioral_HDL off Optimization "Resource" xl_use_area off xl_area "[7 0 0 14 0 0 0]" use_rpm "on" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "addrsr" block_version "9.1.01" sg_icon_stat "50,51,2,1,white,blue,0,a352fb33,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 50 50 0 0 ],[0 0 51 51 0 ],[0.77 0.82" " 0.91 ]);\nplot([0 50 50 0 0 ],[0 0 51 51 0 ]);\npatch([9.425 19.54 26.54 33.54 40.54 26.54 16.425 9.425 ],[32.7" "7 32.77 39.77 32.77 39.77 39.77 39.77 32.77 ],[1 1 1 ]);\npatch([16.425 26.54 19.54 9.425 16.425 ],[25.77 25.77 " "32.77 32.77 25.77 ],[0.931 0.946 0.973 ]);\npatch([9.425 19.54 26.54 16.425 9.425 ],[18.77 18.77 25.77 25.77 18." "77 ],[1 1 1 ]);\npatch([16.425 40.54 33.54 26.54 19.54 9.425 16.425 ],[11.77 11.77 18.77 11.77 18.77 18.77 11.77" " ],[0.931 0.946 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\nc" "olor('black');port_label('input',1,'d');\ncolor('black');port_label('input',2,'addr');\ncolor('black');port_labe" "l('output',1,'q');\nfprintf('','COMMENT: end icon text');" } Block { BlockType Reference Name "ASR 15" SID "282" Ports [2, 1] Position [450, 482, 500, 533] LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Addressable Shift Register" SourceType "Xilinx Addressable Shift Register Block" infoedit "Delay of configurable length. Any element in the delay\n line can be addressed and" " driven onto the output\n port.

Hardware notes: Implemented using SRL16s.\n If Virtex-4, V" "irtex-II or Spartan-3 devices are used, multiple SRLC16s are cascaded together." infer_latency on depth "2" initVector "[0]" en off dbl_ovrd off use_behavioral_HDL off Optimization "Resource" xl_use_area off xl_area "[7 0 0 14 0 0 0]" use_rpm "on" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "addrsr" block_version "9.1.01" sg_icon_stat "50,51,2,1,white,blue,0,a352fb33,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 50 50 0 0 ],[0 0 51 51 0 ],[0.77 0.82" " 0.91 ]);\nplot([0 50 50 0 0 ],[0 0 51 51 0 ]);\npatch([9.425 19.54 26.54 33.54 40.54 26.54 16.425 9.425 ],[32.7" "7 32.77 39.77 32.77 39.77 39.77 39.77 32.77 ],[1 1 1 ]);\npatch([16.425 26.54 19.54 9.425 16.425 ],[25.77 25.77 " "32.77 32.77 25.77 ],[0.931 0.946 0.973 ]);\npatch([9.425 19.54 26.54 16.425 9.425 ],[18.77 18.77 25.77 25.77 18." "77 ],[1 1 1 ]);\npatch([16.425 40.54 33.54 26.54 19.54 9.425 16.425 ],[11.77 11.77 18.77 11.77 18.77 18.77 11.77" " ],[0.931 0.946 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\nc" "olor('black');port_label('input',1,'d');\ncolor('black');port_label('input',2,'addr');\ncolor('black');port_labe" "l('output',1,'q');\nfprintf('','COMMENT: end icon text');" } Block { BlockType Reference Name "ASR 2" SID "283" Ports [2, 1] Position [450, 677, 500, 728] LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Addressable Shift Register" SourceType "Xilinx Addressable Shift Register Block" infoedit "Delay of configurable length. Any element in the delay\n line can be addressed and" " driven onto the output\n port.

Hardware notes: Implemented using SRL16s.\n If Virtex-4, V" "irtex-II or Spartan-3 devices are used, multiple SRLC16s are cascaded together." infer_latency on depth "2" initVector "[0]" en off dbl_ovrd off use_behavioral_HDL off Optimization "Resource" xl_use_area off xl_area "[7 0 0 14 0 0 0]" use_rpm "on" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "addrsr" block_version "9.1.01" sg_icon_stat "50,51,2,1,white,blue,0,a352fb33,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 50 50 0 0 ],[0 0 51 51 0 ],[0.77 0.82" " 0.91 ]);\nplot([0 50 50 0 0 ],[0 0 51 51 0 ]);\npatch([9.425 19.54 26.54 33.54 40.54 26.54 16.425 9.425 ],[32.7" "7 32.77 39.77 32.77 39.77 39.77 39.77 32.77 ],[1 1 1 ]);\npatch([16.425 26.54 19.54 9.425 16.425 ],[25.77 25.77 " "32.77 32.77 25.77 ],[0.931 0.946 0.973 ]);\npatch([9.425 19.54 26.54 16.425 9.425 ],[18.77 18.77 25.77 25.77 18." "77 ],[1 1 1 ]);\npatch([16.425 40.54 33.54 26.54 19.54 9.425 16.425 ],[11.77 11.77 18.77 11.77 18.77 18.77 11.77" " ],[0.931 0.946 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\nc" "olor('black');port_label('input',1,'d');\ncolor('black');port_label('input',2,'addr');\ncolor('black');port_labe" "l('output',1,'q');\nfprintf('','COMMENT: end icon text');" } Block { BlockType Reference Name "ASR 3" SID "284" Ports [2, 1] Position [450, 741, 500, 794] LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Addressable Shift Register" SourceType "Xilinx Addressable Shift Register Block" infoedit "Delay of configurable length. Any element in the delay\n line can be addressed and" " driven onto the output\n port.

Hardware notes: Implemented using SRL16s.\n If Virtex-4, V" "irtex-II or Spartan-3 devices are used, multiple SRLC16s are cascaded together." infer_latency on depth "2" initVector "[0]" en off dbl_ovrd off use_behavioral_HDL off Optimization "Resource" xl_use_area off xl_area "[7 0 0 14 0 0 0]" use_rpm "on" has_advanced_control "0" sggui_pos "20,20,348,389" block_type "addrsr" block_version "9.1.01" sg_icon_stat "50,53,2,1,white,blue,0,a352fb33,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 50 50 0 0 ],[0 0 53 53 0 ],[0.77 0.82" " 0.91 ]);\nplot([0 50 50 0 0 ],[0 0 53 53 0 ]);\npatch([9.425 19.54 26.54 33.54 40.54 26.54 16.425 9.425 ],[33.7" "7 33.77 40.77 33.77 40.77 40.77 40.77 33.77 ],[1 1 1 ]);\npatch([16.425 26.54 19.54 9.425 16.425 ],[26.77 26.77 " "33.77 33.77 26.77 ],[0.931 0.946 0.973 ]);\npatch([9.425 19.54 26.54 16.425 9.425 ],[19.77 19.77 26.77 26.77 19." "77 ],[1 1 1 ]);\npatch([16.425 40.54 33.54 26.54 19.54 9.425 16.425 ],[12.77 12.77 19.77 12.77 19.77 19.77 12.77" " ],[0.931 0.946 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\nc" "olor('black');port_label('input',1,'d');\ncolor('black');port_label('input',2,'addr');\ncolor('black');port_labe" "l('output',1,'q');\nfprintf('','COMMENT: end icon text');" } Block { BlockType Reference Name "ASR 4" SID "285" Ports [2, 1] Position [450, 807, 500, 858] LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Addressable Shift Register" SourceType "Xilinx Addressable Shift Register Block" infoedit "Delay of configurable length. Any element in the delay\n line can be addressed and" " driven onto the output\n port.

Hardware notes: Implemented using SRL16s.\n If Virtex-4, V" "irtex-II or Spartan-3 devices are used, multiple SRLC16s are cascaded together." infer_latency on depth "2" initVector "[0]" en off dbl_ovrd off use_behavioral_HDL off Optimization "Resource" xl_use_area off xl_area "[7 0 0 14 0 0 0]" use_rpm "on" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "addrsr" block_version "9.1.01" sg_icon_stat "50,51,2,1,white,blue,0,a352fb33,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 50 50 0 0 ],[0 0 51 51 0 ],[0.77 0.82" " 0.91 ]);\nplot([0 50 50 0 0 ],[0 0 51 51 0 ]);\npatch([9.425 19.54 26.54 33.54 40.54 26.54 16.425 9.425 ],[32.7" "7 32.77 39.77 32.77 39.77 39.77 39.77 32.77 ],[1 1 1 ]);\npatch([16.425 26.54 19.54 9.425 16.425 ],[25.77 25.77 " "32.77 32.77 25.77 ],[0.931 0.946 0.973 ]);\npatch([9.425 19.54 26.54 16.425 9.425 ],[18.77 18.77 25.77 25.77 18." "77 ],[1 1 1 ]);\npatch([16.425 40.54 33.54 26.54 19.54 9.425 16.425 ],[11.77 11.77 18.77 11.77 18.77 18.77 11.77" " ],[0.931 0.946 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\nc" "olor('black');port_label('input',1,'d');\ncolor('black');port_label('input',2,'addr');\ncolor('black');port_labe" "l('output',1,'q');\nfprintf('','COMMENT: end icon text');" } Block { BlockType Reference Name "ASR 5" SID "286" Ports [2, 1] Position [450, 872, 500, 923] LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Addressable Shift Register" SourceType "Xilinx Addressable Shift Register Block" infoedit "Delay of configurable length. Any element in the delay\n line can be addressed and" " driven onto the output\n port.

Hardware notes: Implemented using SRL16s.\n If Virtex-4, V" "irtex-II or Spartan-3 devices are used, multiple SRLC16s are cascaded together." infer_latency on depth "2" initVector "[0]" en off dbl_ovrd off use_behavioral_HDL off Optimization "Resource" xl_use_area off xl_area "[7 0 0 14 0 0 0]" use_rpm "on" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "addrsr" block_version "9.1.01" sg_icon_stat "50,51,2,1,white,blue,0,a352fb33,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 50 50 0 0 ],[0 0 51 51 0 ],[0.77 0.82" " 0.91 ]);\nplot([0 50 50 0 0 ],[0 0 51 51 0 ]);\npatch([9.425 19.54 26.54 33.54 40.54 26.54 16.425 9.425 ],[32.7" "7 32.77 39.77 32.77 39.77 39.77 39.77 32.77 ],[1 1 1 ]);\npatch([16.425 26.54 19.54 9.425 16.425 ],[25.77 25.77 " "32.77 32.77 25.77 ],[0.931 0.946 0.973 ]);\npatch([9.425 19.54 26.54 16.425 9.425 ],[18.77 18.77 25.77 25.77 18." "77 ],[1 1 1 ]);\npatch([16.425 40.54 33.54 26.54 19.54 9.425 16.425 ],[11.77 11.77 18.77 11.77 18.77 18.77 11.77" " ],[0.931 0.946 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\nc" "olor('black');port_label('input',1,'d');\ncolor('black');port_label('input',2,'addr');\ncolor('black');port_labe" "l('output',1,'q');\nfprintf('','COMMENT: end icon text');" } Block { BlockType Reference Name "ASR 6" SID "287" Ports [2, 1] Position [450, 937, 500, 988] LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Addressable Shift Register" SourceType "Xilinx Addressable Shift Register Block" infoedit "Delay of configurable length. Any element in the delay\n line can be addressed and" " driven onto the output\n port.

Hardware notes: Implemented using SRL16s.\n If Virtex-4, V" "irtex-II or Spartan-3 devices are used, multiple SRLC16s are cascaded together." infer_latency on depth "2" initVector "[0]" en off dbl_ovrd off use_behavioral_HDL off Optimization "Resource" xl_use_area off xl_area "[7 0 0 14 0 0 0]" use_rpm "on" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "addrsr" block_version "9.1.01" sg_icon_stat "50,51,2,1,white,blue,0,a352fb33,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 50 50 0 0 ],[0 0 51 51 0 ],[0.77 0.82" " 0.91 ]);\nplot([0 50 50 0 0 ],[0 0 51 51 0 ]);\npatch([9.425 19.54 26.54 33.54 40.54 26.54 16.425 9.425 ],[32.7" "7 32.77 39.77 32.77 39.77 39.77 39.77 32.77 ],[1 1 1 ]);\npatch([16.425 26.54 19.54 9.425 16.425 ],[25.77 25.77 " "32.77 32.77 25.77 ],[0.931 0.946 0.973 ]);\npatch([9.425 19.54 26.54 16.425 9.425 ],[18.77 18.77 25.77 25.77 18." "77 ],[1 1 1 ]);\npatch([16.425 40.54 33.54 26.54 19.54 9.425 16.425 ],[11.77 11.77 18.77 11.77 18.77 18.77 11.77" " ],[0.931 0.946 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\nc" "olor('black');port_label('input',1,'d');\ncolor('black');port_label('input',2,'addr');\ncolor('black');port_labe" "l('output',1,'q');\nfprintf('','COMMENT: end icon text');" } Block { BlockType Reference Name "ASR 7" SID "288" Ports [2, 1] Position [450, 1002, 500, 1053] LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Addressable Shift Register" SourceType "Xilinx Addressable Shift Register Block" infoedit "Delay of configurable length. Any element in the delay\n line can be addressed and" " driven onto the output\n port.

Hardware notes: Implemented using SRL16s.\n If Virtex-4, V" "irtex-II or Spartan-3 devices are used, multiple SRLC16s are cascaded together." infer_latency on depth "2" initVector "[0]" en off dbl_ovrd off use_behavioral_HDL off Optimization "Resource" xl_use_area off xl_area "[7 0 0 14 0 0 0]" use_rpm "on" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "addrsr" block_version "9.1.01" sg_icon_stat "50,51,2,1,white,blue,0,a352fb33,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 50 50 0 0 ],[0 0 51 51 0 ],[0.77 0.82" " 0.91 ]);\nplot([0 50 50 0 0 ],[0 0 51 51 0 ]);\npatch([9.425 19.54 26.54 33.54 40.54 26.54 16.425 9.425 ],[32.7" "7 32.77 39.77 32.77 39.77 39.77 39.77 32.77 ],[1 1 1 ]);\npatch([16.425 26.54 19.54 9.425 16.425 ],[25.77 25.77 " "32.77 32.77 25.77 ],[0.931 0.946 0.973 ]);\npatch([9.425 19.54 26.54 16.425 9.425 ],[18.77 18.77 25.77 25.77 18." "77 ],[1 1 1 ]);\npatch([16.425 40.54 33.54 26.54 19.54 9.425 16.425 ],[11.77 11.77 18.77 11.77 18.77 18.77 11.77" " ],[0.931 0.946 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\nc" "olor('black');port_label('input',1,'d');\ncolor('black');port_label('input',2,'addr');\ncolor('black');port_labe" "l('output',1,'q');\nfprintf('','COMMENT: end icon text');" } Block { BlockType Reference Name "ASR 8" SID "289" Ports [2, 1] Position [450, 27, 500, 78] LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Addressable Shift Register" SourceType "Xilinx Addressable Shift Register Block" infoedit "Delay of configurable length. Any element in the delay\n line can be addressed and" " driven onto the output\n port.

Hardware notes: Implemented using SRL16s.\n If Virtex-4, V" "irtex-II or Spartan-3 devices are used, multiple SRLC16s are cascaded together." infer_latency on depth "2" initVector "[0]" en off dbl_ovrd off use_behavioral_HDL off Optimization "Resource" xl_use_area off xl_area "[7 0 0 14 0 0 0]" use_rpm "on" has_advanced_control "0" sggui_pos "20,20,348,389" block_type "addrsr" block_version "9.1.01" sg_icon_stat "50,51,2,1,white,blue,0,a352fb33,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 50 50 0 0 ],[0 0 51 51 0 ],[0.77 0.82" " 0.91 ]);\nplot([0 50 50 0 0 ],[0 0 51 51 0 ]);\npatch([9.425 19.54 26.54 33.54 40.54 26.54 16.425 9.425 ],[32.7" "7 32.77 39.77 32.77 39.77 39.77 39.77 32.77 ],[1 1 1 ]);\npatch([16.425 26.54 19.54 9.425 16.425 ],[25.77 25.77 " "32.77 32.77 25.77 ],[0.931 0.946 0.973 ]);\npatch([9.425 19.54 26.54 16.425 9.425 ],[18.77 18.77 25.77 25.77 18." "77 ],[1 1 1 ]);\npatch([16.425 40.54 33.54 26.54 19.54 9.425 16.425 ],[11.77 11.77 18.77 11.77 18.77 18.77 11.77" " ],[0.931 0.946 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\nc" "olor('black');port_label('input',1,'d');\ncolor('black');port_label('input',2,'addr');\ncolor('black');port_labe" "l('output',1,'q');\nfprintf('','COMMENT: end icon text');" } Block { BlockType Reference Name "ASR 9" SID "290" Ports [2, 1] Position [450, 92, 500, 143] LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Addressable Shift Register" SourceType "Xilinx Addressable Shift Register Block" infoedit "Delay of configurable length. Any element in the delay\n line can be addressed and" " driven onto the output\n port.

Hardware notes: Implemented using SRL16s.\n If Virtex-4, V" "irtex-II or Spartan-3 devices are used, multiple SRLC16s are cascaded together." infer_latency on depth "2" initVector "[0]" en off dbl_ovrd off use_behavioral_HDL off Optimization "Resource" xl_use_area off xl_area "[7 0 0 14 0 0 0]" use_rpm "on" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "addrsr" block_version "9.1.01" sg_icon_stat "50,51,2,1,white,blue,0,a352fb33,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 50 50 0 0 ],[0 0 51 51 0 ],[0.77 0.82" " 0.91 ]);\nplot([0 50 50 0 0 ],[0 0 51 51 0 ]);\npatch([9.425 19.54 26.54 33.54 40.54 26.54 16.425 9.425 ],[32.7" "7 32.77 39.77 32.77 39.77 39.77 39.77 32.77 ],[1 1 1 ]);\npatch([16.425 26.54 19.54 9.425 16.425 ],[25.77 25.77 " "32.77 32.77 25.77 ],[0.931 0.946 0.973 ]);\npatch([9.425 19.54 26.54 16.425 9.425 ],[18.77 18.77 25.77 25.77 18." "77 ],[1 1 1 ]);\npatch([16.425 40.54 33.54 26.54 19.54 9.425 16.425 ],[11.77 11.77 18.77 11.77 18.77 18.77 11.77" " ],[0.931 0.946 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\nc" "olor('black');port_label('input',1,'d');\ncolor('black');port_label('input',2,'addr');\ncolor('black');port_labe" "l('output',1,'q');\nfprintf('','COMMENT: end icon text');" } Block { BlockType Reference Name "Delay1" SID "291" Ports [1, 1] Position [332, 780, 348, 805] BlockRotation 270 ShowName off LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Delay" SourceType "Xilinx Delay Block" infoedit "Hardware notes: A delay line is a chain, each link of which is an SRL16 followed by a flip-flop." rst off infoeditControl "Selection of Reset will increase slice count due to use of real FFs and instead of SRLs" en off latency "4" dbl_ovrd off reg_retiming off xl_use_area off xl_area "[7 14 0 14 0 0 0]" has_advanced_control "0" sggui_pos "20,20,348,255" block_type "delay" block_version "9.1.01" sg_icon_stat "16,25,1,1,white,blue,0,d390c2d8,up,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 16 16 0 0 ],[0 0 25 25 0 ],[0.77 0.82" " 0.91 ]);\nplot([0 16 16 0 0 ],[0 0 25 25 0 ]);\npatch([3.55 6.44 8.44 10.44 12.44 8.44 5.55 3.55 ],[14.22 14.22" " 16.22 14.22 16.22 16.22 16.22 14.22 ],[1 1 1 ]);\npatch([5.55 8.44 6.44 3.55 5.55 ],[12.22 12.22 14.22 14.22 12" ".22 ],[0.931 0.946 0.973 ]);\npatch([3.55 6.44 8.44 5.55 3.55 ],[10.22 10.22 12.22 12.22 10.22 ],[1 1 1 ]);\npat" "ch([5.55 12.44 10.44 8.44 6.44 3.55 5.55 ],[8.22 8.22 10.22 8.22 10.22 10.22 8.22 ],[0.931 0.946 0.973 ]);\nfpri" "ntf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\n\n\ncolor('black');disp('z^{-4}'" ",'texmode','on');\nfprintf('','COMMENT: end icon text');" } Block { BlockType Reference Name "Delay10" SID "292" Ports [1, 1] Position [332, 260, 348, 285] BlockRotation 270 ShowName off LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Delay" SourceType "Xilinx Delay Block" infoedit "Hardware notes: A delay line is a chain, each link of which is an SRL16 followed by a flip-flop." rst off infoeditControl "Selection of Reset will increase slice count due to use of real FFs and instead of SRLs" en off latency "4" dbl_ovrd off reg_retiming off xl_use_area off xl_area "[7 14 0 14 0 0 0]" has_advanced_control "0" sggui_pos "20,20,348,255" block_type "delay" block_version "9.1.01" sg_icon_stat "16,25,1,1,white,blue,0,d390c2d8,up,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 16 16 0 0 ],[0 0 25 25 0 ],[0.77 0.82" " 0.91 ]);\nplot([0 16 16 0 0 ],[0 0 25 25 0 ]);\npatch([3.55 6.44 8.44 10.44 12.44 8.44 5.55 3.55 ],[14.22 14.22" " 16.22 14.22 16.22 16.22 16.22 14.22 ],[1 1 1 ]);\npatch([5.55 8.44 6.44 3.55 5.55 ],[12.22 12.22 14.22 14.22 12" ".22 ],[0.931 0.946 0.973 ]);\npatch([3.55 6.44 8.44 5.55 3.55 ],[10.22 10.22 12.22 12.22 10.22 ],[1 1 1 ]);\npat" "ch([5.55 12.44 10.44 8.44 6.44 3.55 5.55 ],[8.22 8.22 10.22 8.22 10.22 10.22 8.22 ],[0.931 0.946 0.973 ]);\nfpri" "ntf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\n\n\ncolor('black');disp('z^{-4}'" ",'texmode','on');\nfprintf('','COMMENT: end icon text');" } Block { BlockType Reference Name "Delay11" SID "293" Ports [1, 1] Position [312, 260, 328, 285] BlockRotation 270 ShowName off LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Delay" SourceType "Xilinx Delay Block" infoedit "Hardware notes: A delay line is a chain, each link of which is an SRL16 followed by a flip-flop." rst off infoeditControl "Selection of Reset will increase slice count due to use of real FFs and instead of SRLs" en off latency "4" dbl_ovrd off reg_retiming off xl_use_area off xl_area "[7 14 0 14 0 0 0]" has_advanced_control "0" sggui_pos "20,20,348,255" block_type "delay" block_version "9.1.01" sg_icon_stat "16,25,1,1,white,blue,0,d390c2d8,up,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 16 16 0 0 ],[0 0 25 25 0 ],[0.77 0.82" " 0.91 ]);\nplot([0 16 16 0 0 ],[0 0 25 25 0 ]);\npatch([3.55 6.44 8.44 10.44 12.44 8.44 5.55 3.55 ],[14.22 14.22" " 16.22 14.22 16.22 16.22 16.22 14.22 ],[1 1 1 ]);\npatch([5.55 8.44 6.44 3.55 5.55 ],[12.22 12.22 14.22 14.22 12" ".22 ],[0.931 0.946 0.973 ]);\npatch([3.55 6.44 8.44 5.55 3.55 ],[10.22 10.22 12.22 12.22 10.22 ],[1 1 1 ]);\npat" "ch([5.55 12.44 10.44 8.44 6.44 3.55 5.55 ],[8.22 8.22 10.22 8.22 10.22 10.22 8.22 ],[0.931 0.946 0.973 ]);\nfpri" "ntf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\n\n\ncolor('black');disp('z^{-4}'" ",'texmode','on');\nfprintf('','COMMENT: end icon text');" } Block { BlockType Reference Name "Delay12" SID "294" Ports [1, 1] Position [292, 260, 308, 285] BlockRotation 270 ShowName off LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Delay" SourceType "Xilinx Delay Block" infoedit "Hardware notes: A delay line is a chain, each link of which is an SRL16 followed by a flip-flop." rst off infoeditControl "Selection of Reset will increase slice count due to use of real FFs and instead of SRLs" en off latency "4" dbl_ovrd off reg_retiming off xl_use_area off xl_area "[7 14 0 14 0 0 0]" has_advanced_control "0" sggui_pos "20,20,348,255" block_type "delay" block_version "9.1.01" sg_icon_stat "16,25,1,1,white,blue,0,d390c2d8,up,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 16 16 0 0 ],[0 0 25 25 0 ],[0.77 0.82" " 0.91 ]);\nplot([0 16 16 0 0 ],[0 0 25 25 0 ]);\npatch([3.55 6.44 8.44 10.44 12.44 8.44 5.55 3.55 ],[14.22 14.22" " 16.22 14.22 16.22 16.22 16.22 14.22 ],[1 1 1 ]);\npatch([5.55 8.44 6.44 3.55 5.55 ],[12.22 12.22 14.22 14.22 12" ".22 ],[0.931 0.946 0.973 ]);\npatch([3.55 6.44 8.44 5.55 3.55 ],[10.22 10.22 12.22 12.22 10.22 ],[1 1 1 ]);\npat" "ch([5.55 12.44 10.44 8.44 6.44 3.55 5.55 ],[8.22 8.22 10.22 8.22 10.22 10.22 8.22 ],[0.931 0.946 0.973 ]);\nfpri" "ntf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\n\n\ncolor('black');disp('z^{-4}'" ",'texmode','on');\nfprintf('','COMMENT: end icon text');" } Block { BlockType Reference Name "Delay2" SID "295" Ports [1, 1] Position [312, 780, 328, 805] BlockRotation 270 ShowName off LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Delay" SourceType "Xilinx Delay Block" infoedit "Hardware notes: A delay line is a chain, each link of which is an SRL16 followed by a flip-flop." rst off infoeditControl "Selection of Reset will increase slice count due to use of real FFs and instead of SRLs" en off latency "4" dbl_ovrd off reg_retiming off xl_use_area off xl_area "[7 14 0 14 0 0 0]" has_advanced_control "0" sggui_pos "20,20,348,255" block_type "delay" block_version "9.1.01" sg_icon_stat "16,25,1,1,white,blue,0,d390c2d8,up,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 16 16 0 0 ],[0 0 25 25 0 ],[0.77 0.82" " 0.91 ]);\nplot([0 16 16 0 0 ],[0 0 25 25 0 ]);\npatch([3.55 6.44 8.44 10.44 12.44 8.44 5.55 3.55 ],[14.22 14.22" " 16.22 14.22 16.22 16.22 16.22 14.22 ],[1 1 1 ]);\npatch([5.55 8.44 6.44 3.55 5.55 ],[12.22 12.22 14.22 14.22 12" ".22 ],[0.931 0.946 0.973 ]);\npatch([3.55 6.44 8.44 5.55 3.55 ],[10.22 10.22 12.22 12.22 10.22 ],[1 1 1 ]);\npat" "ch([5.55 12.44 10.44 8.44 6.44 3.55 5.55 ],[8.22 8.22 10.22 8.22 10.22 10.22 8.22 ],[0.931 0.946 0.973 ]);\nfpri" "ntf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\n\n\ncolor('black');disp('z^{-4}'" ",'texmode','on');\nfprintf('','COMMENT: end icon text');" } Block { BlockType Reference Name "Delay3" SID "296" Ports [1, 1] Position [292, 780, 308, 805] BlockRotation 270 ShowName off LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Delay" SourceType "Xilinx Delay Block" infoedit "Hardware notes: A delay line is a chain, each link of which is an SRL16 followed by a flip-flop." rst off infoeditControl "Selection of Reset will increase slice count due to use of real FFs and instead of SRLs" en off latency "4" dbl_ovrd off reg_retiming off xl_use_area off xl_area "[7 14 0 14 0 0 0]" has_advanced_control "0" sggui_pos "20,20,348,255" block_type "delay" block_version "9.1.01" sg_icon_stat "16,25,1,1,white,blue,0,d390c2d8,up,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 16 16 0 0 ],[0 0 25 25 0 ],[0.77 0.82" " 0.91 ]);\nplot([0 16 16 0 0 ],[0 0 25 25 0 ]);\npatch([3.55 6.44 8.44 10.44 12.44 8.44 5.55 3.55 ],[14.22 14.22" " 16.22 14.22 16.22 16.22 16.22 14.22 ],[1 1 1 ]);\npatch([5.55 8.44 6.44 3.55 5.55 ],[12.22 12.22 14.22 14.22 12" ".22 ],[0.931 0.946 0.973 ]);\npatch([3.55 6.44 8.44 5.55 3.55 ],[10.22 10.22 12.22 12.22 10.22 ],[1 1 1 ]);\npat" "ch([5.55 12.44 10.44 8.44 6.44 3.55 5.55 ],[8.22 8.22 10.22 8.22 10.22 10.22 8.22 ],[0.931 0.946 0.973 ]);\nfpri" "ntf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\n\n\ncolor('black');disp('z^{-4}'" ",'texmode','on');\nfprintf('','COMMENT: end icon text');" } Block { BlockType Reference Name "Delay4" SID "297" Ports [1, 1] Position [352, 780, 368, 805] BlockRotation 270 ShowName off LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Delay" SourceType "Xilinx Delay Block" infoedit "Hardware notes: A delay line is a chain, each link of which is an SRL16 followed by a flip-flop." rst off infoeditControl "Selection of Reset will increase slice count due to use of real FFs and instead of SRLs" en off latency "4" dbl_ovrd off reg_retiming off xl_use_area off xl_area "[7 14 0 14 0 0 0]" has_advanced_control "0" sggui_pos "20,20,348,255" block_type "delay" block_version "9.1.01" sg_icon_stat "16,25,1,1,white,blue,0,d390c2d8,up,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 16 16 0 0 ],[0 0 25 25 0 ],[0.77 0.82" " 0.91 ]);\nplot([0 16 16 0 0 ],[0 0 25 25 0 ]);\npatch([3.55 6.44 8.44 10.44 12.44 8.44 5.55 3.55 ],[14.22 14.22" " 16.22 14.22 16.22 16.22 16.22 14.22 ],[1 1 1 ]);\npatch([5.55 8.44 6.44 3.55 5.55 ],[12.22 12.22 14.22 14.22 12" ".22 ],[0.931 0.946 0.973 ]);\npatch([3.55 6.44 8.44 5.55 3.55 ],[10.22 10.22 12.22 12.22 10.22 ],[1 1 1 ]);\npat" "ch([5.55 12.44 10.44 8.44 6.44 3.55 5.55 ],[8.22 8.22 10.22 8.22 10.22 10.22 8.22 ],[0.931 0.946 0.973 ]);\nfpri" "ntf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\n\n\ncolor('black');disp('z^{-4}'" ",'texmode','on');\nfprintf('','COMMENT: end icon text');" } Block { BlockType Reference Name "Delay5" SID "298" Ports [1, 1] Position [352, 520, 368, 545] BlockRotation 270 ShowName off LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Delay" SourceType "Xilinx Delay Block" infoedit "Hardware notes: A delay line is a chain, each link of which is an SRL16 followed by a flip-flop." rst off infoeditControl "Selection of Reset will increase slice count due to use of real FFs and instead of SRLs" en off latency "4" dbl_ovrd off reg_retiming off xl_use_area off xl_area "[7 14 0 14 0 0 0]" has_advanced_control "0" sggui_pos "20,20,348,255" block_type "delay" block_version "9.1.01" sg_icon_stat "16,25,1,1,white,blue,0,d390c2d8,up,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 16 16 0 0 ],[0 0 25 25 0 ],[0.77 0.82" " 0.91 ]);\nplot([0 16 16 0 0 ],[0 0 25 25 0 ]);\npatch([3.55 6.44 8.44 10.44 12.44 8.44 5.55 3.55 ],[14.22 14.22" " 16.22 14.22 16.22 16.22 16.22 14.22 ],[1 1 1 ]);\npatch([5.55 8.44 6.44 3.55 5.55 ],[12.22 12.22 14.22 14.22 12" ".22 ],[0.931 0.946 0.973 ]);\npatch([3.55 6.44 8.44 5.55 3.55 ],[10.22 10.22 12.22 12.22 10.22 ],[1 1 1 ]);\npat" "ch([5.55 12.44 10.44 8.44 6.44 3.55 5.55 ],[8.22 8.22 10.22 8.22 10.22 10.22 8.22 ],[0.931 0.946 0.973 ]);\nfpri" "ntf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\n\n\ncolor('black');disp('z^{-4}'" ",'texmode','on');\nfprintf('','COMMENT: end icon text');" } Block { BlockType Reference Name "Delay6" SID "299" Ports [1, 1] Position [332, 520, 348, 545] BlockRotation 270 ShowName off LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Delay" SourceType "Xilinx Delay Block" infoedit "Hardware notes: A delay line is a chain, each link of which is an SRL16 followed by a flip-flop." rst off infoeditControl "Selection of Reset will increase slice count due to use of real FFs and instead of SRLs" en off latency "4" dbl_ovrd off reg_retiming off xl_use_area off xl_area "[7 14 0 14 0 0 0]" has_advanced_control "0" sggui_pos "20,20,348,255" block_type "delay" block_version "9.1.01" sg_icon_stat "16,25,1,1,white,blue,0,d390c2d8,up,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 16 16 0 0 ],[0 0 25 25 0 ],[0.77 0.82" " 0.91 ]);\nplot([0 16 16 0 0 ],[0 0 25 25 0 ]);\npatch([3.55 6.44 8.44 10.44 12.44 8.44 5.55 3.55 ],[14.22 14.22" " 16.22 14.22 16.22 16.22 16.22 14.22 ],[1 1 1 ]);\npatch([5.55 8.44 6.44 3.55 5.55 ],[12.22 12.22 14.22 14.22 12" ".22 ],[0.931 0.946 0.973 ]);\npatch([3.55 6.44 8.44 5.55 3.55 ],[10.22 10.22 12.22 12.22 10.22 ],[1 1 1 ]);\npat" "ch([5.55 12.44 10.44 8.44 6.44 3.55 5.55 ],[8.22 8.22 10.22 8.22 10.22 10.22 8.22 ],[0.931 0.946 0.973 ]);\nfpri" "ntf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\n\n\ncolor('black');disp('z^{-4}'" ",'texmode','on');\nfprintf('','COMMENT: end icon text');" } Block { BlockType Reference Name "Delay7" SID "300" Ports [1, 1] Position [312, 520, 328, 545] BlockRotation 270 ShowName off LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Delay" SourceType "Xilinx Delay Block" infoedit "Hardware notes: A delay line is a chain, each link of which is an SRL16 followed by a flip-flop." rst off infoeditControl "Selection of Reset will increase slice count due to use of real FFs and instead of SRLs" en off latency "4" dbl_ovrd off reg_retiming off xl_use_area off xl_area "[7 14 0 14 0 0 0]" has_advanced_control "0" sggui_pos "20,20,348,255" block_type "delay" block_version "9.1.01" sg_icon_stat "16,25,1,1,white,blue,0,d390c2d8,up,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 16 16 0 0 ],[0 0 25 25 0 ],[0.77 0.82" " 0.91 ]);\nplot([0 16 16 0 0 ],[0 0 25 25 0 ]);\npatch([3.55 6.44 8.44 10.44 12.44 8.44 5.55 3.55 ],[14.22 14.22" " 16.22 14.22 16.22 16.22 16.22 14.22 ],[1 1 1 ]);\npatch([5.55 8.44 6.44 3.55 5.55 ],[12.22 12.22 14.22 14.22 12" ".22 ],[0.931 0.946 0.973 ]);\npatch([3.55 6.44 8.44 5.55 3.55 ],[10.22 10.22 12.22 12.22 10.22 ],[1 1 1 ]);\npat" "ch([5.55 12.44 10.44 8.44 6.44 3.55 5.55 ],[8.22 8.22 10.22 8.22 10.22 10.22 8.22 ],[0.931 0.946 0.973 ]);\nfpri" "ntf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\n\n\ncolor('black');disp('z^{-4}'" ",'texmode','on');\nfprintf('','COMMENT: end icon text');" } Block { BlockType Reference Name "Delay8" SID "301" Ports [1, 1] Position [292, 520, 308, 545] BlockRotation 270 ShowName off LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Delay" SourceType "Xilinx Delay Block" infoedit "Hardware notes: A delay line is a chain, each link of which is an SRL16 followed by a flip-flop." rst off infoeditControl "Selection of Reset will increase slice count due to use of real FFs and instead of SRLs" en off latency "4" dbl_ovrd off reg_retiming off xl_use_area off xl_area "[7 14 0 14 0 0 0]" has_advanced_control "0" sggui_pos "20,20,348,255" block_type "delay" block_version "9.1.01" sg_icon_stat "16,25,1,1,white,blue,0,d390c2d8,up,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 16 16 0 0 ],[0 0 25 25 0 ],[0.77 0.82" " 0.91 ]);\nplot([0 16 16 0 0 ],[0 0 25 25 0 ]);\npatch([3.55 6.44 8.44 10.44 12.44 8.44 5.55 3.55 ],[14.22 14.22" " 16.22 14.22 16.22 16.22 16.22 14.22 ],[1 1 1 ]);\npatch([5.55 8.44 6.44 3.55 5.55 ],[12.22 12.22 14.22 14.22 12" ".22 ],[0.931 0.946 0.973 ]);\npatch([3.55 6.44 8.44 5.55 3.55 ],[10.22 10.22 12.22 12.22 10.22 ],[1 1 1 ]);\npat" "ch([5.55 12.44 10.44 8.44 6.44 3.55 5.55 ],[8.22 8.22 10.22 8.22 10.22 10.22 8.22 ],[0.931 0.946 0.973 ]);\nfpri" "ntf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\n\n\ncolor('black');disp('z^{-4}'" ",'texmode','on');\nfprintf('','COMMENT: end icon text');" } Block { BlockType Reference Name "Delay9" SID "302" Ports [1, 1] Position [352, 260, 368, 285] BlockRotation 270 ShowName off LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Delay" SourceType "Xilinx Delay Block" infoedit "Hardware notes: A delay line is a chain, each link of which is an SRL16 followed by a flip-flop." rst off infoeditControl "Selection of Reset will increase slice count due to use of real FFs and instead of SRLs" en off latency "4" dbl_ovrd off reg_retiming off xl_use_area off xl_area "[7 14 0 14 0 0 0]" has_advanced_control "0" sggui_pos "20,20,348,255" block_type "delay" block_version "9.1.01" sg_icon_stat "16,25,1,1,white,blue,0,d390c2d8,up,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 16 16 0 0 ],[0 0 25 25 0 ],[0.77 0.82" " 0.91 ]);\nplot([0 16 16 0 0 ],[0 0 25 25 0 ]);\npatch([3.55 6.44 8.44 10.44 12.44 8.44 5.55 3.55 ],[14.22 14.22" " 16.22 14.22 16.22 16.22 16.22 14.22 ],[1 1 1 ]);\npatch([5.55 8.44 6.44 3.55 5.55 ],[12.22 12.22 14.22 14.22 12" ".22 ],[0.931 0.946 0.973 ]);\npatch([3.55 6.44 8.44 5.55 3.55 ],[10.22 10.22 12.22 12.22 10.22 ],[1 1 1 ]);\npat" "ch([5.55 12.44 10.44 8.44 6.44 3.55 5.55 ],[8.22 8.22 10.22 8.22 10.22 10.22 8.22 ],[0.931 0.946 0.973 ]);\nfpri" "ntf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\n\n\ncolor('black');disp('z^{-4}'" ",'texmode','on');\nfprintf('','COMMENT: end icon text');" } Block { BlockType SubSystem Name "TDD" SID "303" Ports [1, 4] Position [160, 786, 230, 1049] MinAlgLoopOccurrences off PropExecContextOutsideSubsystem off RTWSystemCode "Auto" FunctionWithSeparateData off Opaque off RequestExecContextInheritance off MaskHideContents off System { Name "TDD" Location [2, 74, 1902, 1112] Open off ModelBrowserVisibility off ModelBrowserWidth 200 ScreenColor "white" PaperOrientation "landscape" PaperPositionMode "auto" PaperType "usletter" PaperUnits "inches" TiledPaperMargins [0.500000, 0.500000, 0.500000, 0.500000] TiledPageScale 1 ShowPageBoundaries off ZoomFactor "100" Block { BlockType Inport Name "X" SID "304" Position [25, 148, 55, 162] IconDisplay "Port number" } Block { BlockType Reference Name "TDD" SID "305" Ports [1, 4] Position [120, 25, 175, 280] LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Time Division\nDemultiplexer" SourceType "Xilinx Time Division Demultiplexer Block" infoedit "Samples presented at the input are selected and down-sampled according to the frame sampling patt" "ern specified. The sampled input is presented either as a single or multiple channel at the output." frame_pattern "ones(1,4)" impl_style "Multiple Channel" vin off dbl_ovrd off xl_use_area off xl_area "[49 98 0 0 0 0 0]" explicit_period "off" period "1" has_advanced_control "0" sggui_pos "20,20,348,268" block_type "tdd" block_version "9.1.01" sg_icon_stat "55,255,1,4,white,blue,0,8781f2a5,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 0 ],[0 0 255 255 0 ],[0.77 0." "82 0.91 ]);\nplot([0 55 55 0 0 ],[0 0 255 255 0 ]);\npatch([11.425 21.54 28.54 35.54 42.54 28.54 18.425 11.425 ]" ",[134.77 134.77 141.77 134.77 141.77 141.77 141.77 134.77 ],[1 1 1 ]);\npatch([18.425 28.54 21.54 11.425 18.425 " "],[127.77 127.77 134.77 134.77 127.77 ],[0.931 0.946 0.973 ]);\npatch([11.425 21.54 28.54 18.425 11.425 ],[120.7" "7 120.77 127.77 127.77 120.77 ],[1 1 1 ]);\npatch([18.425 42.54 35.54 28.54 21.54 11.425 18.425 ],[113.77 113.77" " 120.77 113.77 120.77 120.77 113.77 ],[0.931 0.946 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf" "('','COMMENT: begin icon text');\ncolor('black');port_label('input',1,'d');\ncolor('black');port_label('output'," "1,'q0');\ncolor('black');port_label('output',2,'q1');\ncolor('black');port_label('output',3,'q2');\ncolor('black" "');port_label('output',4,'q3');\ncolor('black');disp('TDD');\nfprintf('','COMMENT: end icon text');" } Block { BlockType Outport Name "x[3]" SID "306" Position [235, 48, 265, 62] IconDisplay "Port number" } Block { BlockType Outport Name "x[2]" SID "307" Position [235, 113, 265, 127] Port "2" IconDisplay "Port number" } Block { BlockType Outport Name "x[1]" SID "308" Position [230, 178, 260, 192] Port "3" IconDisplay "Port number" } Block { BlockType Outport Name "x[0]" SID "309" Position [240, 243, 270, 257] Port "4" IconDisplay "Port number" } Line { SrcBlock "TDD" SrcPort 4 DstBlock "x[0]" DstPort 1 } Line { SrcBlock "TDD" SrcPort 3 DstBlock "x[1]" DstPort 1 } Line { SrcBlock "TDD" SrcPort 2 DstBlock "x[2]" DstPort 1 } Line { SrcBlock "TDD" SrcPort 1 DstBlock "x[3]" DstPort 1 } Line { SrcBlock "X" SrcPort 1 DstBlock "TDD" DstPort 1 } } } Block { BlockType Outport Name "Out1" SID "310" Position [525, 48, 555, 62] IconDisplay "Port number" } Block { BlockType Outport Name "Out2" SID "311" Position [525, 113, 555, 127] Port "2" IconDisplay "Port number" } Block { BlockType Outport Name "Out3" SID "312" Position [525, 178, 555, 192] Port "3" IconDisplay "Port number" } Block { BlockType Outport Name "Out4" SID "313" Position [525, 243, 555, 257] Port "4" IconDisplay "Port number" } Block { BlockType Outport Name "Out5" SID "314" Position [525, 308, 555, 322] Port "5" IconDisplay "Port number" } Block { BlockType Outport Name "Out6" SID "315" Position [525, 373, 555, 387] Port "6" IconDisplay "Port number" } Block { BlockType Outport Name "Out7" SID "316" Position [525, 438, 555, 452] Port "7" IconDisplay "Port number" } Block { BlockType Outport Name "Out8" SID "317" Position [525, 503, 555, 517] Port "8" IconDisplay "Port number" } Block { BlockType Outport Name "Out9" SID "318" Position [525, 568, 555, 582] Port "9" IconDisplay "Port number" } Block { BlockType Outport Name "Out10" SID "319" Position [525, 633, 555, 647] Port "10" IconDisplay "Port number" } Block { BlockType Outport Name "Out11" SID "320" Position [525, 698, 555, 712] Port "11" IconDisplay "Port number" } Block { BlockType Outport Name "Out12" SID "321" Position [525, 763, 555, 777] Port "12" IconDisplay "Port number" } Block { BlockType Outport Name "Out13" SID "322" Position [525, 828, 555, 842] Port "13" IconDisplay "Port number" } Block { BlockType Outport Name "Out14" SID "323" Position [525, 893, 555, 907] Port "14" IconDisplay "Port number" } Block { BlockType Outport Name "Out15" SID "324" Position [525, 958, 555, 972] Port "15" IconDisplay "Port number" } Block { BlockType Outport Name "Out16" SID "325" Position [525, 1023, 555, 1037] Port "16" IconDisplay "Port number" } Line { SrcBlock "X" SrcPort 1 DstBlock "TDD" DstPort 1 } Line { SrcBlock "TDD" SrcPort 2 Points [85, 0] Branch { DstBlock "ASR 5" DstPort 1 } Branch { DstBlock "Delay2" DstPort 1 } } Line { SrcBlock "TDD" SrcPort 1 Points [65, 0] Branch { DstBlock "ASR 4" DstPort 1 } Branch { DstBlock "Delay3" DstPort 1 } } Line { SrcBlock "TDD" SrcPort 4 Points [125, 0] Branch { DstBlock "ASR 7" DstPort 1 } Branch { DstBlock "Delay4" DstPort 1 } } Line { SrcBlock "Delay12" SrcPort 1 Points [0, -215] DstBlock "ASR 8" DstPort 1 } Line { SrcBlock "Delay11" SrcPort 1 Points [0, -150] DstBlock "ASR 9" DstPort 1 } Line { SrcBlock "Delay10" SrcPort 1 Points [0, -85] DstBlock "ASR 10" DstPort 1 } Line { SrcBlock "Delay9" SrcPort 1 Points [0, -20] DstBlock "ASR 11" DstPort 1 } Line { SrcBlock "Delay8" SrcPort 1 Points [0, -215] Branch { DstBlock "Delay12" DstPort 1 } Branch { DstBlock "ASR 12" DstPort 1 } } Line { SrcBlock "Delay7" SrcPort 1 Points [0, -150] Branch { DstBlock "Delay11" DstPort 1 } Branch { DstBlock "ASR 13" DstPort 1 } } Line { SrcBlock "Delay6" SrcPort 1 Points [0, -85] Branch { DstBlock "Delay10" DstPort 1 } Branch { DstBlock "ASR 14" DstPort 1 } } Line { SrcBlock "Delay5" SrcPort 1 Points [0, -20] Branch { DstBlock "Delay9" DstPort 1 } Branch { DstBlock "ASR 15" DstPort 1 } } Line { SrcBlock "TDD" SrcPort 3 Points [105, 0] Branch { DstBlock "ASR 6" DstPort 1 } Branch { DstBlock "Delay1" DstPort 1 } } Line { SrcBlock "Addr" SrcPort 1 Points [0, -20] Branch { DstBlock "ASR 7" DstPort 2 } Branch { Points [0, -65] Branch { DstBlock "ASR 6" DstPort 2 } Branch { Points [0, -65] Branch { DstBlock "ASR 5" DstPort 2 } Branch { Points [0, -65] Branch { DstBlock "ASR 4" DstPort 2 } Branch { Points [0, -65] Branch { DstBlock "ASR 3" DstPort 2 } Branch { Points [0, -65] Branch { DstBlock "ASR 2" DstPort 2 } Branch { Points [0, -65] Branch { DstBlock "ASR 1" DstPort 2 } Branch { Points [0, -65] Branch { DstBlock "ASR 0" DstPort 2 } Branch { Points [0, -65] Branch { DstBlock "ASR 15" DstPort 2 } Branch { Points [0, -65] Branch { DstBlock "ASR 14" DstPort 2 } Branch { Points [0, -65] Branch { DstBlock "ASR 13" DstPort 2 } Branch { Points [0, -65] Branch { DstBlock "ASR 12" DstPort 2 } Branch { Points [0, -65] Branch { DstBlock "ASR 11" DstPort 2 } Branch { Points [0, -65] Branch { DstBlock "ASR 10" DstPort 2 } Branch { Points [0, -65] Branch { Points [0, -65] DstBlock "ASR 8" DstPort 2 } Branch { DstBlock "ASR 9" DstPort 2 } } } } } } } } } } } } } } } } Line { SrcBlock "Delay3" SrcPort 1 Points [0, -215] Branch { DstBlock "ASR 0" DstPort 1 } Branch { DstBlock "Delay8" DstPort 1 } } Line { SrcBlock "Delay2" SrcPort 1 Points [0, -150] Branch { DstBlock "ASR 1" DstPort 1 } Branch { DstBlock "Delay7" DstPort 1 } } Line { SrcBlock "Delay1" SrcPort 1 Points [0, -85] Branch { DstBlock "ASR 2" DstPort 1 } Branch { DstBlock "Delay6" DstPort 1 } } Line { SrcBlock "Delay4" SrcPort 1 Points [0, -20] Branch { DstBlock "ASR 3" DstPort 1 } Branch { DstBlock "Delay5" DstPort 1 } } Line { SrcBlock "ASR 8" SrcPort 1 DstBlock "Out1" DstPort 1 } Line { SrcBlock "ASR 9" SrcPort 1 DstBlock "Out2" DstPort 1 } Line { SrcBlock "ASR 10" SrcPort 1 DstBlock "Out3" DstPort 1 } Line { SrcBlock "ASR 11" SrcPort 1 DstBlock "Out4" DstPort 1 } Line { SrcBlock "ASR 12" SrcPort 1 DstBlock "Out5" DstPort 1 } Line { SrcBlock "ASR 13" SrcPort 1 DstBlock "Out6" DstPort 1 } Line { SrcBlock "ASR 14" SrcPort 1 DstBlock "Out7" DstPort 1 } Line { SrcBlock "ASR 15" SrcPort 1 DstBlock "Out8" DstPort 1 } Line { SrcBlock "ASR 0" SrcPort 1 DstBlock "Out9" DstPort 1 } Line { SrcBlock "ASR 1" SrcPort 1 DstBlock "Out10" DstPort 1 } Line { SrcBlock "ASR 2" SrcPort 1 DstBlock "Out11" DstPort 1 } Line { SrcBlock "ASR 3" SrcPort 1 DstBlock "Out12" DstPort 1 } Line { SrcBlock "ASR 4" SrcPort 1 DstBlock "Out13" DstPort 1 } Line { SrcBlock "ASR 5" SrcPort 1 DstBlock "Out14" DstPort 1 } Line { SrcBlock "ASR 6" SrcPort 1 DstBlock "Out15" DstPort 1 } Line { SrcBlock "ASR 7" SrcPort 1 DstBlock "Out16" DstPort 1 } } } Block { BlockType SubSystem Name "MemoryB" SID "326" Ports [2, 16] Position [95, 350, 165, 670] MinAlgLoopOccurrences off PropExecContextOutsideSubsystem off RTWSystemCode "Auto" FunctionWithSeparateData off Opaque off RequestExecContextInheritance off MaskHideContents off System { Name "MemoryB" Location [2, 70, 1902, 1108] Open off ModelBrowserVisibility off ModelBrowserWidth 200 ScreenColor "white" PaperOrientation "landscape" PaperPositionMode "auto" PaperType "usletter" PaperUnits "inches" TiledPaperMargins [0.500000, 0.500000, 0.500000, 0.500000] TiledPageScale 1 ShowPageBoundaries off ZoomFactor "100" Block { BlockType Inport Name "X" SID "327" Position [25, 913, 55, 927] IconDisplay "Port number" } Block { BlockType Inport Name "Addr" SID "328" Position [365, 1060, 395, 1075] BlockRotation 270 Port "2" IconDisplay "Port number" } Block { BlockType Reference Name "ASR 0" SID "329" Ports [2, 1] Position [450, 547, 500, 598] LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Addressable Shift Register" SourceType "Xilinx Addressable Shift Register Block" infoedit "Delay of configurable length. Any element in the delay\n line can be addressed and" " driven onto the output\n port.

Hardware notes: Implemented using SRL16s.\n If Virtex-4, V" "irtex-II or Spartan-3 devices are used, multiple SRLC16s are cascaded together." infer_latency on depth "2" initVector "[0]" en off dbl_ovrd off use_behavioral_HDL off Optimization "Resource" xl_use_area off xl_area "[7 0 0 14 0 0 0]" use_rpm "on" has_advanced_control "0" sggui_pos "20,20,348,389" block_type "addrsr" block_version "9.1.01" sg_icon_stat "50,51,2,1,white,blue,0,a352fb33,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 50 50 0 0 ],[0 0 51 51 0 ],[0.77 0.82" " 0.91 ]);\nplot([0 50 50 0 0 ],[0 0 51 51 0 ]);\npatch([9.425 19.54 26.54 33.54 40.54 26.54 16.425 9.425 ],[32.7" "7 32.77 39.77 32.77 39.77 39.77 39.77 32.77 ],[1 1 1 ]);\npatch([16.425 26.54 19.54 9.425 16.425 ],[25.77 25.77 " "32.77 32.77 25.77 ],[0.931 0.946 0.973 ]);\npatch([9.425 19.54 26.54 16.425 9.425 ],[18.77 18.77 25.77 25.77 18." "77 ],[1 1 1 ]);\npatch([16.425 40.54 33.54 26.54 19.54 9.425 16.425 ],[11.77 11.77 18.77 11.77 18.77 18.77 11.77" " ],[0.931 0.946 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\nc" "olor('black');port_label('input',1,'d');\ncolor('black');port_label('input',2,'addr');\ncolor('black');port_labe" "l('output',1,'q');\nfprintf('','COMMENT: end icon text');" } Block { BlockType Reference Name "ASR 1" SID "330" Ports [2, 1] Position [450, 612, 500, 663] LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Addressable Shift Register" SourceType "Xilinx Addressable Shift Register Block" infoedit "Delay of configurable length. Any element in the delay\n line can be addressed and" " driven onto the output\n port.

Hardware notes: Implemented using SRL16s.\n If Virtex-4, V" "irtex-II or Spartan-3 devices are used, multiple SRLC16s are cascaded together." infer_latency on depth "2" initVector "[0]" en off dbl_ovrd off use_behavioral_HDL off Optimization "Resource" xl_use_area off xl_area "[7 0 0 14 0 0 0]" use_rpm "on" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "addrsr" block_version "9.1.01" sg_icon_stat "50,51,2,1,white,blue,0,a352fb33,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 50 50 0 0 ],[0 0 51 51 0 ],[0.77 0.82" " 0.91 ]);\nplot([0 50 50 0 0 ],[0 0 51 51 0 ]);\npatch([9.425 19.54 26.54 33.54 40.54 26.54 16.425 9.425 ],[32.7" "7 32.77 39.77 32.77 39.77 39.77 39.77 32.77 ],[1 1 1 ]);\npatch([16.425 26.54 19.54 9.425 16.425 ],[25.77 25.77 " "32.77 32.77 25.77 ],[0.931 0.946 0.973 ]);\npatch([9.425 19.54 26.54 16.425 9.425 ],[18.77 18.77 25.77 25.77 18." "77 ],[1 1 1 ]);\npatch([16.425 40.54 33.54 26.54 19.54 9.425 16.425 ],[11.77 11.77 18.77 11.77 18.77 18.77 11.77" " ],[0.931 0.946 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\nc" "olor('black');port_label('input',1,'d');\ncolor('black');port_label('input',2,'addr');\ncolor('black');port_labe" "l('output',1,'q');\nfprintf('','COMMENT: end icon text');" } Block { BlockType Reference Name "ASR 10" SID "331" Ports [2, 1] Position [450, 157, 500, 208] LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Addressable Shift Register" SourceType "Xilinx Addressable Shift Register Block" infoedit "Delay of configurable length. Any element in the delay\n line can be addressed and" " driven onto the output\n port.

Hardware notes: Implemented using SRL16s.\n If Virtex-4, V" "irtex-II or Spartan-3 devices are used, multiple SRLC16s are cascaded together." infer_latency on depth "2" initVector "[0]" en off dbl_ovrd off use_behavioral_HDL off Optimization "Resource" xl_use_area off xl_area "[7 0 0 14 0 0 0]" use_rpm "on" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "addrsr" block_version "9.1.01" sg_icon_stat "50,51,2,1,white,blue,0,a352fb33,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 50 50 0 0 ],[0 0 51 51 0 ],[0.77 0.82" " 0.91 ]);\nplot([0 50 50 0 0 ],[0 0 51 51 0 ]);\npatch([9.425 19.54 26.54 33.54 40.54 26.54 16.425 9.425 ],[32.7" "7 32.77 39.77 32.77 39.77 39.77 39.77 32.77 ],[1 1 1 ]);\npatch([16.425 26.54 19.54 9.425 16.425 ],[25.77 25.77 " "32.77 32.77 25.77 ],[0.931 0.946 0.973 ]);\npatch([9.425 19.54 26.54 16.425 9.425 ],[18.77 18.77 25.77 25.77 18." "77 ],[1 1 1 ]);\npatch([16.425 40.54 33.54 26.54 19.54 9.425 16.425 ],[11.77 11.77 18.77 11.77 18.77 18.77 11.77" " ],[0.931 0.946 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\nc" "olor('black');port_label('input',1,'d');\ncolor('black');port_label('input',2,'addr');\ncolor('black');port_labe" "l('output',1,'q');\nfprintf('','COMMENT: end icon text');" } Block { BlockType Reference Name "ASR 11" SID "332" Ports [2, 1] Position [450, 222, 500, 273] LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Addressable Shift Register" SourceType "Xilinx Addressable Shift Register Block" infoedit "Delay of configurable length. Any element in the delay\n line can be addressed and" " driven onto the output\n port.

Hardware notes: Implemented using SRL16s.\n If Virtex-4, V" "irtex-II or Spartan-3 devices are used, multiple SRLC16s are cascaded together." infer_latency on depth "2" initVector "[0]" en off dbl_ovrd off use_behavioral_HDL off Optimization "Resource" xl_use_area off xl_area "[7 0 0 14 0 0 0]" use_rpm "on" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "addrsr" block_version "9.1.01" sg_icon_stat "50,51,2,1,white,blue,0,a352fb33,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 50 50 0 0 ],[0 0 51 51 0 ],[0.77 0.82" " 0.91 ]);\nplot([0 50 50 0 0 ],[0 0 51 51 0 ]);\npatch([9.425 19.54 26.54 33.54 40.54 26.54 16.425 9.425 ],[32.7" "7 32.77 39.77 32.77 39.77 39.77 39.77 32.77 ],[1 1 1 ]);\npatch([16.425 26.54 19.54 9.425 16.425 ],[25.77 25.77 " "32.77 32.77 25.77 ],[0.931 0.946 0.973 ]);\npatch([9.425 19.54 26.54 16.425 9.425 ],[18.77 18.77 25.77 25.77 18." "77 ],[1 1 1 ]);\npatch([16.425 40.54 33.54 26.54 19.54 9.425 16.425 ],[11.77 11.77 18.77 11.77 18.77 18.77 11.77" " ],[0.931 0.946 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\nc" "olor('black');port_label('input',1,'d');\ncolor('black');port_label('input',2,'addr');\ncolor('black');port_labe" "l('output',1,'q');\nfprintf('','COMMENT: end icon text');" } Block { BlockType Reference Name "ASR 12" SID "333" Ports [2, 1] Position [450, 287, 500, 338] LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Addressable Shift Register" SourceType "Xilinx Addressable Shift Register Block" infoedit "Delay of configurable length. Any element in the delay\n line can be addressed and" " driven onto the output\n port.

Hardware notes: Implemented using SRL16s.\n If Virtex-4, V" "irtex-II or Spartan-3 devices are used, multiple SRLC16s are cascaded together." infer_latency on depth "2" initVector "[0]" en off dbl_ovrd off use_behavioral_HDL off Optimization "Resource" xl_use_area off xl_area "[7 0 0 14 0 0 0]" use_rpm "on" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "addrsr" block_version "9.1.01" sg_icon_stat "50,51,2,1,white,blue,0,a352fb33,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 50 50 0 0 ],[0 0 51 51 0 ],[0.77 0.82" " 0.91 ]);\nplot([0 50 50 0 0 ],[0 0 51 51 0 ]);\npatch([9.425 19.54 26.54 33.54 40.54 26.54 16.425 9.425 ],[32.7" "7 32.77 39.77 32.77 39.77 39.77 39.77 32.77 ],[1 1 1 ]);\npatch([16.425 26.54 19.54 9.425 16.425 ],[25.77 25.77 " "32.77 32.77 25.77 ],[0.931 0.946 0.973 ]);\npatch([9.425 19.54 26.54 16.425 9.425 ],[18.77 18.77 25.77 25.77 18." "77 ],[1 1 1 ]);\npatch([16.425 40.54 33.54 26.54 19.54 9.425 16.425 ],[11.77 11.77 18.77 11.77 18.77 18.77 11.77" " ],[0.931 0.946 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\nc" "olor('black');port_label('input',1,'d');\ncolor('black');port_label('input',2,'addr');\ncolor('black');port_labe" "l('output',1,'q');\nfprintf('','COMMENT: end icon text');" } Block { BlockType Reference Name "ASR 13" SID "334" Ports [2, 1] Position [450, 352, 500, 403] LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Addressable Shift Register" SourceType "Xilinx Addressable Shift Register Block" infoedit "Delay of configurable length. Any element in the delay\n line can be addressed and" " driven onto the output\n port.

Hardware notes: Implemented using SRL16s.\n If Virtex-4, V" "irtex-II or Spartan-3 devices are used, multiple SRLC16s are cascaded together." infer_latency on depth "2" initVector "[0]" en off dbl_ovrd off use_behavioral_HDL off Optimization "Resource" xl_use_area off xl_area "[7 0 0 14 0 0 0]" use_rpm "on" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "addrsr" block_version "9.1.01" sg_icon_stat "50,51,2,1,white,blue,0,a352fb33,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 50 50 0 0 ],[0 0 51 51 0 ],[0.77 0.82" " 0.91 ]);\nplot([0 50 50 0 0 ],[0 0 51 51 0 ]);\npatch([9.425 19.54 26.54 33.54 40.54 26.54 16.425 9.425 ],[32.7" "7 32.77 39.77 32.77 39.77 39.77 39.77 32.77 ],[1 1 1 ]);\npatch([16.425 26.54 19.54 9.425 16.425 ],[25.77 25.77 " "32.77 32.77 25.77 ],[0.931 0.946 0.973 ]);\npatch([9.425 19.54 26.54 16.425 9.425 ],[18.77 18.77 25.77 25.77 18." "77 ],[1 1 1 ]);\npatch([16.425 40.54 33.54 26.54 19.54 9.425 16.425 ],[11.77 11.77 18.77 11.77 18.77 18.77 11.77" " ],[0.931 0.946 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\nc" "olor('black');port_label('input',1,'d');\ncolor('black');port_label('input',2,'addr');\ncolor('black');port_labe" "l('output',1,'q');\nfprintf('','COMMENT: end icon text');" } Block { BlockType Reference Name "ASR 14" SID "335" Ports [2, 1] Position [450, 417, 500, 468] LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Addressable Shift Register" SourceType "Xilinx Addressable Shift Register Block" infoedit "Delay of configurable length. Any element in the delay\n line can be addressed and" " driven onto the output\n port.

Hardware notes: Implemented using SRL16s.\n If Virtex-4, V" "irtex-II or Spartan-3 devices are used, multiple SRLC16s are cascaded together." infer_latency on depth "2" initVector "[0]" en off dbl_ovrd off use_behavioral_HDL off Optimization "Resource" xl_use_area off xl_area "[7 0 0 14 0 0 0]" use_rpm "on" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "addrsr" block_version "9.1.01" sg_icon_stat "50,51,2,1,white,blue,0,a352fb33,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 50 50 0 0 ],[0 0 51 51 0 ],[0.77 0.82" " 0.91 ]);\nplot([0 50 50 0 0 ],[0 0 51 51 0 ]);\npatch([9.425 19.54 26.54 33.54 40.54 26.54 16.425 9.425 ],[32.7" "7 32.77 39.77 32.77 39.77 39.77 39.77 32.77 ],[1 1 1 ]);\npatch([16.425 26.54 19.54 9.425 16.425 ],[25.77 25.77 " "32.77 32.77 25.77 ],[0.931 0.946 0.973 ]);\npatch([9.425 19.54 26.54 16.425 9.425 ],[18.77 18.77 25.77 25.77 18." "77 ],[1 1 1 ]);\npatch([16.425 40.54 33.54 26.54 19.54 9.425 16.425 ],[11.77 11.77 18.77 11.77 18.77 18.77 11.77" " ],[0.931 0.946 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\nc" "olor('black');port_label('input',1,'d');\ncolor('black');port_label('input',2,'addr');\ncolor('black');port_labe" "l('output',1,'q');\nfprintf('','COMMENT: end icon text');" } Block { BlockType Reference Name "ASR 15" SID "336" Ports [2, 1] Position [450, 482, 500, 533] LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Addressable Shift Register" SourceType "Xilinx Addressable Shift Register Block" infoedit "Delay of configurable length. Any element in the delay\n line can be addressed and" " driven onto the output\n port.

Hardware notes: Implemented using SRL16s.\n If Virtex-4, V" "irtex-II or Spartan-3 devices are used, multiple SRLC16s are cascaded together." infer_latency on depth "2" initVector "[0]" en off dbl_ovrd off use_behavioral_HDL off Optimization "Resource" xl_use_area off xl_area "[7 0 0 14 0 0 0]" use_rpm "on" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "addrsr" block_version "9.1.01" sg_icon_stat "50,51,2,1,white,blue,0,a352fb33,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 50 50 0 0 ],[0 0 51 51 0 ],[0.77 0.82" " 0.91 ]);\nplot([0 50 50 0 0 ],[0 0 51 51 0 ]);\npatch([9.425 19.54 26.54 33.54 40.54 26.54 16.425 9.425 ],[32.7" "7 32.77 39.77 32.77 39.77 39.77 39.77 32.77 ],[1 1 1 ]);\npatch([16.425 26.54 19.54 9.425 16.425 ],[25.77 25.77 " "32.77 32.77 25.77 ],[0.931 0.946 0.973 ]);\npatch([9.425 19.54 26.54 16.425 9.425 ],[18.77 18.77 25.77 25.77 18." "77 ],[1 1 1 ]);\npatch([16.425 40.54 33.54 26.54 19.54 9.425 16.425 ],[11.77 11.77 18.77 11.77 18.77 18.77 11.77" " ],[0.931 0.946 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\nc" "olor('black');port_label('input',1,'d');\ncolor('black');port_label('input',2,'addr');\ncolor('black');port_labe" "l('output',1,'q');\nfprintf('','COMMENT: end icon text');" } Block { BlockType Reference Name "ASR 2" SID "337" Ports [2, 1] Position [450, 677, 500, 728] LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Addressable Shift Register" SourceType "Xilinx Addressable Shift Register Block" infoedit "Delay of configurable length. Any element in the delay\n line can be addressed and" " driven onto the output\n port.

Hardware notes: Implemented using SRL16s.\n If Virtex-4, V" "irtex-II or Spartan-3 devices are used, multiple SRLC16s are cascaded together." infer_latency on depth "2" initVector "[0]" en off dbl_ovrd off use_behavioral_HDL off Optimization "Resource" xl_use_area off xl_area "[7 0 0 14 0 0 0]" use_rpm "on" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "addrsr" block_version "9.1.01" sg_icon_stat "50,51,2,1,white,blue,0,a352fb33,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 50 50 0 0 ],[0 0 51 51 0 ],[0.77 0.82" " 0.91 ]);\nplot([0 50 50 0 0 ],[0 0 51 51 0 ]);\npatch([9.425 19.54 26.54 33.54 40.54 26.54 16.425 9.425 ],[32.7" "7 32.77 39.77 32.77 39.77 39.77 39.77 32.77 ],[1 1 1 ]);\npatch([16.425 26.54 19.54 9.425 16.425 ],[25.77 25.77 " "32.77 32.77 25.77 ],[0.931 0.946 0.973 ]);\npatch([9.425 19.54 26.54 16.425 9.425 ],[18.77 18.77 25.77 25.77 18." "77 ],[1 1 1 ]);\npatch([16.425 40.54 33.54 26.54 19.54 9.425 16.425 ],[11.77 11.77 18.77 11.77 18.77 18.77 11.77" " ],[0.931 0.946 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\nc" "olor('black');port_label('input',1,'d');\ncolor('black');port_label('input',2,'addr');\ncolor('black');port_labe" "l('output',1,'q');\nfprintf('','COMMENT: end icon text');" } Block { BlockType Reference Name "ASR 3" SID "338" Ports [2, 1] Position [450, 741, 500, 794] LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Addressable Shift Register" SourceType "Xilinx Addressable Shift Register Block" infoedit "Delay of configurable length. Any element in the delay\n line can be addressed and" " driven onto the output\n port.

Hardware notes: Implemented using SRL16s.\n If Virtex-4, V" "irtex-II or Spartan-3 devices are used, multiple SRLC16s are cascaded together." infer_latency on depth "2" initVector "[0]" en off dbl_ovrd off use_behavioral_HDL off Optimization "Resource" xl_use_area off xl_area "[7 0 0 14 0 0 0]" use_rpm "on" has_advanced_control "0" sggui_pos "20,20,348,389" block_type "addrsr" block_version "9.1.01" sg_icon_stat "50,53,2,1,white,blue,0,a352fb33,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 50 50 0 0 ],[0 0 53 53 0 ],[0.77 0.82" " 0.91 ]);\nplot([0 50 50 0 0 ],[0 0 53 53 0 ]);\npatch([9.425 19.54 26.54 33.54 40.54 26.54 16.425 9.425 ],[33.7" "7 33.77 40.77 33.77 40.77 40.77 40.77 33.77 ],[1 1 1 ]);\npatch([16.425 26.54 19.54 9.425 16.425 ],[26.77 26.77 " "33.77 33.77 26.77 ],[0.931 0.946 0.973 ]);\npatch([9.425 19.54 26.54 16.425 9.425 ],[19.77 19.77 26.77 26.77 19." "77 ],[1 1 1 ]);\npatch([16.425 40.54 33.54 26.54 19.54 9.425 16.425 ],[12.77 12.77 19.77 12.77 19.77 19.77 12.77" " ],[0.931 0.946 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\nc" "olor('black');port_label('input',1,'d');\ncolor('black');port_label('input',2,'addr');\ncolor('black');port_labe" "l('output',1,'q');\nfprintf('','COMMENT: end icon text');" } Block { BlockType Reference Name "ASR 4" SID "339" Ports [2, 1] Position [450, 807, 500, 858] LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Addressable Shift Register" SourceType "Xilinx Addressable Shift Register Block" infoedit "Delay of configurable length. Any element in the delay\n line can be addressed and" " driven onto the output\n port.

Hardware notes: Implemented using SRL16s.\n If Virtex-4, V" "irtex-II or Spartan-3 devices are used, multiple SRLC16s are cascaded together." infer_latency on depth "2" initVector "[0]" en off dbl_ovrd off use_behavioral_HDL off Optimization "Resource" xl_use_area off xl_area "[7 0 0 14 0 0 0]" use_rpm "on" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "addrsr" block_version "9.1.01" sg_icon_stat "50,51,2,1,white,blue,0,a352fb33,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 50 50 0 0 ],[0 0 51 51 0 ],[0.77 0.82" " 0.91 ]);\nplot([0 50 50 0 0 ],[0 0 51 51 0 ]);\npatch([9.425 19.54 26.54 33.54 40.54 26.54 16.425 9.425 ],[32.7" "7 32.77 39.77 32.77 39.77 39.77 39.77 32.77 ],[1 1 1 ]);\npatch([16.425 26.54 19.54 9.425 16.425 ],[25.77 25.77 " "32.77 32.77 25.77 ],[0.931 0.946 0.973 ]);\npatch([9.425 19.54 26.54 16.425 9.425 ],[18.77 18.77 25.77 25.77 18." "77 ],[1 1 1 ]);\npatch([16.425 40.54 33.54 26.54 19.54 9.425 16.425 ],[11.77 11.77 18.77 11.77 18.77 18.77 11.77" " ],[0.931 0.946 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\nc" "olor('black');port_label('input',1,'d');\ncolor('black');port_label('input',2,'addr');\ncolor('black');port_labe" "l('output',1,'q');\nfprintf('','COMMENT: end icon text');" } Block { BlockType Reference Name "ASR 5" SID "340" Ports [2, 1] Position [450, 872, 500, 923] LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Addressable Shift Register" SourceType "Xilinx Addressable Shift Register Block" infoedit "Delay of configurable length. Any element in the delay\n line can be addressed and" " driven onto the output\n port.

Hardware notes: Implemented using SRL16s.\n If Virtex-4, V" "irtex-II or Spartan-3 devices are used, multiple SRLC16s are cascaded together." infer_latency on depth "2" initVector "[0]" en off dbl_ovrd off use_behavioral_HDL off Optimization "Resource" xl_use_area off xl_area "[7 0 0 14 0 0 0]" use_rpm "on" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "addrsr" block_version "9.1.01" sg_icon_stat "50,51,2,1,white,blue,0,a352fb33,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 50 50 0 0 ],[0 0 51 51 0 ],[0.77 0.82" " 0.91 ]);\nplot([0 50 50 0 0 ],[0 0 51 51 0 ]);\npatch([9.425 19.54 26.54 33.54 40.54 26.54 16.425 9.425 ],[32.7" "7 32.77 39.77 32.77 39.77 39.77 39.77 32.77 ],[1 1 1 ]);\npatch([16.425 26.54 19.54 9.425 16.425 ],[25.77 25.77 " "32.77 32.77 25.77 ],[0.931 0.946 0.973 ]);\npatch([9.425 19.54 26.54 16.425 9.425 ],[18.77 18.77 25.77 25.77 18." "77 ],[1 1 1 ]);\npatch([16.425 40.54 33.54 26.54 19.54 9.425 16.425 ],[11.77 11.77 18.77 11.77 18.77 18.77 11.77" " ],[0.931 0.946 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\nc" "olor('black');port_label('input',1,'d');\ncolor('black');port_label('input',2,'addr');\ncolor('black');port_labe" "l('output',1,'q');\nfprintf('','COMMENT: end icon text');" } Block { BlockType Reference Name "ASR 6" SID "341" Ports [2, 1] Position [450, 937, 500, 988] LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Addressable Shift Register" SourceType "Xilinx Addressable Shift Register Block" infoedit "Delay of configurable length. Any element in the delay\n line can be addressed and" " driven onto the output\n port.

Hardware notes: Implemented using SRL16s.\n If Virtex-4, V" "irtex-II or Spartan-3 devices are used, multiple SRLC16s are cascaded together." infer_latency on depth "2" initVector "[0]" en off dbl_ovrd off use_behavioral_HDL off Optimization "Resource" xl_use_area off xl_area "[7 0 0 14 0 0 0]" use_rpm "on" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "addrsr" block_version "9.1.01" sg_icon_stat "50,51,2,1,white,blue,0,a352fb33,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 50 50 0 0 ],[0 0 51 51 0 ],[0.77 0.82" " 0.91 ]);\nplot([0 50 50 0 0 ],[0 0 51 51 0 ]);\npatch([9.425 19.54 26.54 33.54 40.54 26.54 16.425 9.425 ],[32.7" "7 32.77 39.77 32.77 39.77 39.77 39.77 32.77 ],[1 1 1 ]);\npatch([16.425 26.54 19.54 9.425 16.425 ],[25.77 25.77 " "32.77 32.77 25.77 ],[0.931 0.946 0.973 ]);\npatch([9.425 19.54 26.54 16.425 9.425 ],[18.77 18.77 25.77 25.77 18." "77 ],[1 1 1 ]);\npatch([16.425 40.54 33.54 26.54 19.54 9.425 16.425 ],[11.77 11.77 18.77 11.77 18.77 18.77 11.77" " ],[0.931 0.946 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\nc" "olor('black');port_label('input',1,'d');\ncolor('black');port_label('input',2,'addr');\ncolor('black');port_labe" "l('output',1,'q');\nfprintf('','COMMENT: end icon text');" } Block { BlockType Reference Name "ASR 7" SID "342" Ports [2, 1] Position [450, 1002, 500, 1053] LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Addressable Shift Register" SourceType "Xilinx Addressable Shift Register Block" infoedit "Delay of configurable length. Any element in the delay\n line can be addressed and" " driven onto the output\n port.

Hardware notes: Implemented using SRL16s.\n If Virtex-4, V" "irtex-II or Spartan-3 devices are used, multiple SRLC16s are cascaded together." infer_latency on depth "2" initVector "[0]" en off dbl_ovrd off use_behavioral_HDL off Optimization "Resource" xl_use_area off xl_area "[7 0 0 14 0 0 0]" use_rpm "on" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "addrsr" block_version "9.1.01" sg_icon_stat "50,51,2,1,white,blue,0,a352fb33,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 50 50 0 0 ],[0 0 51 51 0 ],[0.77 0.82" " 0.91 ]);\nplot([0 50 50 0 0 ],[0 0 51 51 0 ]);\npatch([9.425 19.54 26.54 33.54 40.54 26.54 16.425 9.425 ],[32.7" "7 32.77 39.77 32.77 39.77 39.77 39.77 32.77 ],[1 1 1 ]);\npatch([16.425 26.54 19.54 9.425 16.425 ],[25.77 25.77 " "32.77 32.77 25.77 ],[0.931 0.946 0.973 ]);\npatch([9.425 19.54 26.54 16.425 9.425 ],[18.77 18.77 25.77 25.77 18." "77 ],[1 1 1 ]);\npatch([16.425 40.54 33.54 26.54 19.54 9.425 16.425 ],[11.77 11.77 18.77 11.77 18.77 18.77 11.77" " ],[0.931 0.946 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\nc" "olor('black');port_label('input',1,'d');\ncolor('black');port_label('input',2,'addr');\ncolor('black');port_labe" "l('output',1,'q');\nfprintf('','COMMENT: end icon text');" } Block { BlockType Reference Name "ASR 8" SID "343" Ports [2, 1] Position [450, 27, 500, 78] LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Addressable Shift Register" SourceType "Xilinx Addressable Shift Register Block" infoedit "Delay of configurable length. Any element in the delay\n line can be addressed and" " driven onto the output\n port.

Hardware notes: Implemented using SRL16s.\n If Virtex-4, V" "irtex-II or Spartan-3 devices are used, multiple SRLC16s are cascaded together." infer_latency on depth "2" initVector "[0]" en off dbl_ovrd off use_behavioral_HDL off Optimization "Resource" xl_use_area off xl_area "[7 0 0 14 0 0 0]" use_rpm "on" has_advanced_control "0" sggui_pos "20,20,348,389" block_type "addrsr" block_version "9.1.01" sg_icon_stat "50,51,2,1,white,blue,0,a352fb33,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 50 50 0 0 ],[0 0 51 51 0 ],[0.77 0.82" " 0.91 ]);\nplot([0 50 50 0 0 ],[0 0 51 51 0 ]);\npatch([9.425 19.54 26.54 33.54 40.54 26.54 16.425 9.425 ],[32.7" "7 32.77 39.77 32.77 39.77 39.77 39.77 32.77 ],[1 1 1 ]);\npatch([16.425 26.54 19.54 9.425 16.425 ],[25.77 25.77 " "32.77 32.77 25.77 ],[0.931 0.946 0.973 ]);\npatch([9.425 19.54 26.54 16.425 9.425 ],[18.77 18.77 25.77 25.77 18." "77 ],[1 1 1 ]);\npatch([16.425 40.54 33.54 26.54 19.54 9.425 16.425 ],[11.77 11.77 18.77 11.77 18.77 18.77 11.77" " ],[0.931 0.946 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\nc" "olor('black');port_label('input',1,'d');\ncolor('black');port_label('input',2,'addr');\ncolor('black');port_labe" "l('output',1,'q');\nfprintf('','COMMENT: end icon text');" } Block { BlockType Reference Name "ASR 9" SID "344" Ports [2, 1] Position [450, 92, 500, 143] LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Addressable Shift Register" SourceType "Xilinx Addressable Shift Register Block" infoedit "Delay of configurable length. Any element in the delay\n line can be addressed and" " driven onto the output\n port.

Hardware notes: Implemented using SRL16s.\n If Virtex-4, V" "irtex-II or Spartan-3 devices are used, multiple SRLC16s are cascaded together." infer_latency on depth "2" initVector "[0]" en off dbl_ovrd off use_behavioral_HDL off Optimization "Resource" xl_use_area off xl_area "[7 0 0 14 0 0 0]" use_rpm "on" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "addrsr" block_version "9.1.01" sg_icon_stat "50,51,2,1,white,blue,0,a352fb33,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 50 50 0 0 ],[0 0 51 51 0 ],[0.77 0.82" " 0.91 ]);\nplot([0 50 50 0 0 ],[0 0 51 51 0 ]);\npatch([9.425 19.54 26.54 33.54 40.54 26.54 16.425 9.425 ],[32.7" "7 32.77 39.77 32.77 39.77 39.77 39.77 32.77 ],[1 1 1 ]);\npatch([16.425 26.54 19.54 9.425 16.425 ],[25.77 25.77 " "32.77 32.77 25.77 ],[0.931 0.946 0.973 ]);\npatch([9.425 19.54 26.54 16.425 9.425 ],[18.77 18.77 25.77 25.77 18." "77 ],[1 1 1 ]);\npatch([16.425 40.54 33.54 26.54 19.54 9.425 16.425 ],[11.77 11.77 18.77 11.77 18.77 18.77 11.77" " ],[0.931 0.946 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\nc" "olor('black');port_label('input',1,'d');\ncolor('black');port_label('input',2,'addr');\ncolor('black');port_labe" "l('output',1,'q');\nfprintf('','COMMENT: end icon text');" } Block { BlockType Reference Name "Delay1" SID "345" Ports [1, 1] Position [332, 780, 348, 805] BlockRotation 270 ShowName off LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Delay" SourceType "Xilinx Delay Block" infoedit "Hardware notes: A delay line is a chain, each link of which is an SRL16 followed by a flip-flop." rst off infoeditControl "Selection of Reset will increase slice count due to use of real FFs and instead of SRLs" en off latency "4" dbl_ovrd off reg_retiming off xl_use_area off xl_area "[7 14 0 14 0 0 0]" has_advanced_control "0" sggui_pos "20,20,348,255" block_type "delay" block_version "9.1.01" sg_icon_stat "16,25,1,1,white,blue,0,d390c2d8,up,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 16 16 0 0 ],[0 0 25 25 0 ],[0.77 0.82" " 0.91 ]);\nplot([0 16 16 0 0 ],[0 0 25 25 0 ]);\npatch([3.55 6.44 8.44 10.44 12.44 8.44 5.55 3.55 ],[14.22 14.22" " 16.22 14.22 16.22 16.22 16.22 14.22 ],[1 1 1 ]);\npatch([5.55 8.44 6.44 3.55 5.55 ],[12.22 12.22 14.22 14.22 12" ".22 ],[0.931 0.946 0.973 ]);\npatch([3.55 6.44 8.44 5.55 3.55 ],[10.22 10.22 12.22 12.22 10.22 ],[1 1 1 ]);\npat" "ch([5.55 12.44 10.44 8.44 6.44 3.55 5.55 ],[8.22 8.22 10.22 8.22 10.22 10.22 8.22 ],[0.931 0.946 0.973 ]);\nfpri" "ntf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\n\n\ncolor('black');disp('z^{-4}'" ",'texmode','on');\nfprintf('','COMMENT: end icon text');" } Block { BlockType Reference Name "Delay10" SID "346" Ports [1, 1] Position [332, 260, 348, 285] BlockRotation 270 ShowName off LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Delay" SourceType "Xilinx Delay Block" infoedit "Hardware notes: A delay line is a chain, each link of which is an SRL16 followed by a flip-flop." rst off infoeditControl "Selection of Reset will increase slice count due to use of real FFs and instead of SRLs" en off latency "4" dbl_ovrd off reg_retiming off xl_use_area off xl_area "[7 14 0 14 0 0 0]" has_advanced_control "0" sggui_pos "20,20,348,255" block_type "delay" block_version "9.1.01" sg_icon_stat "16,25,1,1,white,blue,0,d390c2d8,up,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 16 16 0 0 ],[0 0 25 25 0 ],[0.77 0.82" " 0.91 ]);\nplot([0 16 16 0 0 ],[0 0 25 25 0 ]);\npatch([3.55 6.44 8.44 10.44 12.44 8.44 5.55 3.55 ],[14.22 14.22" " 16.22 14.22 16.22 16.22 16.22 14.22 ],[1 1 1 ]);\npatch([5.55 8.44 6.44 3.55 5.55 ],[12.22 12.22 14.22 14.22 12" ".22 ],[0.931 0.946 0.973 ]);\npatch([3.55 6.44 8.44 5.55 3.55 ],[10.22 10.22 12.22 12.22 10.22 ],[1 1 1 ]);\npat" "ch([5.55 12.44 10.44 8.44 6.44 3.55 5.55 ],[8.22 8.22 10.22 8.22 10.22 10.22 8.22 ],[0.931 0.946 0.973 ]);\nfpri" "ntf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\n\n\ncolor('black');disp('z^{-4}'" ",'texmode','on');\nfprintf('','COMMENT: end icon text');" } Block { BlockType Reference Name "Delay11" SID "347" Ports [1, 1] Position [312, 260, 328, 285] BlockRotation 270 ShowName off LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Delay" SourceType "Xilinx Delay Block" infoedit "Hardware notes: A delay line is a chain, each link of which is an SRL16 followed by a flip-flop." rst off infoeditControl "Selection of Reset will increase slice count due to use of real FFs and instead of SRLs" en off latency "4" dbl_ovrd off reg_retiming off xl_use_area off xl_area "[7 14 0 14 0 0 0]" has_advanced_control "0" sggui_pos "20,20,348,255" block_type "delay" block_version "9.1.01" sg_icon_stat "16,25,1,1,white,blue,0,d390c2d8,up,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 16 16 0 0 ],[0 0 25 25 0 ],[0.77 0.82" " 0.91 ]);\nplot([0 16 16 0 0 ],[0 0 25 25 0 ]);\npatch([3.55 6.44 8.44 10.44 12.44 8.44 5.55 3.55 ],[14.22 14.22" " 16.22 14.22 16.22 16.22 16.22 14.22 ],[1 1 1 ]);\npatch([5.55 8.44 6.44 3.55 5.55 ],[12.22 12.22 14.22 14.22 12" ".22 ],[0.931 0.946 0.973 ]);\npatch([3.55 6.44 8.44 5.55 3.55 ],[10.22 10.22 12.22 12.22 10.22 ],[1 1 1 ]);\npat" "ch([5.55 12.44 10.44 8.44 6.44 3.55 5.55 ],[8.22 8.22 10.22 8.22 10.22 10.22 8.22 ],[0.931 0.946 0.973 ]);\nfpri" "ntf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\n\n\ncolor('black');disp('z^{-4}'" ",'texmode','on');\nfprintf('','COMMENT: end icon text');" } Block { BlockType Reference Name "Delay12" SID "348" Ports [1, 1] Position [292, 260, 308, 285] BlockRotation 270 ShowName off LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Delay" SourceType "Xilinx Delay Block" infoedit "Hardware notes: A delay line is a chain, each link of which is an SRL16 followed by a flip-flop." rst off infoeditControl "Selection of Reset will increase slice count due to use of real FFs and instead of SRLs" en off latency "4" dbl_ovrd off reg_retiming off xl_use_area off xl_area "[7 14 0 14 0 0 0]" has_advanced_control "0" sggui_pos "20,20,348,255" block_type "delay" block_version "9.1.01" sg_icon_stat "16,25,1,1,white,blue,0,d390c2d8,up,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 16 16 0 0 ],[0 0 25 25 0 ],[0.77 0.82" " 0.91 ]);\nplot([0 16 16 0 0 ],[0 0 25 25 0 ]);\npatch([3.55 6.44 8.44 10.44 12.44 8.44 5.55 3.55 ],[14.22 14.22" " 16.22 14.22 16.22 16.22 16.22 14.22 ],[1 1 1 ]);\npatch([5.55 8.44 6.44 3.55 5.55 ],[12.22 12.22 14.22 14.22 12" ".22 ],[0.931 0.946 0.973 ]);\npatch([3.55 6.44 8.44 5.55 3.55 ],[10.22 10.22 12.22 12.22 10.22 ],[1 1 1 ]);\npat" "ch([5.55 12.44 10.44 8.44 6.44 3.55 5.55 ],[8.22 8.22 10.22 8.22 10.22 10.22 8.22 ],[0.931 0.946 0.973 ]);\nfpri" "ntf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\n\n\ncolor('black');disp('z^{-4}'" ",'texmode','on');\nfprintf('','COMMENT: end icon text');" } Block { BlockType Reference Name "Delay2" SID "349" Ports [1, 1] Position [312, 780, 328, 805] BlockRotation 270 ShowName off LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Delay" SourceType "Xilinx Delay Block" infoedit "Hardware notes: A delay line is a chain, each link of which is an SRL16 followed by a flip-flop." rst off infoeditControl "Selection of Reset will increase slice count due to use of real FFs and instead of SRLs" en off latency "4" dbl_ovrd off reg_retiming off xl_use_area off xl_area "[7 14 0 14 0 0 0]" has_advanced_control "0" sggui_pos "20,20,348,255" block_type "delay" block_version "9.1.01" sg_icon_stat "16,25,1,1,white,blue,0,d390c2d8,up,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 16 16 0 0 ],[0 0 25 25 0 ],[0.77 0.82" " 0.91 ]);\nplot([0 16 16 0 0 ],[0 0 25 25 0 ]);\npatch([3.55 6.44 8.44 10.44 12.44 8.44 5.55 3.55 ],[14.22 14.22" " 16.22 14.22 16.22 16.22 16.22 14.22 ],[1 1 1 ]);\npatch([5.55 8.44 6.44 3.55 5.55 ],[12.22 12.22 14.22 14.22 12" ".22 ],[0.931 0.946 0.973 ]);\npatch([3.55 6.44 8.44 5.55 3.55 ],[10.22 10.22 12.22 12.22 10.22 ],[1 1 1 ]);\npat" "ch([5.55 12.44 10.44 8.44 6.44 3.55 5.55 ],[8.22 8.22 10.22 8.22 10.22 10.22 8.22 ],[0.931 0.946 0.973 ]);\nfpri" "ntf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\n\n\ncolor('black');disp('z^{-4}'" ",'texmode','on');\nfprintf('','COMMENT: end icon text');" } Block { BlockType Reference Name "Delay3" SID "350" Ports [1, 1] Position [292, 780, 308, 805] BlockRotation 270 ShowName off LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Delay" SourceType "Xilinx Delay Block" infoedit "Hardware notes: A delay line is a chain, each link of which is an SRL16 followed by a flip-flop." rst off infoeditControl "Selection of Reset will increase slice count due to use of real FFs and instead of SRLs" en off latency "4" dbl_ovrd off reg_retiming off xl_use_area off xl_area "[7 14 0 14 0 0 0]" has_advanced_control "0" sggui_pos "20,20,348,255" block_type "delay" block_version "9.1.01" sg_icon_stat "16,25,1,1,white,blue,0,d390c2d8,up,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 16 16 0 0 ],[0 0 25 25 0 ],[0.77 0.82" " 0.91 ]);\nplot([0 16 16 0 0 ],[0 0 25 25 0 ]);\npatch([3.55 6.44 8.44 10.44 12.44 8.44 5.55 3.55 ],[14.22 14.22" " 16.22 14.22 16.22 16.22 16.22 14.22 ],[1 1 1 ]);\npatch([5.55 8.44 6.44 3.55 5.55 ],[12.22 12.22 14.22 14.22 12" ".22 ],[0.931 0.946 0.973 ]);\npatch([3.55 6.44 8.44 5.55 3.55 ],[10.22 10.22 12.22 12.22 10.22 ],[1 1 1 ]);\npat" "ch([5.55 12.44 10.44 8.44 6.44 3.55 5.55 ],[8.22 8.22 10.22 8.22 10.22 10.22 8.22 ],[0.931 0.946 0.973 ]);\nfpri" "ntf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\n\n\ncolor('black');disp('z^{-4}'" ",'texmode','on');\nfprintf('','COMMENT: end icon text');" } Block { BlockType Reference Name "Delay4" SID "351" Ports [1, 1] Position [352, 780, 368, 805] BlockRotation 270 ShowName off LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Delay" SourceType "Xilinx Delay Block" infoedit "Hardware notes: A delay line is a chain, each link of which is an SRL16 followed by a flip-flop." rst off infoeditControl "Selection of Reset will increase slice count due to use of real FFs and instead of SRLs" en off latency "4" dbl_ovrd off reg_retiming off xl_use_area off xl_area "[7 14 0 14 0 0 0]" has_advanced_control "0" sggui_pos "20,20,348,255" block_type "delay" block_version "9.1.01" sg_icon_stat "16,25,1,1,white,blue,0,d390c2d8,up,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 16 16 0 0 ],[0 0 25 25 0 ],[0.77 0.82" " 0.91 ]);\nplot([0 16 16 0 0 ],[0 0 25 25 0 ]);\npatch([3.55 6.44 8.44 10.44 12.44 8.44 5.55 3.55 ],[14.22 14.22" " 16.22 14.22 16.22 16.22 16.22 14.22 ],[1 1 1 ]);\npatch([5.55 8.44 6.44 3.55 5.55 ],[12.22 12.22 14.22 14.22 12" ".22 ],[0.931 0.946 0.973 ]);\npatch([3.55 6.44 8.44 5.55 3.55 ],[10.22 10.22 12.22 12.22 10.22 ],[1 1 1 ]);\npat" "ch([5.55 12.44 10.44 8.44 6.44 3.55 5.55 ],[8.22 8.22 10.22 8.22 10.22 10.22 8.22 ],[0.931 0.946 0.973 ]);\nfpri" "ntf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\n\n\ncolor('black');disp('z^{-4}'" ",'texmode','on');\nfprintf('','COMMENT: end icon text');" } Block { BlockType Reference Name "Delay5" SID "352" Ports [1, 1] Position [352, 520, 368, 545] BlockRotation 270 ShowName off LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Delay" SourceType "Xilinx Delay Block" infoedit "Hardware notes: A delay line is a chain, each link of which is an SRL16 followed by a flip-flop." rst off infoeditControl "Selection of Reset will increase slice count due to use of real FFs and instead of SRLs" en off latency "4" dbl_ovrd off reg_retiming off xl_use_area off xl_area "[7 14 0 14 0 0 0]" has_advanced_control "0" sggui_pos "20,20,348,255" block_type "delay" block_version "9.1.01" sg_icon_stat "16,25,1,1,white,blue,0,d390c2d8,up,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 16 16 0 0 ],[0 0 25 25 0 ],[0.77 0.82" " 0.91 ]);\nplot([0 16 16 0 0 ],[0 0 25 25 0 ]);\npatch([3.55 6.44 8.44 10.44 12.44 8.44 5.55 3.55 ],[14.22 14.22" " 16.22 14.22 16.22 16.22 16.22 14.22 ],[1 1 1 ]);\npatch([5.55 8.44 6.44 3.55 5.55 ],[12.22 12.22 14.22 14.22 12" ".22 ],[0.931 0.946 0.973 ]);\npatch([3.55 6.44 8.44 5.55 3.55 ],[10.22 10.22 12.22 12.22 10.22 ],[1 1 1 ]);\npat" "ch([5.55 12.44 10.44 8.44 6.44 3.55 5.55 ],[8.22 8.22 10.22 8.22 10.22 10.22 8.22 ],[0.931 0.946 0.973 ]);\nfpri" "ntf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\n\n\ncolor('black');disp('z^{-4}'" ",'texmode','on');\nfprintf('','COMMENT: end icon text');" } Block { BlockType Reference Name "Delay6" SID "353" Ports [1, 1] Position [332, 520, 348, 545] BlockRotation 270 ShowName off LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Delay" SourceType "Xilinx Delay Block" infoedit "Hardware notes: A delay line is a chain, each link of which is an SRL16 followed by a flip-flop." rst off infoeditControl "Selection of Reset will increase slice count due to use of real FFs and instead of SRLs" en off latency "4" dbl_ovrd off reg_retiming off xl_use_area off xl_area "[7 14 0 14 0 0 0]" has_advanced_control "0" sggui_pos "20,20,348,255" block_type "delay" block_version "9.1.01" sg_icon_stat "16,25,1,1,white,blue,0,d390c2d8,up,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 16 16 0 0 ],[0 0 25 25 0 ],[0.77 0.82" " 0.91 ]);\nplot([0 16 16 0 0 ],[0 0 25 25 0 ]);\npatch([3.55 6.44 8.44 10.44 12.44 8.44 5.55 3.55 ],[14.22 14.22" " 16.22 14.22 16.22 16.22 16.22 14.22 ],[1 1 1 ]);\npatch([5.55 8.44 6.44 3.55 5.55 ],[12.22 12.22 14.22 14.22 12" ".22 ],[0.931 0.946 0.973 ]);\npatch([3.55 6.44 8.44 5.55 3.55 ],[10.22 10.22 12.22 12.22 10.22 ],[1 1 1 ]);\npat" "ch([5.55 12.44 10.44 8.44 6.44 3.55 5.55 ],[8.22 8.22 10.22 8.22 10.22 10.22 8.22 ],[0.931 0.946 0.973 ]);\nfpri" "ntf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\n\n\ncolor('black');disp('z^{-4}'" ",'texmode','on');\nfprintf('','COMMENT: end icon text');" } Block { BlockType Reference Name "Delay7" SID "354" Ports [1, 1] Position [312, 520, 328, 545] BlockRotation 270 ShowName off LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Delay" SourceType "Xilinx Delay Block" infoedit "Hardware notes: A delay line is a chain, each link of which is an SRL16 followed by a flip-flop." rst off infoeditControl "Selection of Reset will increase slice count due to use of real FFs and instead of SRLs" en off latency "4" dbl_ovrd off reg_retiming off xl_use_area off xl_area "[7 14 0 14 0 0 0]" has_advanced_control "0" sggui_pos "20,20,348,255" block_type "delay" block_version "9.1.01" sg_icon_stat "16,25,1,1,white,blue,0,d390c2d8,up,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 16 16 0 0 ],[0 0 25 25 0 ],[0.77 0.82" " 0.91 ]);\nplot([0 16 16 0 0 ],[0 0 25 25 0 ]);\npatch([3.55 6.44 8.44 10.44 12.44 8.44 5.55 3.55 ],[14.22 14.22" " 16.22 14.22 16.22 16.22 16.22 14.22 ],[1 1 1 ]);\npatch([5.55 8.44 6.44 3.55 5.55 ],[12.22 12.22 14.22 14.22 12" ".22 ],[0.931 0.946 0.973 ]);\npatch([3.55 6.44 8.44 5.55 3.55 ],[10.22 10.22 12.22 12.22 10.22 ],[1 1 1 ]);\npat" "ch([5.55 12.44 10.44 8.44 6.44 3.55 5.55 ],[8.22 8.22 10.22 8.22 10.22 10.22 8.22 ],[0.931 0.946 0.973 ]);\nfpri" "ntf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\n\n\ncolor('black');disp('z^{-4}'" ",'texmode','on');\nfprintf('','COMMENT: end icon text');" } Block { BlockType Reference Name "Delay8" SID "355" Ports [1, 1] Position [292, 520, 308, 545] BlockRotation 270 ShowName off LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Delay" SourceType "Xilinx Delay Block" infoedit "Hardware notes: A delay line is a chain, each link of which is an SRL16 followed by a flip-flop." rst off infoeditControl "Selection of Reset will increase slice count due to use of real FFs and instead of SRLs" en off latency "4" dbl_ovrd off reg_retiming off xl_use_area off xl_area "[7 14 0 14 0 0 0]" has_advanced_control "0" sggui_pos "20,20,348,255" block_type "delay" block_version "9.1.01" sg_icon_stat "16,25,1,1,white,blue,0,d390c2d8,up,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 16 16 0 0 ],[0 0 25 25 0 ],[0.77 0.82" " 0.91 ]);\nplot([0 16 16 0 0 ],[0 0 25 25 0 ]);\npatch([3.55 6.44 8.44 10.44 12.44 8.44 5.55 3.55 ],[14.22 14.22" " 16.22 14.22 16.22 16.22 16.22 14.22 ],[1 1 1 ]);\npatch([5.55 8.44 6.44 3.55 5.55 ],[12.22 12.22 14.22 14.22 12" ".22 ],[0.931 0.946 0.973 ]);\npatch([3.55 6.44 8.44 5.55 3.55 ],[10.22 10.22 12.22 12.22 10.22 ],[1 1 1 ]);\npat" "ch([5.55 12.44 10.44 8.44 6.44 3.55 5.55 ],[8.22 8.22 10.22 8.22 10.22 10.22 8.22 ],[0.931 0.946 0.973 ]);\nfpri" "ntf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\n\n\ncolor('black');disp('z^{-4}'" ",'texmode','on');\nfprintf('','COMMENT: end icon text');" } Block { BlockType Reference Name "Delay9" SID "356" Ports [1, 1] Position [352, 260, 368, 285] BlockRotation 270 ShowName off LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Delay" SourceType "Xilinx Delay Block" infoedit "Hardware notes: A delay line is a chain, each link of which is an SRL16 followed by a flip-flop." rst off infoeditControl "Selection of Reset will increase slice count due to use of real FFs and instead of SRLs" en off latency "4" dbl_ovrd off reg_retiming off xl_use_area off xl_area "[7 14 0 14 0 0 0]" has_advanced_control "0" sggui_pos "20,20,348,255" block_type "delay" block_version "9.1.01" sg_icon_stat "16,25,1,1,white,blue,0,d390c2d8,up,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 16 16 0 0 ],[0 0 25 25 0 ],[0.77 0.82" " 0.91 ]);\nplot([0 16 16 0 0 ],[0 0 25 25 0 ]);\npatch([3.55 6.44 8.44 10.44 12.44 8.44 5.55 3.55 ],[14.22 14.22" " 16.22 14.22 16.22 16.22 16.22 14.22 ],[1 1 1 ]);\npatch([5.55 8.44 6.44 3.55 5.55 ],[12.22 12.22 14.22 14.22 12" ".22 ],[0.931 0.946 0.973 ]);\npatch([3.55 6.44 8.44 5.55 3.55 ],[10.22 10.22 12.22 12.22 10.22 ],[1 1 1 ]);\npat" "ch([5.55 12.44 10.44 8.44 6.44 3.55 5.55 ],[8.22 8.22 10.22 8.22 10.22 10.22 8.22 ],[0.931 0.946 0.973 ]);\nfpri" "ntf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\n\n\ncolor('black');disp('z^{-4}'" ",'texmode','on');\nfprintf('','COMMENT: end icon text');" } Block { BlockType SubSystem Name "TDD" SID "357" Ports [1, 4] Position [160, 786, 230, 1049] MinAlgLoopOccurrences off PropExecContextOutsideSubsystem off RTWSystemCode "Auto" FunctionWithSeparateData off Opaque off RequestExecContextInheritance off MaskHideContents off System { Name "TDD" Location [2, 74, 1902, 1112] Open off ModelBrowserVisibility off ModelBrowserWidth 200 ScreenColor "white" PaperOrientation "landscape" PaperPositionMode "auto" PaperType "usletter" PaperUnits "inches" TiledPaperMargins [0.500000, 0.500000, 0.500000, 0.500000] TiledPageScale 1 ShowPageBoundaries off ZoomFactor "100" Block { BlockType Inport Name "X" SID "358" Position [25, 148, 55, 162] IconDisplay "Port number" } Block { BlockType Reference Name "TDD" SID "359" Ports [1, 4] Position [120, 25, 175, 280] LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Time Division\nDemultiplexer" SourceType "Xilinx Time Division Demultiplexer Block" infoedit "Samples presented at the input are selected and down-sampled according to the frame sampling patt" "ern specified. The sampled input is presented either as a single or multiple channel at the output." frame_pattern "ones(1,4)" impl_style "Multiple Channel" vin off dbl_ovrd off xl_use_area off xl_area "[49 98 0 0 0 0 0]" explicit_period "off" period "1" has_advanced_control "0" sggui_pos "20,20,348,268" block_type "tdd" block_version "9.1.01" sg_icon_stat "55,255,1,4,white,blue,0,8781f2a5,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 0 ],[0 0 255 255 0 ],[0.77 0." "82 0.91 ]);\nplot([0 55 55 0 0 ],[0 0 255 255 0 ]);\npatch([11.425 21.54 28.54 35.54 42.54 28.54 18.425 11.425 ]" ",[134.77 134.77 141.77 134.77 141.77 141.77 141.77 134.77 ],[1 1 1 ]);\npatch([18.425 28.54 21.54 11.425 18.425 " "],[127.77 127.77 134.77 134.77 127.77 ],[0.931 0.946 0.973 ]);\npatch([11.425 21.54 28.54 18.425 11.425 ],[120.7" "7 120.77 127.77 127.77 120.77 ],[1 1 1 ]);\npatch([18.425 42.54 35.54 28.54 21.54 11.425 18.425 ],[113.77 113.77" " 120.77 113.77 120.77 120.77 113.77 ],[0.931 0.946 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf" "('','COMMENT: begin icon text');\ncolor('black');port_label('input',1,'d');\ncolor('black');port_label('output'," "1,'q0');\ncolor('black');port_label('output',2,'q1');\ncolor('black');port_label('output',3,'q2');\ncolor('black" "');port_label('output',4,'q3');\ncolor('black');disp('TDD');\nfprintf('','COMMENT: end icon text');" } Block { BlockType Outport Name "x[3]" SID "360" Position [235, 48, 265, 62] IconDisplay "Port number" } Block { BlockType Outport Name "x[2]" SID "361" Position [235, 113, 265, 127] Port "2" IconDisplay "Port number" } Block { BlockType Outport Name "x[1]" SID "362" Position [230, 178, 260, 192] Port "3" IconDisplay "Port number" } Block { BlockType Outport Name "x[0]" SID "363" Position [240, 243, 270, 257] Port "4" IconDisplay "Port number" } Line { SrcBlock "X" SrcPort 1 DstBlock "TDD" DstPort 1 } Line { SrcBlock "TDD" SrcPort 1 DstBlock "x[3]" DstPort 1 } Line { SrcBlock "TDD" SrcPort 2 DstBlock "x[2]" DstPort 1 } Line { SrcBlock "TDD" SrcPort 3 DstBlock "x[1]" DstPort 1 } Line { SrcBlock "TDD" SrcPort 4 DstBlock "x[0]" DstPort 1 } } } Block { BlockType Outport Name "Out1" SID "364" Position [525, 48, 555, 62] IconDisplay "Port number" } Block { BlockType Outport Name "Out2" SID "365" Position [525, 113, 555, 127] Port "2" IconDisplay "Port number" } Block { BlockType Outport Name "Out3" SID "366" Position [525, 178, 555, 192] Port "3" IconDisplay "Port number" } Block { BlockType Outport Name "Out4" SID "367" Position [525, 243, 555, 257] Port "4" IconDisplay "Port number" } Block { BlockType Outport Name "Out5" SID "368" Position [525, 308, 555, 322] Port "5" IconDisplay "Port number" } Block { BlockType Outport Name "Out6" SID "369" Position [525, 373, 555, 387] Port "6" IconDisplay "Port number" } Block { BlockType Outport Name "Out7" SID "370" Position [525, 438, 555, 452] Port "7" IconDisplay "Port number" } Block { BlockType Outport Name "Out8" SID "371" Position [525, 503, 555, 517] Port "8" IconDisplay "Port number" } Block { BlockType Outport Name "Out9" SID "372" Position [525, 568, 555, 582] Port "9" IconDisplay "Port number" } Block { BlockType Outport Name "Out10" SID "373" Position [525, 633, 555, 647] Port "10" IconDisplay "Port number" } Block { BlockType Outport Name "Out11" SID "374" Position [525, 698, 555, 712] Port "11" IconDisplay "Port number" } Block { BlockType Outport Name "Out12" SID "375" Position [525, 763, 555, 777] Port "12" IconDisplay "Port number" } Block { BlockType Outport Name "Out13" SID "376" Position [525, 828, 555, 842] Port "13" IconDisplay "Port number" } Block { BlockType Outport Name "Out14" SID "377" Position [525, 893, 555, 907] Port "14" IconDisplay "Port number" } Block { BlockType Outport Name "Out15" SID "378" Position [525, 958, 555, 972] Port "15" IconDisplay "Port number" } Block { BlockType Outport Name "Out16" SID "379" Position [525, 1023, 555, 1037] Port "16" IconDisplay "Port number" } Line { SrcBlock "ASR 7" SrcPort 1 DstBlock "Out16" DstPort 1 } Line { SrcBlock "ASR 6" SrcPort 1 DstBlock "Out15" DstPort 1 } Line { SrcBlock "ASR 5" SrcPort 1 DstBlock "Out14" DstPort 1 } Line { SrcBlock "ASR 4" SrcPort 1 DstBlock "Out13" DstPort 1 } Line { SrcBlock "ASR 3" SrcPort 1 DstBlock "Out12" DstPort 1 } Line { SrcBlock "ASR 2" SrcPort 1 DstBlock "Out11" DstPort 1 } Line { SrcBlock "ASR 1" SrcPort 1 DstBlock "Out10" DstPort 1 } Line { SrcBlock "ASR 0" SrcPort 1 DstBlock "Out9" DstPort 1 } Line { SrcBlock "ASR 15" SrcPort 1 DstBlock "Out8" DstPort 1 } Line { SrcBlock "ASR 14" SrcPort 1 DstBlock "Out7" DstPort 1 } Line { SrcBlock "ASR 13" SrcPort 1 DstBlock "Out6" DstPort 1 } Line { SrcBlock "ASR 12" SrcPort 1 DstBlock "Out5" DstPort 1 } Line { SrcBlock "ASR 11" SrcPort 1 DstBlock "Out4" DstPort 1 } Line { SrcBlock "ASR 10" SrcPort 1 DstBlock "Out3" DstPort 1 } Line { SrcBlock "ASR 9" SrcPort 1 DstBlock "Out2" DstPort 1 } Line { SrcBlock "ASR 8" SrcPort 1 DstBlock "Out1" DstPort 1 } Line { SrcBlock "Delay4" SrcPort 1 Points [0, -20] Branch { DstBlock "Delay5" DstPort 1 } Branch { DstBlock "ASR 3" DstPort 1 } } Line { SrcBlock "Delay1" SrcPort 1 Points [0, -85] Branch { DstBlock "Delay6" DstPort 1 } Branch { DstBlock "ASR 2" DstPort 1 } } Line { SrcBlock "Delay2" SrcPort 1 Points [0, -150] Branch { DstBlock "Delay7" DstPort 1 } Branch { DstBlock "ASR 1" DstPort 1 } } Line { SrcBlock "Delay3" SrcPort 1 Points [0, -215] Branch { DstBlock "Delay8" DstPort 1 } Branch { DstBlock "ASR 0" DstPort 1 } } Line { SrcBlock "Addr" SrcPort 1 Points [0, -15] Branch { Points [0, -65] Branch { Points [0, -65] Branch { Points [0, -65] Branch { Points [0, -65] Branch { Points [0, -65] Branch { Points [0, -65] Branch { Points [0, -65] Branch { Points [0, -65] Branch { Points [0, -65] Branch { Points [0, -65] Branch { Points [0, -65] Branch { Points [0, -65] Branch { Points [0, -65] Branch { Points [0, -65] Branch { DstBlock "ASR 9" DstPort 2 } Branch { Points [0, -65] DstBlock "ASR 8" DstPort 2 } } Branch { DstBlock "ASR 10" DstPort 2 } } Branch { DstBlock "ASR 11" DstPort 2 } } Branch { DstBlock "ASR 12" DstPort 2 } } Branch { DstBlock "ASR 13" DstPort 2 } } Branch { DstBlock "ASR 14" DstPort 2 } } Branch { DstBlock "ASR 15" DstPort 2 } } Branch { DstBlock "ASR 0" DstPort 2 } } Branch { DstBlock "ASR 1" DstPort 2 } } Branch { DstBlock "ASR 2" DstPort 2 } } Branch { DstBlock "ASR 3" DstPort 2 } } Branch { DstBlock "ASR 4" DstPort 2 } } Branch { DstBlock "ASR 5" DstPort 2 } } Branch { DstBlock "ASR 6" DstPort 2 } } Branch { DstBlock "ASR 7" DstPort 2 } } Line { SrcBlock "TDD" SrcPort 3 Points [105, 0] Branch { DstBlock "Delay1" DstPort 1 } Branch { DstBlock "ASR 6" DstPort 1 } } Line { SrcBlock "Delay5" SrcPort 1 Points [0, -20] Branch { DstBlock "ASR 15" DstPort 1 } Branch { DstBlock "Delay9" DstPort 1 } } Line { SrcBlock "Delay6" SrcPort 1 Points [0, -85] Branch { DstBlock "ASR 14" DstPort 1 } Branch { DstBlock "Delay10" DstPort 1 } } Line { SrcBlock "Delay7" SrcPort 1 Points [0, -150] Branch { DstBlock "ASR 13" DstPort 1 } Branch { DstBlock "Delay11" DstPort 1 } } Line { SrcBlock "Delay8" SrcPort 1 Points [0, -215] Branch { DstBlock "ASR 12" DstPort 1 } Branch { DstBlock "Delay12" DstPort 1 } } Line { SrcBlock "Delay9" SrcPort 1 Points [0, -20] DstBlock "ASR 11" DstPort 1 } Line { SrcBlock "Delay10" SrcPort 1 Points [0, -85] DstBlock "ASR 10" DstPort 1 } Line { SrcBlock "Delay11" SrcPort 1 Points [0, -150] DstBlock "ASR 9" DstPort 1 } Line { SrcBlock "Delay12" SrcPort 1 Points [0, -215] DstBlock "ASR 8" DstPort 1 } Line { SrcBlock "TDD" SrcPort 4 Points [125, 0] Branch { DstBlock "Delay4" DstPort 1 } Branch { DstBlock "ASR 7" DstPort 1 } } Line { SrcBlock "TDD" SrcPort 1 Points [65, 0] Branch { DstBlock "Delay3" DstPort 1 } Branch { DstBlock "ASR 4" DstPort 1 } } Line { SrcBlock "TDD" SrcPort 2 Points [85, 0] Branch { DstBlock "Delay2" DstPort 1 } Branch { DstBlock "ASR 5" DstPort 1 } } Line { SrcBlock "X" SrcPort 1 DstBlock "TDD" DstPort 1 } } } Block { BlockType Reference Name "Time Division\nMultiplexer" SID "380" Ports [2, 1] Position [330, 37, 390, 53] ShowName off LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Time Division\nMultiplexer" SourceType "Xilinx Time Division Multiplexer Block" infoedit "Multiple inputs are sampled and presented serially at the output." inputs "2" vin off dbl_ovrd off xl_use_area off xl_area "[3 2 0 31 0 0 0]" explicit_period "off" period "1" has_advanced_control "0" sggui_pos "20,20,348,209" block_type "tdm" block_version "10.1.3" sg_icon_stat "60,16,2,1,white,blue,0,2f8962b8,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 0 ],[0 0 16 16 0 ],[0.77 0.82 0.9" "1 ]);\nplot([0 60 60 0 0 ],[0 0 16 16 0 ]);\npatch([25.55 28.44 30.44 32.44 34.44 30.44 27.55 25.55 ],[10.22 10.22" " 12.22 10.22 12.22 12.22 12.22 10.22 ],[1 1 1 ]);\npatch([27.55 30.44 28.44 25.55 27.55 ],[8.22 8.22 10.22 10.22 8" ".22 ],[0.931 0.946 0.973 ]);\npatch([25.55 28.44 30.44 27.55 25.55 ],[6.22 6.22 8.22 8.22 6.22 ],[1 1 1 ]);\npatch" "([27.55 34.44 32.44 30.44 28.44 25.55 27.55 ],[4.22 4.22 6.22 4.22 6.22 6.22 4.22 ],[0.931 0.946 0.973 ]);\nfprint" "f('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1," "'d0');\ncolor('black');port_label('input',2,'d1');\ncolor('black');port_label('output',1,'q');\ncolor('black');dis" "p('TDM');\nfprintf('','COMMENT: end icon text');\n" } Block { BlockType Reference Name "Time Division\nMultiplexer10" SID "381" Ports [2, 1] Position [330, 217, 390, 233] ShowName off LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Time Division\nMultiplexer" SourceType "Xilinx Time Division Multiplexer Block" infoedit "Multiple inputs are sampled and presented serially at the output." inputs "2" vin off dbl_ovrd off xl_use_area off xl_area "[3 2 0 31 0 0 0]" explicit_period "off" period "1" has_advanced_control "0" sggui_pos "20,20,348,209" block_type "tdm" block_version "10.1.3" sg_icon_stat "60,16,2,1,white,blue,0,2f8962b8,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 0 ],[0 0 16 16 0 ],[0.77 0.82 0.9" "1 ]);\nplot([0 60 60 0 0 ],[0 0 16 16 0 ]);\npatch([25.55 28.44 30.44 32.44 34.44 30.44 27.55 25.55 ],[10.22 10.22" " 12.22 10.22 12.22 12.22 12.22 10.22 ],[1 1 1 ]);\npatch([27.55 30.44 28.44 25.55 27.55 ],[8.22 8.22 10.22 10.22 8" ".22 ],[0.931 0.946 0.973 ]);\npatch([25.55 28.44 30.44 27.55 25.55 ],[6.22 6.22 8.22 8.22 6.22 ],[1 1 1 ]);\npatch" "([27.55 34.44 32.44 30.44 28.44 25.55 27.55 ],[4.22 4.22 6.22 4.22 6.22 6.22 4.22 ],[0.931 0.946 0.973 ]);\nfprint" "f('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1," "'d0');\ncolor('black');port_label('input',2,'d1');\ncolor('black');port_label('output',1,'q');\ncolor('black');dis" "p('TDM');\nfprintf('','COMMENT: end icon text');\n" } Block { BlockType Reference Name "Time Division\nMultiplexer11" SID "382" Ports [2, 1] Position [330, 237, 390, 253] ShowName off LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Time Division\nMultiplexer" SourceType "Xilinx Time Division Multiplexer Block" infoedit "Multiple inputs are sampled and presented serially at the output." inputs "2" vin off dbl_ovrd off xl_use_area off xl_area "[3 2 0 31 0 0 0]" explicit_period "off" period "1" has_advanced_control "0" sggui_pos "20,20,348,209" block_type "tdm" block_version "10.1.3" sg_icon_stat "60,16,2,1,white,blue,0,2f8962b8,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 0 ],[0 0 16 16 0 ],[0.77 0.82 0.9" "1 ]);\nplot([0 60 60 0 0 ],[0 0 16 16 0 ]);\npatch([25.55 28.44 30.44 32.44 34.44 30.44 27.55 25.55 ],[10.22 10.22" " 12.22 10.22 12.22 12.22 12.22 10.22 ],[1 1 1 ]);\npatch([27.55 30.44 28.44 25.55 27.55 ],[8.22 8.22 10.22 10.22 8" ".22 ],[0.931 0.946 0.973 ]);\npatch([25.55 28.44 30.44 27.55 25.55 ],[6.22 6.22 8.22 8.22 6.22 ],[1 1 1 ]);\npatch" "([27.55 34.44 32.44 30.44 28.44 25.55 27.55 ],[4.22 4.22 6.22 4.22 6.22 6.22 4.22 ],[0.931 0.946 0.973 ]);\nfprint" "f('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1," "'d0');\ncolor('black');port_label('input',2,'d1');\ncolor('black');port_label('output',1,'q');\ncolor('black');dis" "p('TDM');\nfprintf('','COMMENT: end icon text');\n" } Block { BlockType Reference Name "Time Division\nMultiplexer12" SID "383" Ports [2, 1] Position [330, 257, 390, 273] ShowName off LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Time Division\nMultiplexer" SourceType "Xilinx Time Division Multiplexer Block" infoedit "Multiple inputs are sampled and presented serially at the output." inputs "2" vin off dbl_ovrd off xl_use_area off xl_area "[3 2 0 31 0 0 0]" explicit_period "off" period "1" has_advanced_control "0" sggui_pos "20,20,348,209" block_type "tdm" block_version "10.1.3" sg_icon_stat "60,16,2,1,white,blue,0,2f8962b8,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 0 ],[0 0 16 16 0 ],[0.77 0.82 0.9" "1 ]);\nplot([0 60 60 0 0 ],[0 0 16 16 0 ]);\npatch([25.55 28.44 30.44 32.44 34.44 30.44 27.55 25.55 ],[10.22 10.22" " 12.22 10.22 12.22 12.22 12.22 10.22 ],[1 1 1 ]);\npatch([27.55 30.44 28.44 25.55 27.55 ],[8.22 8.22 10.22 10.22 8" ".22 ],[0.931 0.946 0.973 ]);\npatch([25.55 28.44 30.44 27.55 25.55 ],[6.22 6.22 8.22 8.22 6.22 ],[1 1 1 ]);\npatch" "([27.55 34.44 32.44 30.44 28.44 25.55 27.55 ],[4.22 4.22 6.22 4.22 6.22 6.22 4.22 ],[0.931 0.946 0.973 ]);\nfprint" "f('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1," "'d0');\ncolor('black');port_label('input',2,'d1');\ncolor('black');port_label('output',1,'q');\ncolor('black');dis" "p('TDM');\nfprintf('','COMMENT: end icon text');\n" } Block { BlockType Reference Name "Time Division\nMultiplexer13" SID "384" Ports [2, 1] Position [330, 277, 390, 293] ShowName off LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Time Division\nMultiplexer" SourceType "Xilinx Time Division Multiplexer Block" infoedit "Multiple inputs are sampled and presented serially at the output." inputs "2" vin off dbl_ovrd off xl_use_area off xl_area "[3 2 0 31 0 0 0]" explicit_period "off" period "1" has_advanced_control "0" sggui_pos "20,20,348,209" block_type "tdm" block_version "10.1.3" sg_icon_stat "60,16,2,1,white,blue,0,2f8962b8,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 0 ],[0 0 16 16 0 ],[0.77 0.82 0.9" "1 ]);\nplot([0 60 60 0 0 ],[0 0 16 16 0 ]);\npatch([25.55 28.44 30.44 32.44 34.44 30.44 27.55 25.55 ],[10.22 10.22" " 12.22 10.22 12.22 12.22 12.22 10.22 ],[1 1 1 ]);\npatch([27.55 30.44 28.44 25.55 27.55 ],[8.22 8.22 10.22 10.22 8" ".22 ],[0.931 0.946 0.973 ]);\npatch([25.55 28.44 30.44 27.55 25.55 ],[6.22 6.22 8.22 8.22 6.22 ],[1 1 1 ]);\npatch" "([27.55 34.44 32.44 30.44 28.44 25.55 27.55 ],[4.22 4.22 6.22 4.22 6.22 6.22 4.22 ],[0.931 0.946 0.973 ]);\nfprint" "f('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1," "'d0');\ncolor('black');port_label('input',2,'d1');\ncolor('black');port_label('output',1,'q');\ncolor('black');dis" "p('TDM');\nfprintf('','COMMENT: end icon text');\n" } Block { BlockType Reference Name "Time Division\nMultiplexer14" SID "385" Ports [2, 1] Position [330, 297, 390, 313] ShowName off LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Time Division\nMultiplexer" SourceType "Xilinx Time Division Multiplexer Block" infoedit "Multiple inputs are sampled and presented serially at the output." inputs "2" vin off dbl_ovrd off xl_use_area off xl_area "[3 2 0 31 0 0 0]" explicit_period "off" period "1" has_advanced_control "0" sggui_pos "20,20,348,209" block_type "tdm" block_version "10.1.3" sg_icon_stat "60,16,2,1,white,blue,0,2f8962b8,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 0 ],[0 0 16 16 0 ],[0.77 0.82 0.9" "1 ]);\nplot([0 60 60 0 0 ],[0 0 16 16 0 ]);\npatch([25.55 28.44 30.44 32.44 34.44 30.44 27.55 25.55 ],[10.22 10.22" " 12.22 10.22 12.22 12.22 12.22 10.22 ],[1 1 1 ]);\npatch([27.55 30.44 28.44 25.55 27.55 ],[8.22 8.22 10.22 10.22 8" ".22 ],[0.931 0.946 0.973 ]);\npatch([25.55 28.44 30.44 27.55 25.55 ],[6.22 6.22 8.22 8.22 6.22 ],[1 1 1 ]);\npatch" "([27.55 34.44 32.44 30.44 28.44 25.55 27.55 ],[4.22 4.22 6.22 4.22 6.22 6.22 4.22 ],[0.931 0.946 0.973 ]);\nfprint" "f('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1," "'d0');\ncolor('black');port_label('input',2,'d1');\ncolor('black');port_label('output',1,'q');\ncolor('black');dis" "p('TDM');\nfprintf('','COMMENT: end icon text');\n" } Block { BlockType Reference Name "Time Division\nMultiplexer15" SID "386" Ports [2, 1] Position [330, 317, 390, 333] ShowName off LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Time Division\nMultiplexer" SourceType "Xilinx Time Division Multiplexer Block" infoedit "Multiple inputs are sampled and presented serially at the output." inputs "2" vin off dbl_ovrd off xl_use_area off xl_area "[3 2 0 31 0 0 0]" explicit_period "off" period "1" has_advanced_control "0" sggui_pos "20,20,348,209" block_type "tdm" block_version "10.1.3" sg_icon_stat "60,16,2,1,white,blue,0,2f8962b8,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 0 ],[0 0 16 16 0 ],[0.77 0.82 0.9" "1 ]);\nplot([0 60 60 0 0 ],[0 0 16 16 0 ]);\npatch([25.55 28.44 30.44 32.44 34.44 30.44 27.55 25.55 ],[10.22 10.22" " 12.22 10.22 12.22 12.22 12.22 10.22 ],[1 1 1 ]);\npatch([27.55 30.44 28.44 25.55 27.55 ],[8.22 8.22 10.22 10.22 8" ".22 ],[0.931 0.946 0.973 ]);\npatch([25.55 28.44 30.44 27.55 25.55 ],[6.22 6.22 8.22 8.22 6.22 ],[1 1 1 ]);\npatch" "([27.55 34.44 32.44 30.44 28.44 25.55 27.55 ],[4.22 4.22 6.22 4.22 6.22 6.22 4.22 ],[0.931 0.946 0.973 ]);\nfprint" "f('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1," "'d0');\ncolor('black');port_label('input',2,'d1');\ncolor('black');port_label('output',1,'q');\ncolor('black');dis" "p('TDM');\nfprintf('','COMMENT: end icon text');\n" } Block { BlockType Reference Name "Time Division\nMultiplexer16" SID "387" Ports [2, 1] Position [330, 337, 390, 353] ShowName off LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Time Division\nMultiplexer" SourceType "Xilinx Time Division Multiplexer Block" infoedit "Multiple inputs are sampled and presented serially at the output." inputs "2" vin off dbl_ovrd off xl_use_area off xl_area "[3 2 0 31 0 0 0]" explicit_period "off" period "1" has_advanced_control "0" sggui_pos "20,20,348,209" block_type "tdm" block_version "10.1.3" sg_icon_stat "60,16,2,1,white,blue,0,2f8962b8,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 0 ],[0 0 16 16 0 ],[0.77 0.82 0.9" "1 ]);\nplot([0 60 60 0 0 ],[0 0 16 16 0 ]);\npatch([25.55 28.44 30.44 32.44 34.44 30.44 27.55 25.55 ],[10.22 10.22" " 12.22 10.22 12.22 12.22 12.22 10.22 ],[1 1 1 ]);\npatch([27.55 30.44 28.44 25.55 27.55 ],[8.22 8.22 10.22 10.22 8" ".22 ],[0.931 0.946 0.973 ]);\npatch([25.55 28.44 30.44 27.55 25.55 ],[6.22 6.22 8.22 8.22 6.22 ],[1 1 1 ]);\npatch" "([27.55 34.44 32.44 30.44 28.44 25.55 27.55 ],[4.22 4.22 6.22 4.22 6.22 6.22 4.22 ],[0.931 0.946 0.973 ]);\nfprint" "f('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1," "'d0');\ncolor('black');port_label('input',2,'d1');\ncolor('black');port_label('output',1,'q');\ncolor('black');dis" "p('TDM');\nfprintf('','COMMENT: end icon text');\n" } Block { BlockType Reference Name "Time Division\nMultiplexer2" SID "388" Ports [2, 1] Position [330, 57, 390, 73] ShowName off LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Time Division\nMultiplexer" SourceType "Xilinx Time Division Multiplexer Block" infoedit "Multiple inputs are sampled and presented serially at the output." inputs "2" vin off dbl_ovrd off xl_use_area off xl_area "[3 2 0 31 0 0 0]" explicit_period "off" period "1" has_advanced_control "0" sggui_pos "20,20,348,209" block_type "tdm" block_version "10.1.3" sg_icon_stat "60,16,2,1,white,blue,0,2f8962b8,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 0 ],[0 0 16 16 0 ],[0.77 0.82 0.9" "1 ]);\nplot([0 60 60 0 0 ],[0 0 16 16 0 ]);\npatch([25.55 28.44 30.44 32.44 34.44 30.44 27.55 25.55 ],[10.22 10.22" " 12.22 10.22 12.22 12.22 12.22 10.22 ],[1 1 1 ]);\npatch([27.55 30.44 28.44 25.55 27.55 ],[8.22 8.22 10.22 10.22 8" ".22 ],[0.931 0.946 0.973 ]);\npatch([25.55 28.44 30.44 27.55 25.55 ],[6.22 6.22 8.22 8.22 6.22 ],[1 1 1 ]);\npatch" "([27.55 34.44 32.44 30.44 28.44 25.55 27.55 ],[4.22 4.22 6.22 4.22 6.22 6.22 4.22 ],[0.931 0.946 0.973 ]);\nfprint" "f('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1," "'d0');\ncolor('black');port_label('input',2,'d1');\ncolor('black');port_label('output',1,'q');\ncolor('black');dis" "p('TDM');\nfprintf('','COMMENT: end icon text');\n" } Block { BlockType Reference Name "Time Division\nMultiplexer3" SID "389" Ports [2, 1] Position [330, 77, 390, 93] ShowName off LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Time Division\nMultiplexer" SourceType "Xilinx Time Division Multiplexer Block" infoedit "Multiple inputs are sampled and presented serially at the output." inputs "2" vin off dbl_ovrd off xl_use_area off xl_area "[3 2 0 31 0 0 0]" explicit_period "off" period "1" has_advanced_control "0" sggui_pos "20,20,348,209" block_type "tdm" block_version "10.1.3" sg_icon_stat "60,16,2,1,white,blue,0,2f8962b8,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 0 ],[0 0 16 16 0 ],[0.77 0.82 0.9" "1 ]);\nplot([0 60 60 0 0 ],[0 0 16 16 0 ]);\npatch([25.55 28.44 30.44 32.44 34.44 30.44 27.55 25.55 ],[10.22 10.22" " 12.22 10.22 12.22 12.22 12.22 10.22 ],[1 1 1 ]);\npatch([27.55 30.44 28.44 25.55 27.55 ],[8.22 8.22 10.22 10.22 8" ".22 ],[0.931 0.946 0.973 ]);\npatch([25.55 28.44 30.44 27.55 25.55 ],[6.22 6.22 8.22 8.22 6.22 ],[1 1 1 ]);\npatch" "([27.55 34.44 32.44 30.44 28.44 25.55 27.55 ],[4.22 4.22 6.22 4.22 6.22 6.22 4.22 ],[0.931 0.946 0.973 ]);\nfprint" "f('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1," "'d0');\ncolor('black');port_label('input',2,'d1');\ncolor('black');port_label('output',1,'q');\ncolor('black');dis" "p('TDM');\nfprintf('','COMMENT: end icon text');\n" } Block { BlockType Reference Name "Time Division\nMultiplexer4" SID "390" Ports [2, 1] Position [330, 97, 390, 113] ShowName off LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Time Division\nMultiplexer" SourceType "Xilinx Time Division Multiplexer Block" infoedit "Multiple inputs are sampled and presented serially at the output." inputs "2" vin off dbl_ovrd off xl_use_area off xl_area "[3 2 0 31 0 0 0]" explicit_period "off" period "1" has_advanced_control "0" sggui_pos "20,20,348,209" block_type "tdm" block_version "10.1.3" sg_icon_stat "60,16,2,1,white,blue,0,2f8962b8,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 0 ],[0 0 16 16 0 ],[0.77 0.82 0.9" "1 ]);\nplot([0 60 60 0 0 ],[0 0 16 16 0 ]);\npatch([25.55 28.44 30.44 32.44 34.44 30.44 27.55 25.55 ],[10.22 10.22" " 12.22 10.22 12.22 12.22 12.22 10.22 ],[1 1 1 ]);\npatch([27.55 30.44 28.44 25.55 27.55 ],[8.22 8.22 10.22 10.22 8" ".22 ],[0.931 0.946 0.973 ]);\npatch([25.55 28.44 30.44 27.55 25.55 ],[6.22 6.22 8.22 8.22 6.22 ],[1 1 1 ]);\npatch" "([27.55 34.44 32.44 30.44 28.44 25.55 27.55 ],[4.22 4.22 6.22 4.22 6.22 6.22 4.22 ],[0.931 0.946 0.973 ]);\nfprint" "f('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1," "'d0');\ncolor('black');port_label('input',2,'d1');\ncolor('black');port_label('output',1,'q');\ncolor('black');dis" "p('TDM');\nfprintf('','COMMENT: end icon text');\n" } Block { BlockType Reference Name "Time Division\nMultiplexer5" SID "391" Ports [2, 1] Position [330, 117, 390, 133] ShowName off LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Time Division\nMultiplexer" SourceType "Xilinx Time Division Multiplexer Block" infoedit "Multiple inputs are sampled and presented serially at the output." inputs "2" vin off dbl_ovrd off xl_use_area off xl_area "[3 2 0 31 0 0 0]" explicit_period "off" period "1" has_advanced_control "0" sggui_pos "20,20,348,209" block_type "tdm" block_version "10.1.3" sg_icon_stat "60,16,2,1,white,blue,0,2f8962b8,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 0 ],[0 0 16 16 0 ],[0.77 0.82 0.9" "1 ]);\nplot([0 60 60 0 0 ],[0 0 16 16 0 ]);\npatch([25.55 28.44 30.44 32.44 34.44 30.44 27.55 25.55 ],[10.22 10.22" " 12.22 10.22 12.22 12.22 12.22 10.22 ],[1 1 1 ]);\npatch([27.55 30.44 28.44 25.55 27.55 ],[8.22 8.22 10.22 10.22 8" ".22 ],[0.931 0.946 0.973 ]);\npatch([25.55 28.44 30.44 27.55 25.55 ],[6.22 6.22 8.22 8.22 6.22 ],[1 1 1 ]);\npatch" "([27.55 34.44 32.44 30.44 28.44 25.55 27.55 ],[4.22 4.22 6.22 4.22 6.22 6.22 4.22 ],[0.931 0.946 0.973 ]);\nfprint" "f('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1," "'d0');\ncolor('black');port_label('input',2,'d1');\ncolor('black');port_label('output',1,'q');\ncolor('black');dis" "p('TDM');\nfprintf('','COMMENT: end icon text');\n" } Block { BlockType Reference Name "Time Division\nMultiplexer6" SID "392" Ports [2, 1] Position [330, 137, 390, 153] ShowName off LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Time Division\nMultiplexer" SourceType "Xilinx Time Division Multiplexer Block" infoedit "Multiple inputs are sampled and presented serially at the output." inputs "2" vin off dbl_ovrd off xl_use_area off xl_area "[3 2 0 31 0 0 0]" explicit_period "off" period "1" has_advanced_control "0" sggui_pos "20,20,348,209" block_type "tdm" block_version "10.1.3" sg_icon_stat "60,16,2,1,white,blue,0,2f8962b8,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 0 ],[0 0 16 16 0 ],[0.77 0.82 0.9" "1 ]);\nplot([0 60 60 0 0 ],[0 0 16 16 0 ]);\npatch([25.55 28.44 30.44 32.44 34.44 30.44 27.55 25.55 ],[10.22 10.22" " 12.22 10.22 12.22 12.22 12.22 10.22 ],[1 1 1 ]);\npatch([27.55 30.44 28.44 25.55 27.55 ],[8.22 8.22 10.22 10.22 8" ".22 ],[0.931 0.946 0.973 ]);\npatch([25.55 28.44 30.44 27.55 25.55 ],[6.22 6.22 8.22 8.22 6.22 ],[1 1 1 ]);\npatch" "([27.55 34.44 32.44 30.44 28.44 25.55 27.55 ],[4.22 4.22 6.22 4.22 6.22 6.22 4.22 ],[0.931 0.946 0.973 ]);\nfprint" "f('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1," "'d0');\ncolor('black');port_label('input',2,'d1');\ncolor('black');port_label('output',1,'q');\ncolor('black');dis" "p('TDM');\nfprintf('','COMMENT: end icon text');\n" } Block { BlockType Reference Name "Time Division\nMultiplexer7" SID "393" Ports [2, 1] Position [330, 157, 390, 173] ShowName off LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Time Division\nMultiplexer" SourceType "Xilinx Time Division Multiplexer Block" infoedit "Multiple inputs are sampled and presented serially at the output." inputs "2" vin off dbl_ovrd off xl_use_area off xl_area "[3 2 0 31 0 0 0]" explicit_period "off" period "1" has_advanced_control "0" sggui_pos "20,20,348,209" block_type "tdm" block_version "10.1.3" sg_icon_stat "60,16,2,1,white,blue,0,2f8962b8,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 0 ],[0 0 16 16 0 ],[0.77 0.82 0.9" "1 ]);\nplot([0 60 60 0 0 ],[0 0 16 16 0 ]);\npatch([25.55 28.44 30.44 32.44 34.44 30.44 27.55 25.55 ],[10.22 10.22" " 12.22 10.22 12.22 12.22 12.22 10.22 ],[1 1 1 ]);\npatch([27.55 30.44 28.44 25.55 27.55 ],[8.22 8.22 10.22 10.22 8" ".22 ],[0.931 0.946 0.973 ]);\npatch([25.55 28.44 30.44 27.55 25.55 ],[6.22 6.22 8.22 8.22 6.22 ],[1 1 1 ]);\npatch" "([27.55 34.44 32.44 30.44 28.44 25.55 27.55 ],[4.22 4.22 6.22 4.22 6.22 6.22 4.22 ],[0.931 0.946 0.973 ]);\nfprint" "f('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1," "'d0');\ncolor('black');port_label('input',2,'d1');\ncolor('black');port_label('output',1,'q');\ncolor('black');dis" "p('TDM');\nfprintf('','COMMENT: end icon text');\n" } Block { BlockType Reference Name "Time Division\nMultiplexer8" SID "394" Ports [2, 1] Position [330, 177, 390, 193] ShowName off LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Time Division\nMultiplexer" SourceType "Xilinx Time Division Multiplexer Block" infoedit "Multiple inputs are sampled and presented serially at the output." inputs "2" vin off dbl_ovrd off xl_use_area off xl_area "[3 2 0 31 0 0 0]" explicit_period "off" period "1" has_advanced_control "0" sggui_pos "20,20,348,209" block_type "tdm" block_version "10.1.3" sg_icon_stat "60,16,2,1,white,blue,0,2f8962b8,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 0 ],[0 0 16 16 0 ],[0.77 0.82 0.9" "1 ]);\nplot([0 60 60 0 0 ],[0 0 16 16 0 ]);\npatch([25.55 28.44 30.44 32.44 34.44 30.44 27.55 25.55 ],[10.22 10.22" " 12.22 10.22 12.22 12.22 12.22 10.22 ],[1 1 1 ]);\npatch([27.55 30.44 28.44 25.55 27.55 ],[8.22 8.22 10.22 10.22 8" ".22 ],[0.931 0.946 0.973 ]);\npatch([25.55 28.44 30.44 27.55 25.55 ],[6.22 6.22 8.22 8.22 6.22 ],[1 1 1 ]);\npatch" "([27.55 34.44 32.44 30.44 28.44 25.55 27.55 ],[4.22 4.22 6.22 4.22 6.22 6.22 4.22 ],[0.931 0.946 0.973 ]);\nfprint" "f('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1," "'d0');\ncolor('black');port_label('input',2,'d1');\ncolor('black');port_label('output',1,'q');\ncolor('black');dis" "p('TDM');\nfprintf('','COMMENT: end icon text');\n" } Block { BlockType Reference Name "Time Division\nMultiplexer9" SID "395" Ports [2, 1] Position [330, 197, 390, 213] ShowName off LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Time Division\nMultiplexer" SourceType "Xilinx Time Division Multiplexer Block" infoedit "Multiple inputs are sampled and presented serially at the output." inputs "2" vin off dbl_ovrd off xl_use_area off xl_area "[3 2 0 31 0 0 0]" explicit_period "off" period "1" has_advanced_control "0" sggui_pos "20,20,348,209" block_type "tdm" block_version "10.1.3" sg_icon_stat "60,16,2,1,white,blue,0,2f8962b8,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 0 ],[0 0 16 16 0 ],[0.77 0.82 0.9" "1 ]);\nplot([0 60 60 0 0 ],[0 0 16 16 0 ]);\npatch([25.55 28.44 30.44 32.44 34.44 30.44 27.55 25.55 ],[10.22 10.22" " 12.22 10.22 12.22 12.22 12.22 10.22 ],[1 1 1 ]);\npatch([27.55 30.44 28.44 25.55 27.55 ],[8.22 8.22 10.22 10.22 8" ".22 ],[0.931 0.946 0.973 ]);\npatch([25.55 28.44 30.44 27.55 25.55 ],[6.22 6.22 8.22 8.22 6.22 ],[1 1 1 ]);\npatch" "([27.55 34.44 32.44 30.44 28.44 25.55 27.55 ],[4.22 4.22 6.22 4.22 6.22 6.22 4.22 ],[0.931 0.946 0.973 ]);\nfprint" "f('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1," "'d0');\ncolor('black');port_label('input',2,'d1');\ncolor('black');port_label('output',1,'q');\ncolor('black');dis" "p('TDM');\nfprintf('','COMMENT: end icon text');\n" } Block { BlockType Outport Name "Out1" SID "396" Position [415, 38, 445, 52] IconDisplay "Port number" } Block { BlockType Outport Name "Out2" SID "397" Position [415, 58, 445, 72] Port "2" IconDisplay "Port number" } Block { BlockType Outport Name "Out3" SID "398" Position [415, 78, 445, 92] Port "3" IconDisplay "Port number" } Block { BlockType Outport Name "Out4" SID "399" Position [415, 98, 445, 112] Port "4" IconDisplay "Port number" } Block { BlockType Outport Name "Out5" SID "400" Position [415, 118, 445, 132] Port "5" IconDisplay "Port number" } Block { BlockType Outport Name "Out6" SID "401" Position [415, 138, 445, 152] Port "6" IconDisplay "Port number" } Block { BlockType Outport Name "Out7" SID "402" Position [415, 158, 445, 172] Port "7" IconDisplay "Port number" } Block { BlockType Outport Name "Out8" SID "403" Position [415, 178, 445, 192] Port "8" IconDisplay "Port number" } Block { BlockType Outport Name "Out9" SID "404" Position [415, 198, 445, 212] Port "9" IconDisplay "Port number" } Block { BlockType Outport Name "Out10" SID "405" Position [415, 218, 445, 232] Port "10" IconDisplay "Port number" } Block { BlockType Outport Name "Out11" SID "406" Position [415, 238, 445, 252] Port "11" IconDisplay "Port number" } Block { BlockType Outport Name "Out12" SID "407" Position [415, 258, 445, 272] Port "12" IconDisplay "Port number" } Block { BlockType Outport Name "Out13" SID "408" Position [415, 278, 445, 292] Port "13" IconDisplay "Port number" } Block { BlockType Outport Name "Out14" SID "409" Position [415, 298, 445, 312] Port "14" IconDisplay "Port number" } Block { BlockType Outport Name "Out15" SID "410" Position [415, 318, 445, 332] Port "15" IconDisplay "Port number" } Block { BlockType Outport Name "Out16" SID "411" Position [415, 338, 445, 352] Port "16" IconDisplay "Port number" } Line { Labels [0, 0] SrcBlock "Addr" SrcPort 1 Points [0, -15] Branch { Points [0, -320] DstBlock "MemoryA" DstPort 2 } Branch { DstBlock "MemoryB" DstPort 2 } } Line { SrcBlock "MemoryA" SrcPort 1 DstBlock "Time Division\nMultiplexer" DstPort 1 } Line { SrcBlock "MemoryA" SrcPort 2 DstBlock "Time Division\nMultiplexer2" DstPort 1 } Line { SrcBlock "MemoryA" SrcPort 3 DstBlock "Time Division\nMultiplexer3" DstPort 1 } Line { SrcBlock "MemoryA" SrcPort 4 DstBlock "Time Division\nMultiplexer4" DstPort 1 } Line { SrcBlock "MemoryA" SrcPort 5 DstBlock "Time Division\nMultiplexer5" DstPort 1 } Line { SrcBlock "MemoryA" SrcPort 6 DstBlock "Time Division\nMultiplexer6" DstPort 1 } Line { SrcBlock "MemoryA" SrcPort 7 DstBlock "Time Division\nMultiplexer7" DstPort 1 } Line { SrcBlock "MemoryA" SrcPort 8 DstBlock "Time Division\nMultiplexer8" DstPort 1 } Line { SrcBlock "MemoryA" SrcPort 9 DstBlock "Time Division\nMultiplexer9" DstPort 1 } Line { SrcBlock "MemoryA" SrcPort 10 DstBlock "Time Division\nMultiplexer10" DstPort 1 } Line { SrcBlock "MemoryA" SrcPort 11 DstBlock "Time Division\nMultiplexer11" DstPort 1 } Line { SrcBlock "MemoryA" SrcPort 12 DstBlock "Time Division\nMultiplexer12" DstPort 1 } Line { SrcBlock "MemoryA" SrcPort 13 DstBlock "Time Division\nMultiplexer13" DstPort 1 } Line { SrcBlock "MemoryA" SrcPort 14 DstBlock "Time Division\nMultiplexer14" DstPort 1 } Line { SrcBlock "MemoryA" SrcPort 15 DstBlock "Time Division\nMultiplexer15" DstPort 1 } Line { SrcBlock "MemoryA" SrcPort 16 DstBlock "Time Division\nMultiplexer16" DstPort 1 } Line { SrcBlock "MemoryB" SrcPort 1 Points [70, 0; 0, -310] DstBlock "Time Division\nMultiplexer" DstPort 2 } Line { SrcBlock "MemoryB" SrcPort 2 Points [75, 0; 0, -310] DstBlock "Time Division\nMultiplexer2" DstPort 2 } Line { SrcBlock "MemoryB" SrcPort 3 Points [80, 0; 0, -310] DstBlock "Time Division\nMultiplexer3" DstPort 2 } Line { SrcBlock "MemoryB" SrcPort 4 Points [85, 0; 0, -310] DstBlock "Time Division\nMultiplexer4" DstPort 2 } Line { SrcBlock "MemoryB" SrcPort 5 Points [90, 0; 0, -310] DstBlock "Time Division\nMultiplexer5" DstPort 2 } Line { SrcBlock "MemoryB" SrcPort 6 Points [95, 0; 0, -310] DstBlock "Time Division\nMultiplexer6" DstPort 2 } Line { SrcBlock "MemoryB" SrcPort 7 Points [100, 0; 0, -310] DstBlock "Time Division\nMultiplexer7" DstPort 2 } Line { SrcBlock "MemoryB" SrcPort 8 Points [105, 0; 0, -310] DstBlock "Time Division\nMultiplexer8" DstPort 2 } Line { SrcBlock "MemoryB" SrcPort 9 Points [110, 0; 0, -310] DstBlock "Time Division\nMultiplexer9" DstPort 2 } Line { SrcBlock "MemoryB" SrcPort 10 Points [115, 0; 0, -310] DstBlock "Time Division\nMultiplexer10" DstPort 2 } Line { SrcBlock "MemoryB" SrcPort 11 Points [120, 0; 0, -310] DstBlock "Time Division\nMultiplexer11" DstPort 2 } Line { SrcBlock "MemoryB" SrcPort 12 Points [125, 0; 0, -310] DstBlock "Time Division\nMultiplexer12" DstPort 2 } Line { SrcBlock "MemoryB" SrcPort 13 Points [130, 0; 0, -310] DstBlock "Time Division\nMultiplexer13" DstPort 2 } Line { SrcBlock "MemoryB" SrcPort 14 Points [135, 0; 0, -310] DstBlock "Time Division\nMultiplexer14" DstPort 2 } Line { SrcBlock "MemoryB" SrcPort 15 Points [140, 0; 0, -310] DstBlock "Time Division\nMultiplexer15" DstPort 2 } Line { SrcBlock "MemoryB" SrcPort 16 Points [145, 0] DstBlock "Time Division\nMultiplexer16" DstPort 2 } Line { SrcBlock "Time Division\nMultiplexer" SrcPort 1 DstBlock "Out1" DstPort 1 } Line { SrcBlock "Time Division\nMultiplexer2" SrcPort 1 DstBlock "Out2" DstPort 1 } Line { SrcBlock "Time Division\nMultiplexer3" SrcPort 1 DstBlock "Out3" DstPort 1 } Line { SrcBlock "Time Division\nMultiplexer4" SrcPort 1 DstBlock "Out4" DstPort 1 } Line { SrcBlock "XA" SrcPort 1 DstBlock "MemoryA" DstPort 1 } Line { SrcBlock "Time Division\nMultiplexer5" SrcPort 1 DstBlock "Out5" DstPort 1 } Line { SrcBlock "Time Division\nMultiplexer6" SrcPort 1 DstBlock "Out6" DstPort 1 } Line { SrcBlock "Time Division\nMultiplexer7" SrcPort 1 DstBlock "Out7" DstPort 1 } Line { SrcBlock "Time Division\nMultiplexer8" SrcPort 1 DstBlock "Out8" DstPort 1 } Line { SrcBlock "Time Division\nMultiplexer9" SrcPort 1 DstBlock "Out9" DstPort 1 } Line { SrcBlock "Time Division\nMultiplexer10" SrcPort 1 DstBlock "Out10" DstPort 1 } Line { SrcBlock "Time Division\nMultiplexer11" SrcPort 1 DstBlock "Out11" DstPort 1 } Line { SrcBlock "Time Division\nMultiplexer12" SrcPort 1 DstBlock "Out12" DstPort 1 } Line { SrcBlock "Time Division\nMultiplexer13" SrcPort 1 DstBlock "Out13" DstPort 1 } Line { SrcBlock "Time Division\nMultiplexer14" SrcPort 1 DstBlock "Out14" DstPort 1 } Line { SrcBlock "Time Division\nMultiplexer15" SrcPort 1 DstBlock "Out15" DstPort 1 } Line { SrcBlock "Time Division\nMultiplexer16" SrcPort 1 DstBlock "Out16" DstPort 1 } Line { SrcBlock "XB" SrcPort 1 DstBlock "MemoryB" DstPort 1 } } } Block { BlockType Reference Name "Scale" SID "412" Ports [1, 1] Position [1220, 459, 1250, 481] ShowName off LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Scale" SourceType "Xilinx Input Scaler Block" infoedit "Scales input by a power of two by adjusting the binary point position.

Hardware notes: In" " hardware this block costs nothing." scale_factor "-2" dbl_ovrd off has_advanced_control "0" sggui_pos "20,20,336,191" block_type "scale" block_version "10.1.3" sg_icon_stat "30,22,1,1,white,blue,0,0459bb18,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 30 30 0 0 ],[0 0 22 22 0 ],[0.77 0" ".82 0.91 ]);\nplot([0 30 30 0 0 ],[0 0 22 22 0 ]);\npatch([8.325 12.66 15.66 18.66 21.66 15.66 11.325 8.325 ],[" "14.33 14.33 17.33 14.33 17.33 17.33 17.33 14.33 ],[1 1 1 ]);\npatch([11.325 15.66 12.66 8.325 11.325 ],[11.33 1" "1.33 14.33 14.33 11.33 ],[0.931 0.946 0.973 ]);\npatch([8.325 12.66 15.66 11.325 8.325 ],[8.33 8.33 11.33 11.33" " 8.33 ],[1 1 1 ]);\npatch([11.325 21.66 18.66 15.66 12.66 8.325 11.325 ],[5.33 5.33 8.33 5.33 8.33 8.33 5.33 ]," "[0.931 0.946 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\n\n\n" "color('black');disp('\\bf{2^{-2}}','texmode','on');\nfprintf('','COMMENT: end icon text');" } Block { BlockType Reference Name "Scale1" SID "413" Ports [1, 1] Position [1220, 394, 1250, 416] ShowName off LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Scale" SourceType "Xilinx Input Scaler Block" infoedit "Scales input by a power of two by adjusting the binary point position.

Hardware notes: In" " hardware this block costs nothing." scale_factor "-2" dbl_ovrd off has_advanced_control "0" sggui_pos "20,20,336,191" block_type "scale" block_version "10.1.3" sg_icon_stat "30,22,1,1,white,blue,0,0459bb18,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 30 30 0 0 ],[0 0 22 22 0 ],[0.77 0" ".82 0.91 ]);\nplot([0 30 30 0 0 ],[0 0 22 22 0 ]);\npatch([8.325 12.66 15.66 18.66 21.66 15.66 11.325 8.325 ],[" "14.33 14.33 17.33 14.33 17.33 17.33 17.33 14.33 ],[1 1 1 ]);\npatch([11.325 15.66 12.66 8.325 11.325 ],[11.33 1" "1.33 14.33 14.33 11.33 ],[0.931 0.946 0.973 ]);\npatch([8.325 12.66 15.66 11.325 8.325 ],[8.33 8.33 11.33 11.33" " 8.33 ],[1 1 1 ]);\npatch([11.325 21.66 18.66 15.66 12.66 8.325 11.325 ],[5.33 5.33 8.33 5.33 8.33 8.33 5.33 ]," "[0.931 0.946 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\n\n\n" "color('black');disp('\\bf{2^{-2}}','texmode','on');\nfprintf('','COMMENT: end icon text');" } Block { BlockType Reference Name "TDD" SID "414" Ports [1, 2] Position [955, 379, 1015, 421] LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Time Division\nDemultiplexer" SourceType "Xilinx Time Division Demultiplexer Block" infoedit "Samples presented at the input are selected and down-sampled according to the frame sampling p" "attern specified. The sampled input is presented either as a single or multiple channel at the output." frame_pattern "ones(1,2)" impl_style "Multiple Channel" vin off dbl_ovrd off xl_use_area off xl_area "[42 84 0 0 0 0 0]" explicit_period "off" period "1" has_advanced_control "0" sggui_pos "20,20,348,268" block_type "tdd" block_version "9.1.01" sg_icon_stat "60,42,1,2,white,blue,0,f4ebcb89,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 0 ],[0 0 42 42 0 ],[0.77 0" ".82 0.91 ]);\nplot([0 60 60 0 0 ],[0 0 42 42 0 ]);\npatch([16.65 25.32 31.32 37.32 43.32 31.32 22.65 16.65 ],[2" "7.66 27.66 33.66 27.66 33.66 33.66 33.66 27.66 ],[1 1 1 ]);\npatch([22.65 31.32 25.32 16.65 22.65 ],[21.66 21.6" "6 27.66 27.66 21.66 ],[0.931 0.946 0.973 ]);\npatch([16.65 25.32 31.32 22.65 16.65 ],[15.66 15.66 21.66 21.66 1" "5.66 ],[1 1 1 ]);\npatch([22.65 43.32 37.32 31.32 25.32 16.65 22.65 ],[9.66 9.66 15.66 9.66 15.66 15.66 9.66 ]," "[0.931 0.946 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncol" "or('black');port_label('input',1,'d');\ncolor('black');port_label('output',1,'q0');\ncolor('black');port_label(" "'output',2,'q1');\ncolor('black');disp('TDD');\nfprintf('','COMMENT: end icon text');" } Block { BlockType Outport Name "YA" SID "415" Position [1570, 413, 1600, 427] IconDisplay "Port number" } Block { BlockType Outport Name "YB" SID "416" Position [1570, 478, 1600, 492] Port "2" IconDisplay "Port number" } Line { SrcBlock "ROMS & Mults" SrcPort 8 DstBlock "Adders" DstPort 8 } Line { SrcBlock "ROMS & Mults" SrcPort 7 DstBlock "Adders" DstPort 7 } Line { SrcBlock "ROMS & Mults" SrcPort 6 DstBlock "Adders" DstPort 6 } Line { SrcBlock "ROMS & Mults" SrcPort 5 DstBlock "Adders" DstPort 5 } Line { SrcBlock "ROMS & Mults" SrcPort 4 DstBlock "Adders" DstPort 4 } Line { SrcBlock "ROMS & Mults" SrcPort 3 DstBlock "Adders" DstPort 3 } Line { SrcBlock "ROMS & Mults" SrcPort 2 DstBlock "Adders" DstPort 2 } Line { SrcBlock "ROMS & Mults" SrcPort 1 DstBlock "Adders" DstPort 1 } Line { SrcBlock "ROMS & Mults" SrcPort 16 DstBlock "Adders" DstPort 16 } Line { SrcBlock "ROMS & Mults" SrcPort 15 DstBlock "Adders" DstPort 15 } Line { SrcBlock "ROMS & Mults" SrcPort 14 DstBlock "Adders" DstPort 14 } Line { SrcBlock "ROMS & Mults" SrcPort 13 DstBlock "Adders" DstPort 13 } Line { SrcBlock "ROMS & Mults" SrcPort 12 DstBlock "Adders" DstPort 12 } Line { SrcBlock "ROMS & Mults" SrcPort 11 DstBlock "Adders" DstPort 11 } Line { SrcBlock "ROMS & Mults" SrcPort 10 DstBlock "Adders" DstPort 10 } Line { SrcBlock "ROMS & Mults" SrcPort 9 DstBlock "Adders" DstPort 9 } Line { SrcBlock "Register" SrcPort 1 DstBlock "Down Sample" DstPort 1 } Line { SrcBlock "Down Sample" SrcPort 1 DstBlock "YB" DstPort 1 } Line { SrcBlock "Constant" SrcPort 1 DstBlock "Relational" DstPort 1 } Line { SrcBlock "Accumulator" SrcPort 1 DstBlock "Scale" DstPort 1 } Line { SrcBlock "From2" SrcPort 1 Points [50, 0] Branch { DstBlock "Delay16" DstPort 1 } Branch { DstBlock "Delay1" DstPort 1 } } Line { SrcBlock "Scale" SrcPort 1 DstBlock "Convert" DstPort 1 } Line { SrcBlock "Convert" SrcPort 1 DstBlock "Register" DstPort 1 } Line { SrcBlock "XA" SrcPort 1 DstBlock "Sample\nRAMs" DstPort 1 } Line { SrcBlock "XB" SrcPort 1 DstBlock "Sample\nRAMs" DstPort 2 } Line { SrcBlock "Accumulator1" SrcPort 1 DstBlock "Scale1" DstPort 1 } Line { SrcBlock "Scale1" SrcPort 1 DstBlock "Convert1" DstPort 1 } Line { SrcBlock "Convert1" SrcPort 1 DstBlock "Register1" DstPort 1 } Line { SrcBlock "Register1" SrcPort 1 DstBlock "Down Sample1" DstPort 1 } Line { SrcBlock "Down Sample1" SrcPort 1 DstBlock "YA" DstPort 1 } Line { SrcBlock "Adders" SrcPort 1 DstBlock "TDD" DstPort 1 } Line { SrcBlock "TDD" SrcPort 1 DstBlock "Accumulator1" DstPort 1 } Line { SrcBlock "TDD" SrcPort 2 Points [25, 0; 0, 45] DstBlock "Accumulator" DstPort 1 } Line { SrcBlock "Relational" SrcPort 1 Points [190, 0] Branch { Points [0, -200] Branch { Points [0, -65] DstBlock "Accumulator1" DstPort 2 } Branch { DstBlock "Accumulator" DstPort 2 } } Branch { Points [260, 0; 0, -185] Branch { Points [0, -65] DstBlock "Register1" DstPort 2 } Branch { DstBlock "Register" DstPort 2 } } } Line { SrcBlock "Sample\nRAMs" SrcPort 1 DstBlock "Delays" DstPort 1 } Line { SrcBlock "Sample\nRAMs" SrcPort 2 DstBlock "Delays" DstPort 2 } Line { SrcBlock "Sample\nRAMs" SrcPort 3 DstBlock "Delays" DstPort 3 } Line { SrcBlock "Sample\nRAMs" SrcPort 4 DstBlock "Delays" DstPort 4 } Line { SrcBlock "Sample\nRAMs" SrcPort 5 DstBlock "Delays" DstPort 5 } Line { SrcBlock "Sample\nRAMs" SrcPort 6 DstBlock "Delays" DstPort 6 } Line { SrcBlock "Sample\nRAMs" SrcPort 7 DstBlock "Delays" DstPort 7 } Line { SrcBlock "Sample\nRAMs" SrcPort 8 DstBlock "Delays" DstPort 8 } Line { SrcBlock "Sample\nRAMs" SrcPort 9 DstBlock "Delays" DstPort 9 } Line { SrcBlock "Sample\nRAMs" SrcPort 10 DstBlock "Delays" DstPort 10 } Line { SrcBlock "Sample\nRAMs" SrcPort 11 DstBlock "Delays" DstPort 11 } Line { SrcBlock "Sample\nRAMs" SrcPort 12 DstBlock "Delays" DstPort 12 } Line { SrcBlock "Sample\nRAMs" SrcPort 13 DstBlock "Delays" DstPort 13 } Line { SrcBlock "Sample\nRAMs" SrcPort 14 DstBlock "Delays" DstPort 14 } Line { SrcBlock "Sample\nRAMs" SrcPort 15 DstBlock "Delays" DstPort 15 } Line { SrcBlock "Sample\nRAMs" SrcPort 16 DstBlock "Delays" DstPort 16 } Line { Labels [0, 0] SrcBlock "Delay16" SrcPort 1 Points [0, -95] DstBlock "Sample\nRAMs" DstPort 3 } Line { SrcBlock "Delay1" SrcPort 1 DstBlock "Relational" DstPort 2 } Line { SrcBlock "Delays" SrcPort 1 DstBlock "ROMS & Mults" DstPort 1 } Line { SrcBlock "Delays" SrcPort 2 DstBlock "ROMS & Mults" DstPort 2 } Line { SrcBlock "Delays" SrcPort 3 DstBlock "ROMS & Mults" DstPort 3 } Line { SrcBlock "Delays" SrcPort 4 DstBlock "ROMS & Mults" DstPort 4 } Line { SrcBlock "Delays" SrcPort 5 DstBlock "ROMS & Mults" DstPort 5 } Line { SrcBlock "Delays" SrcPort 6 DstBlock "ROMS & Mults" DstPort 6 } Line { SrcBlock "Delays" SrcPort 7 DstBlock "ROMS & Mults" DstPort 7 } Line { SrcBlock "Delays" SrcPort 8 DstBlock "ROMS & Mults" DstPort 8 } Line { SrcBlock "Delays" SrcPort 9 DstBlock "ROMS & Mults" DstPort 9 } Line { SrcBlock "Delays" SrcPort 10 DstBlock "ROMS & Mults" DstPort 10 } Line { SrcBlock "Delays" SrcPort 11 DstBlock "ROMS & Mults" DstPort 11 } Line { SrcBlock "Delays" SrcPort 12 DstBlock "ROMS & Mults" DstPort 12 } Line { SrcBlock "Delays" SrcPort 13 DstBlock "ROMS & Mults" DstPort 13 } Line { SrcBlock "Delays" SrcPort 14 DstBlock "ROMS & Mults" DstPort 14 } Line { SrcBlock "Delays" SrcPort 15 DstBlock "ROMS & Mults" DstPort 15 } Line { SrcBlock "Delays" SrcPort 16 DstBlock "ROMS & Mults" DstPort 16 } Annotation { Name "This convert will overflow, but never for\nthe output samples that are actually\ncaptured by the n" "ext register." Position [1335, 331] } Annotation { Name "Need a pipeline stage here to meet 80MHz timing when using non-DSP48 mults in V4.\nNeed double-del" "ays to keep everything lined up\nwhen processing two interleaved sample streams." Position [581, 185] } } } Block { BlockType SubSystem Name "2-Ch Decimation B" SID "417" Ports [2, 2] Position [520, 461, 585, 514] MinAlgLoopOccurrences off PropExecContextOutsideSubsystem off RTWSystemCode "Auto" FunctionWithSeparateData off Opaque off RequestExecContextInheritance off MaskHideContents off System { Name "2-Ch Decimation B" Location [2, 70, 1918, 1108] Open off ModelBrowserVisibility off ModelBrowserWidth 200 ScreenColor "white" PaperOrientation "landscape" PaperPositionMode "auto" PaperType "usletter" PaperUnits "inches" TiledPaperMargins [0.500000, 0.500000, 0.500000, 0.500000] TiledPageScale 1 ShowPageBoundaries off ZoomFactor "100" Block { BlockType Inport Name "XA" SID "418" Position [320, 283, 350, 297] IconDisplay "Port number" } Block { BlockType Inport Name "XB" SID "419" Position [320, 393, 350, 407] Port "2" IconDisplay "Port number" } Block { BlockType Reference Name "Accumulator" SID "420" Ports [2, 1] Position [1115, 443, 1165, 492] NamePlacement "alternate" LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Accumulator" SourceType "Xilinx Accumulator Block" infoedit "Adder or subtractor-based accumulator. Output type and binary point position match the input" ".

Hardware notes: When \"Reinitialize with input 'b' on reset\" is selected, the accumulator is forced to " "run at the system rate even if the input 'b' is running at a slower rate." operation "Add" n_bits "32" overflow "Flag as error" scale "1" rst on hasbypass on en off dbl_ovrd off use_behavioral_HDL on implementation "Fabric" xl_use_area off xl_area "[17 33 0 32 0 0 0]" has_advanced_control "0" sggui_pos "20,20,348,457" block_type "accum" block_version "9.1.01" sg_icon_stat "50,49,2,1,white,blue,0,6949434e,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 50 50 0 0 ],[0 0 49 49 0 ],[0.77 0" ".82 0.91 ]);\nplot([0 50 50 0 0 ],[0 0 49 49 0 ]);\npatch([9.425 19.54 26.54 33.54 40.54 26.54 16.425 9.425 ],[" "31.77 31.77 38.77 31.77 38.77 38.77 38.77 31.77 ],[1 1 1 ]);\npatch([16.425 26.54 19.54 9.425 16.425 ],[24.77 2" "4.77 31.77 31.77 24.77 ],[0.931 0.946 0.973 ]);\npatch([9.425 19.54 26.54 16.425 9.425 ],[17.77 17.77 24.77 24." "77 17.77 ],[1 1 1 ]);\npatch([16.425 40.54 33.54 26.54 19.54 9.425 16.425 ],[10.77 10.77 17.77 10.77 17.77 17.7" "7 10.77 ],[0.931 0.946 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon te" "xt');\ncolor('black');port_label('input',1,'b');\ncolor('black');port_label('input',2,'rst');\ncolor('black');p" "ort_label('output',1,'\\bf+=b','texmode','on');\nfprintf('','COMMENT: end icon text');" } Block { BlockType Reference Name "Accumulator1" SID "421" Ports [2, 1] Position [1115, 378, 1165, 427] NamePlacement "alternate" LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Accumulator" SourceType "Xilinx Accumulator Block" infoedit "Adder or subtractor-based accumulator. Output type and binary point position match the input" ".

Hardware notes: When \"Reinitialize with input 'b' on reset\" is selected, the accumulator is forced to " "run at the system rate even if the input 'b' is running at a slower rate." operation "Add" n_bits "32" overflow "Flag as error" scale "1" rst on hasbypass on en off dbl_ovrd off use_behavioral_HDL on implementation "Fabric" xl_use_area off xl_area "[17 33 0 32 0 0 0]" has_advanced_control "0" sggui_pos "20,20,348,457" block_type "accum" block_version "9.1.01" sg_icon_stat "50,49,2,1,white,blue,0,6949434e,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 50 50 0 0 ],[0 0 49 49 0 ],[0.77 0" ".82 0.91 ]);\nplot([0 50 50 0 0 ],[0 0 49 49 0 ]);\npatch([9.425 19.54 26.54 33.54 40.54 26.54 16.425 9.425 ],[" "31.77 31.77 38.77 31.77 38.77 38.77 38.77 31.77 ],[1 1 1 ]);\npatch([16.425 26.54 19.54 9.425 16.425 ],[24.77 2" "4.77 31.77 31.77 24.77 ],[0.931 0.946 0.973 ]);\npatch([9.425 19.54 26.54 16.425 9.425 ],[17.77 17.77 24.77 24." "77 17.77 ],[1 1 1 ]);\npatch([16.425 40.54 33.54 26.54 19.54 9.425 16.425 ],[10.77 10.77 17.77 10.77 17.77 17.7" "7 10.77 ],[0.931 0.946 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon te" "xt');\ncolor('black');port_label('input',1,'b');\ncolor('black');port_label('input',2,'rst');\ncolor('black');p" "ort_label('output',1,'\\bf+=b','texmode','on');\nfprintf('','COMMENT: end icon text');" } Block { BlockType SubSystem Name "Adders" SID "422" Ports [16, 1] Position [815, 230, 890, 570] MinAlgLoopOccurrences off PropExecContextOutsideSubsystem off RTWSystemCode "Auto" FunctionWithSeparateData off Opaque off RequestExecContextInheritance off MaskHideContents off System { Name "Adders" Location [2, 70, 1902, 1108] Open off ModelBrowserVisibility off ModelBrowserWidth 200 ScreenColor "white" PaperOrientation "landscape" PaperPositionMode "auto" PaperType "usletter" PaperUnits "inches" TiledPaperMargins [0.500000, 0.500000, 0.500000, 0.500000] TiledPageScale 1 ShowPageBoundaries off ZoomFactor "100" Block { BlockType Inport Name "In1" SID "423" Position [25, 48, 55, 62] IconDisplay "Port number" } Block { BlockType Inport Name "In2" SID "424" Position [25, 113, 55, 127] Port "2" IconDisplay "Port number" } Block { BlockType Inport Name "In3" SID "425" Position [25, 178, 55, 192] Port "3" IconDisplay "Port number" } Block { BlockType Inport Name "In4" SID "426" Position [25, 243, 55, 257] Port "4" IconDisplay "Port number" } Block { BlockType Inport Name "In5" SID "427" Position [25, 308, 55, 322] Port "5" IconDisplay "Port number" } Block { BlockType Inport Name "In6" SID "428" Position [25, 373, 55, 387] Port "6" IconDisplay "Port number" } Block { BlockType Inport Name "In7" SID "429" Position [25, 438, 55, 452] Port "7" IconDisplay "Port number" } Block { BlockType Inport Name "In8" SID "430" Position [25, 503, 55, 517] Port "8" IconDisplay "Port number" } Block { BlockType Inport Name "In9" SID "431" Position [25, 568, 55, 582] Port "9" IconDisplay "Port number" } Block { BlockType Inport Name "In10" SID "432" Position [25, 633, 55, 647] Port "10" IconDisplay "Port number" } Block { BlockType Inport Name "In11" SID "433" Position [25, 698, 55, 712] Port "11" IconDisplay "Port number" } Block { BlockType Inport Name "In12" SID "434" Position [25, 763, 55, 777] Port "12" IconDisplay "Port number" } Block { BlockType Inport Name "In13" SID "435" Position [25, 828, 55, 842] Port "13" IconDisplay "Port number" } Block { BlockType Inport Name "In14" SID "436" Position [25, 893, 55, 907] Port "14" IconDisplay "Port number" } Block { BlockType Inport Name "In15" SID "437" Position [25, 958, 55, 972] Port "15" IconDisplay "Port number" } Block { BlockType Inport Name "In16" SID "438" Position [25, 1023, 55, 1037] Port "16" IconDisplay "Port number" } Block { BlockType Reference Name "AddSub6" SID "439" Ports [2, 1] Position [235, 767, 285, 818] ShowName off LibraryVersion "1.2" SourceBlock "xbsIndex_r4/AddSub" SourceType "Xilinx Adder/Subtracter Block" mode "Addition" use_carryin off use_carryout off en off latency "0" precision "Full" arith_type "Signed (2's comp)" n_bits "1" bin_pt "0" quantization "Truncate" overflow "Flag as error" dbl_ovrd off use_behavioral_HDL on hw_selection "Fabric" pipelined off xl_use_area off xl_area "[15 0 0 28 0 0 0]" use_rpm "on" has_advanced_control "0" sggui_pos "20,20,348,344" block_type "addsub" block_version "9.1.01" sg_icon_stat "50,51,2,1,white,blue,0,e139daf6,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 50 50 0 0 ],[0 0 51 51 0 ],[0.77 0.82 0.9" "1 ]);\nplot([0 50 50 0 0 ],[0 0 51 51 0 ]);\npatch([9.425 19.54 26.54 33.54 40.54 26.54 16.425 9.425 ],[32.77 32.7" "7 39.77 32.77 39.77 39.77 39.77 32.77 ],[1 1 1 ]);\npatch([16.425 26.54 19.54 9.425 16.425 ],[25.77 25.77 32.77 32" ".77 25.77 ],[0.931 0.946 0.973 ]);\npatch([9.425 19.54 26.54 16.425 9.425 ],[18.77 18.77 25.77 25.77 18.77 ],[1 1 " "1 ]);\npatch([16.425 40.54 33.54 26.54 19.54 9.425 16.425 ],[11.77 11.77 18.77 11.77 18.77 18.77 11.77 ],[0.931 0." "946 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');" "port_label('input',1,'a');\ncolor('black');port_label('input',2,'b');\ncolor('black');port_label('output',1,'\\bf{" "a + b}','texmode','on');\nfprintf('','COMMENT: end icon text');" } Block { BlockType SubSystem Name "Adder Tree" SID "440" Ports [8, 1] Position [80, 550, 135, 1055] MinAlgLoopOccurrences off PropExecContextOutsideSubsystem off RTWSystemCode "Auto" FunctionWithSeparateData off Opaque off RequestExecContextInheritance off MaskHideContents off System { Name "Adder Tree" Location [2, 70, 1918, 1108] Open off ModelBrowserVisibility off ModelBrowserWidth 200 ScreenColor "white" PaperOrientation "landscape" PaperPositionMode "auto" PaperType "usletter" PaperUnits "inches" TiledPaperMargins [0.500000, 0.500000, 0.500000, 0.500000] TiledPageScale 1 ShowPageBoundaries off ZoomFactor "100" Block { BlockType Inport Name "In1" SID "441" Position [25, 33, 55, 47] IconDisplay "Port number" } Block { BlockType Inport Name "In2" SID "442" Position [25, 58, 55, 72] Port "2" IconDisplay "Port number" } Block { BlockType Inport Name "In3" SID "443" Position [25, 143, 55, 157] Port "3" IconDisplay "Port number" } Block { BlockType Inport Name "In4" SID "444" Position [25, 168, 55, 182] Port "4" IconDisplay "Port number" } Block { BlockType Inport Name "In5" SID "445" Position [25, 283, 55, 297] Port "5" IconDisplay "Port number" } Block { BlockType Inport Name "In6" SID "446" Position [25, 308, 55, 322] Port "6" IconDisplay "Port number" } Block { BlockType Inport Name "In7" SID "447" Position [25, 398, 55, 412] Port "7" IconDisplay "Port number" } Block { BlockType Inport Name "In8" SID "448" Position [25, 423, 55, 437] Port "8" IconDisplay "Port number" } Block { BlockType Reference Name "AddSub" SID "449" Ports [2, 1] Position [80, 27, 130, 78] ShowName off LibraryVersion "1.2" SourceBlock "xbsIndex_r4/AddSub" SourceType "Xilinx Adder/Subtracter Block" mode "Addition" use_carryin off use_carryout off en off latency "0" precision "Full" arith_type "Unsigned" n_bits "8" bin_pt "2" quantization "Truncate" overflow "Wrap" dbl_ovrd off use_behavioral_HDL on hw_selection "Fabric" pipelined off xl_use_area off xl_area "[13 0 0 25 0 0 0]" use_rpm "on" has_advanced_control "0" sggui_pos "20,20,348,344" block_type "addsub" block_version "9.1.01" sg_icon_stat "50,51,2,1,white,blue,0,e139daf6,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 50 50 0 0 ],[0 0 51 51 0 ],[0.77 0.82" " 0.91 ]);\nplot([0 50 50 0 0 ],[0 0 51 51 0 ]);\npatch([9.425 19.54 26.54 33.54 40.54 26.54 16.425 9.425 ],[32.7" "7 32.77 39.77 32.77 39.77 39.77 39.77 32.77 ],[1 1 1 ]);\npatch([16.425 26.54 19.54 9.425 16.425 ],[25.77 25.77 " "32.77 32.77 25.77 ],[0.931 0.946 0.973 ]);\npatch([9.425 19.54 26.54 16.425 9.425 ],[18.77 18.77 25.77 25.77 18." "77 ],[1 1 1 ]);\npatch([16.425 40.54 33.54 26.54 19.54 9.425 16.425 ],[11.77 11.77 18.77 11.77 18.77 18.77 11.77" " ],[0.931 0.946 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\nc" "olor('black');port_label('input',1,'a');\ncolor('black');port_label('input',2,'b');\ncolor('black');port_label('" "output',1,'\\bf{a + b}','texmode','on');\nfprintf('','COMMENT: end icon text');" } Block { BlockType Reference Name "AddSub1" SID "450" Ports [2, 1] Position [80, 137, 130, 188] ShowName off LibraryVersion "1.2" SourceBlock "xbsIndex_r4/AddSub" SourceType "Xilinx Adder/Subtracter Block" mode "Addition" use_carryin off use_carryout off en off latency "0" precision "Full" arith_type "Unsigned" n_bits "8" bin_pt "2" quantization "Truncate" overflow "Wrap" dbl_ovrd off use_behavioral_HDL on hw_selection "Fabric" pipelined off xl_use_area off xl_area "[13 0 0 25 0 0 0]" use_rpm "on" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "addsub" block_version "9.1.01" sg_icon_stat "50,51,2,1,white,blue,0,e139daf6,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 50 50 0 0 ],[0 0 51 51 0 ],[0.77 0.82" " 0.91 ]);\nplot([0 50 50 0 0 ],[0 0 51 51 0 ]);\npatch([9.425 19.54 26.54 33.54 40.54 26.54 16.425 9.425 ],[32.7" "7 32.77 39.77 32.77 39.77 39.77 39.77 32.77 ],[1 1 1 ]);\npatch([16.425 26.54 19.54 9.425 16.425 ],[25.77 25.77 " "32.77 32.77 25.77 ],[0.931 0.946 0.973 ]);\npatch([9.425 19.54 26.54 16.425 9.425 ],[18.77 18.77 25.77 25.77 18." "77 ],[1 1 1 ]);\npatch([16.425 40.54 33.54 26.54 19.54 9.425 16.425 ],[11.77 11.77 18.77 11.77 18.77 18.77 11.77" " ],[0.931 0.946 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\nc" "olor('black');port_label('input',1,'a');\ncolor('black');port_label('input',2,'b');\ncolor('black');port_label('" "output',1,'\\bf{a + b}','texmode','on');\nfprintf('','COMMENT: end icon text');" } Block { BlockType Reference Name "AddSub2" SID "451" Ports [2, 1] Position [165, 127, 215, 178] ShowName off LibraryVersion "1.2" SourceBlock "xbsIndex_r4/AddSub" SourceType "Xilinx Adder/Subtracter Block" mode "Addition" use_carryin off use_carryout off en off latency "1" precision "Full" arith_type "Unsigned" n_bits "8" bin_pt "2" quantization "Truncate" overflow "Wrap" dbl_ovrd off use_behavioral_HDL on hw_selection "Fabric" pipelined off xl_use_area off xl_area "[14 0 0 26 0 0 0]" use_rpm "on" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "addsub" block_version "9.1.01" sg_icon_stat "50,51,2,1,white,blue,0,e85d8a90,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 50 50 0 0 ],[0 0 51 51 0 ],[0.77 0.82" " 0.91 ]);\nplot([0 50 50 0 0 ],[0 0 51 51 0 ]);\npatch([9.425 19.54 26.54 33.54 40.54 26.54 16.425 9.425 ],[32.7" "7 32.77 39.77 32.77 39.77 39.77 39.77 32.77 ],[1 1 1 ]);\npatch([16.425 26.54 19.54 9.425 16.425 ],[25.77 25.77 " "32.77 32.77 25.77 ],[0.931 0.946 0.973 ]);\npatch([9.425 19.54 26.54 16.425 9.425 ],[18.77 18.77 25.77 25.77 18." "77 ],[1 1 1 ]);\npatch([16.425 40.54 33.54 26.54 19.54 9.425 16.425 ],[11.77 11.77 18.77 11.77 18.77 18.77 11.77" " ],[0.931 0.946 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\nc" "olor('black');port_label('input',1,'a');\ncolor('black');port_label('input',2,'b');\ncolor('black');port_label('" "output',1,'\\bf{a + b}','texmode','on');\ncolor('black');disp('z^{-1}\\newline ','texmode','on');\ncolor('black'" ");disp(' \\newline\\bf{}','texmode','on');\nfprintf('','COMMENT: end icon text');" } Block { BlockType Reference Name "AddSub3" SID "452" Ports [2, 1] Position [80, 277, 130, 328] ShowName off LibraryVersion "1.2" SourceBlock "xbsIndex_r4/AddSub" SourceType "Xilinx Adder/Subtracter Block" mode "Addition" use_carryin off use_carryout off en off latency "0" precision "Full" arith_type "Unsigned" n_bits "8" bin_pt "2" quantization "Truncate" overflow "Wrap" dbl_ovrd off use_behavioral_HDL on hw_selection "Fabric" pipelined off xl_use_area off xl_area "[13 0 0 25 0 0 0]" use_rpm "on" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "addsub" block_version "9.1.01" sg_icon_stat "50,51,2,1,white,blue,0,e139daf6,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 50 50 0 0 ],[0 0 51 51 0 ],[0.77 0.82" " 0.91 ]);\nplot([0 50 50 0 0 ],[0 0 51 51 0 ]);\npatch([9.425 19.54 26.54 33.54 40.54 26.54 16.425 9.425 ],[32.7" "7 32.77 39.77 32.77 39.77 39.77 39.77 32.77 ],[1 1 1 ]);\npatch([16.425 26.54 19.54 9.425 16.425 ],[25.77 25.77 " "32.77 32.77 25.77 ],[0.931 0.946 0.973 ]);\npatch([9.425 19.54 26.54 16.425 9.425 ],[18.77 18.77 25.77 25.77 18." "77 ],[1 1 1 ]);\npatch([16.425 40.54 33.54 26.54 19.54 9.425 16.425 ],[11.77 11.77 18.77 11.77 18.77 18.77 11.77" " ],[0.931 0.946 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\nc" "olor('black');port_label('input',1,'a');\ncolor('black');port_label('input',2,'b');\ncolor('black');port_label('" "output',1,'\\bf{a + b}','texmode','on');\nfprintf('','COMMENT: end icon text');" } Block { BlockType Reference Name "AddSub4" SID "453" Ports [2, 1] Position [80, 392, 130, 443] ShowName off LibraryVersion "1.2" SourceBlock "xbsIndex_r4/AddSub" SourceType "Xilinx Adder/Subtracter Block" mode "Addition" use_carryin off use_carryout off en off latency "0" precision "Full" arith_type "Unsigned" n_bits "8" bin_pt "2" quantization "Truncate" overflow "Wrap" dbl_ovrd off use_behavioral_HDL on hw_selection "Fabric" pipelined off xl_use_area off xl_area "[13 0 0 25 0 0 0]" use_rpm "on" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "addsub" block_version "9.1.01" sg_icon_stat "50,51,2,1,white,blue,0,e139daf6,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 50 50 0 0 ],[0 0 51 51 0 ],[0.77 0.82" " 0.91 ]);\nplot([0 50 50 0 0 ],[0 0 51 51 0 ]);\npatch([9.425 19.54 26.54 33.54 40.54 26.54 16.425 9.425 ],[32.7" "7 32.77 39.77 32.77 39.77 39.77 39.77 32.77 ],[1 1 1 ]);\npatch([16.425 26.54 19.54 9.425 16.425 ],[25.77 25.77 " "32.77 32.77 25.77 ],[0.931 0.946 0.973 ]);\npatch([9.425 19.54 26.54 16.425 9.425 ],[18.77 18.77 25.77 25.77 18." "77 ],[1 1 1 ]);\npatch([16.425 40.54 33.54 26.54 19.54 9.425 16.425 ],[11.77 11.77 18.77 11.77 18.77 18.77 11.77" " ],[0.931 0.946 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\nc" "olor('black');port_label('input',1,'a');\ncolor('black');port_label('input',2,'b');\ncolor('black');port_label('" "output',1,'\\bf{a + b}','texmode','on');\nfprintf('','COMMENT: end icon text');" } Block { BlockType Reference Name "AddSub5" SID "454" Ports [2, 1] Position [165, 292, 215, 343] ShowName off LibraryVersion "1.2" SourceBlock "xbsIndex_r4/AddSub" SourceType "Xilinx Adder/Subtracter Block" mode "Addition" use_carryin off use_carryout off en off latency "1" precision "Full" arith_type "Unsigned" n_bits "8" bin_pt "2" quantization "Truncate" overflow "Wrap" dbl_ovrd off use_behavioral_HDL on hw_selection "Fabric" pipelined off xl_use_area off xl_area "[14 0 0 26 0 0 0]" use_rpm "on" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "addsub" block_version "9.1.01" sg_icon_stat "50,51,2,1,white,blue,0,e85d8a90,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 50 50 0 0 ],[0 0 51 51 0 ],[0.77 0.82" " 0.91 ]);\nplot([0 50 50 0 0 ],[0 0 51 51 0 ]);\npatch([9.425 19.54 26.54 33.54 40.54 26.54 16.425 9.425 ],[32.7" "7 32.77 39.77 32.77 39.77 39.77 39.77 32.77 ],[1 1 1 ]);\npatch([16.425 26.54 19.54 9.425 16.425 ],[25.77 25.77 " "32.77 32.77 25.77 ],[0.931 0.946 0.973 ]);\npatch([9.425 19.54 26.54 16.425 9.425 ],[18.77 18.77 25.77 25.77 18." "77 ],[1 1 1 ]);\npatch([16.425 40.54 33.54 26.54 19.54 9.425 16.425 ],[11.77 11.77 18.77 11.77 18.77 18.77 11.77" " ],[0.931 0.946 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\nc" "olor('black');port_label('input',1,'a');\ncolor('black');port_label('input',2,'b');\ncolor('black');port_label('" "output',1,'\\bf{a + b}','texmode','on');\ncolor('black');disp('z^{-1}\\newline ','texmode','on');\ncolor('black'" ");disp(' \\newline\\bf{}','texmode','on');\nfprintf('','COMMENT: end icon text');" } Block { BlockType Reference Name "AddSub6" SID "455" Ports [2, 1] Position [265, 192, 315, 243] ShowName off LibraryVersion "1.2" SourceBlock "xbsIndex_r4/AddSub" SourceType "Xilinx Adder/Subtracter Block" mode "Addition" use_carryin off use_carryout off en off latency "0" precision "Full" arith_type "Signed (2's comp)" n_bits "1" bin_pt "0" quantization "Truncate" overflow "Flag as error" dbl_ovrd off use_behavioral_HDL on hw_selection "Fabric" pipelined off xl_use_area off xl_area "[14 0 0 27 0 0 0]" use_rpm "on" has_advanced_control "0" sggui_pos "20,20,348,344" block_type "addsub" block_version "9.1.01" sg_icon_stat "50,51,2,1,white,blue,0,e139daf6,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 50 50 0 0 ],[0 0 51 51 0 ],[0.77 0.82" " 0.91 ]);\nplot([0 50 50 0 0 ],[0 0 51 51 0 ]);\npatch([9.425 19.54 26.54 33.54 40.54 26.54 16.425 9.425 ],[32.7" "7 32.77 39.77 32.77 39.77 39.77 39.77 32.77 ],[1 1 1 ]);\npatch([16.425 26.54 19.54 9.425 16.425 ],[25.77 25.77 " "32.77 32.77 25.77 ],[0.931 0.946 0.973 ]);\npatch([9.425 19.54 26.54 16.425 9.425 ],[18.77 18.77 25.77 25.77 18." "77 ],[1 1 1 ]);\npatch([16.425 40.54 33.54 26.54 19.54 9.425 16.425 ],[11.77 11.77 18.77 11.77 18.77 18.77 11.77" " ],[0.931 0.946 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\nc" "olor('black');port_label('input',1,'a');\ncolor('black');port_label('input',2,'b');\ncolor('black');port_label('" "output',1,'\\bf{a + b}','texmode','on');\nfprintf('','COMMENT: end icon text');" } Block { BlockType Outport Name "Out1" SID "456" Position [340, 213, 370, 227] IconDisplay "Port number" } Line { SrcBlock "AddSub5" SrcPort 1 Points [30, 0] DstBlock "AddSub6" DstPort 2 } Line { SrcBlock "AddSub2" SrcPort 1 Points [30, 0] DstBlock "AddSub6" DstPort 1 } Line { SrcBlock "AddSub4" SrcPort 1 Points [15, 0] DstBlock "AddSub5" DstPort 2 } Line { SrcBlock "AddSub3" SrcPort 1 DstBlock "AddSub5" DstPort 1 } Line { SrcBlock "AddSub1" SrcPort 1 DstBlock "AddSub2" DstPort 2 } Line { SrcBlock "AddSub" SrcPort 1 Points [10, 0; 0, 85] DstBlock "AddSub2" DstPort 1 } Line { SrcBlock "In1" SrcPort 1 DstBlock "AddSub" DstPort 1 } Line { SrcBlock "In2" SrcPort 1 DstBlock "AddSub" DstPort 2 } Line { SrcBlock "In3" SrcPort 1 DstBlock "AddSub1" DstPort 1 } Line { SrcBlock "In4" SrcPort 1 DstBlock "AddSub1" DstPort 2 } Line { SrcBlock "AddSub6" SrcPort 1 DstBlock "Out1" DstPort 1 } Line { SrcBlock "In5" SrcPort 1 DstBlock "AddSub3" DstPort 1 } Line { SrcBlock "In6" SrcPort 1 DstBlock "AddSub3" DstPort 2 } Line { SrcBlock "In7" SrcPort 1 DstBlock "AddSub4" DstPort 1 } Line { SrcBlock "In8" SrcPort 1 DstBlock "AddSub4" DstPort 2 } } } Block { BlockType SubSystem Name "Adder Tree1" SID "457" Ports [8, 1] Position [80, 30, 135, 535] NamePlacement "alternate" MinAlgLoopOccurrences off PropExecContextOutsideSubsystem off RTWSystemCode "Auto" FunctionWithSeparateData off Opaque off RequestExecContextInheritance off MaskHideContents off System { Name "Adder Tree1" Location [2, 70, 1918, 1108] Open off ModelBrowserVisibility off ModelBrowserWidth 200 ScreenColor "white" PaperOrientation "landscape" PaperPositionMode "auto" PaperType "usletter" PaperUnits "inches" TiledPaperMargins [0.500000, 0.500000, 0.500000, 0.500000] TiledPageScale 1 ShowPageBoundaries off ZoomFactor "100" Block { BlockType Inport Name "In1" SID "458" Position [25, 33, 55, 47] IconDisplay "Port number" } Block { BlockType Inport Name "In2" SID "459" Position [25, 58, 55, 72] Port "2" IconDisplay "Port number" } Block { BlockType Inport Name "In3" SID "460" Position [25, 143, 55, 157] Port "3" IconDisplay "Port number" } Block { BlockType Inport Name "In4" SID "461" Position [25, 168, 55, 182] Port "4" IconDisplay "Port number" } Block { BlockType Inport Name "In5" SID "462" Position [25, 283, 55, 297] Port "5" IconDisplay "Port number" } Block { BlockType Inport Name "In6" SID "463" Position [25, 308, 55, 322] Port "6" IconDisplay "Port number" } Block { BlockType Inport Name "In7" SID "464" Position [25, 398, 55, 412] Port "7" IconDisplay "Port number" } Block { BlockType Inport Name "In8" SID "465" Position [25, 423, 55, 437] Port "8" IconDisplay "Port number" } Block { BlockType Reference Name "AddSub" SID "466" Ports [2, 1] Position [80, 27, 130, 78] ShowName off LibraryVersion "1.2" SourceBlock "xbsIndex_r4/AddSub" SourceType "Xilinx Adder/Subtracter Block" mode "Addition" use_carryin off use_carryout off en off latency "0" precision "Full" arith_type "Unsigned" n_bits "8" bin_pt "2" quantization "Truncate" overflow "Wrap" dbl_ovrd off use_behavioral_HDL on hw_selection "Fabric" pipelined off xl_use_area off xl_area "[13 0 0 25 0 0 0]" use_rpm "on" has_advanced_control "0" sggui_pos "20,20,348,344" block_type "addsub" block_version "9.1.01" sg_icon_stat "50,51,2,1,white,blue,0,e139daf6,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 50 50 0 0 ],[0 0 51 51 0 ],[0.77 0.82" " 0.91 ]);\nplot([0 50 50 0 0 ],[0 0 51 51 0 ]);\npatch([9.425 19.54 26.54 33.54 40.54 26.54 16.425 9.425 ],[32.7" "7 32.77 39.77 32.77 39.77 39.77 39.77 32.77 ],[1 1 1 ]);\npatch([16.425 26.54 19.54 9.425 16.425 ],[25.77 25.77 " "32.77 32.77 25.77 ],[0.931 0.946 0.973 ]);\npatch([9.425 19.54 26.54 16.425 9.425 ],[18.77 18.77 25.77 25.77 18." "77 ],[1 1 1 ]);\npatch([16.425 40.54 33.54 26.54 19.54 9.425 16.425 ],[11.77 11.77 18.77 11.77 18.77 18.77 11.77" " ],[0.931 0.946 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\nc" "olor('black');port_label('input',1,'a');\ncolor('black');port_label('input',2,'b');\ncolor('black');port_label('" "output',1,'\\bf{a + b}','texmode','on');\nfprintf('','COMMENT: end icon text');" } Block { BlockType Reference Name "AddSub1" SID "467" Ports [2, 1] Position [80, 137, 130, 188] ShowName off LibraryVersion "1.2" SourceBlock "xbsIndex_r4/AddSub" SourceType "Xilinx Adder/Subtracter Block" mode "Addition" use_carryin off use_carryout off en off latency "0" precision "Full" arith_type "Unsigned" n_bits "8" bin_pt "2" quantization "Truncate" overflow "Wrap" dbl_ovrd off use_behavioral_HDL on hw_selection "Fabric" pipelined off xl_use_area off xl_area "[13 0 0 25 0 0 0]" use_rpm "on" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "addsub" block_version "9.1.01" sg_icon_stat "50,51,2,1,white,blue,0,e139daf6,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 50 50 0 0 ],[0 0 51 51 0 ],[0.77 0.82" " 0.91 ]);\nplot([0 50 50 0 0 ],[0 0 51 51 0 ]);\npatch([9.425 19.54 26.54 33.54 40.54 26.54 16.425 9.425 ],[32.7" "7 32.77 39.77 32.77 39.77 39.77 39.77 32.77 ],[1 1 1 ]);\npatch([16.425 26.54 19.54 9.425 16.425 ],[25.77 25.77 " "32.77 32.77 25.77 ],[0.931 0.946 0.973 ]);\npatch([9.425 19.54 26.54 16.425 9.425 ],[18.77 18.77 25.77 25.77 18." "77 ],[1 1 1 ]);\npatch([16.425 40.54 33.54 26.54 19.54 9.425 16.425 ],[11.77 11.77 18.77 11.77 18.77 18.77 11.77" " ],[0.931 0.946 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\nc" "olor('black');port_label('input',1,'a');\ncolor('black');port_label('input',2,'b');\ncolor('black');port_label('" "output',1,'\\bf{a + b}','texmode','on');\nfprintf('','COMMENT: end icon text');" } Block { BlockType Reference Name "AddSub2" SID "468" Ports [2, 1] Position [165, 127, 215, 178] ShowName off LibraryVersion "1.2" SourceBlock "xbsIndex_r4/AddSub" SourceType "Xilinx Adder/Subtracter Block" mode "Addition" use_carryin off use_carryout off en off latency "1" precision "Full" arith_type "Unsigned" n_bits "8" bin_pt "2" quantization "Truncate" overflow "Wrap" dbl_ovrd off use_behavioral_HDL on hw_selection "Fabric" pipelined off xl_use_area off xl_area "[14 0 0 26 0 0 0]" use_rpm "on" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "addsub" block_version "9.1.01" sg_icon_stat "50,51,2,1,white,blue,0,e85d8a90,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 50 50 0 0 ],[0 0 51 51 0 ],[0.77 0.82" " 0.91 ]);\nplot([0 50 50 0 0 ],[0 0 51 51 0 ]);\npatch([9.425 19.54 26.54 33.54 40.54 26.54 16.425 9.425 ],[32.7" "7 32.77 39.77 32.77 39.77 39.77 39.77 32.77 ],[1 1 1 ]);\npatch([16.425 26.54 19.54 9.425 16.425 ],[25.77 25.77 " "32.77 32.77 25.77 ],[0.931 0.946 0.973 ]);\npatch([9.425 19.54 26.54 16.425 9.425 ],[18.77 18.77 25.77 25.77 18." "77 ],[1 1 1 ]);\npatch([16.425 40.54 33.54 26.54 19.54 9.425 16.425 ],[11.77 11.77 18.77 11.77 18.77 18.77 11.77" " ],[0.931 0.946 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\nc" "olor('black');port_label('input',1,'a');\ncolor('black');port_label('input',2,'b');\ncolor('black');port_label('" "output',1,'\\bf{a + b}','texmode','on');\ncolor('black');disp('z^{-1}\\newline ','texmode','on');\ncolor('black'" ");disp(' \\newline\\bf{}','texmode','on');\nfprintf('','COMMENT: end icon text');" } Block { BlockType Reference Name "AddSub3" SID "469" Ports [2, 1] Position [80, 277, 130, 328] ShowName off LibraryVersion "1.2" SourceBlock "xbsIndex_r4/AddSub" SourceType "Xilinx Adder/Subtracter Block" mode "Addition" use_carryin off use_carryout off en off latency "0" precision "Full" arith_type "Unsigned" n_bits "8" bin_pt "2" quantization "Truncate" overflow "Wrap" dbl_ovrd off use_behavioral_HDL on hw_selection "Fabric" pipelined off xl_use_area off xl_area "[13 0 0 25 0 0 0]" use_rpm "on" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "addsub" block_version "9.1.01" sg_icon_stat "50,51,2,1,white,blue,0,e139daf6,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 50 50 0 0 ],[0 0 51 51 0 ],[0.77 0.82" " 0.91 ]);\nplot([0 50 50 0 0 ],[0 0 51 51 0 ]);\npatch([9.425 19.54 26.54 33.54 40.54 26.54 16.425 9.425 ],[32.7" "7 32.77 39.77 32.77 39.77 39.77 39.77 32.77 ],[1 1 1 ]);\npatch([16.425 26.54 19.54 9.425 16.425 ],[25.77 25.77 " "32.77 32.77 25.77 ],[0.931 0.946 0.973 ]);\npatch([9.425 19.54 26.54 16.425 9.425 ],[18.77 18.77 25.77 25.77 18." "77 ],[1 1 1 ]);\npatch([16.425 40.54 33.54 26.54 19.54 9.425 16.425 ],[11.77 11.77 18.77 11.77 18.77 18.77 11.77" " ],[0.931 0.946 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\nc" "olor('black');port_label('input',1,'a');\ncolor('black');port_label('input',2,'b');\ncolor('black');port_label('" "output',1,'\\bf{a + b}','texmode','on');\nfprintf('','COMMENT: end icon text');" } Block { BlockType Reference Name "AddSub4" SID "470" Ports [2, 1] Position [80, 392, 130, 443] ShowName off LibraryVersion "1.2" SourceBlock "xbsIndex_r4/AddSub" SourceType "Xilinx Adder/Subtracter Block" mode "Addition" use_carryin off use_carryout off en off latency "0" precision "Full" arith_type "Unsigned" n_bits "8" bin_pt "2" quantization "Truncate" overflow "Wrap" dbl_ovrd off use_behavioral_HDL on hw_selection "Fabric" pipelined off xl_use_area off xl_area "[13 0 0 25 0 0 0]" use_rpm "on" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "addsub" block_version "9.1.01" sg_icon_stat "50,51,2,1,white,blue,0,e139daf6,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 50 50 0 0 ],[0 0 51 51 0 ],[0.77 0.82" " 0.91 ]);\nplot([0 50 50 0 0 ],[0 0 51 51 0 ]);\npatch([9.425 19.54 26.54 33.54 40.54 26.54 16.425 9.425 ],[32.7" "7 32.77 39.77 32.77 39.77 39.77 39.77 32.77 ],[1 1 1 ]);\npatch([16.425 26.54 19.54 9.425 16.425 ],[25.77 25.77 " "32.77 32.77 25.77 ],[0.931 0.946 0.973 ]);\npatch([9.425 19.54 26.54 16.425 9.425 ],[18.77 18.77 25.77 25.77 18." "77 ],[1 1 1 ]);\npatch([16.425 40.54 33.54 26.54 19.54 9.425 16.425 ],[11.77 11.77 18.77 11.77 18.77 18.77 11.77" " ],[0.931 0.946 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\nc" "olor('black');port_label('input',1,'a');\ncolor('black');port_label('input',2,'b');\ncolor('black');port_label('" "output',1,'\\bf{a + b}','texmode','on');\nfprintf('','COMMENT: end icon text');" } Block { BlockType Reference Name "AddSub5" SID "471" Ports [2, 1] Position [165, 292, 215, 343] ShowName off LibraryVersion "1.2" SourceBlock "xbsIndex_r4/AddSub" SourceType "Xilinx Adder/Subtracter Block" mode "Addition" use_carryin off use_carryout off en off latency "1" precision "Full" arith_type "Unsigned" n_bits "8" bin_pt "2" quantization "Truncate" overflow "Wrap" dbl_ovrd off use_behavioral_HDL on hw_selection "Fabric" pipelined off xl_use_area off xl_area "[14 0 0 26 0 0 0]" use_rpm "on" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "addsub" block_version "9.1.01" sg_icon_stat "50,51,2,1,white,blue,0,e85d8a90,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 50 50 0 0 ],[0 0 51 51 0 ],[0.77 0.82" " 0.91 ]);\nplot([0 50 50 0 0 ],[0 0 51 51 0 ]);\npatch([9.425 19.54 26.54 33.54 40.54 26.54 16.425 9.425 ],[32.7" "7 32.77 39.77 32.77 39.77 39.77 39.77 32.77 ],[1 1 1 ]);\npatch([16.425 26.54 19.54 9.425 16.425 ],[25.77 25.77 " "32.77 32.77 25.77 ],[0.931 0.946 0.973 ]);\npatch([9.425 19.54 26.54 16.425 9.425 ],[18.77 18.77 25.77 25.77 18." "77 ],[1 1 1 ]);\npatch([16.425 40.54 33.54 26.54 19.54 9.425 16.425 ],[11.77 11.77 18.77 11.77 18.77 18.77 11.77" " ],[0.931 0.946 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\nc" "olor('black');port_label('input',1,'a');\ncolor('black');port_label('input',2,'b');\ncolor('black');port_label('" "output',1,'\\bf{a + b}','texmode','on');\ncolor('black');disp('z^{-1}\\newline ','texmode','on');\ncolor('black'" ");disp(' \\newline\\bf{}','texmode','on');\nfprintf('','COMMENT: end icon text');" } Block { BlockType Reference Name "AddSub6" SID "472" Ports [2, 1] Position [265, 192, 315, 243] ShowName off LibraryVersion "1.2" SourceBlock "xbsIndex_r4/AddSub" SourceType "Xilinx Adder/Subtracter Block" mode "Addition" use_carryin off use_carryout off en off latency "0" precision "Full" arith_type "Signed (2's comp)" n_bits "1" bin_pt "0" quantization "Truncate" overflow "Flag as error" dbl_ovrd off use_behavioral_HDL on hw_selection "Fabric" pipelined off xl_use_area off xl_area "[14 0 0 27 0 0 0]" use_rpm "on" has_advanced_control "0" sggui_pos "20,20,348,344" block_type "addsub" block_version "9.1.01" sg_icon_stat "50,51,2,1,white,blue,0,e139daf6,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 50 50 0 0 ],[0 0 51 51 0 ],[0.77 0.82" " 0.91 ]);\nplot([0 50 50 0 0 ],[0 0 51 51 0 ]);\npatch([9.425 19.54 26.54 33.54 40.54 26.54 16.425 9.425 ],[32.7" "7 32.77 39.77 32.77 39.77 39.77 39.77 32.77 ],[1 1 1 ]);\npatch([16.425 26.54 19.54 9.425 16.425 ],[25.77 25.77 " "32.77 32.77 25.77 ],[0.931 0.946 0.973 ]);\npatch([9.425 19.54 26.54 16.425 9.425 ],[18.77 18.77 25.77 25.77 18." "77 ],[1 1 1 ]);\npatch([16.425 40.54 33.54 26.54 19.54 9.425 16.425 ],[11.77 11.77 18.77 11.77 18.77 18.77 11.77" " ],[0.931 0.946 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\nc" "olor('black');port_label('input',1,'a');\ncolor('black');port_label('input',2,'b');\ncolor('black');port_label('" "output',1,'\\bf{a + b}','texmode','on');\nfprintf('','COMMENT: end icon text');" } Block { BlockType Outport Name "Out1" SID "473" Position [340, 213, 370, 227] IconDisplay "Port number" } Line { SrcBlock "In8" SrcPort 1 DstBlock "AddSub4" DstPort 2 } Line { SrcBlock "In7" SrcPort 1 DstBlock "AddSub4" DstPort 1 } Line { SrcBlock "In6" SrcPort 1 DstBlock "AddSub3" DstPort 2 } Line { SrcBlock "In5" SrcPort 1 DstBlock "AddSub3" DstPort 1 } Line { SrcBlock "AddSub6" SrcPort 1 DstBlock "Out1" DstPort 1 } Line { SrcBlock "In4" SrcPort 1 DstBlock "AddSub1" DstPort 2 } Line { SrcBlock "In3" SrcPort 1 DstBlock "AddSub1" DstPort 1 } Line { SrcBlock "In2" SrcPort 1 DstBlock "AddSub" DstPort 2 } Line { SrcBlock "In1" SrcPort 1 DstBlock "AddSub" DstPort 1 } Line { SrcBlock "AddSub" SrcPort 1 Points [10, 0; 0, 85] DstBlock "AddSub2" DstPort 1 } Line { SrcBlock "AddSub1" SrcPort 1 DstBlock "AddSub2" DstPort 2 } Line { SrcBlock "AddSub3" SrcPort 1 DstBlock "AddSub5" DstPort 1 } Line { SrcBlock "AddSub4" SrcPort 1 Points [15, 0] DstBlock "AddSub5" DstPort 2 } Line { SrcBlock "AddSub2" SrcPort 1 Points [30, 0] DstBlock "AddSub6" DstPort 1 } Line { SrcBlock "AddSub5" SrcPort 1 Points [30, 0] DstBlock "AddSub6" DstPort 2 } } } Block { BlockType Outport Name "Out1" SID "474" Position [310, 788, 340, 802] IconDisplay "Port number" } Line { SrcBlock "In16" SrcPort 1 DstBlock "Adder Tree" DstPort 8 } Line { SrcBlock "In15" SrcPort 1 DstBlock "Adder Tree" DstPort 7 } Line { SrcBlock "In14" SrcPort 1 DstBlock "Adder Tree" DstPort 6 } Line { SrcBlock "In13" SrcPort 1 DstBlock "Adder Tree" DstPort 5 } Line { SrcBlock "AddSub6" SrcPort 1 DstBlock "Out1" DstPort 1 } Line { SrcBlock "In12" SrcPort 1 DstBlock "Adder Tree" DstPort 4 } Line { SrcBlock "In11" SrcPort 1 DstBlock "Adder Tree" DstPort 3 } Line { SrcBlock "In10" SrcPort 1 DstBlock "Adder Tree" DstPort 2 } Line { SrcBlock "In9" SrcPort 1 DstBlock "Adder Tree" DstPort 1 } Line { SrcBlock "In8" SrcPort 1 DstBlock "Adder Tree1" DstPort 8 } Line { SrcBlock "In7" SrcPort 1 DstBlock "Adder Tree1" DstPort 7 } Line { SrcBlock "In6" SrcPort 1 DstBlock "Adder Tree1" DstPort 6 } Line { SrcBlock "In5" SrcPort 1 DstBlock "Adder Tree1" DstPort 5 } Line { SrcBlock "In4" SrcPort 1 DstBlock "Adder Tree1" DstPort 4 } Line { SrcBlock "In3" SrcPort 1 DstBlock "Adder Tree1" DstPort 3 } Line { SrcBlock "In2" SrcPort 1 DstBlock "Adder Tree1" DstPort 2 } Line { SrcBlock "In1" SrcPort 1 DstBlock "Adder Tree1" DstPort 1 } Line { SrcBlock "Adder Tree1" SrcPort 1 Points [25, 0; 0, 495] DstBlock "AddSub6" DstPort 1 } Line { SrcBlock "Adder Tree" SrcPort 1 DstBlock "AddSub6" DstPort 2 } } } Block { BlockType Reference Name "Constant" SID "475" Ports [0, 1] Position [785, 660, 810, 680] ShowName off LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Constant" SourceType "Xilinx Constant Block Block" const "3" gui_display_data_type "Fixed-point" arith_type "Unsigned" n_bits "3" bin_pt "0" preci_type "Single" exp_width "8" frac_width "24" explicit_period off period "1" dsp48_infoedit "The use of this block for DSP48 instructions is deprecated. Please use the Opmode block." equ "P=C" opselect "C" inp2 "PCIN>>17" opr "+" inp1 "P" carry "CIN" dbl_ovrd off has_advanced_control "0" sggui_pos "20,20,400,346" block_type "constant" block_version "9.1.01" sg_icon_stat "25,20,0,1,white,blue,0,279a71c8,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 25 25 0 0 ],[0 0 20 20 0 ],[0.77 0" ".82 0.91 ]);\nplot([0 25 25 0 0 ],[0 0 20 20 0 ]);\npatch([7.55 10.44 12.44 14.44 16.44 12.44 9.55 7.55 ],[12.2" "2 12.22 14.22 12.22 14.22 14.22 14.22 12.22 ],[1 1 1 ]);\npatch([9.55 12.44 10.44 7.55 9.55 ],[10.22 10.22 12.2" "2 12.22 10.22 ],[0.931 0.946 0.973 ]);\npatch([7.55 10.44 12.44 9.55 7.55 ],[8.22 8.22 10.22 10.22 8.22 ],[1 1 " "1 ]);\npatch([9.55 16.44 14.44 12.44 10.44 7.55 9.55 ],[6.22 6.22 8.22 6.22 8.22 8.22 6.22 ],[0.931 0.946 0.973" " ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_l" "abel('output',1,'3');\nfprintf('','COMMENT: end icon text');" } Block { BlockType Reference Name "Convert" SID "476" Ports [1, 1] Position [1300, 462, 1335, 478] ShowName off LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Convert" SourceType "Xilinx Type Converter Block" infoedit "Hardware notes: rounding and saturating require hardware resources; truncating and wrapping do" " not." gui_display_data_type "Fixed-point" arith_type "Signed (2's comp)" n_bits "14" bin_pt "13" float_type "Single" exp_bits "8" fraction_bits "24" quantization "Round (unbiased: +/- Inf)" overflow "Wrap" en off latency "0" dbl_ovrd off pipeline off xl_use_area off xl_area "[11 0 0 18 0 0 0]" has_advanced_control "0" sggui_pos "20,20,461,334" block_type "convert" block_version "10.1.3" sg_icon_stat "35,16,1,1,white,blue,0,edca21da,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 35 35 0 0 ],[0 0 16 16 0 ],[0.77 0" ".82 0.91 ]);\nplot([0 35 35 0 0 ],[0 0 16 16 0 ]);\npatch([12.55 15.44 17.44 19.44 21.44 17.44 14.55 12.55 ],[1" "0.22 10.22 12.22 10.22 12.22 12.22 12.22 10.22 ],[1 1 1 ]);\npatch([14.55 17.44 15.44 12.55 14.55 ],[8.22 8.22 " "10.22 10.22 8.22 ],[0.931 0.946 0.973 ]);\npatch([12.55 15.44 17.44 14.55 12.55 ],[6.22 6.22 8.22 8.22 6.22 ],[" "1 1 1 ]);\npatch([14.55 21.44 19.44 17.44 15.44 12.55 14.55 ],[4.22 4.22 6.22 4.22 6.22 6.22 4.22 ],[0.931 0.94" "6 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\n\ncolor('black" "');port_label('output',1,'cast');\nfprintf('','COMMENT: end icon text');" } Block { BlockType Reference Name "Convert1" SID "477" Ports [1, 1] Position [1300, 397, 1335, 413] ShowName off LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Convert" SourceType "Xilinx Type Converter Block" infoedit "Hardware notes: rounding and saturating require hardware resources; truncating and wrapping do" " not." gui_display_data_type "Fixed-point" arith_type "Signed (2's comp)" n_bits "14" bin_pt "13" float_type "Single" exp_bits "8" fraction_bits "24" quantization "Round (unbiased: +/- Inf)" overflow "Wrap" en off latency "0" dbl_ovrd off pipeline off xl_use_area off xl_area "[11 0 0 18 0 0 0]" has_advanced_control "0" sggui_pos "20,20,461,334" block_type "convert" block_version "10.1.3" sg_icon_stat "35,16,1,1,white,blue,0,edca21da,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 35 35 0 0 ],[0 0 16 16 0 ],[0.77 0" ".82 0.91 ]);\nplot([0 35 35 0 0 ],[0 0 16 16 0 ]);\npatch([12.55 15.44 17.44 19.44 21.44 17.44 14.55 12.55 ],[1" "0.22 10.22 12.22 10.22 12.22 12.22 12.22 10.22 ],[1 1 1 ]);\npatch([14.55 17.44 15.44 12.55 14.55 ],[8.22 8.22 " "10.22 10.22 8.22 ],[0.931 0.946 0.973 ]);\npatch([12.55 15.44 17.44 14.55 12.55 ],[6.22 6.22 8.22 8.22 6.22 ],[" "1 1 1 ]);\npatch([14.55 21.44 19.44 17.44 15.44 12.55 14.55 ],[4.22 4.22 6.22 4.22 6.22 6.22 4.22 ],[0.931 0.94" "6 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\n\ncolor('black" "');port_label('output',1,'cast');\nfprintf('','COMMENT: end icon text');" } Block { BlockType Reference Name "Delay1" SID "478" Ports [1, 1] Position [510, 675, 535, 705] ShowName off LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Delay" SourceType "Xilinx Delay Block" infoedit "Hardware notes: A delay line is a chain, each link of which is an SRL16 followed by a flip-flo" "p." rst off infoeditControl "Selection of Reset will increase slice count due to use of real FFs and instead of SRLs" en off latency "0" dbl_ovrd off reg_retiming off xl_use_area off xl_area "[0 0 0 0 0 0 0]" has_advanced_control "0" sggui_pos "20,20,348,255" block_type "delay" block_version "9.1.01" sg_icon_stat "25,30,1,1,white,blue,0,24450e6f,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 25 25 0 0 ],[0 0 30 30 0 ],[0.77 0" ".82 0.91 ]);\nplot([0 25 25 0 0 ],[0 0 30 30 0 ]);\npatch([5.325 9.66 12.66 15.66 18.66 12.66 8.325 5.325 ],[18" ".33 18.33 21.33 18.33 21.33 21.33 21.33 18.33 ],[1 1 1 ]);\npatch([8.325 12.66 9.66 5.325 8.325 ],[15.33 15.33 " "18.33 18.33 15.33 ],[0.931 0.946 0.973 ]);\npatch([5.325 9.66 12.66 8.325 5.325 ],[12.33 12.33 15.33 15.33 12.3" "3 ],[1 1 1 ]);\npatch([8.325 18.66 15.66 12.66 9.66 5.325 8.325 ],[9.33 9.33 12.33 9.33 12.33 12.33 9.33 ],[0.9" "31 0.946 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\n\n\ncol" "or('black');disp('z^{-0}','texmode','on');\nfprintf('','COMMENT: end icon text');" } Block { BlockType Reference Name "Delay16" SID "479" Ports [1, 1] Position [380, 610, 410, 635] BlockRotation 270 ShowName off LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Delay" SourceType "Xilinx Delay Block" infoedit "Hardware notes: A delay line is a chain, each link of which is an SRL16 followed by a flip-flo" "p." rst off infoeditControl "Selection of Reset will increase slice count due to use of real FFs and instead of SRLs" en off latency "0" dbl_ovrd off reg_retiming off xl_use_area off xl_area "[0 0 0 0 0 0 0]" has_advanced_control "0" sggui_pos "20,20,348,255" block_type "delay" block_version "9.1.01" sg_icon_stat "30,25,1,1,white,blue,0,24450e6f,up,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 30 30 0 0 ],[0 0 25 25 0 ],[0.77 0" ".82 0.91 ]);\nplot([0 30 30 0 0 ],[0 0 25 25 0 ]);\npatch([8.325 12.66 15.66 18.66 21.66 15.66 11.325 8.325 ],[" "15.33 15.33 18.33 15.33 18.33 18.33 18.33 15.33 ],[1 1 1 ]);\npatch([11.325 15.66 12.66 8.325 11.325 ],[12.33 1" "2.33 15.33 15.33 12.33 ],[0.931 0.946 0.973 ]);\npatch([8.325 12.66 15.66 11.325 8.325 ],[9.33 9.33 12.33 12.33" " 9.33 ],[1 1 1 ]);\npatch([11.325 21.66 18.66 15.66 12.66 8.325 11.325 ],[6.33 6.33 9.33 6.33 9.33 9.33 6.33 ]," "[0.931 0.946 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\n\n\n" "color('black');disp('z^{-0}','texmode','on');\nfprintf('','COMMENT: end icon text');" } Block { BlockType SubSystem Name "Delays" SID "480" Ports [16, 16] Position [550, 236, 610, 564] MinAlgLoopOccurrences off PropExecContextOutsideSubsystem off RTWSystemCode "Auto" FunctionWithSeparateData off Opaque off RequestExecContextInheritance off MaskHideContents off System { Name "Delays" Location [447, 284, 682, 658] Open off ModelBrowserVisibility off ModelBrowserWidth 200 ScreenColor "white" PaperOrientation "landscape" PaperPositionMode "auto" PaperType "usletter" PaperUnits "inches" TiledPaperMargins [0.500000, 0.500000, 0.500000, 0.500000] TiledPageScale 1 ShowPageBoundaries off ZoomFactor "100" Block { BlockType Inport Name "In1" SID "481" Position [25, 33, 55, 47] IconDisplay "Port number" } Block { BlockType Inport Name "In2" SID "482" Position [25, 53, 55, 67] Port "2" IconDisplay "Port number" } Block { BlockType Inport Name "In3" SID "483" Position [25, 73, 55, 87] Port "3" IconDisplay "Port number" } Block { BlockType Inport Name "In4" SID "484" Position [25, 93, 55, 107] Port "4" IconDisplay "Port number" } Block { BlockType Inport Name "In5" SID "485" Position [25, 113, 55, 127] Port "5" IconDisplay "Port number" } Block { BlockType Inport Name "In6" SID "486" Position [25, 133, 55, 147] Port "6" IconDisplay "Port number" } Block { BlockType Inport Name "In7" SID "487" Position [25, 153, 55, 167] Port "7" IconDisplay "Port number" } Block { BlockType Inport Name "In8" SID "488" Position [25, 173, 55, 187] Port "8" IconDisplay "Port number" } Block { BlockType Inport Name "In9" SID "489" Position [25, 193, 55, 207] Port "9" IconDisplay "Port number" } Block { BlockType Inport Name "In10" SID "490" Position [25, 213, 55, 227] Port "10" IconDisplay "Port number" } Block { BlockType Inport Name "In11" SID "491" Position [25, 233, 55, 247] Port "11" IconDisplay "Port number" } Block { BlockType Inport Name "In12" SID "492" Position [25, 253, 55, 267] Port "12" IconDisplay "Port number" } Block { BlockType Inport Name "In13" SID "493" Position [25, 273, 55, 287] Port "13" IconDisplay "Port number" } Block { BlockType Inport Name "In14" SID "494" Position [25, 293, 55, 307] Port "14" IconDisplay "Port number" } Block { BlockType Inport Name "In15" SID "495" Position [25, 313, 55, 327] Port "15" IconDisplay "Port number" } Block { BlockType Inport Name "In16" SID "496" Position [25, 333, 55, 347] Port "16" IconDisplay "Port number" } Block { BlockType Reference Name "Register10" SID "497" Ports [1, 1] Position [80, 189, 105, 211] ShowName off LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Register" SourceType "Xilinx Register Block" init "0" rst off en off dbl_ovrd off xl_use_area off xl_area "[7 14 0 0 0 0 0]" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "register" block_version "10.1.3" sg_icon_stat "25,22,1,1,white,blue,0,c80657c5,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 25 25 0 0 ],[0 0 22 22 0 ],[0.77 0.82 0.9" "1 ]);\nplot([0 25 25 0 0 ],[0 0 22 22 0 ]);\npatch([5.325 9.66 12.66 15.66 18.66 12.66 8.325 5.325 ],[14.33 14.33 " "17.33 14.33 17.33 17.33 17.33 14.33 ],[1 1 1 ]);\npatch([8.325 12.66 9.66 5.325 8.325 ],[11.33 11.33 14.33 14.33 1" "1.33 ],[0.931 0.946 0.973 ]);\npatch([5.325 9.66 12.66 8.325 5.325 ],[8.33 8.33 11.33 11.33 8.33 ],[1 1 1 ]);\npat" "ch([8.325 18.66 15.66 12.66 9.66 5.325 8.325 ],[5.33 5.33 8.33 5.33 8.33 8.33 5.33 ],[0.931 0.946 0.973 ]);\nfprin" "tf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1" ",'d');\ncolor('black');port_label('output',1,'q');\ncolor('black');disp('z^{-1}','texmode','on');\nfprintf('','COM" "MENT: end icon text');" } Block { BlockType Reference Name "Register11" SID "498" Ports [1, 1] Position [80, 209, 105, 231] ShowName off LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Register" SourceType "Xilinx Register Block" init "0" rst off en off dbl_ovrd off xl_use_area off xl_area "[7 14 0 0 0 0 0]" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "register" block_version "10.1.3" sg_icon_stat "25,22,1,1,white,blue,0,c80657c5,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 25 25 0 0 ],[0 0 22 22 0 ],[0.77 0.82 0.9" "1 ]);\nplot([0 25 25 0 0 ],[0 0 22 22 0 ]);\npatch([5.325 9.66 12.66 15.66 18.66 12.66 8.325 5.325 ],[14.33 14.33 " "17.33 14.33 17.33 17.33 17.33 14.33 ],[1 1 1 ]);\npatch([8.325 12.66 9.66 5.325 8.325 ],[11.33 11.33 14.33 14.33 1" "1.33 ],[0.931 0.946 0.973 ]);\npatch([5.325 9.66 12.66 8.325 5.325 ],[8.33 8.33 11.33 11.33 8.33 ],[1 1 1 ]);\npat" "ch([8.325 18.66 15.66 12.66 9.66 5.325 8.325 ],[5.33 5.33 8.33 5.33 8.33 8.33 5.33 ],[0.931 0.946 0.973 ]);\nfprin" "tf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1" ",'d');\ncolor('black');port_label('output',1,'q');\ncolor('black');disp('z^{-1}','texmode','on');\nfprintf('','COM" "MENT: end icon text');" } Block { BlockType Reference Name "Register12" SID "499" Ports [1, 1] Position [80, 229, 105, 251] ShowName off LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Register" SourceType "Xilinx Register Block" init "0" rst off en off dbl_ovrd off xl_use_area off xl_area "[7 14 0 0 0 0 0]" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "register" block_version "10.1.3" sg_icon_stat "25,22,1,1,white,blue,0,c80657c5,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 25 25 0 0 ],[0 0 22 22 0 ],[0.77 0.82 0.9" "1 ]);\nplot([0 25 25 0 0 ],[0 0 22 22 0 ]);\npatch([5.325 9.66 12.66 15.66 18.66 12.66 8.325 5.325 ],[14.33 14.33 " "17.33 14.33 17.33 17.33 17.33 14.33 ],[1 1 1 ]);\npatch([8.325 12.66 9.66 5.325 8.325 ],[11.33 11.33 14.33 14.33 1" "1.33 ],[0.931 0.946 0.973 ]);\npatch([5.325 9.66 12.66 8.325 5.325 ],[8.33 8.33 11.33 11.33 8.33 ],[1 1 1 ]);\npat" "ch([8.325 18.66 15.66 12.66 9.66 5.325 8.325 ],[5.33 5.33 8.33 5.33 8.33 8.33 5.33 ],[0.931 0.946 0.973 ]);\nfprin" "tf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1" ",'d');\ncolor('black');port_label('output',1,'q');\ncolor('black');disp('z^{-1}','texmode','on');\nfprintf('','COM" "MENT: end icon text');" } Block { BlockType Reference Name "Register13" SID "500" Ports [1, 1] Position [80, 249, 105, 271] ShowName off LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Register" SourceType "Xilinx Register Block" init "0" rst off en off dbl_ovrd off xl_use_area off xl_area "[7 14 0 0 0 0 0]" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "register" block_version "10.1.3" sg_icon_stat "25,22,1,1,white,blue,0,c80657c5,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 25 25 0 0 ],[0 0 22 22 0 ],[0.77 0.82 0.9" "1 ]);\nplot([0 25 25 0 0 ],[0 0 22 22 0 ]);\npatch([5.325 9.66 12.66 15.66 18.66 12.66 8.325 5.325 ],[14.33 14.33 " "17.33 14.33 17.33 17.33 17.33 14.33 ],[1 1 1 ]);\npatch([8.325 12.66 9.66 5.325 8.325 ],[11.33 11.33 14.33 14.33 1" "1.33 ],[0.931 0.946 0.973 ]);\npatch([5.325 9.66 12.66 8.325 5.325 ],[8.33 8.33 11.33 11.33 8.33 ],[1 1 1 ]);\npat" "ch([8.325 18.66 15.66 12.66 9.66 5.325 8.325 ],[5.33 5.33 8.33 5.33 8.33 8.33 5.33 ],[0.931 0.946 0.973 ]);\nfprin" "tf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1" ",'d');\ncolor('black');port_label('output',1,'q');\ncolor('black');disp('z^{-1}','texmode','on');\nfprintf('','COM" "MENT: end icon text');" } Block { BlockType Reference Name "Register14" SID "501" Ports [1, 1] Position [80, 269, 105, 291] ShowName off LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Register" SourceType "Xilinx Register Block" init "0" rst off en off dbl_ovrd off xl_use_area off xl_area "[7 14 0 0 0 0 0]" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "register" block_version "10.1.3" sg_icon_stat "25,22,1,1,white,blue,0,c80657c5,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 25 25 0 0 ],[0 0 22 22 0 ],[0.77 0.82 0.9" "1 ]);\nplot([0 25 25 0 0 ],[0 0 22 22 0 ]);\npatch([5.325 9.66 12.66 15.66 18.66 12.66 8.325 5.325 ],[14.33 14.33 " "17.33 14.33 17.33 17.33 17.33 14.33 ],[1 1 1 ]);\npatch([8.325 12.66 9.66 5.325 8.325 ],[11.33 11.33 14.33 14.33 1" "1.33 ],[0.931 0.946 0.973 ]);\npatch([5.325 9.66 12.66 8.325 5.325 ],[8.33 8.33 11.33 11.33 8.33 ],[1 1 1 ]);\npat" "ch([8.325 18.66 15.66 12.66 9.66 5.325 8.325 ],[5.33 5.33 8.33 5.33 8.33 8.33 5.33 ],[0.931 0.946 0.973 ]);\nfprin" "tf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1" ",'d');\ncolor('black');port_label('output',1,'q');\ncolor('black');disp('z^{-1}','texmode','on');\nfprintf('','COM" "MENT: end icon text');" } Block { BlockType Reference Name "Register15" SID "502" Ports [1, 1] Position [80, 289, 105, 311] ShowName off LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Register" SourceType "Xilinx Register Block" init "0" rst off en off dbl_ovrd off xl_use_area off xl_area "[7 14 0 0 0 0 0]" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "register" block_version "10.1.3" sg_icon_stat "25,22,1,1,white,blue,0,c80657c5,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 25 25 0 0 ],[0 0 22 22 0 ],[0.77 0.82 0.9" "1 ]);\nplot([0 25 25 0 0 ],[0 0 22 22 0 ]);\npatch([5.325 9.66 12.66 15.66 18.66 12.66 8.325 5.325 ],[14.33 14.33 " "17.33 14.33 17.33 17.33 17.33 14.33 ],[1 1 1 ]);\npatch([8.325 12.66 9.66 5.325 8.325 ],[11.33 11.33 14.33 14.33 1" "1.33 ],[0.931 0.946 0.973 ]);\npatch([5.325 9.66 12.66 8.325 5.325 ],[8.33 8.33 11.33 11.33 8.33 ],[1 1 1 ]);\npat" "ch([8.325 18.66 15.66 12.66 9.66 5.325 8.325 ],[5.33 5.33 8.33 5.33 8.33 8.33 5.33 ],[0.931 0.946 0.973 ]);\nfprin" "tf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1" ",'d');\ncolor('black');port_label('output',1,'q');\ncolor('black');disp('z^{-1}','texmode','on');\nfprintf('','COM" "MENT: end icon text');" } Block { BlockType Reference Name "Register16" SID "503" Ports [1, 1] Position [80, 309, 105, 331] ShowName off LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Register" SourceType "Xilinx Register Block" init "0" rst off en off dbl_ovrd off xl_use_area off xl_area "[7 14 0 0 0 0 0]" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "register" block_version "10.1.3" sg_icon_stat "25,22,1,1,white,blue,0,c80657c5,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 25 25 0 0 ],[0 0 22 22 0 ],[0.77 0.82 0.9" "1 ]);\nplot([0 25 25 0 0 ],[0 0 22 22 0 ]);\npatch([5.325 9.66 12.66 15.66 18.66 12.66 8.325 5.325 ],[14.33 14.33 " "17.33 14.33 17.33 17.33 17.33 14.33 ],[1 1 1 ]);\npatch([8.325 12.66 9.66 5.325 8.325 ],[11.33 11.33 14.33 14.33 1" "1.33 ],[0.931 0.946 0.973 ]);\npatch([5.325 9.66 12.66 8.325 5.325 ],[8.33 8.33 11.33 11.33 8.33 ],[1 1 1 ]);\npat" "ch([8.325 18.66 15.66 12.66 9.66 5.325 8.325 ],[5.33 5.33 8.33 5.33 8.33 8.33 5.33 ],[0.931 0.946 0.973 ]);\nfprin" "tf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1" ",'d');\ncolor('black');port_label('output',1,'q');\ncolor('black');disp('z^{-1}','texmode','on');\nfprintf('','COM" "MENT: end icon text');" } Block { BlockType Reference Name "Register17" SID "504" Ports [1, 1] Position [80, 329, 105, 351] ShowName off LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Register" SourceType "Xilinx Register Block" init "0" rst off en off dbl_ovrd off xl_use_area off xl_area "[7 14 0 0 0 0 0]" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "register" block_version "10.1.3" sg_icon_stat "25,22,1,1,white,blue,0,c80657c5,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 25 25 0 0 ],[0 0 22 22 0 ],[0.77 0.82 0.9" "1 ]);\nplot([0 25 25 0 0 ],[0 0 22 22 0 ]);\npatch([5.325 9.66 12.66 15.66 18.66 12.66 8.325 5.325 ],[14.33 14.33 " "17.33 14.33 17.33 17.33 17.33 14.33 ],[1 1 1 ]);\npatch([8.325 12.66 9.66 5.325 8.325 ],[11.33 11.33 14.33 14.33 1" "1.33 ],[0.931 0.946 0.973 ]);\npatch([5.325 9.66 12.66 8.325 5.325 ],[8.33 8.33 11.33 11.33 8.33 ],[1 1 1 ]);\npat" "ch([8.325 18.66 15.66 12.66 9.66 5.325 8.325 ],[5.33 5.33 8.33 5.33 8.33 8.33 5.33 ],[0.931 0.946 0.973 ]);\nfprin" "tf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1" ",'d');\ncolor('black');port_label('output',1,'q');\ncolor('black');disp('z^{-1}','texmode','on');\nfprintf('','COM" "MENT: end icon text');" } Block { BlockType Reference Name "Register18" SID "505" Ports [1, 1] Position [130, 189, 155, 211] ShowName off LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Register" SourceType "Xilinx Register Block" init "0" rst off en off dbl_ovrd off xl_use_area off xl_area "[7 14 0 0 0 0 0]" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "register" block_version "10.1.3" sg_icon_stat "25,22,1,1,white,blue,0,c80657c5,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 25 25 0 0 ],[0 0 22 22 0 ],[0.77 0.82 0.9" "1 ]);\nplot([0 25 25 0 0 ],[0 0 22 22 0 ]);\npatch([5.325 9.66 12.66 15.66 18.66 12.66 8.325 5.325 ],[14.33 14.33 " "17.33 14.33 17.33 17.33 17.33 14.33 ],[1 1 1 ]);\npatch([8.325 12.66 9.66 5.325 8.325 ],[11.33 11.33 14.33 14.33 1" "1.33 ],[0.931 0.946 0.973 ]);\npatch([5.325 9.66 12.66 8.325 5.325 ],[8.33 8.33 11.33 11.33 8.33 ],[1 1 1 ]);\npat" "ch([8.325 18.66 15.66 12.66 9.66 5.325 8.325 ],[5.33 5.33 8.33 5.33 8.33 8.33 5.33 ],[0.931 0.946 0.973 ]);\nfprin" "tf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1" ",'d');\ncolor('black');port_label('output',1,'q');\ncolor('black');disp('z^{-1}','texmode','on');\nfprintf('','COM" "MENT: end icon text');" } Block { BlockType Reference Name "Register19" SID "506" Ports [1, 1] Position [130, 209, 155, 231] ShowName off LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Register" SourceType "Xilinx Register Block" init "0" rst off en off dbl_ovrd off xl_use_area off xl_area "[7 14 0 0 0 0 0]" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "register" block_version "10.1.3" sg_icon_stat "25,22,1,1,white,blue,0,c80657c5,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 25 25 0 0 ],[0 0 22 22 0 ],[0.77 0.82 0.9" "1 ]);\nplot([0 25 25 0 0 ],[0 0 22 22 0 ]);\npatch([5.325 9.66 12.66 15.66 18.66 12.66 8.325 5.325 ],[14.33 14.33 " "17.33 14.33 17.33 17.33 17.33 14.33 ],[1 1 1 ]);\npatch([8.325 12.66 9.66 5.325 8.325 ],[11.33 11.33 14.33 14.33 1" "1.33 ],[0.931 0.946 0.973 ]);\npatch([5.325 9.66 12.66 8.325 5.325 ],[8.33 8.33 11.33 11.33 8.33 ],[1 1 1 ]);\npat" "ch([8.325 18.66 15.66 12.66 9.66 5.325 8.325 ],[5.33 5.33 8.33 5.33 8.33 8.33 5.33 ],[0.931 0.946 0.973 ]);\nfprin" "tf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1" ",'d');\ncolor('black');port_label('output',1,'q');\ncolor('black');disp('z^{-1}','texmode','on');\nfprintf('','COM" "MENT: end icon text');" } Block { BlockType Reference Name "Register2" SID "507" Ports [1, 1] Position [80, 29, 105, 51] ShowName off LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Register" SourceType "Xilinx Register Block" init "0" rst off en off dbl_ovrd off xl_use_area off xl_area "[7 14 0 0 0 0 0]" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "register" block_version "10.1.3" sg_icon_stat "25,22,1,1,white,blue,0,c80657c5,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 25 25 0 0 ],[0 0 22 22 0 ],[0.77 0.82 0.9" "1 ]);\nplot([0 25 25 0 0 ],[0 0 22 22 0 ]);\npatch([5.325 9.66 12.66 15.66 18.66 12.66 8.325 5.325 ],[14.33 14.33 " "17.33 14.33 17.33 17.33 17.33 14.33 ],[1 1 1 ]);\npatch([8.325 12.66 9.66 5.325 8.325 ],[11.33 11.33 14.33 14.33 1" "1.33 ],[0.931 0.946 0.973 ]);\npatch([5.325 9.66 12.66 8.325 5.325 ],[8.33 8.33 11.33 11.33 8.33 ],[1 1 1 ]);\npat" "ch([8.325 18.66 15.66 12.66 9.66 5.325 8.325 ],[5.33 5.33 8.33 5.33 8.33 8.33 5.33 ],[0.931 0.946 0.973 ]);\nfprin" "tf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1" ",'d');\ncolor('black');port_label('output',1,'q');\ncolor('black');disp('z^{-1}','texmode','on');\nfprintf('','COM" "MENT: end icon text');" } Block { BlockType Reference Name "Register20" SID "508" Ports [1, 1] Position [130, 229, 155, 251] ShowName off LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Register" SourceType "Xilinx Register Block" init "0" rst off en off dbl_ovrd off xl_use_area off xl_area "[7 14 0 0 0 0 0]" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "register" block_version "10.1.3" sg_icon_stat "25,22,1,1,white,blue,0,c80657c5,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 25 25 0 0 ],[0 0 22 22 0 ],[0.77 0.82 0.9" "1 ]);\nplot([0 25 25 0 0 ],[0 0 22 22 0 ]);\npatch([5.325 9.66 12.66 15.66 18.66 12.66 8.325 5.325 ],[14.33 14.33 " "17.33 14.33 17.33 17.33 17.33 14.33 ],[1 1 1 ]);\npatch([8.325 12.66 9.66 5.325 8.325 ],[11.33 11.33 14.33 14.33 1" "1.33 ],[0.931 0.946 0.973 ]);\npatch([5.325 9.66 12.66 8.325 5.325 ],[8.33 8.33 11.33 11.33 8.33 ],[1 1 1 ]);\npat" "ch([8.325 18.66 15.66 12.66 9.66 5.325 8.325 ],[5.33 5.33 8.33 5.33 8.33 8.33 5.33 ],[0.931 0.946 0.973 ]);\nfprin" "tf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1" ",'d');\ncolor('black');port_label('output',1,'q');\ncolor('black');disp('z^{-1}','texmode','on');\nfprintf('','COM" "MENT: end icon text');" } Block { BlockType Reference Name "Register21" SID "509" Ports [1, 1] Position [130, 249, 155, 271] ShowName off LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Register" SourceType "Xilinx Register Block" init "0" rst off en off dbl_ovrd off xl_use_area off xl_area "[7 14 0 0 0 0 0]" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "register" block_version "10.1.3" sg_icon_stat "25,22,1,1,white,blue,0,c80657c5,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 25 25 0 0 ],[0 0 22 22 0 ],[0.77 0.82 0.9" "1 ]);\nplot([0 25 25 0 0 ],[0 0 22 22 0 ]);\npatch([5.325 9.66 12.66 15.66 18.66 12.66 8.325 5.325 ],[14.33 14.33 " "17.33 14.33 17.33 17.33 17.33 14.33 ],[1 1 1 ]);\npatch([8.325 12.66 9.66 5.325 8.325 ],[11.33 11.33 14.33 14.33 1" "1.33 ],[0.931 0.946 0.973 ]);\npatch([5.325 9.66 12.66 8.325 5.325 ],[8.33 8.33 11.33 11.33 8.33 ],[1 1 1 ]);\npat" "ch([8.325 18.66 15.66 12.66 9.66 5.325 8.325 ],[5.33 5.33 8.33 5.33 8.33 8.33 5.33 ],[0.931 0.946 0.973 ]);\nfprin" "tf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1" ",'d');\ncolor('black');port_label('output',1,'q');\ncolor('black');disp('z^{-1}','texmode','on');\nfprintf('','COM" "MENT: end icon text');" } Block { BlockType Reference Name "Register22" SID "510" Ports [1, 1] Position [130, 269, 155, 291] ShowName off LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Register" SourceType "Xilinx Register Block" init "0" rst off en off dbl_ovrd off xl_use_area off xl_area "[7 14 0 0 0 0 0]" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "register" block_version "10.1.3" sg_icon_stat "25,22,1,1,white,blue,0,c80657c5,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 25 25 0 0 ],[0 0 22 22 0 ],[0.77 0.82 0.9" "1 ]);\nplot([0 25 25 0 0 ],[0 0 22 22 0 ]);\npatch([5.325 9.66 12.66 15.66 18.66 12.66 8.325 5.325 ],[14.33 14.33 " "17.33 14.33 17.33 17.33 17.33 14.33 ],[1 1 1 ]);\npatch([8.325 12.66 9.66 5.325 8.325 ],[11.33 11.33 14.33 14.33 1" "1.33 ],[0.931 0.946 0.973 ]);\npatch([5.325 9.66 12.66 8.325 5.325 ],[8.33 8.33 11.33 11.33 8.33 ],[1 1 1 ]);\npat" "ch([8.325 18.66 15.66 12.66 9.66 5.325 8.325 ],[5.33 5.33 8.33 5.33 8.33 8.33 5.33 ],[0.931 0.946 0.973 ]);\nfprin" "tf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1" ",'d');\ncolor('black');port_label('output',1,'q');\ncolor('black');disp('z^{-1}','texmode','on');\nfprintf('','COM" "MENT: end icon text');" } Block { BlockType Reference Name "Register23" SID "511" Ports [1, 1] Position [130, 289, 155, 311] ShowName off LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Register" SourceType "Xilinx Register Block" init "0" rst off en off dbl_ovrd off xl_use_area off xl_area "[7 14 0 0 0 0 0]" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "register" block_version "10.1.3" sg_icon_stat "25,22,1,1,white,blue,0,c80657c5,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 25 25 0 0 ],[0 0 22 22 0 ],[0.77 0.82 0.9" "1 ]);\nplot([0 25 25 0 0 ],[0 0 22 22 0 ]);\npatch([5.325 9.66 12.66 15.66 18.66 12.66 8.325 5.325 ],[14.33 14.33 " "17.33 14.33 17.33 17.33 17.33 14.33 ],[1 1 1 ]);\npatch([8.325 12.66 9.66 5.325 8.325 ],[11.33 11.33 14.33 14.33 1" "1.33 ],[0.931 0.946 0.973 ]);\npatch([5.325 9.66 12.66 8.325 5.325 ],[8.33 8.33 11.33 11.33 8.33 ],[1 1 1 ]);\npat" "ch([8.325 18.66 15.66 12.66 9.66 5.325 8.325 ],[5.33 5.33 8.33 5.33 8.33 8.33 5.33 ],[0.931 0.946 0.973 ]);\nfprin" "tf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1" ",'d');\ncolor('black');port_label('output',1,'q');\ncolor('black');disp('z^{-1}','texmode','on');\nfprintf('','COM" "MENT: end icon text');" } Block { BlockType Reference Name "Register24" SID "512" Ports [1, 1] Position [130, 309, 155, 331] ShowName off LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Register" SourceType "Xilinx Register Block" init "0" rst off en off dbl_ovrd off xl_use_area off xl_area "[7 14 0 0 0 0 0]" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "register" block_version "10.1.3" sg_icon_stat "25,22,1,1,white,blue,0,c80657c5,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 25 25 0 0 ],[0 0 22 22 0 ],[0.77 0.82 0.9" "1 ]);\nplot([0 25 25 0 0 ],[0 0 22 22 0 ]);\npatch([5.325 9.66 12.66 15.66 18.66 12.66 8.325 5.325 ],[14.33 14.33 " "17.33 14.33 17.33 17.33 17.33 14.33 ],[1 1 1 ]);\npatch([8.325 12.66 9.66 5.325 8.325 ],[11.33 11.33 14.33 14.33 1" "1.33 ],[0.931 0.946 0.973 ]);\npatch([5.325 9.66 12.66 8.325 5.325 ],[8.33 8.33 11.33 11.33 8.33 ],[1 1 1 ]);\npat" "ch([8.325 18.66 15.66 12.66 9.66 5.325 8.325 ],[5.33 5.33 8.33 5.33 8.33 8.33 5.33 ],[0.931 0.946 0.973 ]);\nfprin" "tf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1" ",'d');\ncolor('black');port_label('output',1,'q');\ncolor('black');disp('z^{-1}','texmode','on');\nfprintf('','COM" "MENT: end icon text');" } Block { BlockType Reference Name "Register25" SID "513" Ports [1, 1] Position [130, 329, 155, 351] ShowName off LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Register" SourceType "Xilinx Register Block" init "0" rst off en off dbl_ovrd off xl_use_area off xl_area "[7 14 0 0 0 0 0]" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "register" block_version "10.1.3" sg_icon_stat "25,22,1,1,white,blue,0,c80657c5,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 25 25 0 0 ],[0 0 22 22 0 ],[0.77 0.82 0.9" "1 ]);\nplot([0 25 25 0 0 ],[0 0 22 22 0 ]);\npatch([5.325 9.66 12.66 15.66 18.66 12.66 8.325 5.325 ],[14.33 14.33 " "17.33 14.33 17.33 17.33 17.33 14.33 ],[1 1 1 ]);\npatch([8.325 12.66 9.66 5.325 8.325 ],[11.33 11.33 14.33 14.33 1" "1.33 ],[0.931 0.946 0.973 ]);\npatch([5.325 9.66 12.66 8.325 5.325 ],[8.33 8.33 11.33 11.33 8.33 ],[1 1 1 ]);\npat" "ch([8.325 18.66 15.66 12.66 9.66 5.325 8.325 ],[5.33 5.33 8.33 5.33 8.33 8.33 5.33 ],[0.931 0.946 0.973 ]);\nfprin" "tf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1" ",'d');\ncolor('black');port_label('output',1,'q');\ncolor('black');disp('z^{-1}','texmode','on');\nfprintf('','COM" "MENT: end icon text');" } Block { BlockType Reference Name "Register26" SID "514" Ports [1, 1] Position [130, 29, 155, 51] ShowName off LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Register" SourceType "Xilinx Register Block" init "0" rst off en off dbl_ovrd off xl_use_area off xl_area "[7 14 0 0 0 0 0]" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "register" block_version "10.1.3" sg_icon_stat "25,22,1,1,white,blue,0,c80657c5,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 25 25 0 0 ],[0 0 22 22 0 ],[0.77 0.82 0.9" "1 ]);\nplot([0 25 25 0 0 ],[0 0 22 22 0 ]);\npatch([5.325 9.66 12.66 15.66 18.66 12.66 8.325 5.325 ],[14.33 14.33 " "17.33 14.33 17.33 17.33 17.33 14.33 ],[1 1 1 ]);\npatch([8.325 12.66 9.66 5.325 8.325 ],[11.33 11.33 14.33 14.33 1" "1.33 ],[0.931 0.946 0.973 ]);\npatch([5.325 9.66 12.66 8.325 5.325 ],[8.33 8.33 11.33 11.33 8.33 ],[1 1 1 ]);\npat" "ch([8.325 18.66 15.66 12.66 9.66 5.325 8.325 ],[5.33 5.33 8.33 5.33 8.33 8.33 5.33 ],[0.931 0.946 0.973 ]);\nfprin" "tf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1" ",'d');\ncolor('black');port_label('output',1,'q');\ncolor('black');disp('z^{-1}','texmode','on');\nfprintf('','COM" "MENT: end icon text');" } Block { BlockType Reference Name "Register27" SID "515" Ports [1, 1] Position [130, 49, 155, 71] ShowName off LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Register" SourceType "Xilinx Register Block" init "0" rst off en off dbl_ovrd off xl_use_area off xl_area "[7 14 0 0 0 0 0]" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "register" block_version "10.1.3" sg_icon_stat "25,22,1,1,white,blue,0,c80657c5,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 25 25 0 0 ],[0 0 22 22 0 ],[0.77 0.82 0.9" "1 ]);\nplot([0 25 25 0 0 ],[0 0 22 22 0 ]);\npatch([5.325 9.66 12.66 15.66 18.66 12.66 8.325 5.325 ],[14.33 14.33 " "17.33 14.33 17.33 17.33 17.33 14.33 ],[1 1 1 ]);\npatch([8.325 12.66 9.66 5.325 8.325 ],[11.33 11.33 14.33 14.33 1" "1.33 ],[0.931 0.946 0.973 ]);\npatch([5.325 9.66 12.66 8.325 5.325 ],[8.33 8.33 11.33 11.33 8.33 ],[1 1 1 ]);\npat" "ch([8.325 18.66 15.66 12.66 9.66 5.325 8.325 ],[5.33 5.33 8.33 5.33 8.33 8.33 5.33 ],[0.931 0.946 0.973 ]);\nfprin" "tf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1" ",'d');\ncolor('black');port_label('output',1,'q');\ncolor('black');disp('z^{-1}','texmode','on');\nfprintf('','COM" "MENT: end icon text');" } Block { BlockType Reference Name "Register28" SID "516" Ports [1, 1] Position [130, 69, 155, 91] ShowName off LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Register" SourceType "Xilinx Register Block" init "0" rst off en off dbl_ovrd off xl_use_area off xl_area "[7 14 0 0 0 0 0]" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "register" block_version "10.1.3" sg_icon_stat "25,22,1,1,white,blue,0,c80657c5,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 25 25 0 0 ],[0 0 22 22 0 ],[0.77 0.82 0.9" "1 ]);\nplot([0 25 25 0 0 ],[0 0 22 22 0 ]);\npatch([5.325 9.66 12.66 15.66 18.66 12.66 8.325 5.325 ],[14.33 14.33 " "17.33 14.33 17.33 17.33 17.33 14.33 ],[1 1 1 ]);\npatch([8.325 12.66 9.66 5.325 8.325 ],[11.33 11.33 14.33 14.33 1" "1.33 ],[0.931 0.946 0.973 ]);\npatch([5.325 9.66 12.66 8.325 5.325 ],[8.33 8.33 11.33 11.33 8.33 ],[1 1 1 ]);\npat" "ch([8.325 18.66 15.66 12.66 9.66 5.325 8.325 ],[5.33 5.33 8.33 5.33 8.33 8.33 5.33 ],[0.931 0.946 0.973 ]);\nfprin" "tf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1" ",'d');\ncolor('black');port_label('output',1,'q');\ncolor('black');disp('z^{-1}','texmode','on');\nfprintf('','COM" "MENT: end icon text');" } Block { BlockType Reference Name "Register29" SID "517" Ports [1, 1] Position [130, 89, 155, 111] ShowName off LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Register" SourceType "Xilinx Register Block" init "0" rst off en off dbl_ovrd off xl_use_area off xl_area "[7 14 0 0 0 0 0]" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "register" block_version "10.1.3" sg_icon_stat "25,22,1,1,white,blue,0,c80657c5,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 25 25 0 0 ],[0 0 22 22 0 ],[0.77 0.82 0.9" "1 ]);\nplot([0 25 25 0 0 ],[0 0 22 22 0 ]);\npatch([5.325 9.66 12.66 15.66 18.66 12.66 8.325 5.325 ],[14.33 14.33 " "17.33 14.33 17.33 17.33 17.33 14.33 ],[1 1 1 ]);\npatch([8.325 12.66 9.66 5.325 8.325 ],[11.33 11.33 14.33 14.33 1" "1.33 ],[0.931 0.946 0.973 ]);\npatch([5.325 9.66 12.66 8.325 5.325 ],[8.33 8.33 11.33 11.33 8.33 ],[1 1 1 ]);\npat" "ch([8.325 18.66 15.66 12.66 9.66 5.325 8.325 ],[5.33 5.33 8.33 5.33 8.33 8.33 5.33 ],[0.931 0.946 0.973 ]);\nfprin" "tf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1" ",'d');\ncolor('black');port_label('output',1,'q');\ncolor('black');disp('z^{-1}','texmode','on');\nfprintf('','COM" "MENT: end icon text');" } Block { BlockType Reference Name "Register3" SID "518" Ports [1, 1] Position [80, 49, 105, 71] ShowName off LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Register" SourceType "Xilinx Register Block" init "0" rst off en off dbl_ovrd off xl_use_area off xl_area "[7 14 0 0 0 0 0]" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "register" block_version "10.1.3" sg_icon_stat "25,22,1,1,white,blue,0,c80657c5,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 25 25 0 0 ],[0 0 22 22 0 ],[0.77 0.82 0.9" "1 ]);\nplot([0 25 25 0 0 ],[0 0 22 22 0 ]);\npatch([5.325 9.66 12.66 15.66 18.66 12.66 8.325 5.325 ],[14.33 14.33 " "17.33 14.33 17.33 17.33 17.33 14.33 ],[1 1 1 ]);\npatch([8.325 12.66 9.66 5.325 8.325 ],[11.33 11.33 14.33 14.33 1" "1.33 ],[0.931 0.946 0.973 ]);\npatch([5.325 9.66 12.66 8.325 5.325 ],[8.33 8.33 11.33 11.33 8.33 ],[1 1 1 ]);\npat" "ch([8.325 18.66 15.66 12.66 9.66 5.325 8.325 ],[5.33 5.33 8.33 5.33 8.33 8.33 5.33 ],[0.931 0.946 0.973 ]);\nfprin" "tf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1" ",'d');\ncolor('black');port_label('output',1,'q');\ncolor('black');disp('z^{-1}','texmode','on');\nfprintf('','COM" "MENT: end icon text');" } Block { BlockType Reference Name "Register30" SID "519" Ports [1, 1] Position [130, 109, 155, 131] ShowName off LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Register" SourceType "Xilinx Register Block" init "0" rst off en off dbl_ovrd off xl_use_area off xl_area "[7 14 0 0 0 0 0]" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "register" block_version "10.1.3" sg_icon_stat "25,22,1,1,white,blue,0,c80657c5,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 25 25 0 0 ],[0 0 22 22 0 ],[0.77 0.82 0.9" "1 ]);\nplot([0 25 25 0 0 ],[0 0 22 22 0 ]);\npatch([5.325 9.66 12.66 15.66 18.66 12.66 8.325 5.325 ],[14.33 14.33 " "17.33 14.33 17.33 17.33 17.33 14.33 ],[1 1 1 ]);\npatch([8.325 12.66 9.66 5.325 8.325 ],[11.33 11.33 14.33 14.33 1" "1.33 ],[0.931 0.946 0.973 ]);\npatch([5.325 9.66 12.66 8.325 5.325 ],[8.33 8.33 11.33 11.33 8.33 ],[1 1 1 ]);\npat" "ch([8.325 18.66 15.66 12.66 9.66 5.325 8.325 ],[5.33 5.33 8.33 5.33 8.33 8.33 5.33 ],[0.931 0.946 0.973 ]);\nfprin" "tf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1" ",'d');\ncolor('black');port_label('output',1,'q');\ncolor('black');disp('z^{-1}','texmode','on');\nfprintf('','COM" "MENT: end icon text');" } Block { BlockType Reference Name "Register31" SID "520" Ports [1, 1] Position [130, 129, 155, 151] ShowName off LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Register" SourceType "Xilinx Register Block" init "0" rst off en off dbl_ovrd off xl_use_area off xl_area "[7 14 0 0 0 0 0]" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "register" block_version "10.1.3" sg_icon_stat "25,22,1,1,white,blue,0,c80657c5,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 25 25 0 0 ],[0 0 22 22 0 ],[0.77 0.82 0.9" "1 ]);\nplot([0 25 25 0 0 ],[0 0 22 22 0 ]);\npatch([5.325 9.66 12.66 15.66 18.66 12.66 8.325 5.325 ],[14.33 14.33 " "17.33 14.33 17.33 17.33 17.33 14.33 ],[1 1 1 ]);\npatch([8.325 12.66 9.66 5.325 8.325 ],[11.33 11.33 14.33 14.33 1" "1.33 ],[0.931 0.946 0.973 ]);\npatch([5.325 9.66 12.66 8.325 5.325 ],[8.33 8.33 11.33 11.33 8.33 ],[1 1 1 ]);\npat" "ch([8.325 18.66 15.66 12.66 9.66 5.325 8.325 ],[5.33 5.33 8.33 5.33 8.33 8.33 5.33 ],[0.931 0.946 0.973 ]);\nfprin" "tf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1" ",'d');\ncolor('black');port_label('output',1,'q');\ncolor('black');disp('z^{-1}','texmode','on');\nfprintf('','COM" "MENT: end icon text');" } Block { BlockType Reference Name "Register32" SID "521" Ports [1, 1] Position [130, 149, 155, 171] ShowName off LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Register" SourceType "Xilinx Register Block" init "0" rst off en off dbl_ovrd off xl_use_area off xl_area "[7 14 0 0 0 0 0]" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "register" block_version "10.1.3" sg_icon_stat "25,22,1,1,white,blue,0,c80657c5,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 25 25 0 0 ],[0 0 22 22 0 ],[0.77 0.82 0.9" "1 ]);\nplot([0 25 25 0 0 ],[0 0 22 22 0 ]);\npatch([5.325 9.66 12.66 15.66 18.66 12.66 8.325 5.325 ],[14.33 14.33 " "17.33 14.33 17.33 17.33 17.33 14.33 ],[1 1 1 ]);\npatch([8.325 12.66 9.66 5.325 8.325 ],[11.33 11.33 14.33 14.33 1" "1.33 ],[0.931 0.946 0.973 ]);\npatch([5.325 9.66 12.66 8.325 5.325 ],[8.33 8.33 11.33 11.33 8.33 ],[1 1 1 ]);\npat" "ch([8.325 18.66 15.66 12.66 9.66 5.325 8.325 ],[5.33 5.33 8.33 5.33 8.33 8.33 5.33 ],[0.931 0.946 0.973 ]);\nfprin" "tf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1" ",'d');\ncolor('black');port_label('output',1,'q');\ncolor('black');disp('z^{-1}','texmode','on');\nfprintf('','COM" "MENT: end icon text');" } Block { BlockType Reference Name "Register33" SID "522" Ports [1, 1] Position [130, 169, 155, 191] ShowName off LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Register" SourceType "Xilinx Register Block" init "0" rst off en off dbl_ovrd off xl_use_area off xl_area "[7 14 0 0 0 0 0]" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "register" block_version "10.1.3" sg_icon_stat "25,22,1,1,white,blue,0,c80657c5,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 25 25 0 0 ],[0 0 22 22 0 ],[0.77 0.82 0.9" "1 ]);\nplot([0 25 25 0 0 ],[0 0 22 22 0 ]);\npatch([5.325 9.66 12.66 15.66 18.66 12.66 8.325 5.325 ],[14.33 14.33 " "17.33 14.33 17.33 17.33 17.33 14.33 ],[1 1 1 ]);\npatch([8.325 12.66 9.66 5.325 8.325 ],[11.33 11.33 14.33 14.33 1" "1.33 ],[0.931 0.946 0.973 ]);\npatch([5.325 9.66 12.66 8.325 5.325 ],[8.33 8.33 11.33 11.33 8.33 ],[1 1 1 ]);\npat" "ch([8.325 18.66 15.66 12.66 9.66 5.325 8.325 ],[5.33 5.33 8.33 5.33 8.33 8.33 5.33 ],[0.931 0.946 0.973 ]);\nfprin" "tf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1" ",'d');\ncolor('black');port_label('output',1,'q');\ncolor('black');disp('z^{-1}','texmode','on');\nfprintf('','COM" "MENT: end icon text');" } Block { BlockType Reference Name "Register4" SID "523" Ports [1, 1] Position [80, 69, 105, 91] ShowName off LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Register" SourceType "Xilinx Register Block" init "0" rst off en off dbl_ovrd off xl_use_area off xl_area "[7 14 0 0 0 0 0]" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "register" block_version "10.1.3" sg_icon_stat "25,22,1,1,white,blue,0,c80657c5,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 25 25 0 0 ],[0 0 22 22 0 ],[0.77 0.82 0.9" "1 ]);\nplot([0 25 25 0 0 ],[0 0 22 22 0 ]);\npatch([5.325 9.66 12.66 15.66 18.66 12.66 8.325 5.325 ],[14.33 14.33 " "17.33 14.33 17.33 17.33 17.33 14.33 ],[1 1 1 ]);\npatch([8.325 12.66 9.66 5.325 8.325 ],[11.33 11.33 14.33 14.33 1" "1.33 ],[0.931 0.946 0.973 ]);\npatch([5.325 9.66 12.66 8.325 5.325 ],[8.33 8.33 11.33 11.33 8.33 ],[1 1 1 ]);\npat" "ch([8.325 18.66 15.66 12.66 9.66 5.325 8.325 ],[5.33 5.33 8.33 5.33 8.33 8.33 5.33 ],[0.931 0.946 0.973 ]);\nfprin" "tf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1" ",'d');\ncolor('black');port_label('output',1,'q');\ncolor('black');disp('z^{-1}','texmode','on');\nfprintf('','COM" "MENT: end icon text');" } Block { BlockType Reference Name "Register5" SID "524" Ports [1, 1] Position [80, 89, 105, 111] ShowName off LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Register" SourceType "Xilinx Register Block" init "0" rst off en off dbl_ovrd off xl_use_area off xl_area "[7 14 0 0 0 0 0]" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "register" block_version "10.1.3" sg_icon_stat "25,22,1,1,white,blue,0,c80657c5,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 25 25 0 0 ],[0 0 22 22 0 ],[0.77 0.82 0.9" "1 ]);\nplot([0 25 25 0 0 ],[0 0 22 22 0 ]);\npatch([5.325 9.66 12.66 15.66 18.66 12.66 8.325 5.325 ],[14.33 14.33 " "17.33 14.33 17.33 17.33 17.33 14.33 ],[1 1 1 ]);\npatch([8.325 12.66 9.66 5.325 8.325 ],[11.33 11.33 14.33 14.33 1" "1.33 ],[0.931 0.946 0.973 ]);\npatch([5.325 9.66 12.66 8.325 5.325 ],[8.33 8.33 11.33 11.33 8.33 ],[1 1 1 ]);\npat" "ch([8.325 18.66 15.66 12.66 9.66 5.325 8.325 ],[5.33 5.33 8.33 5.33 8.33 8.33 5.33 ],[0.931 0.946 0.973 ]);\nfprin" "tf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1" ",'d');\ncolor('black');port_label('output',1,'q');\ncolor('black');disp('z^{-1}','texmode','on');\nfprintf('','COM" "MENT: end icon text');" } Block { BlockType Reference Name "Register6" SID "525" Ports [1, 1] Position [80, 109, 105, 131] ShowName off LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Register" SourceType "Xilinx Register Block" init "0" rst off en off dbl_ovrd off xl_use_area off xl_area "[7 14 0 0 0 0 0]" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "register" block_version "10.1.3" sg_icon_stat "25,22,1,1,white,blue,0,c80657c5,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 25 25 0 0 ],[0 0 22 22 0 ],[0.77 0.82 0.9" "1 ]);\nplot([0 25 25 0 0 ],[0 0 22 22 0 ]);\npatch([5.325 9.66 12.66 15.66 18.66 12.66 8.325 5.325 ],[14.33 14.33 " "17.33 14.33 17.33 17.33 17.33 14.33 ],[1 1 1 ]);\npatch([8.325 12.66 9.66 5.325 8.325 ],[11.33 11.33 14.33 14.33 1" "1.33 ],[0.931 0.946 0.973 ]);\npatch([5.325 9.66 12.66 8.325 5.325 ],[8.33 8.33 11.33 11.33 8.33 ],[1 1 1 ]);\npat" "ch([8.325 18.66 15.66 12.66 9.66 5.325 8.325 ],[5.33 5.33 8.33 5.33 8.33 8.33 5.33 ],[0.931 0.946 0.973 ]);\nfprin" "tf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1" ",'d');\ncolor('black');port_label('output',1,'q');\ncolor('black');disp('z^{-1}','texmode','on');\nfprintf('','COM" "MENT: end icon text');" } Block { BlockType Reference Name "Register7" SID "526" Ports [1, 1] Position [80, 129, 105, 151] ShowName off LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Register" SourceType "Xilinx Register Block" init "0" rst off en off dbl_ovrd off xl_use_area off xl_area "[7 14 0 0 0 0 0]" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "register" block_version "10.1.3" sg_icon_stat "25,22,1,1,white,blue,0,c80657c5,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 25 25 0 0 ],[0 0 22 22 0 ],[0.77 0.82 0.9" "1 ]);\nplot([0 25 25 0 0 ],[0 0 22 22 0 ]);\npatch([5.325 9.66 12.66 15.66 18.66 12.66 8.325 5.325 ],[14.33 14.33 " "17.33 14.33 17.33 17.33 17.33 14.33 ],[1 1 1 ]);\npatch([8.325 12.66 9.66 5.325 8.325 ],[11.33 11.33 14.33 14.33 1" "1.33 ],[0.931 0.946 0.973 ]);\npatch([5.325 9.66 12.66 8.325 5.325 ],[8.33 8.33 11.33 11.33 8.33 ],[1 1 1 ]);\npat" "ch([8.325 18.66 15.66 12.66 9.66 5.325 8.325 ],[5.33 5.33 8.33 5.33 8.33 8.33 5.33 ],[0.931 0.946 0.973 ]);\nfprin" "tf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1" ",'d');\ncolor('black');port_label('output',1,'q');\ncolor('black');disp('z^{-1}','texmode','on');\nfprintf('','COM" "MENT: end icon text');" } Block { BlockType Reference Name "Register8" SID "527" Ports [1, 1] Position [80, 149, 105, 171] ShowName off LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Register" SourceType "Xilinx Register Block" init "0" rst off en off dbl_ovrd off xl_use_area off xl_area "[7 14 0 0 0 0 0]" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "register" block_version "10.1.3" sg_icon_stat "25,22,1,1,white,blue,0,c80657c5,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 25 25 0 0 ],[0 0 22 22 0 ],[0.77 0.82 0.9" "1 ]);\nplot([0 25 25 0 0 ],[0 0 22 22 0 ]);\npatch([5.325 9.66 12.66 15.66 18.66 12.66 8.325 5.325 ],[14.33 14.33 " "17.33 14.33 17.33 17.33 17.33 14.33 ],[1 1 1 ]);\npatch([8.325 12.66 9.66 5.325 8.325 ],[11.33 11.33 14.33 14.33 1" "1.33 ],[0.931 0.946 0.973 ]);\npatch([5.325 9.66 12.66 8.325 5.325 ],[8.33 8.33 11.33 11.33 8.33 ],[1 1 1 ]);\npat" "ch([8.325 18.66 15.66 12.66 9.66 5.325 8.325 ],[5.33 5.33 8.33 5.33 8.33 8.33 5.33 ],[0.931 0.946 0.973 ]);\nfprin" "tf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1" ",'d');\ncolor('black');port_label('output',1,'q');\ncolor('black');disp('z^{-1}','texmode','on');\nfprintf('','COM" "MENT: end icon text');" } Block { BlockType Reference Name "Register9" SID "528" Ports [1, 1] Position [80, 169, 105, 191] ShowName off LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Register" SourceType "Xilinx Register Block" init "0" rst off en off dbl_ovrd off xl_use_area off xl_area "[7 14 0 0 0 0 0]" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "register" block_version "10.1.3" sg_icon_stat "25,22,1,1,white,blue,0,c80657c5,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 25 25 0 0 ],[0 0 22 22 0 ],[0.77 0.82 0.9" "1 ]);\nplot([0 25 25 0 0 ],[0 0 22 22 0 ]);\npatch([5.325 9.66 12.66 15.66 18.66 12.66 8.325 5.325 ],[14.33 14.33 " "17.33 14.33 17.33 17.33 17.33 14.33 ],[1 1 1 ]);\npatch([8.325 12.66 9.66 5.325 8.325 ],[11.33 11.33 14.33 14.33 1" "1.33 ],[0.931 0.946 0.973 ]);\npatch([5.325 9.66 12.66 8.325 5.325 ],[8.33 8.33 11.33 11.33 8.33 ],[1 1 1 ]);\npat" "ch([8.325 18.66 15.66 12.66 9.66 5.325 8.325 ],[5.33 5.33 8.33 5.33 8.33 8.33 5.33 ],[0.931 0.946 0.973 ]);\nfprin" "tf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1" ",'d');\ncolor('black');port_label('output',1,'q');\ncolor('black');disp('z^{-1}','texmode','on');\nfprintf('','COM" "MENT: end icon text');" } Block { BlockType Outport Name "Out1" SID "529" Position [180, 33, 210, 47] IconDisplay "Port number" } Block { BlockType Outport Name "Out2" SID "530" Position [180, 53, 210, 67] Port "2" IconDisplay "Port number" } Block { BlockType Outport Name "Out3" SID "531" Position [180, 73, 210, 87] Port "3" IconDisplay "Port number" } Block { BlockType Outport Name "Out4" SID "532" Position [180, 93, 210, 107] Port "4" IconDisplay "Port number" } Block { BlockType Outport Name "Out5" SID "533" Position [180, 113, 210, 127] Port "5" IconDisplay "Port number" } Block { BlockType Outport Name "Out6" SID "534" Position [180, 133, 210, 147] Port "6" IconDisplay "Port number" } Block { BlockType Outport Name "Out7" SID "535" Position [180, 153, 210, 167] Port "7" IconDisplay "Port number" } Block { BlockType Outport Name "Out8" SID "536" Position [180, 173, 210, 187] Port "8" IconDisplay "Port number" } Block { BlockType Outport Name "Out9" SID "537" Position [180, 193, 210, 207] Port "9" IconDisplay "Port number" } Block { BlockType Outport Name "Out10" SID "538" Position [180, 213, 210, 227] Port "10" IconDisplay "Port number" } Block { BlockType Outport Name "Out11" SID "539" Position [180, 233, 210, 247] Port "11" IconDisplay "Port number" } Block { BlockType Outport Name "Out12" SID "540" Position [180, 253, 210, 267] Port "12" IconDisplay "Port number" } Block { BlockType Outport Name "Out13" SID "541" Position [180, 273, 210, 287] Port "13" IconDisplay "Port number" } Block { BlockType Outport Name "Out14" SID "542" Position [180, 293, 210, 307] Port "14" IconDisplay "Port number" } Block { BlockType Outport Name "Out15" SID "543" Position [180, 313, 210, 327] Port "15" IconDisplay "Port number" } Block { BlockType Outport Name "Out16" SID "544" Position [180, 333, 210, 347] Port "16" IconDisplay "Port number" } Line { SrcBlock "Register25" SrcPort 1 DstBlock "Out16" DstPort 1 } Line { SrcBlock "In16" SrcPort 1 DstBlock "Register17" DstPort 1 } Line { SrcBlock "Register24" SrcPort 1 DstBlock "Out15" DstPort 1 } Line { SrcBlock "In15" SrcPort 1 DstBlock "Register16" DstPort 1 } Line { SrcBlock "Register23" SrcPort 1 DstBlock "Out14" DstPort 1 } Line { SrcBlock "In14" SrcPort 1 DstBlock "Register15" DstPort 1 } Line { SrcBlock "Register22" SrcPort 1 DstBlock "Out13" DstPort 1 } Line { SrcBlock "In13" SrcPort 1 DstBlock "Register14" DstPort 1 } Line { SrcBlock "Register21" SrcPort 1 DstBlock "Out12" DstPort 1 } Line { SrcBlock "In12" SrcPort 1 DstBlock "Register13" DstPort 1 } Line { SrcBlock "Register20" SrcPort 1 DstBlock "Out11" DstPort 1 } Line { SrcBlock "In11" SrcPort 1 DstBlock "Register12" DstPort 1 } Line { SrcBlock "Register19" SrcPort 1 DstBlock "Out10" DstPort 1 } Line { SrcBlock "In10" SrcPort 1 DstBlock "Register11" DstPort 1 } Line { SrcBlock "Register18" SrcPort 1 DstBlock "Out9" DstPort 1 } Line { SrcBlock "In9" SrcPort 1 DstBlock "Register10" DstPort 1 } Line { SrcBlock "In8" SrcPort 1 DstBlock "Register9" DstPort 1 } Line { SrcBlock "Register33" SrcPort 1 DstBlock "Out8" DstPort 1 } Line { SrcBlock "In7" SrcPort 1 DstBlock "Register8" DstPort 1 } Line { SrcBlock "Register32" SrcPort 1 DstBlock "Out7" DstPort 1 } Line { SrcBlock "In6" SrcPort 1 DstBlock "Register7" DstPort 1 } Line { SrcBlock "Register31" SrcPort 1 DstBlock "Out6" DstPort 1 } Line { SrcBlock "In5" SrcPort 1 DstBlock "Register6" DstPort 1 } Line { SrcBlock "Register30" SrcPort 1 DstBlock "Out5" DstPort 1 } Line { SrcBlock "In4" SrcPort 1 DstBlock "Register5" DstPort 1 } Line { SrcBlock "Register29" SrcPort 1 DstBlock "Out4" DstPort 1 } Line { SrcBlock "In3" SrcPort 1 DstBlock "Register4" DstPort 1 } Line { SrcBlock "Register28" SrcPort 1 DstBlock "Out3" DstPort 1 } Line { SrcBlock "In2" SrcPort 1 DstBlock "Register3" DstPort 1 } Line { SrcBlock "Register27" SrcPort 1 DstBlock "Out2" DstPort 1 } Line { SrcBlock "Register26" SrcPort 1 DstBlock "Out1" DstPort 1 } Line { SrcBlock "In1" SrcPort 1 DstBlock "Register2" DstPort 1 } Line { SrcBlock "Register17" SrcPort 1 DstBlock "Register25" DstPort 1 } Line { SrcBlock "Register16" SrcPort 1 DstBlock "Register24" DstPort 1 } Line { SrcBlock "Register15" SrcPort 1 DstBlock "Register23" DstPort 1 } Line { SrcBlock "Register14" SrcPort 1 DstBlock "Register22" DstPort 1 } Line { SrcBlock "Register13" SrcPort 1 DstBlock "Register21" DstPort 1 } Line { SrcBlock "Register12" SrcPort 1 DstBlock "Register20" DstPort 1 } Line { SrcBlock "Register11" SrcPort 1 DstBlock "Register19" DstPort 1 } Line { SrcBlock "Register10" SrcPort 1 DstBlock "Register18" DstPort 1 } Line { SrcBlock "Register9" SrcPort 1 DstBlock "Register33" DstPort 1 } Line { SrcBlock "Register8" SrcPort 1 DstBlock "Register32" DstPort 1 } Line { SrcBlock "Register7" SrcPort 1 DstBlock "Register31" DstPort 1 } Line { SrcBlock "Register6" SrcPort 1 DstBlock "Register30" DstPort 1 } Line { SrcBlock "Register5" SrcPort 1 DstBlock "Register29" DstPort 1 } Line { SrcBlock "Register4" SrcPort 1 DstBlock "Register28" DstPort 1 } Line { SrcBlock "Register3" SrcPort 1 DstBlock "Register27" DstPort 1 } Line { SrcBlock "Register2" SrcPort 1 DstBlock "Register26" DstPort 1 } } } Block { BlockType Reference Name "Down Sample" SID "545" Ports [1, 1] Position [1470, 471, 1495, 499] ShowName off LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Down Sample" SourceType "Xilinx Down Sampler Block" infoedit "Hardware notes: Sample and Latency controls determine the hardware implementation. The cost i" "n hardware of different implementations varies considerably; press Help for details." sample_ratio "4" sample_phase "First Value of Frame" en off latency "0" dbl_ovrd off xl_use_area off xl_area "[15 15 0 14 0 0 0]" has_advanced_control "0" sggui_pos "20,20,360,300" block_type "dsamp" block_version "9.1.01" sg_icon_stat "25,28,1,1,white,blue,0,ab0018e7,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 25 25 0 0 ],[0 0 28 28 0 ],[0.77 0" ".82 0.91 ]);\nplot([0 25 25 0 0 ],[0 0 28 28 0 ]);\npatch([5.325 9.66 12.66 15.66 18.66 12.66 8.325 5.325 ],[17" ".33 17.33 20.33 17.33 20.33 20.33 20.33 17.33 ],[1 1 1 ]);\npatch([8.325 12.66 9.66 5.325 8.325 ],[14.33 14.33 " "17.33 17.33 14.33 ],[0.931 0.946 0.973 ]);\npatch([5.325 9.66 12.66 8.325 5.325 ],[11.33 11.33 14.33 14.33 11.3" "3 ],[1 1 1 ]);\npatch([8.325 18.66 15.66 12.66 9.66 5.325 8.325 ],[8.33 8.33 11.33 8.33 11.33 11.33 8.33 ],[0.9" "31 0.946 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\n\n\ncol" "or('black');disp('{\\fontsize{14pt}\\bf\\downarrow}4','texmode','on');\nfprintf('','COMMENT: end icon text');" } Block { BlockType Reference Name "Down Sample1" SID "546" Ports [1, 1] Position [1470, 406, 1495, 434] ShowName off LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Down Sample" SourceType "Xilinx Down Sampler Block" infoedit "Hardware notes: Sample and Latency controls determine the hardware implementation. The cost i" "n hardware of different implementations varies considerably; press Help for details." sample_ratio "4" sample_phase "First Value of Frame" en off latency "0" dbl_ovrd off xl_use_area off xl_area "[15 15 0 14 0 0 0]" has_advanced_control "0" sggui_pos "20,20,360,300" block_type "dsamp" block_version "9.1.01" sg_icon_stat "25,28,1,1,white,blue,0,ab0018e7,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 25 25 0 0 ],[0 0 28 28 0 ],[0.77 0" ".82 0.91 ]);\nplot([0 25 25 0 0 ],[0 0 28 28 0 ]);\npatch([5.325 9.66 12.66 15.66 18.66 12.66 8.325 5.325 ],[17" ".33 17.33 20.33 17.33 20.33 20.33 20.33 17.33 ],[1 1 1 ]);\npatch([8.325 12.66 9.66 5.325 8.325 ],[14.33 14.33 " "17.33 17.33 14.33 ],[0.931 0.946 0.973 ]);\npatch([5.325 9.66 12.66 8.325 5.325 ],[11.33 11.33 14.33 14.33 11.3" "3 ],[1 1 1 ]);\npatch([8.325 18.66 15.66 12.66 9.66 5.325 8.325 ],[8.33 8.33 11.33 8.33 11.33 11.33 8.33 ],[0.9" "31 0.946 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\n\n\ncol" "or('black');disp('{\\fontsize{14pt}\\bf\\downarrow}4','texmode','on');\nfprintf('','COMMENT: end icon text');" } Block { BlockType From Name "From2" SID "547" Position [240, 680, 340, 700] ShowName off CloseFcn "tagdialog Close" GotoTag "CoefAddr" TagVisibility "global" } Block { BlockType SubSystem Name "ROMS & Mults" SID "548" Ports [16, 16] Position [670, 224, 750, 576] MinAlgLoopOccurrences off PropExecContextOutsideSubsystem off RTWSystemCode "Auto" FunctionWithSeparateData off Opaque off RequestExecContextInheritance off MaskHideContents off System { Name "ROMS & Mults" Location [2, 70, 1902, 1108] Open off ModelBrowserVisibility off ModelBrowserWidth 200 ScreenColor "white" PaperOrientation "landscape" PaperPositionMode "auto" PaperType "usletter" PaperUnits "inches" TiledPaperMargins [0.500000, 0.500000, 0.500000, 0.500000] TiledPageScale 1 ShowPageBoundaries off ZoomFactor "100" Block { BlockType Inport Name "In1" SID "549" Position [125, 28, 155, 42] IconDisplay "Port number" } Block { BlockType Inport Name "In2" SID "550" Position [125, 93, 155, 107] Port "2" IconDisplay "Port number" } Block { BlockType Inport Name "In3" SID "551" Position [125, 158, 155, 172] Port "3" IconDisplay "Port number" } Block { BlockType Inport Name "In4" SID "552" Position [125, 223, 155, 237] Port "4" IconDisplay "Port number" } Block { BlockType Inport Name "In5" SID "553" Position [125, 288, 155, 302] Port "5" IconDisplay "Port number" } Block { BlockType Inport Name "In6" SID "554" Position [125, 353, 155, 367] Port "6" IconDisplay "Port number" } Block { BlockType Inport Name "In7" SID "555" Position [125, 418, 155, 432] Port "7" IconDisplay "Port number" } Block { BlockType Inport Name "In8" SID "556" Position [125, 483, 155, 497] Port "8" IconDisplay "Port number" } Block { BlockType Inport Name "In9" SID "557" Position [125, 548, 155, 562] Port "9" IconDisplay "Port number" } Block { BlockType Inport Name "In10" SID "558" Position [125, 613, 155, 627] Port "10" IconDisplay "Port number" } Block { BlockType Inport Name "In11" SID "559" Position [125, 678, 155, 692] Port "11" IconDisplay "Port number" } Block { BlockType Inport Name "In12" SID "560" Position [125, 743, 155, 757] Port "12" IconDisplay "Port number" } Block { BlockType Inport Name "In13" SID "561" Position [125, 808, 155, 822] Port "13" IconDisplay "Port number" } Block { BlockType Inport Name "In14" SID "562" Position [125, 873, 155, 887] Port "14" IconDisplay "Port number" } Block { BlockType Inport Name "In15" SID "563" Position [125, 938, 155, 952] Port "15" IconDisplay "Port number" } Block { BlockType Inport Name "In16" SID "564" Position [125, 1003, 155, 1017] Port "16" IconDisplay "Port number" } Block { BlockType From Name "From" SID "565" Position [30, 1020, 130, 1040] ShowName off CloseFcn "tagdialog Close" GotoTag "ROM_0" TagVisibility "global" } Block { BlockType From Name "From10" SID "566" Position [30, 435, 130, 455] ShowName off CloseFcn "tagdialog Close" GotoTag "ROM_9" TagVisibility "global" } Block { BlockType From Name "From11" SID "567" Position [30, 370, 130, 390] ShowName off CloseFcn "tagdialog Close" GotoTag "ROM_10" TagVisibility "global" } Block { BlockType From Name "From12" SID "568" Position [30, 305, 130, 325] ShowName off CloseFcn "tagdialog Close" GotoTag "ROM_11" TagVisibility "global" } Block { BlockType From Name "From13" SID "569" Position [25, 175, 125, 195] ShowName off CloseFcn "tagdialog Close" GotoTag "ROM_13" TagVisibility "global" } Block { BlockType From Name "From14" SID "570" Position [25, 110, 125, 130] ShowName off CloseFcn "tagdialog Close" GotoTag "ROM_14" TagVisibility "global" } Block { BlockType From Name "From15" SID "571" Position [25, 45, 125, 65] ShowName off CloseFcn "tagdialog Close" GotoTag "ROM_15" TagVisibility "global" } Block { BlockType From Name "From16" SID "572" Position [25, 240, 125, 260] ShowName off CloseFcn "tagdialog Close" GotoTag "ROM_12" TagVisibility "global" } Block { BlockType From Name "From2" SID "573" Position [30, 955, 130, 975] ShowName off CloseFcn "tagdialog Close" GotoTag "ROM_1" TagVisibility "global" } Block { BlockType From Name "From3" SID "574" Position [30, 890, 130, 910] ShowName off CloseFcn "tagdialog Close" GotoTag "ROM_2" TagVisibility "global" } Block { BlockType From Name "From4" SID "575" Position [30, 825, 130, 845] ShowName off CloseFcn "tagdialog Close" GotoTag "ROM_3" TagVisibility "global" } Block { BlockType From Name "From5" SID "576" Position [30, 760, 130, 780] ShowName off CloseFcn "tagdialog Close" GotoTag "ROM_4" TagVisibility "global" } Block { BlockType From Name "From6" SID "577" Position [30, 695, 130, 715] ShowName off CloseFcn "tagdialog Close" GotoTag "ROM_5" TagVisibility "global" } Block { BlockType From Name "From7" SID "578" Position [30, 630, 130, 650] ShowName off CloseFcn "tagdialog Close" GotoTag "ROM_6" TagVisibility "global" } Block { BlockType From Name "From8" SID "579" Position [30, 565, 130, 585] ShowName off CloseFcn "tagdialog Close" GotoTag "ROM_7" TagVisibility "global" } Block { BlockType From Name "From9" SID "580" Position [30, 500, 130, 520] ShowName off CloseFcn "tagdialog Close" GotoTag "ROM_8" TagVisibility "global" } Block { BlockType Reference Name "Mult1" SID "581" Ports [2, 1] Position [180, 937, 225, 973] ShowName off LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Mult" SourceType "Xilinx Multiplier Block" infoedit "Hardware notes: To use the internal pipeline stage of the dedicated multiplier you must select 'Pipel" "ine for maximum performance'." precision "User Defined" arith_type "Signed (2's comp)" n_bits "24" bin_pt "22" quantization "Truncate" overflow "Flag as error" en off latency "1" dbl_ovrd off use_behavioral_HDL off opt "Speed" use_embedded off optimum_pipeline off xl_use_area off xl_area "[16 32 0 32 0 1 0]" pipeline "off" use_rpm "on" placement_style "Rectangular shape" has_advanced_control "0" sggui_pos "20,20,348,433" block_type "mult" block_version "9.1.01" sg_icon_stat "45,36,2,1,white,blue,0,82c891c1,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 45 45 0 0 ],[0 0 36 36 0 ],[0.77 0.82 0.9" "1 ]);\nplot([0 45 45 0 0 ],[0 0 36 36 0 ]);\npatch([10.875 18.1 23.1 28.1 33.1 23.1 15.875 10.875 ],[23.55 23.55 2" "8.55 23.55 28.55 28.55 28.55 23.55 ],[1 1 1 ]);\npatch([15.875 23.1 18.1 10.875 15.875 ],[18.55 18.55 23.55 23.55 " "18.55 ],[0.931 0.946 0.973 ]);\npatch([10.875 18.1 23.1 15.875 10.875 ],[13.55 13.55 18.55 18.55 13.55 ],[1 1 1 ])" ";\npatch([15.875 33.1 28.1 23.1 18.1 10.875 15.875 ],[8.55 8.55 13.55 8.55 13.55 13.55 8.55 ],[0.931 0.946 0.973 ]" ");\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label(" "'input',1,'a');\ncolor('black');port_label('input',2,'b');\ncolor('black');port_label('output',1,'\\bfa \\times b'" ",'texmode','on');\ncolor('black');disp('z^{-1}\\newline ','texmode','on');\ncolor('black');disp(' \\n ');\nfprintf" "('','COMMENT: end icon text');" } Block { BlockType Reference Name "Mult10" SID "582" Ports [2, 1] Position [180, 287, 225, 323] ShowName off LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Mult" SourceType "Xilinx Multiplier Block" infoedit "Hardware notes: To use the internal pipeline stage of the dedicated multiplier you must select 'Pipel" "ine for maximum performance'." precision "User Defined" arith_type "Signed (2's comp)" n_bits "24" bin_pt "22" quantization "Truncate" overflow "Flag as error" en off latency "1" dbl_ovrd off use_behavioral_HDL off opt "Speed" use_embedded off optimum_pipeline off xl_use_area off xl_area "[16 32 0 32 0 1 0]" pipeline "off" use_rpm "on" placement_style "Rectangular shape" has_advanced_control "0" sggui_pos "20,20,348,433" block_type "mult" block_version "9.1.01" sg_icon_stat "45,36,2,1,white,blue,0,82c891c1,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 45 45 0 0 ],[0 0 36 36 0 ],[0.77 0.82 0.9" "1 ]);\nplot([0 45 45 0 0 ],[0 0 36 36 0 ]);\npatch([10.875 18.1 23.1 28.1 33.1 23.1 15.875 10.875 ],[23.55 23.55 2" "8.55 23.55 28.55 28.55 28.55 23.55 ],[1 1 1 ]);\npatch([15.875 23.1 18.1 10.875 15.875 ],[18.55 18.55 23.55 23.55 " "18.55 ],[0.931 0.946 0.973 ]);\npatch([10.875 18.1 23.1 15.875 10.875 ],[13.55 13.55 18.55 18.55 13.55 ],[1 1 1 ])" ";\npatch([15.875 33.1 28.1 23.1 18.1 10.875 15.875 ],[8.55 8.55 13.55 8.55 13.55 13.55 8.55 ],[0.931 0.946 0.973 ]" ");\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label(" "'input',1,'a');\ncolor('black');port_label('input',2,'b');\ncolor('black');port_label('output',1,'\\bfa \\times b'" ",'texmode','on');\ncolor('black');disp('z^{-1}\\newline ','texmode','on');\ncolor('black');disp(' \\n ');\nfprintf" "('','COMMENT: end icon text');" } Block { BlockType Reference Name "Mult11" SID "583" Ports [2, 1] Position [180, 352, 225, 388] ShowName off LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Mult" SourceType "Xilinx Multiplier Block" infoedit "Hardware notes: To use the internal pipeline stage of the dedicated multiplier you must select 'Pipel" "ine for maximum performance'." precision "User Defined" arith_type "Signed (2's comp)" n_bits "24" bin_pt "22" quantization "Truncate" overflow "Flag as error" en off latency "1" dbl_ovrd off use_behavioral_HDL off opt "Speed" use_embedded off optimum_pipeline off xl_use_area off xl_area "[16 32 0 32 0 1 0]" pipeline "off" use_rpm "on" placement_style "Rectangular shape" has_advanced_control "0" sggui_pos "20,20,348,433" block_type "mult" block_version "9.1.01" sg_icon_stat "45,36,2,1,white,blue,0,82c891c1,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 45 45 0 0 ],[0 0 36 36 0 ],[0.77 0.82 0.9" "1 ]);\nplot([0 45 45 0 0 ],[0 0 36 36 0 ]);\npatch([10.875 18.1 23.1 28.1 33.1 23.1 15.875 10.875 ],[23.55 23.55 2" "8.55 23.55 28.55 28.55 28.55 23.55 ],[1 1 1 ]);\npatch([15.875 23.1 18.1 10.875 15.875 ],[18.55 18.55 23.55 23.55 " "18.55 ],[0.931 0.946 0.973 ]);\npatch([10.875 18.1 23.1 15.875 10.875 ],[13.55 13.55 18.55 18.55 13.55 ],[1 1 1 ])" ";\npatch([15.875 33.1 28.1 23.1 18.1 10.875 15.875 ],[8.55 8.55 13.55 8.55 13.55 13.55 8.55 ],[0.931 0.946 0.973 ]" ");\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label(" "'input',1,'a');\ncolor('black');port_label('input',2,'b');\ncolor('black');port_label('output',1,'\\bfa \\times b'" ",'texmode','on');\ncolor('black');disp('z^{-1}\\newline ','texmode','on');\ncolor('black');disp(' \\n ');\nfprintf" "('','COMMENT: end icon text');" } Block { BlockType Reference Name "Mult12" SID "584" Ports [2, 1] Position [180, 157, 225, 193] ShowName off LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Mult" SourceType "Xilinx Multiplier Block" infoedit "Hardware notes: To use the internal pipeline stage of the dedicated multiplier you must select 'Pipel" "ine for maximum performance'." precision "User Defined" arith_type "Signed (2's comp)" n_bits "24" bin_pt "22" quantization "Truncate" overflow "Flag as error" en off latency "1" dbl_ovrd off use_behavioral_HDL off opt "Speed" use_embedded off optimum_pipeline off xl_use_area off xl_area "[16 32 0 32 0 1 0]" pipeline "off" use_rpm "on" placement_style "Rectangular shape" has_advanced_control "0" sggui_pos "20,20,348,433" block_type "mult" block_version "9.1.01" sg_icon_stat "45,36,2,1,white,blue,0,82c891c1,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 45 45 0 0 ],[0 0 36 36 0 ],[0.77 0.82 0.9" "1 ]);\nplot([0 45 45 0 0 ],[0 0 36 36 0 ]);\npatch([10.875 18.1 23.1 28.1 33.1 23.1 15.875 10.875 ],[23.55 23.55 2" "8.55 23.55 28.55 28.55 28.55 23.55 ],[1 1 1 ]);\npatch([15.875 23.1 18.1 10.875 15.875 ],[18.55 18.55 23.55 23.55 " "18.55 ],[0.931 0.946 0.973 ]);\npatch([10.875 18.1 23.1 15.875 10.875 ],[13.55 13.55 18.55 18.55 13.55 ],[1 1 1 ])" ";\npatch([15.875 33.1 28.1 23.1 18.1 10.875 15.875 ],[8.55 8.55 13.55 8.55 13.55 13.55 8.55 ],[0.931 0.946 0.973 ]" ");\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label(" "'input',1,'a');\ncolor('black');port_label('input',2,'b');\ncolor('black');port_label('output',1,'\\bfa \\times b'" ",'texmode','on');\ncolor('black');disp('z^{-1}\\newline ','texmode','on');\ncolor('black');disp(' \\n ');\nfprintf" "('','COMMENT: end icon text');" } Block { BlockType Reference Name "Mult13" SID "585" Ports [2, 1] Position [180, 27, 225, 63] ShowName off LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Mult" SourceType "Xilinx Multiplier Block" infoedit "Hardware notes: To use the internal pipeline stage of the dedicated multiplier you must select 'Pipel" "ine for maximum performance'." precision "User Defined" arith_type "Signed (2's comp)" n_bits "24" bin_pt "22" quantization "Truncate" overflow "Flag as error" en off latency "1" dbl_ovrd off use_behavioral_HDL off opt "Speed" use_embedded off optimum_pipeline off xl_use_area off xl_area "[16 32 0 32 0 1 0]" pipeline "off" use_rpm "on" placement_style "Rectangular shape" has_advanced_control "0" sggui_pos "20,20,348,433" block_type "mult" block_version "9.1.01" sg_icon_stat "45,36,2,1,white,blue,0,82c891c1,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 45 45 0 0 ],[0 0 36 36 0 ],[0.77 0.82 0.9" "1 ]);\nplot([0 45 45 0 0 ],[0 0 36 36 0 ]);\npatch([10.875 18.1 23.1 28.1 33.1 23.1 15.875 10.875 ],[23.55 23.55 2" "8.55 23.55 28.55 28.55 28.55 23.55 ],[1 1 1 ]);\npatch([15.875 23.1 18.1 10.875 15.875 ],[18.55 18.55 23.55 23.55 " "18.55 ],[0.931 0.946 0.973 ]);\npatch([10.875 18.1 23.1 15.875 10.875 ],[13.55 13.55 18.55 18.55 13.55 ],[1 1 1 ])" ";\npatch([15.875 33.1 28.1 23.1 18.1 10.875 15.875 ],[8.55 8.55 13.55 8.55 13.55 13.55 8.55 ],[0.931 0.946 0.973 ]" ");\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label(" "'input',1,'a');\ncolor('black');port_label('input',2,'b');\ncolor('black');port_label('output',1,'\\bfa \\times b'" ",'texmode','on');\ncolor('black');disp('z^{-1}\\newline ','texmode','on');\ncolor('black');disp(' \\n ');\nfprintf" "('','COMMENT: end icon text');" } Block { BlockType Reference Name "Mult14" SID "586" Ports [2, 1] Position [180, 92, 225, 128] ShowName off LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Mult" SourceType "Xilinx Multiplier Block" infoedit "Hardware notes: To use the internal pipeline stage of the dedicated multiplier you must select 'Pipel" "ine for maximum performance'." precision "User Defined" arith_type "Signed (2's comp)" n_bits "24" bin_pt "22" quantization "Truncate" overflow "Flag as error" en off latency "1" dbl_ovrd off use_behavioral_HDL off opt "Speed" use_embedded off optimum_pipeline off xl_use_area off xl_area "[16 32 0 32 0 1 0]" pipeline "off" use_rpm "on" placement_style "Rectangular shape" has_advanced_control "0" sggui_pos "20,20,348,433" block_type "mult" block_version "9.1.01" sg_icon_stat "45,36,2,1,white,blue,0,82c891c1,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 45 45 0 0 ],[0 0 36 36 0 ],[0.77 0.82 0.9" "1 ]);\nplot([0 45 45 0 0 ],[0 0 36 36 0 ]);\npatch([10.875 18.1 23.1 28.1 33.1 23.1 15.875 10.875 ],[23.55 23.55 2" "8.55 23.55 28.55 28.55 28.55 23.55 ],[1 1 1 ]);\npatch([15.875 23.1 18.1 10.875 15.875 ],[18.55 18.55 23.55 23.55 " "18.55 ],[0.931 0.946 0.973 ]);\npatch([10.875 18.1 23.1 15.875 10.875 ],[13.55 13.55 18.55 18.55 13.55 ],[1 1 1 ])" ";\npatch([15.875 33.1 28.1 23.1 18.1 10.875 15.875 ],[8.55 8.55 13.55 8.55 13.55 13.55 8.55 ],[0.931 0.946 0.973 ]" ");\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label(" "'input',1,'a');\ncolor('black');port_label('input',2,'b');\ncolor('black');port_label('output',1,'\\bfa \\times b'" ",'texmode','on');\ncolor('black');disp('z^{-1}\\newline ','texmode','on');\ncolor('black');disp(' \\n ');\nfprintf" "('','COMMENT: end icon text');" } Block { BlockType Reference Name "Mult15" SID "587" Ports [2, 1] Position [180, 482, 225, 518] ShowName off LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Mult" SourceType "Xilinx Multiplier Block" infoedit "Hardware notes: To use the internal pipeline stage of the dedicated multiplier you must select 'Pipel" "ine for maximum performance'." precision "User Defined" arith_type "Signed (2's comp)" n_bits "24" bin_pt "22" quantization "Truncate" overflow "Flag as error" en off latency "1" dbl_ovrd off use_behavioral_HDL off opt "Speed" use_embedded off optimum_pipeline off xl_use_area off xl_area "[16 32 0 32 0 1 0]" pipeline "off" use_rpm "on" placement_style "Rectangular shape" has_advanced_control "0" sggui_pos "20,20,348,433" block_type "mult" block_version "9.1.01" sg_icon_stat "45,36,2,1,white,blue,0,82c891c1,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 45 45 0 0 ],[0 0 36 36 0 ],[0.77 0.82 0.9" "1 ]);\nplot([0 45 45 0 0 ],[0 0 36 36 0 ]);\npatch([10.875 18.1 23.1 28.1 33.1 23.1 15.875 10.875 ],[23.55 23.55 2" "8.55 23.55 28.55 28.55 28.55 23.55 ],[1 1 1 ]);\npatch([15.875 23.1 18.1 10.875 15.875 ],[18.55 18.55 23.55 23.55 " "18.55 ],[0.931 0.946 0.973 ]);\npatch([10.875 18.1 23.1 15.875 10.875 ],[13.55 13.55 18.55 18.55 13.55 ],[1 1 1 ])" ";\npatch([15.875 33.1 28.1 23.1 18.1 10.875 15.875 ],[8.55 8.55 13.55 8.55 13.55 13.55 8.55 ],[0.931 0.946 0.973 ]" ");\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label(" "'input',1,'a');\ncolor('black');port_label('input',2,'b');\ncolor('black');port_label('output',1,'\\bfa \\times b'" ",'texmode','on');\ncolor('black');disp('z^{-1}\\newline ','texmode','on');\ncolor('black');disp(' \\n ');\nfprintf" "('','COMMENT: end icon text');" } Block { BlockType Reference Name "Mult16" SID "588" Ports [2, 1] Position [180, 222, 225, 258] ShowName off LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Mult" SourceType "Xilinx Multiplier Block" infoedit "Hardware notes: To use the internal pipeline stage of the dedicated multiplier you must select 'Pipel" "ine for maximum performance'." precision "User Defined" arith_type "Signed (2's comp)" n_bits "24" bin_pt "22" quantization "Truncate" overflow "Flag as error" en off latency "1" dbl_ovrd off use_behavioral_HDL off opt "Speed" use_embedded off optimum_pipeline off xl_use_area off xl_area "[16 32 0 32 0 1 0]" pipeline "off" use_rpm "on" placement_style "Rectangular shape" has_advanced_control "0" sggui_pos "20,20,348,433" block_type "mult" block_version "9.1.01" sg_icon_stat "45,36,2,1,white,blue,0,82c891c1,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 45 45 0 0 ],[0 0 36 36 0 ],[0.77 0.82 0.9" "1 ]);\nplot([0 45 45 0 0 ],[0 0 36 36 0 ]);\npatch([10.875 18.1 23.1 28.1 33.1 23.1 15.875 10.875 ],[23.55 23.55 2" "8.55 23.55 28.55 28.55 28.55 23.55 ],[1 1 1 ]);\npatch([15.875 23.1 18.1 10.875 15.875 ],[18.55 18.55 23.55 23.55 " "18.55 ],[0.931 0.946 0.973 ]);\npatch([10.875 18.1 23.1 15.875 10.875 ],[13.55 13.55 18.55 18.55 13.55 ],[1 1 1 ])" ";\npatch([15.875 33.1 28.1 23.1 18.1 10.875 15.875 ],[8.55 8.55 13.55 8.55 13.55 13.55 8.55 ],[0.931 0.946 0.973 ]" ");\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label(" "'input',1,'a');\ncolor('black');port_label('input',2,'b');\ncolor('black');port_label('output',1,'\\bfa \\times b'" ",'texmode','on');\ncolor('black');disp('z^{-1}\\newline ','texmode','on');\ncolor('black');disp(' \\n ');\nfprintf" "('','COMMENT: end icon text');" } Block { BlockType Reference Name "Mult2" SID "589" Ports [2, 1] Position [180, 807, 225, 843] ShowName off LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Mult" SourceType "Xilinx Multiplier Block" infoedit "Hardware notes: To use the internal pipeline stage of the dedicated multiplier you must select 'Pipel" "ine for maximum performance'." precision "User Defined" arith_type "Signed (2's comp)" n_bits "24" bin_pt "22" quantization "Truncate" overflow "Flag as error" en off latency "1" dbl_ovrd off use_behavioral_HDL off opt "Speed" use_embedded off optimum_pipeline off xl_use_area off xl_area "[16 32 0 32 0 1 0]" pipeline "off" use_rpm "on" placement_style "Rectangular shape" has_advanced_control "0" sggui_pos "20,20,348,433" block_type "mult" block_version "9.1.01" sg_icon_stat "45,36,2,1,white,blue,0,82c891c1,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 45 45 0 0 ],[0 0 36 36 0 ],[0.77 0.82 0.9" "1 ]);\nplot([0 45 45 0 0 ],[0 0 36 36 0 ]);\npatch([10.875 18.1 23.1 28.1 33.1 23.1 15.875 10.875 ],[23.55 23.55 2" "8.55 23.55 28.55 28.55 28.55 23.55 ],[1 1 1 ]);\npatch([15.875 23.1 18.1 10.875 15.875 ],[18.55 18.55 23.55 23.55 " "18.55 ],[0.931 0.946 0.973 ]);\npatch([10.875 18.1 23.1 15.875 10.875 ],[13.55 13.55 18.55 18.55 13.55 ],[1 1 1 ])" ";\npatch([15.875 33.1 28.1 23.1 18.1 10.875 15.875 ],[8.55 8.55 13.55 8.55 13.55 13.55 8.55 ],[0.931 0.946 0.973 ]" ");\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label(" "'input',1,'a');\ncolor('black');port_label('input',2,'b');\ncolor('black');port_label('output',1,'\\bfa \\times b'" ",'texmode','on');\ncolor('black');disp('z^{-1}\\newline ','texmode','on');\ncolor('black');disp(' \\n ');\nfprintf" "('','COMMENT: end icon text');" } Block { BlockType Reference Name "Mult3" SID "590" Ports [2, 1] Position [180, 872, 225, 908] ShowName off LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Mult" SourceType "Xilinx Multiplier Block" infoedit "Hardware notes: To use the internal pipeline stage of the dedicated multiplier you must select 'Pipel" "ine for maximum performance'." precision "User Defined" arith_type "Signed (2's comp)" n_bits "24" bin_pt "22" quantization "Truncate" overflow "Flag as error" en off latency "1" dbl_ovrd off use_behavioral_HDL off opt "Speed" use_embedded off optimum_pipeline off xl_use_area off xl_area "[16 32 0 32 0 1 0]" pipeline "off" use_rpm "on" placement_style "Rectangular shape" has_advanced_control "0" sggui_pos "20,20,348,433" block_type "mult" block_version "9.1.01" sg_icon_stat "45,36,2,1,white,blue,0,82c891c1,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 45 45 0 0 ],[0 0 36 36 0 ],[0.77 0.82 0.9" "1 ]);\nplot([0 45 45 0 0 ],[0 0 36 36 0 ]);\npatch([10.875 18.1 23.1 28.1 33.1 23.1 15.875 10.875 ],[23.55 23.55 2" "8.55 23.55 28.55 28.55 28.55 23.55 ],[1 1 1 ]);\npatch([15.875 23.1 18.1 10.875 15.875 ],[18.55 18.55 23.55 23.55 " "18.55 ],[0.931 0.946 0.973 ]);\npatch([10.875 18.1 23.1 15.875 10.875 ],[13.55 13.55 18.55 18.55 13.55 ],[1 1 1 ])" ";\npatch([15.875 33.1 28.1 23.1 18.1 10.875 15.875 ],[8.55 8.55 13.55 8.55 13.55 13.55 8.55 ],[0.931 0.946 0.973 ]" ");\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label(" "'input',1,'a');\ncolor('black');port_label('input',2,'b');\ncolor('black');port_label('output',1,'\\bfa \\times b'" ",'texmode','on');\ncolor('black');disp('z^{-1}\\newline ','texmode','on');\ncolor('black');disp(' \\n ');\nfprintf" "('','COMMENT: end icon text');" } Block { BlockType Reference Name "Mult4" SID "591" Ports [2, 1] Position [180, 677, 225, 713] ShowName off LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Mult" SourceType "Xilinx Multiplier Block" infoedit "Hardware notes: To use the internal pipeline stage of the dedicated multiplier you must select 'Pipel" "ine for maximum performance'." precision "User Defined" arith_type "Signed (2's comp)" n_bits "24" bin_pt "22" quantization "Truncate" overflow "Flag as error" en off latency "1" dbl_ovrd off use_behavioral_HDL off opt "Speed" use_embedded off optimum_pipeline off xl_use_area off xl_area "[16 32 0 32 0 1 0]" pipeline "off" use_rpm "on" placement_style "Rectangular shape" has_advanced_control "0" sggui_pos "20,20,348,433" block_type "mult" block_version "9.1.01" sg_icon_stat "45,36,2,1,white,blue,0,82c891c1,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 45 45 0 0 ],[0 0 36 36 0 ],[0.77 0.82 0.9" "1 ]);\nplot([0 45 45 0 0 ],[0 0 36 36 0 ]);\npatch([10.875 18.1 23.1 28.1 33.1 23.1 15.875 10.875 ],[23.55 23.55 2" "8.55 23.55 28.55 28.55 28.55 23.55 ],[1 1 1 ]);\npatch([15.875 23.1 18.1 10.875 15.875 ],[18.55 18.55 23.55 23.55 " "18.55 ],[0.931 0.946 0.973 ]);\npatch([10.875 18.1 23.1 15.875 10.875 ],[13.55 13.55 18.55 18.55 13.55 ],[1 1 1 ])" ";\npatch([15.875 33.1 28.1 23.1 18.1 10.875 15.875 ],[8.55 8.55 13.55 8.55 13.55 13.55 8.55 ],[0.931 0.946 0.973 ]" ");\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label(" "'input',1,'a');\ncolor('black');port_label('input',2,'b');\ncolor('black');port_label('output',1,'\\bfa \\times b'" ",'texmode','on');\ncolor('black');disp('z^{-1}\\newline ','texmode','on');\ncolor('black');disp(' \\n ');\nfprintf" "('','COMMENT: end icon text');" } Block { BlockType Reference Name "Mult5" SID "592" Ports [2, 1] Position [180, 547, 225, 583] ShowName off LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Mult" SourceType "Xilinx Multiplier Block" infoedit "Hardware notes: To use the internal pipeline stage of the dedicated multiplier you must select 'Pipel" "ine for maximum performance'." precision "User Defined" arith_type "Signed (2's comp)" n_bits "24" bin_pt "22" quantization "Truncate" overflow "Flag as error" en off latency "1" dbl_ovrd off use_behavioral_HDL off opt "Speed" use_embedded off optimum_pipeline off xl_use_area off xl_area "[16 32 0 32 0 1 0]" pipeline "off" use_rpm "on" placement_style "Rectangular shape" has_advanced_control "0" sggui_pos "20,20,348,433" block_type "mult" block_version "9.1.01" sg_icon_stat "45,36,2,1,white,blue,0,82c891c1,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 45 45 0 0 ],[0 0 36 36 0 ],[0.77 0.82 0.9" "1 ]);\nplot([0 45 45 0 0 ],[0 0 36 36 0 ]);\npatch([10.875 18.1 23.1 28.1 33.1 23.1 15.875 10.875 ],[23.55 23.55 2" "8.55 23.55 28.55 28.55 28.55 23.55 ],[1 1 1 ]);\npatch([15.875 23.1 18.1 10.875 15.875 ],[18.55 18.55 23.55 23.55 " "18.55 ],[0.931 0.946 0.973 ]);\npatch([10.875 18.1 23.1 15.875 10.875 ],[13.55 13.55 18.55 18.55 13.55 ],[1 1 1 ])" ";\npatch([15.875 33.1 28.1 23.1 18.1 10.875 15.875 ],[8.55 8.55 13.55 8.55 13.55 13.55 8.55 ],[0.931 0.946 0.973 ]" ");\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label(" "'input',1,'a');\ncolor('black');port_label('input',2,'b');\ncolor('black');port_label('output',1,'\\bfa \\times b'" ",'texmode','on');\ncolor('black');disp('z^{-1}\\newline ','texmode','on');\ncolor('black');disp(' \\n ');\nfprintf" "('','COMMENT: end icon text');" } Block { BlockType Reference Name "Mult6" SID "593" Ports [2, 1] Position [180, 612, 225, 648] ShowName off LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Mult" SourceType "Xilinx Multiplier Block" infoedit "Hardware notes: To use the internal pipeline stage of the dedicated multiplier you must select 'Pipel" "ine for maximum performance'." precision "User Defined" arith_type "Signed (2's comp)" n_bits "24" bin_pt "22" quantization "Truncate" overflow "Flag as error" en off latency "1" dbl_ovrd off use_behavioral_HDL off opt "Speed" use_embedded off optimum_pipeline off xl_use_area off xl_area "[16 32 0 32 0 1 0]" pipeline "off" use_rpm "on" placement_style "Rectangular shape" has_advanced_control "0" sggui_pos "20,20,348,433" block_type "mult" block_version "9.1.01" sg_icon_stat "45,36,2,1,white,blue,0,82c891c1,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 45 45 0 0 ],[0 0 36 36 0 ],[0.77 0.82 0.9" "1 ]);\nplot([0 45 45 0 0 ],[0 0 36 36 0 ]);\npatch([10.875 18.1 23.1 28.1 33.1 23.1 15.875 10.875 ],[23.55 23.55 2" "8.55 23.55 28.55 28.55 28.55 23.55 ],[1 1 1 ]);\npatch([15.875 23.1 18.1 10.875 15.875 ],[18.55 18.55 23.55 23.55 " "18.55 ],[0.931 0.946 0.973 ]);\npatch([10.875 18.1 23.1 15.875 10.875 ],[13.55 13.55 18.55 18.55 13.55 ],[1 1 1 ])" ";\npatch([15.875 33.1 28.1 23.1 18.1 10.875 15.875 ],[8.55 8.55 13.55 8.55 13.55 13.55 8.55 ],[0.931 0.946 0.973 ]" ");\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label(" "'input',1,'a');\ncolor('black');port_label('input',2,'b');\ncolor('black');port_label('output',1,'\\bfa \\times b'" ",'texmode','on');\ncolor('black');disp('z^{-1}\\newline ','texmode','on');\ncolor('black');disp(' \\n ');\nfprintf" "('','COMMENT: end icon text');" } Block { BlockType Reference Name "Mult7" SID "594" Ports [2, 1] Position [180, 1002, 225, 1038] ShowName off LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Mult" SourceType "Xilinx Multiplier Block" infoedit "Hardware notes: To use the internal pipeline stage of the dedicated multiplier you must select 'Pipel" "ine for maximum performance'." precision "User Defined" arith_type "Signed (2's comp)" n_bits "24" bin_pt "22" quantization "Truncate" overflow "Flag as error" en off latency "1" dbl_ovrd off use_behavioral_HDL off opt "Speed" use_embedded off optimum_pipeline off xl_use_area off xl_area "[16 32 0 32 0 1 0]" pipeline "off" use_rpm "on" placement_style "Rectangular shape" has_advanced_control "0" sggui_pos "20,20,348,433" block_type "mult" block_version "9.1.01" sg_icon_stat "45,36,2,1,white,blue,0,82c891c1,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 45 45 0 0 ],[0 0 36 36 0 ],[0.77 0.82 0.9" "1 ]);\nplot([0 45 45 0 0 ],[0 0 36 36 0 ]);\npatch([10.875 18.1 23.1 28.1 33.1 23.1 15.875 10.875 ],[23.55 23.55 2" "8.55 23.55 28.55 28.55 28.55 23.55 ],[1 1 1 ]);\npatch([15.875 23.1 18.1 10.875 15.875 ],[18.55 18.55 23.55 23.55 " "18.55 ],[0.931 0.946 0.973 ]);\npatch([10.875 18.1 23.1 15.875 10.875 ],[13.55 13.55 18.55 18.55 13.55 ],[1 1 1 ])" ";\npatch([15.875 33.1 28.1 23.1 18.1 10.875 15.875 ],[8.55 8.55 13.55 8.55 13.55 13.55 8.55 ],[0.931 0.946 0.973 ]" ");\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label(" "'input',1,'a');\ncolor('black');port_label('input',2,'b');\ncolor('black');port_label('output',1,'\\bfa \\times b'" ",'texmode','on');\ncolor('black');disp('z^{-1}\\newline ','texmode','on');\ncolor('black');disp(' \\n ');\nfprintf" "('','COMMENT: end icon text');" } Block { BlockType Reference Name "Mult8" SID "595" Ports [2, 1] Position [180, 742, 225, 778] ShowName off LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Mult" SourceType "Xilinx Multiplier Block" infoedit "Hardware notes: To use the internal pipeline stage of the dedicated multiplier you must select 'Pipel" "ine for maximum performance'." precision "User Defined" arith_type "Signed (2's comp)" n_bits "24" bin_pt "22" quantization "Truncate" overflow "Flag as error" en off latency "1" dbl_ovrd off use_behavioral_HDL off opt "Speed" use_embedded off optimum_pipeline off xl_use_area off xl_area "[16 32 0 32 0 1 0]" pipeline "off" use_rpm "on" placement_style "Rectangular shape" has_advanced_control "0" sggui_pos "20,20,348,433" block_type "mult" block_version "9.1.01" sg_icon_stat "45,36,2,1,white,blue,0,82c891c1,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 45 45 0 0 ],[0 0 36 36 0 ],[0.77 0.82 0.9" "1 ]);\nplot([0 45 45 0 0 ],[0 0 36 36 0 ]);\npatch([10.875 18.1 23.1 28.1 33.1 23.1 15.875 10.875 ],[23.55 23.55 2" "8.55 23.55 28.55 28.55 28.55 23.55 ],[1 1 1 ]);\npatch([15.875 23.1 18.1 10.875 15.875 ],[18.55 18.55 23.55 23.55 " "18.55 ],[0.931 0.946 0.973 ]);\npatch([10.875 18.1 23.1 15.875 10.875 ],[13.55 13.55 18.55 18.55 13.55 ],[1 1 1 ])" ";\npatch([15.875 33.1 28.1 23.1 18.1 10.875 15.875 ],[8.55 8.55 13.55 8.55 13.55 13.55 8.55 ],[0.931 0.946 0.973 ]" ");\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label(" "'input',1,'a');\ncolor('black');port_label('input',2,'b');\ncolor('black');port_label('output',1,'\\bfa \\times b'" ",'texmode','on');\ncolor('black');disp('z^{-1}\\newline ','texmode','on');\ncolor('black');disp(' \\n ');\nfprintf" "('','COMMENT: end icon text');" } Block { BlockType Reference Name "Mult9" SID "596" Ports [2, 1] Position [180, 417, 225, 453] ShowName off LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Mult" SourceType "Xilinx Multiplier Block" infoedit "Hardware notes: To use the internal pipeline stage of the dedicated multiplier you must select 'Pipel" "ine for maximum performance'." precision "User Defined" arith_type "Signed (2's comp)" n_bits "24" bin_pt "22" quantization "Truncate" overflow "Flag as error" en off latency "1" dbl_ovrd off use_behavioral_HDL off opt "Speed" use_embedded off optimum_pipeline off xl_use_area off xl_area "[16 32 0 32 0 1 0]" pipeline "off" use_rpm "on" placement_style "Rectangular shape" has_advanced_control "0" sggui_pos "20,20,348,433" block_type "mult" block_version "9.1.01" sg_icon_stat "45,36,2,1,white,blue,0,82c891c1,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 45 45 0 0 ],[0 0 36 36 0 ],[0.77 0.82 0.9" "1 ]);\nplot([0 45 45 0 0 ],[0 0 36 36 0 ]);\npatch([10.875 18.1 23.1 28.1 33.1 23.1 15.875 10.875 ],[23.55 23.55 2" "8.55 23.55 28.55 28.55 28.55 23.55 ],[1 1 1 ]);\npatch([15.875 23.1 18.1 10.875 15.875 ],[18.55 18.55 23.55 23.55 " "18.55 ],[0.931 0.946 0.973 ]);\npatch([10.875 18.1 23.1 15.875 10.875 ],[13.55 13.55 18.55 18.55 13.55 ],[1 1 1 ])" ";\npatch([15.875 33.1 28.1 23.1 18.1 10.875 15.875 ],[8.55 8.55 13.55 8.55 13.55 13.55 8.55 ],[0.931 0.946 0.973 ]" ");\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label(" "'input',1,'a');\ncolor('black');port_label('input',2,'b');\ncolor('black');port_label('output',1,'\\bfa \\times b'" ",'texmode','on');\ncolor('black');disp('z^{-1}\\newline ','texmode','on');\ncolor('black');disp(' \\n ');\nfprintf" "('','COMMENT: end icon text');" } Block { BlockType Outport Name "Out1" SID "597" Position [250, 38, 280, 52] IconDisplay "Port number" } Block { BlockType Outport Name "Out2" SID "598" Position [250, 103, 280, 117] Port "2" IconDisplay "Port number" } Block { BlockType Outport Name "Out3" SID "599" Position [250, 168, 280, 182] Port "3" IconDisplay "Port number" } Block { BlockType Outport Name "Out4" SID "600" Position [250, 233, 280, 247] Port "4" IconDisplay "Port number" } Block { BlockType Outport Name "Out5" SID "601" Position [250, 298, 280, 312] Port "5" IconDisplay "Port number" } Block { BlockType Outport Name "Out6" SID "602" Position [250, 363, 280, 377] Port "6" IconDisplay "Port number" } Block { BlockType Outport Name "Out7" SID "603" Position [250, 428, 280, 442] Port "7" IconDisplay "Port number" } Block { BlockType Outport Name "Out8" SID "604" Position [250, 493, 280, 507] Port "8" IconDisplay "Port number" } Block { BlockType Outport Name "Out9" SID "605" Position [250, 558, 280, 572] Port "9" IconDisplay "Port number" } Block { BlockType Outport Name "Out10" SID "606" Position [250, 623, 280, 637] Port "10" IconDisplay "Port number" } Block { BlockType Outport Name "Out11" SID "607" Position [250, 688, 280, 702] Port "11" IconDisplay "Port number" } Block { BlockType Outport Name "Out12" SID "608" Position [250, 753, 280, 767] Port "12" IconDisplay "Port number" } Block { BlockType Outport Name "Out13" SID "609" Position [250, 818, 280, 832] Port "13" IconDisplay "Port number" } Block { BlockType Outport Name "Out14" SID "610" Position [250, 883, 280, 897] Port "14" IconDisplay "Port number" } Block { BlockType Outport Name "Out15" SID "611" Position [250, 948, 280, 962] Port "15" IconDisplay "Port number" } Block { BlockType Outport Name "Out16" SID "612" Position [250, 1013, 280, 1027] Port "16" IconDisplay "Port number" } Line { SrcBlock "Mult7" SrcPort 1 DstBlock "Out16" DstPort 1 } Line { SrcBlock "In16" SrcPort 1 DstBlock "Mult7" DstPort 1 } Line { SrcBlock "Mult1" SrcPort 1 DstBlock "Out15" DstPort 1 } Line { SrcBlock "In15" SrcPort 1 DstBlock "Mult1" DstPort 1 } Line { SrcBlock "Mult3" SrcPort 1 DstBlock "Out14" DstPort 1 } Line { SrcBlock "In14" SrcPort 1 DstBlock "Mult3" DstPort 1 } Line { SrcBlock "Mult2" SrcPort 1 DstBlock "Out13" DstPort 1 } Line { SrcBlock "In13" SrcPort 1 DstBlock "Mult2" DstPort 1 } Line { SrcBlock "Mult8" SrcPort 1 DstBlock "Out12" DstPort 1 } Line { SrcBlock "In12" SrcPort 1 DstBlock "Mult8" DstPort 1 } Line { SrcBlock "Mult4" SrcPort 1 DstBlock "Out11" DstPort 1 } Line { SrcBlock "In11" SrcPort 1 DstBlock "Mult4" DstPort 1 } Line { SrcBlock "Mult6" SrcPort 1 DstBlock "Out10" DstPort 1 } Line { SrcBlock "In10" SrcPort 1 DstBlock "Mult6" DstPort 1 } Line { SrcBlock "Mult5" SrcPort 1 DstBlock "Out9" DstPort 1 } Line { SrcBlock "In9" SrcPort 1 DstBlock "Mult5" DstPort 1 } Line { SrcBlock "Mult15" SrcPort 1 DstBlock "Out8" DstPort 1 } Line { SrcBlock "In8" SrcPort 1 DstBlock "Mult15" DstPort 1 } Line { SrcBlock "Mult9" SrcPort 1 DstBlock "Out7" DstPort 1 } Line { SrcBlock "In7" SrcPort 1 DstBlock "Mult9" DstPort 1 } Line { SrcBlock "Mult11" SrcPort 1 DstBlock "Out6" DstPort 1 } Line { SrcBlock "In6" SrcPort 1 DstBlock "Mult11" DstPort 1 } Line { SrcBlock "Mult10" SrcPort 1 DstBlock "Out5" DstPort 1 } Line { SrcBlock "In5" SrcPort 1 DstBlock "Mult10" DstPort 1 } Line { SrcBlock "Mult16" SrcPort 1 DstBlock "Out4" DstPort 1 } Line { SrcBlock "In4" SrcPort 1 DstBlock "Mult16" DstPort 1 } Line { SrcBlock "Mult12" SrcPort 1 DstBlock "Out3" DstPort 1 } Line { SrcBlock "In3" SrcPort 1 DstBlock "Mult12" DstPort 1 } Line { SrcBlock "Mult14" SrcPort 1 DstBlock "Out2" DstPort 1 } Line { SrcBlock "In2" SrcPort 1 DstBlock "Mult14" DstPort 1 } Line { SrcBlock "Mult13" SrcPort 1 DstBlock "Out1" DstPort 1 } Line { SrcBlock "In1" SrcPort 1 DstBlock "Mult13" DstPort 1 } Line { SrcBlock "From" SrcPort 1 DstBlock "Mult7" DstPort 2 } Line { SrcBlock "From2" SrcPort 1 DstBlock "Mult1" DstPort 2 } Line { SrcBlock "From3" SrcPort 1 DstBlock "Mult3" DstPort 2 } Line { SrcBlock "From4" SrcPort 1 DstBlock "Mult2" DstPort 2 } Line { SrcBlock "From5" SrcPort 1 DstBlock "Mult8" DstPort 2 } Line { SrcBlock "From6" SrcPort 1 DstBlock "Mult4" DstPort 2 } Line { SrcBlock "From7" SrcPort 1 DstBlock "Mult6" DstPort 2 } Line { SrcBlock "From8" SrcPort 1 DstBlock "Mult5" DstPort 2 } Line { SrcBlock "From9" SrcPort 1 DstBlock "Mult15" DstPort 2 } Line { SrcBlock "From10" SrcPort 1 DstBlock "Mult9" DstPort 2 } Line { SrcBlock "From11" SrcPort 1 DstBlock "Mult11" DstPort 2 } Line { SrcBlock "From12" SrcPort 1 DstBlock "Mult10" DstPort 2 } Line { SrcBlock "From16" SrcPort 1 DstBlock "Mult16" DstPort 2 } Line { SrcBlock "From13" SrcPort 1 DstBlock "Mult12" DstPort 2 } Line { SrcBlock "From14" SrcPort 1 DstBlock "Mult14" DstPort 2 } Line { SrcBlock "From15" SrcPort 1 DstBlock "Mult13" DstPort 2 } } } Block { BlockType Reference Name "Register" SID "613" Ports [2, 1] Position [1375, 458, 1420, 507] ShowName off LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Register" SourceType "Xilinx Register Block" init "0" rst off en on dbl_ovrd off xl_use_area off xl_area "[7 14 0 0 0 0 0]" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "register" block_version "9.1.01" sg_icon_stat "45,49,2,1,white,blue,0,6bd0930c,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 45 45 0 0 ],[0 0 49 49 0 ],[0.77 0" ".82 0.91 ]);\nplot([0 45 45 0 0 ],[0 0 49 49 0 ]);\npatch([8.65 17.32 23.32 29.32 35.32 23.32 14.65 8.65 ],[30." "66 30.66 36.66 30.66 36.66 36.66 36.66 30.66 ],[1 1 1 ]);\npatch([14.65 23.32 17.32 8.65 14.65 ],[24.66 24.66 3" "0.66 30.66 24.66 ],[0.931 0.946 0.973 ]);\npatch([8.65 17.32 23.32 14.65 8.65 ],[18.66 18.66 24.66 24.66 18.66 " "],[1 1 1 ]);\npatch([14.65 35.32 29.32 23.32 17.32 8.65 14.65 ],[12.66 12.66 18.66 12.66 18.66 18.66 12.66 ],[0" ".931 0.946 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor" "('black');port_label('input',1,'d');\ncolor('black');port_label('input',2,'en');\ncolor('black');port_label('ou" "tput',1,'q');\ncolor('black');disp('z^{-1}','texmode','on');\nfprintf('','COMMENT: end icon text');\n" } Block { BlockType Reference Name "Register1" SID "614" Ports [2, 1] Position [1375, 393, 1420, 442] ShowName off LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Register" SourceType "Xilinx Register Block" init "0" rst off en on dbl_ovrd off xl_use_area off xl_area "[7 14 0 0 0 0 0]" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "register" block_version "9.1.01" sg_icon_stat "45,49,2,1,white,blue,0,6bd0930c,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 45 45 0 0 ],[0 0 49 49 0 ],[0.77 0" ".82 0.91 ]);\nplot([0 45 45 0 0 ],[0 0 49 49 0 ]);\npatch([8.65 17.32 23.32 29.32 35.32 23.32 14.65 8.65 ],[30." "66 30.66 36.66 30.66 36.66 36.66 36.66 30.66 ],[1 1 1 ]);\npatch([14.65 23.32 17.32 8.65 14.65 ],[24.66 24.66 3" "0.66 30.66 24.66 ],[0.931 0.946 0.973 ]);\npatch([8.65 17.32 23.32 14.65 8.65 ],[18.66 18.66 24.66 24.66 18.66 " "],[1 1 1 ]);\npatch([14.65 35.32 29.32 23.32 17.32 8.65 14.65 ],[12.66 12.66 18.66 12.66 18.66 18.66 12.66 ],[0" ".931 0.946 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor" "('black');port_label('input',1,'d');\ncolor('black');port_label('input',2,'en');\ncolor('black');port_label('ou" "tput',1,'q');\ncolor('black');disp('z^{-1}','texmode','on');\nfprintf('','COMMENT: end icon text');\n" } Block { BlockType Reference Name "Relational" SID "615" Ports [2, 1] Position [850, 658, 895, 702] ShowName off LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Relational" SourceType "Xilinx Arithmetic Relational Operator Block" mode "a=b" en off latency "0" dbl_ovrd off xl_use_area off xl_area "[1 0 0 2 0 0 0]" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "relational" block_version "9.1.01" sg_icon_stat "45,44,2,1,white,blue,0,2a81ff49,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 45 45 0 0 ],[0 0 44 44 0 ],[0.77 0" ".82 0.91 ]);\nplot([0 45 45 0 0 ],[0 0 44 44 0 ]);\npatch([8.65 17.32 23.32 29.32 35.32 23.32 14.65 8.65 ],[28." "66 28.66 34.66 28.66 34.66 34.66 34.66 28.66 ],[1 1 1 ]);\npatch([14.65 23.32 17.32 8.65 14.65 ],[22.66 22.66 2" "8.66 28.66 22.66 ],[0.931 0.946 0.973 ]);\npatch([8.65 17.32 23.32 14.65 8.65 ],[16.66 16.66 22.66 22.66 16.66 " "],[1 1 1 ]);\npatch([14.65 35.32 29.32 23.32 17.32 8.65 14.65 ],[10.66 10.66 16.66 10.66 16.66 16.66 10.66 ],[0" ".931 0.946 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor" "('black');port_label('input',1,'a');\ncolor('black');port_label('input',2,'b');\ncolor('black');port_label('out" "put',1,'\\bfa = b','texmode','on');\ncolor('black');disp(' ');\nfprintf('','COMMENT: end icon text');" } Block { BlockType SubSystem Name "Sample\nRAMs" SID "616" Ports [3, 16] Position [430, 237, 490, 563] MinAlgLoopOccurrences off PropExecContextOutsideSubsystem off RTWSystemCode "Auto" FunctionWithSeparateData off Opaque off RequestExecContextInheritance off MaskHideContents off System { Name "Sample\nRAMs" Location [2, 70, 1918, 1108] Open off ModelBrowserVisibility off ModelBrowserWidth 200 ScreenColor "white" PaperOrientation "landscape" PaperPositionMode "auto" PaperType "usletter" PaperUnits "inches" TiledPaperMargins [0.500000, 0.500000, 0.500000, 0.500000] TiledPageScale 1 ShowPageBoundaries off ZoomFactor "100" Block { BlockType Inport Name "XA" SID "617" Position [40, 103, 70, 117] IconDisplay "Port number" } Block { BlockType Inport Name "XB" SID "618" Position [40, 423, 70, 437] Port "2" IconDisplay "Port number" } Block { BlockType Inport Name "Addr" SID "619" Position [25, 610, 55, 625] BlockRotation 270 Port "3" IconDisplay "Port number" } Block { BlockType SubSystem Name "MemoryA" SID "620" Ports [2, 16] Position [95, 30, 165, 350] NamePlacement "alternate" MinAlgLoopOccurrences off PropExecContextOutsideSubsystem off RTWSystemCode "Auto" FunctionWithSeparateData off Opaque off RequestExecContextInheritance off MaskHideContents off System { Name "MemoryA" Location [2, 70, 1902, 1108] Open off ModelBrowserVisibility off ModelBrowserWidth 200 ScreenColor "white" PaperOrientation "landscape" PaperPositionMode "auto" PaperType "usletter" PaperUnits "inches" TiledPaperMargins [0.500000, 0.500000, 0.500000, 0.500000] TiledPageScale 1 ShowPageBoundaries off ZoomFactor "100" Block { BlockType Inport Name "X" SID "621" Position [25, 913, 55, 927] IconDisplay "Port number" } Block { BlockType Inport Name "Addr" SID "622" Position [365, 1065, 395, 1080] BlockRotation 270 Port "2" IconDisplay "Port number" } Block { BlockType Reference Name "ASR 0" SID "623" Ports [2, 1] Position [450, 547, 500, 598] LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Addressable Shift Register" SourceType "Xilinx Addressable Shift Register Block" infoedit "Delay of configurable length. Any element in the delay\n line can be addressed and" " driven onto the output\n port.

Hardware notes: Implemented using SRL16s.\n If Virtex-4, V" "irtex-II or Spartan-3 devices are used, multiple SRLC16s are cascaded together." infer_latency on depth "2" initVector "[0]" en off dbl_ovrd off use_behavioral_HDL off Optimization "Resource" xl_use_area off xl_area "[7 0 0 14 0 0 0]" use_rpm "on" has_advanced_control "0" sggui_pos "20,20,348,389" block_type "addrsr" block_version "9.1.01" sg_icon_stat "50,51,2,1,white,blue,0,a352fb33,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 50 50 0 0 ],[0 0 51 51 0 ],[0.77 0.82" " 0.91 ]);\nplot([0 50 50 0 0 ],[0 0 51 51 0 ]);\npatch([9.425 19.54 26.54 33.54 40.54 26.54 16.425 9.425 ],[32.7" "7 32.77 39.77 32.77 39.77 39.77 39.77 32.77 ],[1 1 1 ]);\npatch([16.425 26.54 19.54 9.425 16.425 ],[25.77 25.77 " "32.77 32.77 25.77 ],[0.931 0.946 0.973 ]);\npatch([9.425 19.54 26.54 16.425 9.425 ],[18.77 18.77 25.77 25.77 18." "77 ],[1 1 1 ]);\npatch([16.425 40.54 33.54 26.54 19.54 9.425 16.425 ],[11.77 11.77 18.77 11.77 18.77 18.77 11.77" " ],[0.931 0.946 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\nc" "olor('black');port_label('input',1,'d');\ncolor('black');port_label('input',2,'addr');\ncolor('black');port_labe" "l('output',1,'q');\nfprintf('','COMMENT: end icon text');" } Block { BlockType Reference Name "ASR 1" SID "624" Ports [2, 1] Position [450, 612, 500, 663] LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Addressable Shift Register" SourceType "Xilinx Addressable Shift Register Block" infoedit "Delay of configurable length. Any element in the delay\n line can be addressed and" " driven onto the output\n port.

Hardware notes: Implemented using SRL16s.\n If Virtex-4, V" "irtex-II or Spartan-3 devices are used, multiple SRLC16s are cascaded together." infer_latency on depth "2" initVector "[0]" en off dbl_ovrd off use_behavioral_HDL off Optimization "Resource" xl_use_area off xl_area "[7 0 0 14 0 0 0]" use_rpm "on" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "addrsr" block_version "9.1.01" sg_icon_stat "50,51,2,1,white,blue,0,a352fb33,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 50 50 0 0 ],[0 0 51 51 0 ],[0.77 0.82" " 0.91 ]);\nplot([0 50 50 0 0 ],[0 0 51 51 0 ]);\npatch([9.425 19.54 26.54 33.54 40.54 26.54 16.425 9.425 ],[32.7" "7 32.77 39.77 32.77 39.77 39.77 39.77 32.77 ],[1 1 1 ]);\npatch([16.425 26.54 19.54 9.425 16.425 ],[25.77 25.77 " "32.77 32.77 25.77 ],[0.931 0.946 0.973 ]);\npatch([9.425 19.54 26.54 16.425 9.425 ],[18.77 18.77 25.77 25.77 18." "77 ],[1 1 1 ]);\npatch([16.425 40.54 33.54 26.54 19.54 9.425 16.425 ],[11.77 11.77 18.77 11.77 18.77 18.77 11.77" " ],[0.931 0.946 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\nc" "olor('black');port_label('input',1,'d');\ncolor('black');port_label('input',2,'addr');\ncolor('black');port_labe" "l('output',1,'q');\nfprintf('','COMMENT: end icon text');" } Block { BlockType Reference Name "ASR 10" SID "625" Ports [2, 1] Position [450, 157, 500, 208] LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Addressable Shift Register" SourceType "Xilinx Addressable Shift Register Block" infoedit "Delay of configurable length. Any element in the delay\n line can be addressed and" " driven onto the output\n port.

Hardware notes: Implemented using SRL16s.\n If Virtex-4, V" "irtex-II or Spartan-3 devices are used, multiple SRLC16s are cascaded together." infer_latency on depth "2" initVector "[0]" en off dbl_ovrd off use_behavioral_HDL off Optimization "Resource" xl_use_area off xl_area "[7 0 0 14 0 0 0]" use_rpm "on" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "addrsr" block_version "9.1.01" sg_icon_stat "50,51,2,1,white,blue,0,a352fb33,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 50 50 0 0 ],[0 0 51 51 0 ],[0.77 0.82" " 0.91 ]);\nplot([0 50 50 0 0 ],[0 0 51 51 0 ]);\npatch([9.425 19.54 26.54 33.54 40.54 26.54 16.425 9.425 ],[32.7" "7 32.77 39.77 32.77 39.77 39.77 39.77 32.77 ],[1 1 1 ]);\npatch([16.425 26.54 19.54 9.425 16.425 ],[25.77 25.77 " "32.77 32.77 25.77 ],[0.931 0.946 0.973 ]);\npatch([9.425 19.54 26.54 16.425 9.425 ],[18.77 18.77 25.77 25.77 18." "77 ],[1 1 1 ]);\npatch([16.425 40.54 33.54 26.54 19.54 9.425 16.425 ],[11.77 11.77 18.77 11.77 18.77 18.77 11.77" " ],[0.931 0.946 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\nc" "olor('black');port_label('input',1,'d');\ncolor('black');port_label('input',2,'addr');\ncolor('black');port_labe" "l('output',1,'q');\nfprintf('','COMMENT: end icon text');" } Block { BlockType Reference Name "ASR 11" SID "626" Ports [2, 1] Position [450, 222, 500, 273] LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Addressable Shift Register" SourceType "Xilinx Addressable Shift Register Block" infoedit "Delay of configurable length. Any element in the delay\n line can be addressed and" " driven onto the output\n port.

Hardware notes: Implemented using SRL16s.\n If Virtex-4, V" "irtex-II or Spartan-3 devices are used, multiple SRLC16s are cascaded together." infer_latency on depth "2" initVector "[0]" en off dbl_ovrd off use_behavioral_HDL off Optimization "Resource" xl_use_area off xl_area "[7 0 0 14 0 0 0]" use_rpm "on" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "addrsr" block_version "9.1.01" sg_icon_stat "50,51,2,1,white,blue,0,a352fb33,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 50 50 0 0 ],[0 0 51 51 0 ],[0.77 0.82" " 0.91 ]);\nplot([0 50 50 0 0 ],[0 0 51 51 0 ]);\npatch([9.425 19.54 26.54 33.54 40.54 26.54 16.425 9.425 ],[32.7" "7 32.77 39.77 32.77 39.77 39.77 39.77 32.77 ],[1 1 1 ]);\npatch([16.425 26.54 19.54 9.425 16.425 ],[25.77 25.77 " "32.77 32.77 25.77 ],[0.931 0.946 0.973 ]);\npatch([9.425 19.54 26.54 16.425 9.425 ],[18.77 18.77 25.77 25.77 18." "77 ],[1 1 1 ]);\npatch([16.425 40.54 33.54 26.54 19.54 9.425 16.425 ],[11.77 11.77 18.77 11.77 18.77 18.77 11.77" " ],[0.931 0.946 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\nc" "olor('black');port_label('input',1,'d');\ncolor('black');port_label('input',2,'addr');\ncolor('black');port_labe" "l('output',1,'q');\nfprintf('','COMMENT: end icon text');" } Block { BlockType Reference Name "ASR 12" SID "627" Ports [2, 1] Position [450, 287, 500, 338] LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Addressable Shift Register" SourceType "Xilinx Addressable Shift Register Block" infoedit "Delay of configurable length. Any element in the delay\n line can be addressed and" " driven onto the output\n port.

Hardware notes: Implemented using SRL16s.\n If Virtex-4, V" "irtex-II or Spartan-3 devices are used, multiple SRLC16s are cascaded together." infer_latency on depth "2" initVector "[0]" en off dbl_ovrd off use_behavioral_HDL off Optimization "Resource" xl_use_area off xl_area "[7 0 0 14 0 0 0]" use_rpm "on" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "addrsr" block_version "9.1.01" sg_icon_stat "50,51,2,1,white,blue,0,a352fb33,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 50 50 0 0 ],[0 0 51 51 0 ],[0.77 0.82" " 0.91 ]);\nplot([0 50 50 0 0 ],[0 0 51 51 0 ]);\npatch([9.425 19.54 26.54 33.54 40.54 26.54 16.425 9.425 ],[32.7" "7 32.77 39.77 32.77 39.77 39.77 39.77 32.77 ],[1 1 1 ]);\npatch([16.425 26.54 19.54 9.425 16.425 ],[25.77 25.77 " "32.77 32.77 25.77 ],[0.931 0.946 0.973 ]);\npatch([9.425 19.54 26.54 16.425 9.425 ],[18.77 18.77 25.77 25.77 18." "77 ],[1 1 1 ]);\npatch([16.425 40.54 33.54 26.54 19.54 9.425 16.425 ],[11.77 11.77 18.77 11.77 18.77 18.77 11.77" " ],[0.931 0.946 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\nc" "olor('black');port_label('input',1,'d');\ncolor('black');port_label('input',2,'addr');\ncolor('black');port_labe" "l('output',1,'q');\nfprintf('','COMMENT: end icon text');" } Block { BlockType Reference Name "ASR 13" SID "628" Ports [2, 1] Position [450, 352, 500, 403] LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Addressable Shift Register" SourceType "Xilinx Addressable Shift Register Block" infoedit "Delay of configurable length. Any element in the delay\n line can be addressed and" " driven onto the output\n port.

Hardware notes: Implemented using SRL16s.\n If Virtex-4, V" "irtex-II or Spartan-3 devices are used, multiple SRLC16s are cascaded together." infer_latency on depth "2" initVector "[0]" en off dbl_ovrd off use_behavioral_HDL off Optimization "Resource" xl_use_area off xl_area "[7 0 0 14 0 0 0]" use_rpm "on" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "addrsr" block_version "9.1.01" sg_icon_stat "50,51,2,1,white,blue,0,a352fb33,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 50 50 0 0 ],[0 0 51 51 0 ],[0.77 0.82" " 0.91 ]);\nplot([0 50 50 0 0 ],[0 0 51 51 0 ]);\npatch([9.425 19.54 26.54 33.54 40.54 26.54 16.425 9.425 ],[32.7" "7 32.77 39.77 32.77 39.77 39.77 39.77 32.77 ],[1 1 1 ]);\npatch([16.425 26.54 19.54 9.425 16.425 ],[25.77 25.77 " "32.77 32.77 25.77 ],[0.931 0.946 0.973 ]);\npatch([9.425 19.54 26.54 16.425 9.425 ],[18.77 18.77 25.77 25.77 18." "77 ],[1 1 1 ]);\npatch([16.425 40.54 33.54 26.54 19.54 9.425 16.425 ],[11.77 11.77 18.77 11.77 18.77 18.77 11.77" " ],[0.931 0.946 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\nc" "olor('black');port_label('input',1,'d');\ncolor('black');port_label('input',2,'addr');\ncolor('black');port_labe" "l('output',1,'q');\nfprintf('','COMMENT: end icon text');" } Block { BlockType Reference Name "ASR 14" SID "629" Ports [2, 1] Position [450, 417, 500, 468] LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Addressable Shift Register" SourceType "Xilinx Addressable Shift Register Block" infoedit "Delay of configurable length. Any element in the delay\n line can be addressed and" " driven onto the output\n port.

Hardware notes: Implemented using SRL16s.\n If Virtex-4, V" "irtex-II or Spartan-3 devices are used, multiple SRLC16s are cascaded together." infer_latency on depth "2" initVector "[0]" en off dbl_ovrd off use_behavioral_HDL off Optimization "Resource" xl_use_area off xl_area "[7 0 0 14 0 0 0]" use_rpm "on" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "addrsr" block_version "9.1.01" sg_icon_stat "50,51,2,1,white,blue,0,a352fb33,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 50 50 0 0 ],[0 0 51 51 0 ],[0.77 0.82" " 0.91 ]);\nplot([0 50 50 0 0 ],[0 0 51 51 0 ]);\npatch([9.425 19.54 26.54 33.54 40.54 26.54 16.425 9.425 ],[32.7" "7 32.77 39.77 32.77 39.77 39.77 39.77 32.77 ],[1 1 1 ]);\npatch([16.425 26.54 19.54 9.425 16.425 ],[25.77 25.77 " "32.77 32.77 25.77 ],[0.931 0.946 0.973 ]);\npatch([9.425 19.54 26.54 16.425 9.425 ],[18.77 18.77 25.77 25.77 18." "77 ],[1 1 1 ]);\npatch([16.425 40.54 33.54 26.54 19.54 9.425 16.425 ],[11.77 11.77 18.77 11.77 18.77 18.77 11.77" " ],[0.931 0.946 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\nc" "olor('black');port_label('input',1,'d');\ncolor('black');port_label('input',2,'addr');\ncolor('black');port_labe" "l('output',1,'q');\nfprintf('','COMMENT: end icon text');" } Block { BlockType Reference Name "ASR 15" SID "630" Ports [2, 1] Position [450, 482, 500, 533] LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Addressable Shift Register" SourceType "Xilinx Addressable Shift Register Block" infoedit "Delay of configurable length. Any element in the delay\n line can be addressed and" " driven onto the output\n port.

Hardware notes: Implemented using SRL16s.\n If Virtex-4, V" "irtex-II or Spartan-3 devices are used, multiple SRLC16s are cascaded together." infer_latency on depth "2" initVector "[0]" en off dbl_ovrd off use_behavioral_HDL off Optimization "Resource" xl_use_area off xl_area "[7 0 0 14 0 0 0]" use_rpm "on" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "addrsr" block_version "9.1.01" sg_icon_stat "50,51,2,1,white,blue,0,a352fb33,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 50 50 0 0 ],[0 0 51 51 0 ],[0.77 0.82" " 0.91 ]);\nplot([0 50 50 0 0 ],[0 0 51 51 0 ]);\npatch([9.425 19.54 26.54 33.54 40.54 26.54 16.425 9.425 ],[32.7" "7 32.77 39.77 32.77 39.77 39.77 39.77 32.77 ],[1 1 1 ]);\npatch([16.425 26.54 19.54 9.425 16.425 ],[25.77 25.77 " "32.77 32.77 25.77 ],[0.931 0.946 0.973 ]);\npatch([9.425 19.54 26.54 16.425 9.425 ],[18.77 18.77 25.77 25.77 18." "77 ],[1 1 1 ]);\npatch([16.425 40.54 33.54 26.54 19.54 9.425 16.425 ],[11.77 11.77 18.77 11.77 18.77 18.77 11.77" " ],[0.931 0.946 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\nc" "olor('black');port_label('input',1,'d');\ncolor('black');port_label('input',2,'addr');\ncolor('black');port_labe" "l('output',1,'q');\nfprintf('','COMMENT: end icon text');" } Block { BlockType Reference Name "ASR 2" SID "631" Ports [2, 1] Position [450, 677, 500, 728] LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Addressable Shift Register" SourceType "Xilinx Addressable Shift Register Block" infoedit "Delay of configurable length. Any element in the delay\n line can be addressed and" " driven onto the output\n port.

Hardware notes: Implemented using SRL16s.\n If Virtex-4, V" "irtex-II or Spartan-3 devices are used, multiple SRLC16s are cascaded together." infer_latency on depth "2" initVector "[0]" en off dbl_ovrd off use_behavioral_HDL off Optimization "Resource" xl_use_area off xl_area "[7 0 0 14 0 0 0]" use_rpm "on" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "addrsr" block_version "9.1.01" sg_icon_stat "50,51,2,1,white,blue,0,a352fb33,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 50 50 0 0 ],[0 0 51 51 0 ],[0.77 0.82" " 0.91 ]);\nplot([0 50 50 0 0 ],[0 0 51 51 0 ]);\npatch([9.425 19.54 26.54 33.54 40.54 26.54 16.425 9.425 ],[32.7" "7 32.77 39.77 32.77 39.77 39.77 39.77 32.77 ],[1 1 1 ]);\npatch([16.425 26.54 19.54 9.425 16.425 ],[25.77 25.77 " "32.77 32.77 25.77 ],[0.931 0.946 0.973 ]);\npatch([9.425 19.54 26.54 16.425 9.425 ],[18.77 18.77 25.77 25.77 18." "77 ],[1 1 1 ]);\npatch([16.425 40.54 33.54 26.54 19.54 9.425 16.425 ],[11.77 11.77 18.77 11.77 18.77 18.77 11.77" " ],[0.931 0.946 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\nc" "olor('black');port_label('input',1,'d');\ncolor('black');port_label('input',2,'addr');\ncolor('black');port_labe" "l('output',1,'q');\nfprintf('','COMMENT: end icon text');" } Block { BlockType Reference Name "ASR 3" SID "632" Ports [2, 1] Position [450, 741, 500, 794] LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Addressable Shift Register" SourceType "Xilinx Addressable Shift Register Block" infoedit "Delay of configurable length. Any element in the delay\n line can be addressed and" " driven onto the output\n port.

Hardware notes: Implemented using SRL16s.\n If Virtex-4, V" "irtex-II or Spartan-3 devices are used, multiple SRLC16s are cascaded together." infer_latency on depth "2" initVector "[0]" en off dbl_ovrd off use_behavioral_HDL off Optimization "Resource" xl_use_area off xl_area "[7 0 0 14 0 0 0]" use_rpm "on" has_advanced_control "0" sggui_pos "20,20,348,389" block_type "addrsr" block_version "9.1.01" sg_icon_stat "50,53,2,1,white,blue,0,a352fb33,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 50 50 0 0 ],[0 0 53 53 0 ],[0.77 0.82" " 0.91 ]);\nplot([0 50 50 0 0 ],[0 0 53 53 0 ]);\npatch([9.425 19.54 26.54 33.54 40.54 26.54 16.425 9.425 ],[33.7" "7 33.77 40.77 33.77 40.77 40.77 40.77 33.77 ],[1 1 1 ]);\npatch([16.425 26.54 19.54 9.425 16.425 ],[26.77 26.77 " "33.77 33.77 26.77 ],[0.931 0.946 0.973 ]);\npatch([9.425 19.54 26.54 16.425 9.425 ],[19.77 19.77 26.77 26.77 19." "77 ],[1 1 1 ]);\npatch([16.425 40.54 33.54 26.54 19.54 9.425 16.425 ],[12.77 12.77 19.77 12.77 19.77 19.77 12.77" " ],[0.931 0.946 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\nc" "olor('black');port_label('input',1,'d');\ncolor('black');port_label('input',2,'addr');\ncolor('black');port_labe" "l('output',1,'q');\nfprintf('','COMMENT: end icon text');" } Block { BlockType Reference Name "ASR 4" SID "633" Ports [2, 1] Position [450, 807, 500, 858] LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Addressable Shift Register" SourceType "Xilinx Addressable Shift Register Block" infoedit "Delay of configurable length. Any element in the delay\n line can be addressed and" " driven onto the output\n port.

Hardware notes: Implemented using SRL16s.\n If Virtex-4, V" "irtex-II or Spartan-3 devices are used, multiple SRLC16s are cascaded together." infer_latency on depth "2" initVector "[0]" en off dbl_ovrd off use_behavioral_HDL off Optimization "Resource" xl_use_area off xl_area "[7 0 0 14 0 0 0]" use_rpm "on" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "addrsr" block_version "9.1.01" sg_icon_stat "50,51,2,1,white,blue,0,a352fb33,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 50 50 0 0 ],[0 0 51 51 0 ],[0.77 0.82" " 0.91 ]);\nplot([0 50 50 0 0 ],[0 0 51 51 0 ]);\npatch([9.425 19.54 26.54 33.54 40.54 26.54 16.425 9.425 ],[32.7" "7 32.77 39.77 32.77 39.77 39.77 39.77 32.77 ],[1 1 1 ]);\npatch([16.425 26.54 19.54 9.425 16.425 ],[25.77 25.77 " "32.77 32.77 25.77 ],[0.931 0.946 0.973 ]);\npatch([9.425 19.54 26.54 16.425 9.425 ],[18.77 18.77 25.77 25.77 18." "77 ],[1 1 1 ]);\npatch([16.425 40.54 33.54 26.54 19.54 9.425 16.425 ],[11.77 11.77 18.77 11.77 18.77 18.77 11.77" " ],[0.931 0.946 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\nc" "olor('black');port_label('input',1,'d');\ncolor('black');port_label('input',2,'addr');\ncolor('black');port_labe" "l('output',1,'q');\nfprintf('','COMMENT: end icon text');" } Block { BlockType Reference Name "ASR 5" SID "634" Ports [2, 1] Position [450, 872, 500, 923] LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Addressable Shift Register" SourceType "Xilinx Addressable Shift Register Block" infoedit "Delay of configurable length. Any element in the delay\n line can be addressed and" " driven onto the output\n port.

Hardware notes: Implemented using SRL16s.\n If Virtex-4, V" "irtex-II or Spartan-3 devices are used, multiple SRLC16s are cascaded together." infer_latency on depth "2" initVector "[0]" en off dbl_ovrd off use_behavioral_HDL off Optimization "Resource" xl_use_area off xl_area "[7 0 0 14 0 0 0]" use_rpm "on" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "addrsr" block_version "9.1.01" sg_icon_stat "50,51,2,1,white,blue,0,a352fb33,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 50 50 0 0 ],[0 0 51 51 0 ],[0.77 0.82" " 0.91 ]);\nplot([0 50 50 0 0 ],[0 0 51 51 0 ]);\npatch([9.425 19.54 26.54 33.54 40.54 26.54 16.425 9.425 ],[32.7" "7 32.77 39.77 32.77 39.77 39.77 39.77 32.77 ],[1 1 1 ]);\npatch([16.425 26.54 19.54 9.425 16.425 ],[25.77 25.77 " "32.77 32.77 25.77 ],[0.931 0.946 0.973 ]);\npatch([9.425 19.54 26.54 16.425 9.425 ],[18.77 18.77 25.77 25.77 18." "77 ],[1 1 1 ]);\npatch([16.425 40.54 33.54 26.54 19.54 9.425 16.425 ],[11.77 11.77 18.77 11.77 18.77 18.77 11.77" " ],[0.931 0.946 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\nc" "olor('black');port_label('input',1,'d');\ncolor('black');port_label('input',2,'addr');\ncolor('black');port_labe" "l('output',1,'q');\nfprintf('','COMMENT: end icon text');" } Block { BlockType Reference Name "ASR 6" SID "635" Ports [2, 1] Position [450, 937, 500, 988] LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Addressable Shift Register" SourceType "Xilinx Addressable Shift Register Block" infoedit "Delay of configurable length. Any element in the delay\n line can be addressed and" " driven onto the output\n port.

Hardware notes: Implemented using SRL16s.\n If Virtex-4, V" "irtex-II or Spartan-3 devices are used, multiple SRLC16s are cascaded together." infer_latency on depth "2" initVector "[0]" en off dbl_ovrd off use_behavioral_HDL off Optimization "Resource" xl_use_area off xl_area "[7 0 0 14 0 0 0]" use_rpm "on" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "addrsr" block_version "9.1.01" sg_icon_stat "50,51,2,1,white,blue,0,a352fb33,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 50 50 0 0 ],[0 0 51 51 0 ],[0.77 0.82" " 0.91 ]);\nplot([0 50 50 0 0 ],[0 0 51 51 0 ]);\npatch([9.425 19.54 26.54 33.54 40.54 26.54 16.425 9.425 ],[32.7" "7 32.77 39.77 32.77 39.77 39.77 39.77 32.77 ],[1 1 1 ]);\npatch([16.425 26.54 19.54 9.425 16.425 ],[25.77 25.77 " "32.77 32.77 25.77 ],[0.931 0.946 0.973 ]);\npatch([9.425 19.54 26.54 16.425 9.425 ],[18.77 18.77 25.77 25.77 18." "77 ],[1 1 1 ]);\npatch([16.425 40.54 33.54 26.54 19.54 9.425 16.425 ],[11.77 11.77 18.77 11.77 18.77 18.77 11.77" " ],[0.931 0.946 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\nc" "olor('black');port_label('input',1,'d');\ncolor('black');port_label('input',2,'addr');\ncolor('black');port_labe" "l('output',1,'q');\nfprintf('','COMMENT: end icon text');" } Block { BlockType Reference Name "ASR 7" SID "636" Ports [2, 1] Position [450, 1002, 500, 1053] LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Addressable Shift Register" SourceType "Xilinx Addressable Shift Register Block" infoedit "Delay of configurable length. Any element in the delay\n line can be addressed and" " driven onto the output\n port.

Hardware notes: Implemented using SRL16s.\n If Virtex-4, V" "irtex-II or Spartan-3 devices are used, multiple SRLC16s are cascaded together." infer_latency on depth "2" initVector "[0]" en off dbl_ovrd off use_behavioral_HDL off Optimization "Resource" xl_use_area off xl_area "[7 0 0 14 0 0 0]" use_rpm "on" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "addrsr" block_version "9.1.01" sg_icon_stat "50,51,2,1,white,blue,0,a352fb33,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 50 50 0 0 ],[0 0 51 51 0 ],[0.77 0.82" " 0.91 ]);\nplot([0 50 50 0 0 ],[0 0 51 51 0 ]);\npatch([9.425 19.54 26.54 33.54 40.54 26.54 16.425 9.425 ],[32.7" "7 32.77 39.77 32.77 39.77 39.77 39.77 32.77 ],[1 1 1 ]);\npatch([16.425 26.54 19.54 9.425 16.425 ],[25.77 25.77 " "32.77 32.77 25.77 ],[0.931 0.946 0.973 ]);\npatch([9.425 19.54 26.54 16.425 9.425 ],[18.77 18.77 25.77 25.77 18." "77 ],[1 1 1 ]);\npatch([16.425 40.54 33.54 26.54 19.54 9.425 16.425 ],[11.77 11.77 18.77 11.77 18.77 18.77 11.77" " ],[0.931 0.946 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\nc" "olor('black');port_label('input',1,'d');\ncolor('black');port_label('input',2,'addr');\ncolor('black');port_labe" "l('output',1,'q');\nfprintf('','COMMENT: end icon text');" } Block { BlockType Reference Name "ASR 8" SID "637" Ports [2, 1] Position [450, 27, 500, 78] LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Addressable Shift Register" SourceType "Xilinx Addressable Shift Register Block" infoedit "Delay of configurable length. Any element in the delay\n line can be addressed and" " driven onto the output\n port.

Hardware notes: Implemented using SRL16s.\n If Virtex-4, V" "irtex-II or Spartan-3 devices are used, multiple SRLC16s are cascaded together." infer_latency on depth "2" initVector "[0]" en off dbl_ovrd off use_behavioral_HDL off Optimization "Resource" xl_use_area off xl_area "[7 0 0 14 0 0 0]" use_rpm "on" has_advanced_control "0" sggui_pos "20,20,348,389" block_type "addrsr" block_version "9.1.01" sg_icon_stat "50,51,2,1,white,blue,0,a352fb33,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 50 50 0 0 ],[0 0 51 51 0 ],[0.77 0.82" " 0.91 ]);\nplot([0 50 50 0 0 ],[0 0 51 51 0 ]);\npatch([9.425 19.54 26.54 33.54 40.54 26.54 16.425 9.425 ],[32.7" "7 32.77 39.77 32.77 39.77 39.77 39.77 32.77 ],[1 1 1 ]);\npatch([16.425 26.54 19.54 9.425 16.425 ],[25.77 25.77 " "32.77 32.77 25.77 ],[0.931 0.946 0.973 ]);\npatch([9.425 19.54 26.54 16.425 9.425 ],[18.77 18.77 25.77 25.77 18." "77 ],[1 1 1 ]);\npatch([16.425 40.54 33.54 26.54 19.54 9.425 16.425 ],[11.77 11.77 18.77 11.77 18.77 18.77 11.77" " ],[0.931 0.946 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\nc" "olor('black');port_label('input',1,'d');\ncolor('black');port_label('input',2,'addr');\ncolor('black');port_labe" "l('output',1,'q');\nfprintf('','COMMENT: end icon text');" } Block { BlockType Reference Name "ASR 9" SID "638" Ports [2, 1] Position [450, 92, 500, 143] LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Addressable Shift Register" SourceType "Xilinx Addressable Shift Register Block" infoedit "Delay of configurable length. Any element in the delay\n line can be addressed and" " driven onto the output\n port.

Hardware notes: Implemented using SRL16s.\n If Virtex-4, V" "irtex-II or Spartan-3 devices are used, multiple SRLC16s are cascaded together." infer_latency on depth "2" initVector "[0]" en off dbl_ovrd off use_behavioral_HDL off Optimization "Resource" xl_use_area off xl_area "[7 0 0 14 0 0 0]" use_rpm "on" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "addrsr" block_version "9.1.01" sg_icon_stat "50,51,2,1,white,blue,0,a352fb33,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 50 50 0 0 ],[0 0 51 51 0 ],[0.77 0.82" " 0.91 ]);\nplot([0 50 50 0 0 ],[0 0 51 51 0 ]);\npatch([9.425 19.54 26.54 33.54 40.54 26.54 16.425 9.425 ],[32.7" "7 32.77 39.77 32.77 39.77 39.77 39.77 32.77 ],[1 1 1 ]);\npatch([16.425 26.54 19.54 9.425 16.425 ],[25.77 25.77 " "32.77 32.77 25.77 ],[0.931 0.946 0.973 ]);\npatch([9.425 19.54 26.54 16.425 9.425 ],[18.77 18.77 25.77 25.77 18." "77 ],[1 1 1 ]);\npatch([16.425 40.54 33.54 26.54 19.54 9.425 16.425 ],[11.77 11.77 18.77 11.77 18.77 18.77 11.77" " ],[0.931 0.946 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\nc" "olor('black');port_label('input',1,'d');\ncolor('black');port_label('input',2,'addr');\ncolor('black');port_labe" "l('output',1,'q');\nfprintf('','COMMENT: end icon text');" } Block { BlockType Reference Name "Delay1" SID "639" Ports [1, 1] Position [332, 780, 348, 805] BlockRotation 270 ShowName off LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Delay" SourceType "Xilinx Delay Block" infoedit "Hardware notes: A delay line is a chain, each link of which is an SRL16 followed by a flip-flop." rst off infoeditControl "Selection of Reset will increase slice count due to use of real FFs and instead of SRLs" en off latency "4" dbl_ovrd off reg_retiming off xl_use_area off xl_area "[7 14 0 14 0 0 0]" has_advanced_control "0" sggui_pos "20,20,348,255" block_type "delay" block_version "9.1.01" sg_icon_stat "16,25,1,1,white,blue,0,d390c2d8,up,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 16 16 0 0 ],[0 0 25 25 0 ],[0.77 0.82" " 0.91 ]);\nplot([0 16 16 0 0 ],[0 0 25 25 0 ]);\npatch([3.55 6.44 8.44 10.44 12.44 8.44 5.55 3.55 ],[14.22 14.22" " 16.22 14.22 16.22 16.22 16.22 14.22 ],[1 1 1 ]);\npatch([5.55 8.44 6.44 3.55 5.55 ],[12.22 12.22 14.22 14.22 12" ".22 ],[0.931 0.946 0.973 ]);\npatch([3.55 6.44 8.44 5.55 3.55 ],[10.22 10.22 12.22 12.22 10.22 ],[1 1 1 ]);\npat" "ch([5.55 12.44 10.44 8.44 6.44 3.55 5.55 ],[8.22 8.22 10.22 8.22 10.22 10.22 8.22 ],[0.931 0.946 0.973 ]);\nfpri" "ntf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\n\n\ncolor('black');disp('z^{-4}'" ",'texmode','on');\nfprintf('','COMMENT: end icon text');" } Block { BlockType Reference Name "Delay10" SID "640" Ports [1, 1] Position [332, 260, 348, 285] BlockRotation 270 ShowName off LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Delay" SourceType "Xilinx Delay Block" infoedit "Hardware notes: A delay line is a chain, each link of which is an SRL16 followed by a flip-flop." rst off infoeditControl "Selection of Reset will increase slice count due to use of real FFs and instead of SRLs" en off latency "4" dbl_ovrd off reg_retiming off xl_use_area off xl_area "[7 14 0 14 0 0 0]" has_advanced_control "0" sggui_pos "20,20,348,255" block_type "delay" block_version "9.1.01" sg_icon_stat "16,25,1,1,white,blue,0,d390c2d8,up,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 16 16 0 0 ],[0 0 25 25 0 ],[0.77 0.82" " 0.91 ]);\nplot([0 16 16 0 0 ],[0 0 25 25 0 ]);\npatch([3.55 6.44 8.44 10.44 12.44 8.44 5.55 3.55 ],[14.22 14.22" " 16.22 14.22 16.22 16.22 16.22 14.22 ],[1 1 1 ]);\npatch([5.55 8.44 6.44 3.55 5.55 ],[12.22 12.22 14.22 14.22 12" ".22 ],[0.931 0.946 0.973 ]);\npatch([3.55 6.44 8.44 5.55 3.55 ],[10.22 10.22 12.22 12.22 10.22 ],[1 1 1 ]);\npat" "ch([5.55 12.44 10.44 8.44 6.44 3.55 5.55 ],[8.22 8.22 10.22 8.22 10.22 10.22 8.22 ],[0.931 0.946 0.973 ]);\nfpri" "ntf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\n\n\ncolor('black');disp('z^{-4}'" ",'texmode','on');\nfprintf('','COMMENT: end icon text');" } Block { BlockType Reference Name "Delay11" SID "641" Ports [1, 1] Position [312, 260, 328, 285] BlockRotation 270 ShowName off LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Delay" SourceType "Xilinx Delay Block" infoedit "Hardware notes: A delay line is a chain, each link of which is an SRL16 followed by a flip-flop." rst off infoeditControl "Selection of Reset will increase slice count due to use of real FFs and instead of SRLs" en off latency "4" dbl_ovrd off reg_retiming off xl_use_area off xl_area "[7 14 0 14 0 0 0]" has_advanced_control "0" sggui_pos "20,20,348,255" block_type "delay" block_version "9.1.01" sg_icon_stat "16,25,1,1,white,blue,0,d390c2d8,up,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 16 16 0 0 ],[0 0 25 25 0 ],[0.77 0.82" " 0.91 ]);\nplot([0 16 16 0 0 ],[0 0 25 25 0 ]);\npatch([3.55 6.44 8.44 10.44 12.44 8.44 5.55 3.55 ],[14.22 14.22" " 16.22 14.22 16.22 16.22 16.22 14.22 ],[1 1 1 ]);\npatch([5.55 8.44 6.44 3.55 5.55 ],[12.22 12.22 14.22 14.22 12" ".22 ],[0.931 0.946 0.973 ]);\npatch([3.55 6.44 8.44 5.55 3.55 ],[10.22 10.22 12.22 12.22 10.22 ],[1 1 1 ]);\npat" "ch([5.55 12.44 10.44 8.44 6.44 3.55 5.55 ],[8.22 8.22 10.22 8.22 10.22 10.22 8.22 ],[0.931 0.946 0.973 ]);\nfpri" "ntf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\n\n\ncolor('black');disp('z^{-4}'" ",'texmode','on');\nfprintf('','COMMENT: end icon text');" } Block { BlockType Reference Name "Delay12" SID "642" Ports [1, 1] Position [292, 260, 308, 285] BlockRotation 270 ShowName off LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Delay" SourceType "Xilinx Delay Block" infoedit "Hardware notes: A delay line is a chain, each link of which is an SRL16 followed by a flip-flop." rst off infoeditControl "Selection of Reset will increase slice count due to use of real FFs and instead of SRLs" en off latency "4" dbl_ovrd off reg_retiming off xl_use_area off xl_area "[7 14 0 14 0 0 0]" has_advanced_control "0" sggui_pos "20,20,348,255" block_type "delay" block_version "9.1.01" sg_icon_stat "16,25,1,1,white,blue,0,d390c2d8,up,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 16 16 0 0 ],[0 0 25 25 0 ],[0.77 0.82" " 0.91 ]);\nplot([0 16 16 0 0 ],[0 0 25 25 0 ]);\npatch([3.55 6.44 8.44 10.44 12.44 8.44 5.55 3.55 ],[14.22 14.22" " 16.22 14.22 16.22 16.22 16.22 14.22 ],[1 1 1 ]);\npatch([5.55 8.44 6.44 3.55 5.55 ],[12.22 12.22 14.22 14.22 12" ".22 ],[0.931 0.946 0.973 ]);\npatch([3.55 6.44 8.44 5.55 3.55 ],[10.22 10.22 12.22 12.22 10.22 ],[1 1 1 ]);\npat" "ch([5.55 12.44 10.44 8.44 6.44 3.55 5.55 ],[8.22 8.22 10.22 8.22 10.22 10.22 8.22 ],[0.931 0.946 0.973 ]);\nfpri" "ntf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\n\n\ncolor('black');disp('z^{-4}'" ",'texmode','on');\nfprintf('','COMMENT: end icon text');" } Block { BlockType Reference Name "Delay2" SID "643" Ports [1, 1] Position [312, 780, 328, 805] BlockRotation 270 ShowName off LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Delay" SourceType "Xilinx Delay Block" infoedit "Hardware notes: A delay line is a chain, each link of which is an SRL16 followed by a flip-flop." rst off infoeditControl "Selection of Reset will increase slice count due to use of real FFs and instead of SRLs" en off latency "4" dbl_ovrd off reg_retiming off xl_use_area off xl_area "[7 14 0 14 0 0 0]" has_advanced_control "0" sggui_pos "20,20,348,255" block_type "delay" block_version "9.1.01" sg_icon_stat "16,25,1,1,white,blue,0,d390c2d8,up,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 16 16 0 0 ],[0 0 25 25 0 ],[0.77 0.82" " 0.91 ]);\nplot([0 16 16 0 0 ],[0 0 25 25 0 ]);\npatch([3.55 6.44 8.44 10.44 12.44 8.44 5.55 3.55 ],[14.22 14.22" " 16.22 14.22 16.22 16.22 16.22 14.22 ],[1 1 1 ]);\npatch([5.55 8.44 6.44 3.55 5.55 ],[12.22 12.22 14.22 14.22 12" ".22 ],[0.931 0.946 0.973 ]);\npatch([3.55 6.44 8.44 5.55 3.55 ],[10.22 10.22 12.22 12.22 10.22 ],[1 1 1 ]);\npat" "ch([5.55 12.44 10.44 8.44 6.44 3.55 5.55 ],[8.22 8.22 10.22 8.22 10.22 10.22 8.22 ],[0.931 0.946 0.973 ]);\nfpri" "ntf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\n\n\ncolor('black');disp('z^{-4}'" ",'texmode','on');\nfprintf('','COMMENT: end icon text');" } Block { BlockType Reference Name "Delay3" SID "644" Ports [1, 1] Position [292, 780, 308, 805] BlockRotation 270 ShowName off LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Delay" SourceType "Xilinx Delay Block" infoedit "Hardware notes: A delay line is a chain, each link of which is an SRL16 followed by a flip-flop." rst off infoeditControl "Selection of Reset will increase slice count due to use of real FFs and instead of SRLs" en off latency "4" dbl_ovrd off reg_retiming off xl_use_area off xl_area "[7 14 0 14 0 0 0]" has_advanced_control "0" sggui_pos "20,20,348,255" block_type "delay" block_version "9.1.01" sg_icon_stat "16,25,1,1,white,blue,0,d390c2d8,up,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 16 16 0 0 ],[0 0 25 25 0 ],[0.77 0.82" " 0.91 ]);\nplot([0 16 16 0 0 ],[0 0 25 25 0 ]);\npatch([3.55 6.44 8.44 10.44 12.44 8.44 5.55 3.55 ],[14.22 14.22" " 16.22 14.22 16.22 16.22 16.22 14.22 ],[1 1 1 ]);\npatch([5.55 8.44 6.44 3.55 5.55 ],[12.22 12.22 14.22 14.22 12" ".22 ],[0.931 0.946 0.973 ]);\npatch([3.55 6.44 8.44 5.55 3.55 ],[10.22 10.22 12.22 12.22 10.22 ],[1 1 1 ]);\npat" "ch([5.55 12.44 10.44 8.44 6.44 3.55 5.55 ],[8.22 8.22 10.22 8.22 10.22 10.22 8.22 ],[0.931 0.946 0.973 ]);\nfpri" "ntf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\n\n\ncolor('black');disp('z^{-4}'" ",'texmode','on');\nfprintf('','COMMENT: end icon text');" } Block { BlockType Reference Name "Delay4" SID "645" Ports [1, 1] Position [352, 780, 368, 805] BlockRotation 270 ShowName off LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Delay" SourceType "Xilinx Delay Block" infoedit "Hardware notes: A delay line is a chain, each link of which is an SRL16 followed by a flip-flop." rst off infoeditControl "Selection of Reset will increase slice count due to use of real FFs and instead of SRLs" en off latency "4" dbl_ovrd off reg_retiming off xl_use_area off xl_area "[7 14 0 14 0 0 0]" has_advanced_control "0" sggui_pos "20,20,348,255" block_type "delay" block_version "9.1.01" sg_icon_stat "16,25,1,1,white,blue,0,d390c2d8,up,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 16 16 0 0 ],[0 0 25 25 0 ],[0.77 0.82" " 0.91 ]);\nplot([0 16 16 0 0 ],[0 0 25 25 0 ]);\npatch([3.55 6.44 8.44 10.44 12.44 8.44 5.55 3.55 ],[14.22 14.22" " 16.22 14.22 16.22 16.22 16.22 14.22 ],[1 1 1 ]);\npatch([5.55 8.44 6.44 3.55 5.55 ],[12.22 12.22 14.22 14.22 12" ".22 ],[0.931 0.946 0.973 ]);\npatch([3.55 6.44 8.44 5.55 3.55 ],[10.22 10.22 12.22 12.22 10.22 ],[1 1 1 ]);\npat" "ch([5.55 12.44 10.44 8.44 6.44 3.55 5.55 ],[8.22 8.22 10.22 8.22 10.22 10.22 8.22 ],[0.931 0.946 0.973 ]);\nfpri" "ntf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\n\n\ncolor('black');disp('z^{-4}'" ",'texmode','on');\nfprintf('','COMMENT: end icon text');" } Block { BlockType Reference Name "Delay5" SID "646" Ports [1, 1] Position [352, 520, 368, 545] BlockRotation 270 ShowName off LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Delay" SourceType "Xilinx Delay Block" infoedit "Hardware notes: A delay line is a chain, each link of which is an SRL16 followed by a flip-flop." rst off infoeditControl "Selection of Reset will increase slice count due to use of real FFs and instead of SRLs" en off latency "4" dbl_ovrd off reg_retiming off xl_use_area off xl_area "[7 14 0 14 0 0 0]" has_advanced_control "0" sggui_pos "20,20,348,255" block_type "delay" block_version "9.1.01" sg_icon_stat "16,25,1,1,white,blue,0,d390c2d8,up,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 16 16 0 0 ],[0 0 25 25 0 ],[0.77 0.82" " 0.91 ]);\nplot([0 16 16 0 0 ],[0 0 25 25 0 ]);\npatch([3.55 6.44 8.44 10.44 12.44 8.44 5.55 3.55 ],[14.22 14.22" " 16.22 14.22 16.22 16.22 16.22 14.22 ],[1 1 1 ]);\npatch([5.55 8.44 6.44 3.55 5.55 ],[12.22 12.22 14.22 14.22 12" ".22 ],[0.931 0.946 0.973 ]);\npatch([3.55 6.44 8.44 5.55 3.55 ],[10.22 10.22 12.22 12.22 10.22 ],[1 1 1 ]);\npat" "ch([5.55 12.44 10.44 8.44 6.44 3.55 5.55 ],[8.22 8.22 10.22 8.22 10.22 10.22 8.22 ],[0.931 0.946 0.973 ]);\nfpri" "ntf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\n\n\ncolor('black');disp('z^{-4}'" ",'texmode','on');\nfprintf('','COMMENT: end icon text');" } Block { BlockType Reference Name "Delay6" SID "647" Ports [1, 1] Position [332, 520, 348, 545] BlockRotation 270 ShowName off LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Delay" SourceType "Xilinx Delay Block" infoedit "Hardware notes: A delay line is a chain, each link of which is an SRL16 followed by a flip-flop." rst off infoeditControl "Selection of Reset will increase slice count due to use of real FFs and instead of SRLs" en off latency "4" dbl_ovrd off reg_retiming off xl_use_area off xl_area "[7 14 0 14 0 0 0]" has_advanced_control "0" sggui_pos "20,20,348,255" block_type "delay" block_version "9.1.01" sg_icon_stat "16,25,1,1,white,blue,0,d390c2d8,up,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 16 16 0 0 ],[0 0 25 25 0 ],[0.77 0.82" " 0.91 ]);\nplot([0 16 16 0 0 ],[0 0 25 25 0 ]);\npatch([3.55 6.44 8.44 10.44 12.44 8.44 5.55 3.55 ],[14.22 14.22" " 16.22 14.22 16.22 16.22 16.22 14.22 ],[1 1 1 ]);\npatch([5.55 8.44 6.44 3.55 5.55 ],[12.22 12.22 14.22 14.22 12" ".22 ],[0.931 0.946 0.973 ]);\npatch([3.55 6.44 8.44 5.55 3.55 ],[10.22 10.22 12.22 12.22 10.22 ],[1 1 1 ]);\npat" "ch([5.55 12.44 10.44 8.44 6.44 3.55 5.55 ],[8.22 8.22 10.22 8.22 10.22 10.22 8.22 ],[0.931 0.946 0.973 ]);\nfpri" "ntf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\n\n\ncolor('black');disp('z^{-4}'" ",'texmode','on');\nfprintf('','COMMENT: end icon text');" } Block { BlockType Reference Name "Delay7" SID "648" Ports [1, 1] Position [312, 520, 328, 545] BlockRotation 270 ShowName off LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Delay" SourceType "Xilinx Delay Block" infoedit "Hardware notes: A delay line is a chain, each link of which is an SRL16 followed by a flip-flop." rst off infoeditControl "Selection of Reset will increase slice count due to use of real FFs and instead of SRLs" en off latency "4" dbl_ovrd off reg_retiming off xl_use_area off xl_area "[7 14 0 14 0 0 0]" has_advanced_control "0" sggui_pos "20,20,348,255" block_type "delay" block_version "9.1.01" sg_icon_stat "16,25,1,1,white,blue,0,d390c2d8,up,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 16 16 0 0 ],[0 0 25 25 0 ],[0.77 0.82" " 0.91 ]);\nplot([0 16 16 0 0 ],[0 0 25 25 0 ]);\npatch([3.55 6.44 8.44 10.44 12.44 8.44 5.55 3.55 ],[14.22 14.22" " 16.22 14.22 16.22 16.22 16.22 14.22 ],[1 1 1 ]);\npatch([5.55 8.44 6.44 3.55 5.55 ],[12.22 12.22 14.22 14.22 12" ".22 ],[0.931 0.946 0.973 ]);\npatch([3.55 6.44 8.44 5.55 3.55 ],[10.22 10.22 12.22 12.22 10.22 ],[1 1 1 ]);\npat" "ch([5.55 12.44 10.44 8.44 6.44 3.55 5.55 ],[8.22 8.22 10.22 8.22 10.22 10.22 8.22 ],[0.931 0.946 0.973 ]);\nfpri" "ntf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\n\n\ncolor('black');disp('z^{-4}'" ",'texmode','on');\nfprintf('','COMMENT: end icon text');" } Block { BlockType Reference Name "Delay8" SID "649" Ports [1, 1] Position [292, 520, 308, 545] BlockRotation 270 ShowName off LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Delay" SourceType "Xilinx Delay Block" infoedit "Hardware notes: A delay line is a chain, each link of which is an SRL16 followed by a flip-flop." rst off infoeditControl "Selection of Reset will increase slice count due to use of real FFs and instead of SRLs" en off latency "4" dbl_ovrd off reg_retiming off xl_use_area off xl_area "[7 14 0 14 0 0 0]" has_advanced_control "0" sggui_pos "20,20,348,255" block_type "delay" block_version "9.1.01" sg_icon_stat "16,25,1,1,white,blue,0,d390c2d8,up,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 16 16 0 0 ],[0 0 25 25 0 ],[0.77 0.82" " 0.91 ]);\nplot([0 16 16 0 0 ],[0 0 25 25 0 ]);\npatch([3.55 6.44 8.44 10.44 12.44 8.44 5.55 3.55 ],[14.22 14.22" " 16.22 14.22 16.22 16.22 16.22 14.22 ],[1 1 1 ]);\npatch([5.55 8.44 6.44 3.55 5.55 ],[12.22 12.22 14.22 14.22 12" ".22 ],[0.931 0.946 0.973 ]);\npatch([3.55 6.44 8.44 5.55 3.55 ],[10.22 10.22 12.22 12.22 10.22 ],[1 1 1 ]);\npat" "ch([5.55 12.44 10.44 8.44 6.44 3.55 5.55 ],[8.22 8.22 10.22 8.22 10.22 10.22 8.22 ],[0.931 0.946 0.973 ]);\nfpri" "ntf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\n\n\ncolor('black');disp('z^{-4}'" ",'texmode','on');\nfprintf('','COMMENT: end icon text');" } Block { BlockType Reference Name "Delay9" SID "650" Ports [1, 1] Position [352, 260, 368, 285] BlockRotation 270 ShowName off LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Delay" SourceType "Xilinx Delay Block" infoedit "Hardware notes: A delay line is a chain, each link of which is an SRL16 followed by a flip-flop." rst off infoeditControl "Selection of Reset will increase slice count due to use of real FFs and instead of SRLs" en off latency "4" dbl_ovrd off reg_retiming off xl_use_area off xl_area "[7 14 0 14 0 0 0]" has_advanced_control "0" sggui_pos "20,20,348,255" block_type "delay" block_version "9.1.01" sg_icon_stat "16,25,1,1,white,blue,0,d390c2d8,up,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 16 16 0 0 ],[0 0 25 25 0 ],[0.77 0.82" " 0.91 ]);\nplot([0 16 16 0 0 ],[0 0 25 25 0 ]);\npatch([3.55 6.44 8.44 10.44 12.44 8.44 5.55 3.55 ],[14.22 14.22" " 16.22 14.22 16.22 16.22 16.22 14.22 ],[1 1 1 ]);\npatch([5.55 8.44 6.44 3.55 5.55 ],[12.22 12.22 14.22 14.22 12" ".22 ],[0.931 0.946 0.973 ]);\npatch([3.55 6.44 8.44 5.55 3.55 ],[10.22 10.22 12.22 12.22 10.22 ],[1 1 1 ]);\npat" "ch([5.55 12.44 10.44 8.44 6.44 3.55 5.55 ],[8.22 8.22 10.22 8.22 10.22 10.22 8.22 ],[0.931 0.946 0.973 ]);\nfpri" "ntf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\n\n\ncolor('black');disp('z^{-4}'" ",'texmode','on');\nfprintf('','COMMENT: end icon text');" } Block { BlockType SubSystem Name "TDD" SID "651" Ports [1, 4] Position [160, 786, 230, 1049] MinAlgLoopOccurrences off PropExecContextOutsideSubsystem off RTWSystemCode "Auto" FunctionWithSeparateData off Opaque off RequestExecContextInheritance off MaskHideContents off System { Name "TDD" Location [2, 74, 1902, 1112] Open off ModelBrowserVisibility off ModelBrowserWidth 200 ScreenColor "white" PaperOrientation "landscape" PaperPositionMode "auto" PaperType "usletter" PaperUnits "inches" TiledPaperMargins [0.500000, 0.500000, 0.500000, 0.500000] TiledPageScale 1 ShowPageBoundaries off ZoomFactor "100" Block { BlockType Inport Name "X" SID "652" Position [25, 148, 55, 162] IconDisplay "Port number" } Block { BlockType Reference Name "TDD" SID "653" Ports [1, 4] Position [120, 25, 175, 280] LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Time Division\nDemultiplexer" SourceType "Xilinx Time Division Demultiplexer Block" infoedit "Samples presented at the input are selected and down-sampled according to the frame sampling patt" "ern specified. The sampled input is presented either as a single or multiple channel at the output." frame_pattern "ones(1,4)" impl_style "Multiple Channel" vin off dbl_ovrd off xl_use_area off xl_area "[49 98 0 0 0 0 0]" explicit_period "off" period "1" has_advanced_control "0" sggui_pos "20,20,348,268" block_type "tdd" block_version "9.1.01" sg_icon_stat "55,255,1,4,white,blue,0,8781f2a5,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 0 ],[0 0 255 255 0 ],[0.77 0." "82 0.91 ]);\nplot([0 55 55 0 0 ],[0 0 255 255 0 ]);\npatch([11.425 21.54 28.54 35.54 42.54 28.54 18.425 11.425 ]" ",[134.77 134.77 141.77 134.77 141.77 141.77 141.77 134.77 ],[1 1 1 ]);\npatch([18.425 28.54 21.54 11.425 18.425 " "],[127.77 127.77 134.77 134.77 127.77 ],[0.931 0.946 0.973 ]);\npatch([11.425 21.54 28.54 18.425 11.425 ],[120.7" "7 120.77 127.77 127.77 120.77 ],[1 1 1 ]);\npatch([18.425 42.54 35.54 28.54 21.54 11.425 18.425 ],[113.77 113.77" " 120.77 113.77 120.77 120.77 113.77 ],[0.931 0.946 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf" "('','COMMENT: begin icon text');\ncolor('black');port_label('input',1,'d');\ncolor('black');port_label('output'," "1,'q0');\ncolor('black');port_label('output',2,'q1');\ncolor('black');port_label('output',3,'q2');\ncolor('black" "');port_label('output',4,'q3');\ncolor('black');disp('TDD');\nfprintf('','COMMENT: end icon text');" } Block { BlockType Outport Name "x[3]" SID "654" Position [235, 48, 265, 62] IconDisplay "Port number" } Block { BlockType Outport Name "x[2]" SID "655" Position [235, 113, 265, 127] Port "2" IconDisplay "Port number" } Block { BlockType Outport Name "x[1]" SID "656" Position [230, 178, 260, 192] Port "3" IconDisplay "Port number" } Block { BlockType Outport Name "x[0]" SID "657" Position [240, 243, 270, 257] Port "4" IconDisplay "Port number" } Line { SrcBlock "X" SrcPort 1 DstBlock "TDD" DstPort 1 } Line { SrcBlock "TDD" SrcPort 1 DstBlock "x[3]" DstPort 1 } Line { SrcBlock "TDD" SrcPort 2 DstBlock "x[2]" DstPort 1 } Line { SrcBlock "TDD" SrcPort 3 DstBlock "x[1]" DstPort 1 } Line { SrcBlock "TDD" SrcPort 4 DstBlock "x[0]" DstPort 1 } } } Block { BlockType Outport Name "Out1" SID "658" Position [525, 48, 555, 62] IconDisplay "Port number" } Block { BlockType Outport Name "Out2" SID "659" Position [525, 113, 555, 127] Port "2" IconDisplay "Port number" } Block { BlockType Outport Name "Out3" SID "660" Position [525, 178, 555, 192] Port "3" IconDisplay "Port number" } Block { BlockType Outport Name "Out4" SID "661" Position [525, 243, 555, 257] Port "4" IconDisplay "Port number" } Block { BlockType Outport Name "Out5" SID "662" Position [525, 308, 555, 322] Port "5" IconDisplay "Port number" } Block { BlockType Outport Name "Out6" SID "663" Position [525, 373, 555, 387] Port "6" IconDisplay "Port number" } Block { BlockType Outport Name "Out7" SID "664" Position [525, 438, 555, 452] Port "7" IconDisplay "Port number" } Block { BlockType Outport Name "Out8" SID "665" Position [525, 503, 555, 517] Port "8" IconDisplay "Port number" } Block { BlockType Outport Name "Out9" SID "666" Position [525, 568, 555, 582] Port "9" IconDisplay "Port number" } Block { BlockType Outport Name "Out10" SID "667" Position [525, 633, 555, 647] Port "10" IconDisplay "Port number" } Block { BlockType Outport Name "Out11" SID "668" Position [525, 698, 555, 712] Port "11" IconDisplay "Port number" } Block { BlockType Outport Name "Out12" SID "669" Position [525, 763, 555, 777] Port "12" IconDisplay "Port number" } Block { BlockType Outport Name "Out13" SID "670" Position [525, 828, 555, 842] Port "13" IconDisplay "Port number" } Block { BlockType Outport Name "Out14" SID "671" Position [525, 893, 555, 907] Port "14" IconDisplay "Port number" } Block { BlockType Outport Name "Out15" SID "672" Position [525, 958, 555, 972] Port "15" IconDisplay "Port number" } Block { BlockType Outport Name "Out16" SID "673" Position [525, 1023, 555, 1037] Port "16" IconDisplay "Port number" } Line { SrcBlock "ASR 7" SrcPort 1 DstBlock "Out16" DstPort 1 } Line { SrcBlock "ASR 6" SrcPort 1 DstBlock "Out15" DstPort 1 } Line { SrcBlock "ASR 5" SrcPort 1 DstBlock "Out14" DstPort 1 } Line { SrcBlock "ASR 4" SrcPort 1 DstBlock "Out13" DstPort 1 } Line { SrcBlock "ASR 3" SrcPort 1 DstBlock "Out12" DstPort 1 } Line { SrcBlock "ASR 2" SrcPort 1 DstBlock "Out11" DstPort 1 } Line { SrcBlock "ASR 1" SrcPort 1 DstBlock "Out10" DstPort 1 } Line { SrcBlock "ASR 0" SrcPort 1 DstBlock "Out9" DstPort 1 } Line { SrcBlock "ASR 15" SrcPort 1 DstBlock "Out8" DstPort 1 } Line { SrcBlock "ASR 14" SrcPort 1 DstBlock "Out7" DstPort 1 } Line { SrcBlock "ASR 13" SrcPort 1 DstBlock "Out6" DstPort 1 } Line { SrcBlock "ASR 12" SrcPort 1 DstBlock "Out5" DstPort 1 } Line { SrcBlock "ASR 11" SrcPort 1 DstBlock "Out4" DstPort 1 } Line { SrcBlock "ASR 10" SrcPort 1 DstBlock "Out3" DstPort 1 } Line { SrcBlock "ASR 9" SrcPort 1 DstBlock "Out2" DstPort 1 } Line { SrcBlock "ASR 8" SrcPort 1 DstBlock "Out1" DstPort 1 } Line { SrcBlock "Delay4" SrcPort 1 Points [0, -20] Branch { DstBlock "Delay5" DstPort 1 } Branch { DstBlock "ASR 3" DstPort 1 } } Line { SrcBlock "Delay1" SrcPort 1 Points [0, -85] Branch { DstBlock "Delay6" DstPort 1 } Branch { DstBlock "ASR 2" DstPort 1 } } Line { SrcBlock "Delay2" SrcPort 1 Points [0, -150] Branch { DstBlock "Delay7" DstPort 1 } Branch { DstBlock "ASR 1" DstPort 1 } } Line { SrcBlock "Delay3" SrcPort 1 Points [0, -215] Branch { DstBlock "Delay8" DstPort 1 } Branch { DstBlock "ASR 0" DstPort 1 } } Line { SrcBlock "Addr" SrcPort 1 Points [0, -20] Branch { Points [0, -65] Branch { Points [0, -65] Branch { Points [0, -65] Branch { Points [0, -65] Branch { Points [0, -65] Branch { Points [0, -65] Branch { Points [0, -65] Branch { Points [0, -65] Branch { Points [0, -65] Branch { Points [0, -65] Branch { Points [0, -65] Branch { Points [0, -65] Branch { Points [0, -65] Branch { Points [0, -65] Branch { DstBlock "ASR 9" DstPort 2 } Branch { Points [0, -65] DstBlock "ASR 8" DstPort 2 } } Branch { DstBlock "ASR 10" DstPort 2 } } Branch { DstBlock "ASR 11" DstPort 2 } } Branch { DstBlock "ASR 12" DstPort 2 } } Branch { DstBlock "ASR 13" DstPort 2 } } Branch { DstBlock "ASR 14" DstPort 2 } } Branch { DstBlock "ASR 15" DstPort 2 } } Branch { DstBlock "ASR 0" DstPort 2 } } Branch { DstBlock "ASR 1" DstPort 2 } } Branch { DstBlock "ASR 2" DstPort 2 } } Branch { DstBlock "ASR 3" DstPort 2 } } Branch { DstBlock "ASR 4" DstPort 2 } } Branch { DstBlock "ASR 5" DstPort 2 } } Branch { DstBlock "ASR 6" DstPort 2 } } Branch { DstBlock "ASR 7" DstPort 2 } } Line { SrcBlock "TDD" SrcPort 3 Points [105, 0] Branch { DstBlock "Delay1" DstPort 1 } Branch { DstBlock "ASR 6" DstPort 1 } } Line { SrcBlock "Delay5" SrcPort 1 Points [0, -20] Branch { DstBlock "ASR 15" DstPort 1 } Branch { DstBlock "Delay9" DstPort 1 } } Line { SrcBlock "Delay6" SrcPort 1 Points [0, -85] Branch { DstBlock "ASR 14" DstPort 1 } Branch { DstBlock "Delay10" DstPort 1 } } Line { SrcBlock "Delay7" SrcPort 1 Points [0, -150] Branch { DstBlock "ASR 13" DstPort 1 } Branch { DstBlock "Delay11" DstPort 1 } } Line { SrcBlock "Delay8" SrcPort 1 Points [0, -215] Branch { DstBlock "ASR 12" DstPort 1 } Branch { DstBlock "Delay12" DstPort 1 } } Line { SrcBlock "Delay9" SrcPort 1 Points [0, -20] DstBlock "ASR 11" DstPort 1 } Line { SrcBlock "Delay10" SrcPort 1 Points [0, -85] DstBlock "ASR 10" DstPort 1 } Line { SrcBlock "Delay11" SrcPort 1 Points [0, -150] DstBlock "ASR 9" DstPort 1 } Line { SrcBlock "Delay12" SrcPort 1 Points [0, -215] DstBlock "ASR 8" DstPort 1 } Line { SrcBlock "TDD" SrcPort 4 Points [125, 0] Branch { DstBlock "Delay4" DstPort 1 } Branch { DstBlock "ASR 7" DstPort 1 } } Line { SrcBlock "TDD" SrcPort 1 Points [65, 0] Branch { DstBlock "Delay3" DstPort 1 } Branch { DstBlock "ASR 4" DstPort 1 } } Line { SrcBlock "TDD" SrcPort 2 Points [85, 0] Branch { DstBlock "Delay2" DstPort 1 } Branch { DstBlock "ASR 5" DstPort 1 } } Line { SrcBlock "X" SrcPort 1 DstBlock "TDD" DstPort 1 } } } Block { BlockType SubSystem Name "MemoryB" SID "674" Ports [2, 16] Position [95, 350, 165, 670] MinAlgLoopOccurrences off PropExecContextOutsideSubsystem off RTWSystemCode "Auto" FunctionWithSeparateData off Opaque off RequestExecContextInheritance off MaskHideContents off System { Name "MemoryB" Location [2, 70, 1902, 1108] Open off ModelBrowserVisibility off ModelBrowserWidth 200 ScreenColor "white" PaperOrientation "landscape" PaperPositionMode "auto" PaperType "usletter" PaperUnits "inches" TiledPaperMargins [0.500000, 0.500000, 0.500000, 0.500000] TiledPageScale 1 ShowPageBoundaries off ZoomFactor "100" Block { BlockType Inport Name "X" SID "675" Position [25, 913, 55, 927] IconDisplay "Port number" } Block { BlockType Inport Name "Addr" SID "676" Position [365, 1060, 395, 1075] BlockRotation 270 Port "2" IconDisplay "Port number" } Block { BlockType Reference Name "ASR 0" SID "677" Ports [2, 1] Position [450, 547, 500, 598] LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Addressable Shift Register" SourceType "Xilinx Addressable Shift Register Block" infoedit "Delay of configurable length. Any element in the delay\n line can be addressed and" " driven onto the output\n port.

Hardware notes: Implemented using SRL16s.\n If Virtex-4, V" "irtex-II or Spartan-3 devices are used, multiple SRLC16s are cascaded together." infer_latency on depth "2" initVector "[0]" en off dbl_ovrd off use_behavioral_HDL off Optimization "Resource" xl_use_area off xl_area "[7 0 0 14 0 0 0]" use_rpm "on" has_advanced_control "0" sggui_pos "20,20,348,389" block_type "addrsr" block_version "9.1.01" sg_icon_stat "50,51,2,1,white,blue,0,a352fb33,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 50 50 0 0 ],[0 0 51 51 0 ],[0.77 0.82" " 0.91 ]);\nplot([0 50 50 0 0 ],[0 0 51 51 0 ]);\npatch([9.425 19.54 26.54 33.54 40.54 26.54 16.425 9.425 ],[32.7" "7 32.77 39.77 32.77 39.77 39.77 39.77 32.77 ],[1 1 1 ]);\npatch([16.425 26.54 19.54 9.425 16.425 ],[25.77 25.77 " "32.77 32.77 25.77 ],[0.931 0.946 0.973 ]);\npatch([9.425 19.54 26.54 16.425 9.425 ],[18.77 18.77 25.77 25.77 18." "77 ],[1 1 1 ]);\npatch([16.425 40.54 33.54 26.54 19.54 9.425 16.425 ],[11.77 11.77 18.77 11.77 18.77 18.77 11.77" " ],[0.931 0.946 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\nc" "olor('black');port_label('input',1,'d');\ncolor('black');port_label('input',2,'addr');\ncolor('black');port_labe" "l('output',1,'q');\nfprintf('','COMMENT: end icon text');" } Block { BlockType Reference Name "ASR 1" SID "678" Ports [2, 1] Position [450, 612, 500, 663] LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Addressable Shift Register" SourceType "Xilinx Addressable Shift Register Block" infoedit "Delay of configurable length. Any element in the delay\n line can be addressed and" " driven onto the output\n port.

Hardware notes: Implemented using SRL16s.\n If Virtex-4, V" "irtex-II or Spartan-3 devices are used, multiple SRLC16s are cascaded together." infer_latency on depth "2" initVector "[0]" en off dbl_ovrd off use_behavioral_HDL off Optimization "Resource" xl_use_area off xl_area "[7 0 0 14 0 0 0]" use_rpm "on" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "addrsr" block_version "9.1.01" sg_icon_stat "50,51,2,1,white,blue,0,a352fb33,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 50 50 0 0 ],[0 0 51 51 0 ],[0.77 0.82" " 0.91 ]);\nplot([0 50 50 0 0 ],[0 0 51 51 0 ]);\npatch([9.425 19.54 26.54 33.54 40.54 26.54 16.425 9.425 ],[32.7" "7 32.77 39.77 32.77 39.77 39.77 39.77 32.77 ],[1 1 1 ]);\npatch([16.425 26.54 19.54 9.425 16.425 ],[25.77 25.77 " "32.77 32.77 25.77 ],[0.931 0.946 0.973 ]);\npatch([9.425 19.54 26.54 16.425 9.425 ],[18.77 18.77 25.77 25.77 18." "77 ],[1 1 1 ]);\npatch([16.425 40.54 33.54 26.54 19.54 9.425 16.425 ],[11.77 11.77 18.77 11.77 18.77 18.77 11.77" " ],[0.931 0.946 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\nc" "olor('black');port_label('input',1,'d');\ncolor('black');port_label('input',2,'addr');\ncolor('black');port_labe" "l('output',1,'q');\nfprintf('','COMMENT: end icon text');" } Block { BlockType Reference Name "ASR 10" SID "679" Ports [2, 1] Position [450, 157, 500, 208] LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Addressable Shift Register" SourceType "Xilinx Addressable Shift Register Block" infoedit "Delay of configurable length. Any element in the delay\n line can be addressed and" " driven onto the output\n port.

Hardware notes: Implemented using SRL16s.\n If Virtex-4, V" "irtex-II or Spartan-3 devices are used, multiple SRLC16s are cascaded together." infer_latency on depth "2" initVector "[0]" en off dbl_ovrd off use_behavioral_HDL off Optimization "Resource" xl_use_area off xl_area "[7 0 0 14 0 0 0]" use_rpm "on" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "addrsr" block_version "9.1.01" sg_icon_stat "50,51,2,1,white,blue,0,a352fb33,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 50 50 0 0 ],[0 0 51 51 0 ],[0.77 0.82" " 0.91 ]);\nplot([0 50 50 0 0 ],[0 0 51 51 0 ]);\npatch([9.425 19.54 26.54 33.54 40.54 26.54 16.425 9.425 ],[32.7" "7 32.77 39.77 32.77 39.77 39.77 39.77 32.77 ],[1 1 1 ]);\npatch([16.425 26.54 19.54 9.425 16.425 ],[25.77 25.77 " "32.77 32.77 25.77 ],[0.931 0.946 0.973 ]);\npatch([9.425 19.54 26.54 16.425 9.425 ],[18.77 18.77 25.77 25.77 18." "77 ],[1 1 1 ]);\npatch([16.425 40.54 33.54 26.54 19.54 9.425 16.425 ],[11.77 11.77 18.77 11.77 18.77 18.77 11.77" " ],[0.931 0.946 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\nc" "olor('black');port_label('input',1,'d');\ncolor('black');port_label('input',2,'addr');\ncolor('black');port_labe" "l('output',1,'q');\nfprintf('','COMMENT: end icon text');" } Block { BlockType Reference Name "ASR 11" SID "680" Ports [2, 1] Position [450, 222, 500, 273] LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Addressable Shift Register" SourceType "Xilinx Addressable Shift Register Block" infoedit "Delay of configurable length. Any element in the delay\n line can be addressed and" " driven onto the output\n port.

Hardware notes: Implemented using SRL16s.\n If Virtex-4, V" "irtex-II or Spartan-3 devices are used, multiple SRLC16s are cascaded together." infer_latency on depth "2" initVector "[0]" en off dbl_ovrd off use_behavioral_HDL off Optimization "Resource" xl_use_area off xl_area "[7 0 0 14 0 0 0]" use_rpm "on" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "addrsr" block_version "9.1.01" sg_icon_stat "50,51,2,1,white,blue,0,a352fb33,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 50 50 0 0 ],[0 0 51 51 0 ],[0.77 0.82" " 0.91 ]);\nplot([0 50 50 0 0 ],[0 0 51 51 0 ]);\npatch([9.425 19.54 26.54 33.54 40.54 26.54 16.425 9.425 ],[32.7" "7 32.77 39.77 32.77 39.77 39.77 39.77 32.77 ],[1 1 1 ]);\npatch([16.425 26.54 19.54 9.425 16.425 ],[25.77 25.77 " "32.77 32.77 25.77 ],[0.931 0.946 0.973 ]);\npatch([9.425 19.54 26.54 16.425 9.425 ],[18.77 18.77 25.77 25.77 18." "77 ],[1 1 1 ]);\npatch([16.425 40.54 33.54 26.54 19.54 9.425 16.425 ],[11.77 11.77 18.77 11.77 18.77 18.77 11.77" " ],[0.931 0.946 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\nc" "olor('black');port_label('input',1,'d');\ncolor('black');port_label('input',2,'addr');\ncolor('black');port_labe" "l('output',1,'q');\nfprintf('','COMMENT: end icon text');" } Block { BlockType Reference Name "ASR 12" SID "681" Ports [2, 1] Position [450, 287, 500, 338] LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Addressable Shift Register" SourceType "Xilinx Addressable Shift Register Block" infoedit "Delay of configurable length. Any element in the delay\n line can be addressed and" " driven onto the output\n port.

Hardware notes: Implemented using SRL16s.\n If Virtex-4, V" "irtex-II or Spartan-3 devices are used, multiple SRLC16s are cascaded together." infer_latency on depth "2" initVector "[0]" en off dbl_ovrd off use_behavioral_HDL off Optimization "Resource" xl_use_area off xl_area "[7 0 0 14 0 0 0]" use_rpm "on" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "addrsr" block_version "9.1.01" sg_icon_stat "50,51,2,1,white,blue,0,a352fb33,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 50 50 0 0 ],[0 0 51 51 0 ],[0.77 0.82" " 0.91 ]);\nplot([0 50 50 0 0 ],[0 0 51 51 0 ]);\npatch([9.425 19.54 26.54 33.54 40.54 26.54 16.425 9.425 ],[32.7" "7 32.77 39.77 32.77 39.77 39.77 39.77 32.77 ],[1 1 1 ]);\npatch([16.425 26.54 19.54 9.425 16.425 ],[25.77 25.77 " "32.77 32.77 25.77 ],[0.931 0.946 0.973 ]);\npatch([9.425 19.54 26.54 16.425 9.425 ],[18.77 18.77 25.77 25.77 18." "77 ],[1 1 1 ]);\npatch([16.425 40.54 33.54 26.54 19.54 9.425 16.425 ],[11.77 11.77 18.77 11.77 18.77 18.77 11.77" " ],[0.931 0.946 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\nc" "olor('black');port_label('input',1,'d');\ncolor('black');port_label('input',2,'addr');\ncolor('black');port_labe" "l('output',1,'q');\nfprintf('','COMMENT: end icon text');" } Block { BlockType Reference Name "ASR 13" SID "682" Ports [2, 1] Position [450, 352, 500, 403] LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Addressable Shift Register" SourceType "Xilinx Addressable Shift Register Block" infoedit "Delay of configurable length. Any element in the delay\n line can be addressed and" " driven onto the output\n port.

Hardware notes: Implemented using SRL16s.\n If Virtex-4, V" "irtex-II or Spartan-3 devices are used, multiple SRLC16s are cascaded together." infer_latency on depth "2" initVector "[0]" en off dbl_ovrd off use_behavioral_HDL off Optimization "Resource" xl_use_area off xl_area "[7 0 0 14 0 0 0]" use_rpm "on" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "addrsr" block_version "9.1.01" sg_icon_stat "50,51,2,1,white,blue,0,a352fb33,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 50 50 0 0 ],[0 0 51 51 0 ],[0.77 0.82" " 0.91 ]);\nplot([0 50 50 0 0 ],[0 0 51 51 0 ]);\npatch([9.425 19.54 26.54 33.54 40.54 26.54 16.425 9.425 ],[32.7" "7 32.77 39.77 32.77 39.77 39.77 39.77 32.77 ],[1 1 1 ]);\npatch([16.425 26.54 19.54 9.425 16.425 ],[25.77 25.77 " "32.77 32.77 25.77 ],[0.931 0.946 0.973 ]);\npatch([9.425 19.54 26.54 16.425 9.425 ],[18.77 18.77 25.77 25.77 18." "77 ],[1 1 1 ]);\npatch([16.425 40.54 33.54 26.54 19.54 9.425 16.425 ],[11.77 11.77 18.77 11.77 18.77 18.77 11.77" " ],[0.931 0.946 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\nc" "olor('black');port_label('input',1,'d');\ncolor('black');port_label('input',2,'addr');\ncolor('black');port_labe" "l('output',1,'q');\nfprintf('','COMMENT: end icon text');" } Block { BlockType Reference Name "ASR 14" SID "683" Ports [2, 1] Position [450, 417, 500, 468] LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Addressable Shift Register" SourceType "Xilinx Addressable Shift Register Block" infoedit "Delay of configurable length. Any element in the delay\n line can be addressed and" " driven onto the output\n port.

Hardware notes: Implemented using SRL16s.\n If Virtex-4, V" "irtex-II or Spartan-3 devices are used, multiple SRLC16s are cascaded together." infer_latency on depth "2" initVector "[0]" en off dbl_ovrd off use_behavioral_HDL off Optimization "Resource" xl_use_area off xl_area "[7 0 0 14 0 0 0]" use_rpm "on" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "addrsr" block_version "9.1.01" sg_icon_stat "50,51,2,1,white,blue,0,a352fb33,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 50 50 0 0 ],[0 0 51 51 0 ],[0.77 0.82" " 0.91 ]);\nplot([0 50 50 0 0 ],[0 0 51 51 0 ]);\npatch([9.425 19.54 26.54 33.54 40.54 26.54 16.425 9.425 ],[32.7" "7 32.77 39.77 32.77 39.77 39.77 39.77 32.77 ],[1 1 1 ]);\npatch([16.425 26.54 19.54 9.425 16.425 ],[25.77 25.77 " "32.77 32.77 25.77 ],[0.931 0.946 0.973 ]);\npatch([9.425 19.54 26.54 16.425 9.425 ],[18.77 18.77 25.77 25.77 18." "77 ],[1 1 1 ]);\npatch([16.425 40.54 33.54 26.54 19.54 9.425 16.425 ],[11.77 11.77 18.77 11.77 18.77 18.77 11.77" " ],[0.931 0.946 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\nc" "olor('black');port_label('input',1,'d');\ncolor('black');port_label('input',2,'addr');\ncolor('black');port_labe" "l('output',1,'q');\nfprintf('','COMMENT: end icon text');" } Block { BlockType Reference Name "ASR 15" SID "684" Ports [2, 1] Position [450, 482, 500, 533] LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Addressable Shift Register" SourceType "Xilinx Addressable Shift Register Block" infoedit "Delay of configurable length. Any element in the delay\n line can be addressed and" " driven onto the output\n port.

Hardware notes: Implemented using SRL16s.\n If Virtex-4, V" "irtex-II or Spartan-3 devices are used, multiple SRLC16s are cascaded together." infer_latency on depth "2" initVector "[0]" en off dbl_ovrd off use_behavioral_HDL off Optimization "Resource" xl_use_area off xl_area "[7 0 0 14 0 0 0]" use_rpm "on" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "addrsr" block_version "9.1.01" sg_icon_stat "50,51,2,1,white,blue,0,a352fb33,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 50 50 0 0 ],[0 0 51 51 0 ],[0.77 0.82" " 0.91 ]);\nplot([0 50 50 0 0 ],[0 0 51 51 0 ]);\npatch([9.425 19.54 26.54 33.54 40.54 26.54 16.425 9.425 ],[32.7" "7 32.77 39.77 32.77 39.77 39.77 39.77 32.77 ],[1 1 1 ]);\npatch([16.425 26.54 19.54 9.425 16.425 ],[25.77 25.77 " "32.77 32.77 25.77 ],[0.931 0.946 0.973 ]);\npatch([9.425 19.54 26.54 16.425 9.425 ],[18.77 18.77 25.77 25.77 18." "77 ],[1 1 1 ]);\npatch([16.425 40.54 33.54 26.54 19.54 9.425 16.425 ],[11.77 11.77 18.77 11.77 18.77 18.77 11.77" " ],[0.931 0.946 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\nc" "olor('black');port_label('input',1,'d');\ncolor('black');port_label('input',2,'addr');\ncolor('black');port_labe" "l('output',1,'q');\nfprintf('','COMMENT: end icon text');" } Block { BlockType Reference Name "ASR 2" SID "685" Ports [2, 1] Position [450, 677, 500, 728] LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Addressable Shift Register" SourceType "Xilinx Addressable Shift Register Block" infoedit "Delay of configurable length. Any element in the delay\n line can be addressed and" " driven onto the output\n port.

Hardware notes: Implemented using SRL16s.\n If Virtex-4, V" "irtex-II or Spartan-3 devices are used, multiple SRLC16s are cascaded together." infer_latency on depth "2" initVector "[0]" en off dbl_ovrd off use_behavioral_HDL off Optimization "Resource" xl_use_area off xl_area "[7 0 0 14 0 0 0]" use_rpm "on" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "addrsr" block_version "9.1.01" sg_icon_stat "50,51,2,1,white,blue,0,a352fb33,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 50 50 0 0 ],[0 0 51 51 0 ],[0.77 0.82" " 0.91 ]);\nplot([0 50 50 0 0 ],[0 0 51 51 0 ]);\npatch([9.425 19.54 26.54 33.54 40.54 26.54 16.425 9.425 ],[32.7" "7 32.77 39.77 32.77 39.77 39.77 39.77 32.77 ],[1 1 1 ]);\npatch([16.425 26.54 19.54 9.425 16.425 ],[25.77 25.77 " "32.77 32.77 25.77 ],[0.931 0.946 0.973 ]);\npatch([9.425 19.54 26.54 16.425 9.425 ],[18.77 18.77 25.77 25.77 18." "77 ],[1 1 1 ]);\npatch([16.425 40.54 33.54 26.54 19.54 9.425 16.425 ],[11.77 11.77 18.77 11.77 18.77 18.77 11.77" " ],[0.931 0.946 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\nc" "olor('black');port_label('input',1,'d');\ncolor('black');port_label('input',2,'addr');\ncolor('black');port_labe" "l('output',1,'q');\nfprintf('','COMMENT: end icon text');" } Block { BlockType Reference Name "ASR 3" SID "686" Ports [2, 1] Position [450, 741, 500, 794] LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Addressable Shift Register" SourceType "Xilinx Addressable Shift Register Block" infoedit "Delay of configurable length. Any element in the delay\n line can be addressed and" " driven onto the output\n port.

Hardware notes: Implemented using SRL16s.\n If Virtex-4, V" "irtex-II or Spartan-3 devices are used, multiple SRLC16s are cascaded together." infer_latency on depth "2" initVector "[0]" en off dbl_ovrd off use_behavioral_HDL off Optimization "Resource" xl_use_area off xl_area "[7 0 0 14 0 0 0]" use_rpm "on" has_advanced_control "0" sggui_pos "20,20,348,389" block_type "addrsr" block_version "9.1.01" sg_icon_stat "50,53,2,1,white,blue,0,a352fb33,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 50 50 0 0 ],[0 0 53 53 0 ],[0.77 0.82" " 0.91 ]);\nplot([0 50 50 0 0 ],[0 0 53 53 0 ]);\npatch([9.425 19.54 26.54 33.54 40.54 26.54 16.425 9.425 ],[33.7" "7 33.77 40.77 33.77 40.77 40.77 40.77 33.77 ],[1 1 1 ]);\npatch([16.425 26.54 19.54 9.425 16.425 ],[26.77 26.77 " "33.77 33.77 26.77 ],[0.931 0.946 0.973 ]);\npatch([9.425 19.54 26.54 16.425 9.425 ],[19.77 19.77 26.77 26.77 19." "77 ],[1 1 1 ]);\npatch([16.425 40.54 33.54 26.54 19.54 9.425 16.425 ],[12.77 12.77 19.77 12.77 19.77 19.77 12.77" " ],[0.931 0.946 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\nc" "olor('black');port_label('input',1,'d');\ncolor('black');port_label('input',2,'addr');\ncolor('black');port_labe" "l('output',1,'q');\nfprintf('','COMMENT: end icon text');" } Block { BlockType Reference Name "ASR 4" SID "687" Ports [2, 1] Position [450, 807, 500, 858] LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Addressable Shift Register" SourceType "Xilinx Addressable Shift Register Block" infoedit "Delay of configurable length. Any element in the delay\n line can be addressed and" " driven onto the output\n port.

Hardware notes: Implemented using SRL16s.\n If Virtex-4, V" "irtex-II or Spartan-3 devices are used, multiple SRLC16s are cascaded together." infer_latency on depth "2" initVector "[0]" en off dbl_ovrd off use_behavioral_HDL off Optimization "Resource" xl_use_area off xl_area "[7 0 0 14 0 0 0]" use_rpm "on" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "addrsr" block_version "9.1.01" sg_icon_stat "50,51,2,1,white,blue,0,a352fb33,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 50 50 0 0 ],[0 0 51 51 0 ],[0.77 0.82" " 0.91 ]);\nplot([0 50 50 0 0 ],[0 0 51 51 0 ]);\npatch([9.425 19.54 26.54 33.54 40.54 26.54 16.425 9.425 ],[32.7" "7 32.77 39.77 32.77 39.77 39.77 39.77 32.77 ],[1 1 1 ]);\npatch([16.425 26.54 19.54 9.425 16.425 ],[25.77 25.77 " "32.77 32.77 25.77 ],[0.931 0.946 0.973 ]);\npatch([9.425 19.54 26.54 16.425 9.425 ],[18.77 18.77 25.77 25.77 18." "77 ],[1 1 1 ]);\npatch([16.425 40.54 33.54 26.54 19.54 9.425 16.425 ],[11.77 11.77 18.77 11.77 18.77 18.77 11.77" " ],[0.931 0.946 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\nc" "olor('black');port_label('input',1,'d');\ncolor('black');port_label('input',2,'addr');\ncolor('black');port_labe" "l('output',1,'q');\nfprintf('','COMMENT: end icon text');" } Block { BlockType Reference Name "ASR 5" SID "688" Ports [2, 1] Position [450, 872, 500, 923] LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Addressable Shift Register" SourceType "Xilinx Addressable Shift Register Block" infoedit "Delay of configurable length. Any element in the delay\n line can be addressed and" " driven onto the output\n port.

Hardware notes: Implemented using SRL16s.\n If Virtex-4, V" "irtex-II or Spartan-3 devices are used, multiple SRLC16s are cascaded together." infer_latency on depth "2" initVector "[0]" en off dbl_ovrd off use_behavioral_HDL off Optimization "Resource" xl_use_area off xl_area "[7 0 0 14 0 0 0]" use_rpm "on" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "addrsr" block_version "9.1.01" sg_icon_stat "50,51,2,1,white,blue,0,a352fb33,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 50 50 0 0 ],[0 0 51 51 0 ],[0.77 0.82" " 0.91 ]);\nplot([0 50 50 0 0 ],[0 0 51 51 0 ]);\npatch([9.425 19.54 26.54 33.54 40.54 26.54 16.425 9.425 ],[32.7" "7 32.77 39.77 32.77 39.77 39.77 39.77 32.77 ],[1 1 1 ]);\npatch([16.425 26.54 19.54 9.425 16.425 ],[25.77 25.77 " "32.77 32.77 25.77 ],[0.931 0.946 0.973 ]);\npatch([9.425 19.54 26.54 16.425 9.425 ],[18.77 18.77 25.77 25.77 18." "77 ],[1 1 1 ]);\npatch([16.425 40.54 33.54 26.54 19.54 9.425 16.425 ],[11.77 11.77 18.77 11.77 18.77 18.77 11.77" " ],[0.931 0.946 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\nc" "olor('black');port_label('input',1,'d');\ncolor('black');port_label('input',2,'addr');\ncolor('black');port_labe" "l('output',1,'q');\nfprintf('','COMMENT: end icon text');" } Block { BlockType Reference Name "ASR 6" SID "689" Ports [2, 1] Position [450, 937, 500, 988] LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Addressable Shift Register" SourceType "Xilinx Addressable Shift Register Block" infoedit "Delay of configurable length. Any element in the delay\n line can be addressed and" " driven onto the output\n port.

Hardware notes: Implemented using SRL16s.\n If Virtex-4, V" "irtex-II or Spartan-3 devices are used, multiple SRLC16s are cascaded together." infer_latency on depth "2" initVector "[0]" en off dbl_ovrd off use_behavioral_HDL off Optimization "Resource" xl_use_area off xl_area "[7 0 0 14 0 0 0]" use_rpm "on" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "addrsr" block_version "9.1.01" sg_icon_stat "50,51,2,1,white,blue,0,a352fb33,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 50 50 0 0 ],[0 0 51 51 0 ],[0.77 0.82" " 0.91 ]);\nplot([0 50 50 0 0 ],[0 0 51 51 0 ]);\npatch([9.425 19.54 26.54 33.54 40.54 26.54 16.425 9.425 ],[32.7" "7 32.77 39.77 32.77 39.77 39.77 39.77 32.77 ],[1 1 1 ]);\npatch([16.425 26.54 19.54 9.425 16.425 ],[25.77 25.77 " "32.77 32.77 25.77 ],[0.931 0.946 0.973 ]);\npatch([9.425 19.54 26.54 16.425 9.425 ],[18.77 18.77 25.77 25.77 18." "77 ],[1 1 1 ]);\npatch([16.425 40.54 33.54 26.54 19.54 9.425 16.425 ],[11.77 11.77 18.77 11.77 18.77 18.77 11.77" " ],[0.931 0.946 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\nc" "olor('black');port_label('input',1,'d');\ncolor('black');port_label('input',2,'addr');\ncolor('black');port_labe" "l('output',1,'q');\nfprintf('','COMMENT: end icon text');" } Block { BlockType Reference Name "ASR 7" SID "690" Ports [2, 1] Position [450, 1002, 500, 1053] LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Addressable Shift Register" SourceType "Xilinx Addressable Shift Register Block" infoedit "Delay of configurable length. Any element in the delay\n line can be addressed and" " driven onto the output\n port.

Hardware notes: Implemented using SRL16s.\n If Virtex-4, V" "irtex-II or Spartan-3 devices are used, multiple SRLC16s are cascaded together." infer_latency on depth "2" initVector "[0]" en off dbl_ovrd off use_behavioral_HDL off Optimization "Resource" xl_use_area off xl_area "[7 0 0 14 0 0 0]" use_rpm "on" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "addrsr" block_version "9.1.01" sg_icon_stat "50,51,2,1,white,blue,0,a352fb33,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 50 50 0 0 ],[0 0 51 51 0 ],[0.77 0.82" " 0.91 ]);\nplot([0 50 50 0 0 ],[0 0 51 51 0 ]);\npatch([9.425 19.54 26.54 33.54 40.54 26.54 16.425 9.425 ],[32.7" "7 32.77 39.77 32.77 39.77 39.77 39.77 32.77 ],[1 1 1 ]);\npatch([16.425 26.54 19.54 9.425 16.425 ],[25.77 25.77 " "32.77 32.77 25.77 ],[0.931 0.946 0.973 ]);\npatch([9.425 19.54 26.54 16.425 9.425 ],[18.77 18.77 25.77 25.77 18." "77 ],[1 1 1 ]);\npatch([16.425 40.54 33.54 26.54 19.54 9.425 16.425 ],[11.77 11.77 18.77 11.77 18.77 18.77 11.77" " ],[0.931 0.946 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\nc" "olor('black');port_label('input',1,'d');\ncolor('black');port_label('input',2,'addr');\ncolor('black');port_labe" "l('output',1,'q');\nfprintf('','COMMENT: end icon text');" } Block { BlockType Reference Name "ASR 8" SID "691" Ports [2, 1] Position [450, 27, 500, 78] LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Addressable Shift Register" SourceType "Xilinx Addressable Shift Register Block" infoedit "Delay of configurable length. Any element in the delay\n line can be addressed and" " driven onto the output\n port.

Hardware notes: Implemented using SRL16s.\n If Virtex-4, V" "irtex-II or Spartan-3 devices are used, multiple SRLC16s are cascaded together." infer_latency on depth "2" initVector "[0]" en off dbl_ovrd off use_behavioral_HDL off Optimization "Resource" xl_use_area off xl_area "[7 0 0 14 0 0 0]" use_rpm "on" has_advanced_control "0" sggui_pos "20,20,348,389" block_type "addrsr" block_version "9.1.01" sg_icon_stat "50,51,2,1,white,blue,0,a352fb33,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 50 50 0 0 ],[0 0 51 51 0 ],[0.77 0.82" " 0.91 ]);\nplot([0 50 50 0 0 ],[0 0 51 51 0 ]);\npatch([9.425 19.54 26.54 33.54 40.54 26.54 16.425 9.425 ],[32.7" "7 32.77 39.77 32.77 39.77 39.77 39.77 32.77 ],[1 1 1 ]);\npatch([16.425 26.54 19.54 9.425 16.425 ],[25.77 25.77 " "32.77 32.77 25.77 ],[0.931 0.946 0.973 ]);\npatch([9.425 19.54 26.54 16.425 9.425 ],[18.77 18.77 25.77 25.77 18." "77 ],[1 1 1 ]);\npatch([16.425 40.54 33.54 26.54 19.54 9.425 16.425 ],[11.77 11.77 18.77 11.77 18.77 18.77 11.77" " ],[0.931 0.946 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\nc" "olor('black');port_label('input',1,'d');\ncolor('black');port_label('input',2,'addr');\ncolor('black');port_labe" "l('output',1,'q');\nfprintf('','COMMENT: end icon text');" } Block { BlockType Reference Name "ASR 9" SID "692" Ports [2, 1] Position [450, 92, 500, 143] LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Addressable Shift Register" SourceType "Xilinx Addressable Shift Register Block" infoedit "Delay of configurable length. Any element in the delay\n line can be addressed and" " driven onto the output\n port.

Hardware notes: Implemented using SRL16s.\n If Virtex-4, V" "irtex-II or Spartan-3 devices are used, multiple SRLC16s are cascaded together." infer_latency on depth "2" initVector "[0]" en off dbl_ovrd off use_behavioral_HDL off Optimization "Resource" xl_use_area off xl_area "[7 0 0 14 0 0 0]" use_rpm "on" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "addrsr" block_version "9.1.01" sg_icon_stat "50,51,2,1,white,blue,0,a352fb33,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 50 50 0 0 ],[0 0 51 51 0 ],[0.77 0.82" " 0.91 ]);\nplot([0 50 50 0 0 ],[0 0 51 51 0 ]);\npatch([9.425 19.54 26.54 33.54 40.54 26.54 16.425 9.425 ],[32.7" "7 32.77 39.77 32.77 39.77 39.77 39.77 32.77 ],[1 1 1 ]);\npatch([16.425 26.54 19.54 9.425 16.425 ],[25.77 25.77 " "32.77 32.77 25.77 ],[0.931 0.946 0.973 ]);\npatch([9.425 19.54 26.54 16.425 9.425 ],[18.77 18.77 25.77 25.77 18." "77 ],[1 1 1 ]);\npatch([16.425 40.54 33.54 26.54 19.54 9.425 16.425 ],[11.77 11.77 18.77 11.77 18.77 18.77 11.77" " ],[0.931 0.946 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\nc" "olor('black');port_label('input',1,'d');\ncolor('black');port_label('input',2,'addr');\ncolor('black');port_labe" "l('output',1,'q');\nfprintf('','COMMENT: end icon text');" } Block { BlockType Reference Name "Delay1" SID "693" Ports [1, 1] Position [332, 780, 348, 805] BlockRotation 270 ShowName off LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Delay" SourceType "Xilinx Delay Block" infoedit "Hardware notes: A delay line is a chain, each link of which is an SRL16 followed by a flip-flop." rst off infoeditControl "Selection of Reset will increase slice count due to use of real FFs and instead of SRLs" en off latency "4" dbl_ovrd off reg_retiming off xl_use_area off xl_area "[7 14 0 14 0 0 0]" has_advanced_control "0" sggui_pos "20,20,348,255" block_type "delay" block_version "9.1.01" sg_icon_stat "16,25,1,1,white,blue,0,d390c2d8,up,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 16 16 0 0 ],[0 0 25 25 0 ],[0.77 0.82" " 0.91 ]);\nplot([0 16 16 0 0 ],[0 0 25 25 0 ]);\npatch([3.55 6.44 8.44 10.44 12.44 8.44 5.55 3.55 ],[14.22 14.22" " 16.22 14.22 16.22 16.22 16.22 14.22 ],[1 1 1 ]);\npatch([5.55 8.44 6.44 3.55 5.55 ],[12.22 12.22 14.22 14.22 12" ".22 ],[0.931 0.946 0.973 ]);\npatch([3.55 6.44 8.44 5.55 3.55 ],[10.22 10.22 12.22 12.22 10.22 ],[1 1 1 ]);\npat" "ch([5.55 12.44 10.44 8.44 6.44 3.55 5.55 ],[8.22 8.22 10.22 8.22 10.22 10.22 8.22 ],[0.931 0.946 0.973 ]);\nfpri" "ntf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\n\n\ncolor('black');disp('z^{-4}'" ",'texmode','on');\nfprintf('','COMMENT: end icon text');" } Block { BlockType Reference Name "Delay10" SID "694" Ports [1, 1] Position [332, 260, 348, 285] BlockRotation 270 ShowName off LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Delay" SourceType "Xilinx Delay Block" infoedit "Hardware notes: A delay line is a chain, each link of which is an SRL16 followed by a flip-flop." rst off infoeditControl "Selection of Reset will increase slice count due to use of real FFs and instead of SRLs" en off latency "4" dbl_ovrd off reg_retiming off xl_use_area off xl_area "[7 14 0 14 0 0 0]" has_advanced_control "0" sggui_pos "20,20,348,255" block_type "delay" block_version "9.1.01" sg_icon_stat "16,25,1,1,white,blue,0,d390c2d8,up,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 16 16 0 0 ],[0 0 25 25 0 ],[0.77 0.82" " 0.91 ]);\nplot([0 16 16 0 0 ],[0 0 25 25 0 ]);\npatch([3.55 6.44 8.44 10.44 12.44 8.44 5.55 3.55 ],[14.22 14.22" " 16.22 14.22 16.22 16.22 16.22 14.22 ],[1 1 1 ]);\npatch([5.55 8.44 6.44 3.55 5.55 ],[12.22 12.22 14.22 14.22 12" ".22 ],[0.931 0.946 0.973 ]);\npatch([3.55 6.44 8.44 5.55 3.55 ],[10.22 10.22 12.22 12.22 10.22 ],[1 1 1 ]);\npat" "ch([5.55 12.44 10.44 8.44 6.44 3.55 5.55 ],[8.22 8.22 10.22 8.22 10.22 10.22 8.22 ],[0.931 0.946 0.973 ]);\nfpri" "ntf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\n\n\ncolor('black');disp('z^{-4}'" ",'texmode','on');\nfprintf('','COMMENT: end icon text');" } Block { BlockType Reference Name "Delay11" SID "695" Ports [1, 1] Position [312, 260, 328, 285] BlockRotation 270 ShowName off LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Delay" SourceType "Xilinx Delay Block" infoedit "Hardware notes: A delay line is a chain, each link of which is an SRL16 followed by a flip-flop." rst off infoeditControl "Selection of Reset will increase slice count due to use of real FFs and instead of SRLs" en off latency "4" dbl_ovrd off reg_retiming off xl_use_area off xl_area "[7 14 0 14 0 0 0]" has_advanced_control "0" sggui_pos "20,20,348,255" block_type "delay" block_version "9.1.01" sg_icon_stat "16,25,1,1,white,blue,0,d390c2d8,up,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 16 16 0 0 ],[0 0 25 25 0 ],[0.77 0.82" " 0.91 ]);\nplot([0 16 16 0 0 ],[0 0 25 25 0 ]);\npatch([3.55 6.44 8.44 10.44 12.44 8.44 5.55 3.55 ],[14.22 14.22" " 16.22 14.22 16.22 16.22 16.22 14.22 ],[1 1 1 ]);\npatch([5.55 8.44 6.44 3.55 5.55 ],[12.22 12.22 14.22 14.22 12" ".22 ],[0.931 0.946 0.973 ]);\npatch([3.55 6.44 8.44 5.55 3.55 ],[10.22 10.22 12.22 12.22 10.22 ],[1 1 1 ]);\npat" "ch([5.55 12.44 10.44 8.44 6.44 3.55 5.55 ],[8.22 8.22 10.22 8.22 10.22 10.22 8.22 ],[0.931 0.946 0.973 ]);\nfpri" "ntf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\n\n\ncolor('black');disp('z^{-4}'" ",'texmode','on');\nfprintf('','COMMENT: end icon text');" } Block { BlockType Reference Name "Delay12" SID "696" Ports [1, 1] Position [292, 260, 308, 285] BlockRotation 270 ShowName off LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Delay" SourceType "Xilinx Delay Block" infoedit "Hardware notes: A delay line is a chain, each link of which is an SRL16 followed by a flip-flop." rst off infoeditControl "Selection of Reset will increase slice count due to use of real FFs and instead of SRLs" en off latency "4" dbl_ovrd off reg_retiming off xl_use_area off xl_area "[7 14 0 14 0 0 0]" has_advanced_control "0" sggui_pos "20,20,348,255" block_type "delay" block_version "9.1.01" sg_icon_stat "16,25,1,1,white,blue,0,d390c2d8,up,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 16 16 0 0 ],[0 0 25 25 0 ],[0.77 0.82" " 0.91 ]);\nplot([0 16 16 0 0 ],[0 0 25 25 0 ]);\npatch([3.55 6.44 8.44 10.44 12.44 8.44 5.55 3.55 ],[14.22 14.22" " 16.22 14.22 16.22 16.22 16.22 14.22 ],[1 1 1 ]);\npatch([5.55 8.44 6.44 3.55 5.55 ],[12.22 12.22 14.22 14.22 12" ".22 ],[0.931 0.946 0.973 ]);\npatch([3.55 6.44 8.44 5.55 3.55 ],[10.22 10.22 12.22 12.22 10.22 ],[1 1 1 ]);\npat" "ch([5.55 12.44 10.44 8.44 6.44 3.55 5.55 ],[8.22 8.22 10.22 8.22 10.22 10.22 8.22 ],[0.931 0.946 0.973 ]);\nfpri" "ntf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\n\n\ncolor('black');disp('z^{-4}'" ",'texmode','on');\nfprintf('','COMMENT: end icon text');" } Block { BlockType Reference Name "Delay2" SID "697" Ports [1, 1] Position [312, 780, 328, 805] BlockRotation 270 ShowName off LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Delay" SourceType "Xilinx Delay Block" infoedit "Hardware notes: A delay line is a chain, each link of which is an SRL16 followed by a flip-flop." rst off infoeditControl "Selection of Reset will increase slice count due to use of real FFs and instead of SRLs" en off latency "4" dbl_ovrd off reg_retiming off xl_use_area off xl_area "[7 14 0 14 0 0 0]" has_advanced_control "0" sggui_pos "20,20,348,255" block_type "delay" block_version "9.1.01" sg_icon_stat "16,25,1,1,white,blue,0,d390c2d8,up,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 16 16 0 0 ],[0 0 25 25 0 ],[0.77 0.82" " 0.91 ]);\nplot([0 16 16 0 0 ],[0 0 25 25 0 ]);\npatch([3.55 6.44 8.44 10.44 12.44 8.44 5.55 3.55 ],[14.22 14.22" " 16.22 14.22 16.22 16.22 16.22 14.22 ],[1 1 1 ]);\npatch([5.55 8.44 6.44 3.55 5.55 ],[12.22 12.22 14.22 14.22 12" ".22 ],[0.931 0.946 0.973 ]);\npatch([3.55 6.44 8.44 5.55 3.55 ],[10.22 10.22 12.22 12.22 10.22 ],[1 1 1 ]);\npat" "ch([5.55 12.44 10.44 8.44 6.44 3.55 5.55 ],[8.22 8.22 10.22 8.22 10.22 10.22 8.22 ],[0.931 0.946 0.973 ]);\nfpri" "ntf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\n\n\ncolor('black');disp('z^{-4}'" ",'texmode','on');\nfprintf('','COMMENT: end icon text');" } Block { BlockType Reference Name "Delay3" SID "698" Ports [1, 1] Position [292, 780, 308, 805] BlockRotation 270 ShowName off LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Delay" SourceType "Xilinx Delay Block" infoedit "Hardware notes: A delay line is a chain, each link of which is an SRL16 followed by a flip-flop." rst off infoeditControl "Selection of Reset will increase slice count due to use of real FFs and instead of SRLs" en off latency "4" dbl_ovrd off reg_retiming off xl_use_area off xl_area "[7 14 0 14 0 0 0]" has_advanced_control "0" sggui_pos "20,20,348,255" block_type "delay" block_version "9.1.01" sg_icon_stat "16,25,1,1,white,blue,0,d390c2d8,up,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 16 16 0 0 ],[0 0 25 25 0 ],[0.77 0.82" " 0.91 ]);\nplot([0 16 16 0 0 ],[0 0 25 25 0 ]);\npatch([3.55 6.44 8.44 10.44 12.44 8.44 5.55 3.55 ],[14.22 14.22" " 16.22 14.22 16.22 16.22 16.22 14.22 ],[1 1 1 ]);\npatch([5.55 8.44 6.44 3.55 5.55 ],[12.22 12.22 14.22 14.22 12" ".22 ],[0.931 0.946 0.973 ]);\npatch([3.55 6.44 8.44 5.55 3.55 ],[10.22 10.22 12.22 12.22 10.22 ],[1 1 1 ]);\npat" "ch([5.55 12.44 10.44 8.44 6.44 3.55 5.55 ],[8.22 8.22 10.22 8.22 10.22 10.22 8.22 ],[0.931 0.946 0.973 ]);\nfpri" "ntf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\n\n\ncolor('black');disp('z^{-4}'" ",'texmode','on');\nfprintf('','COMMENT: end icon text');" } Block { BlockType Reference Name "Delay4" SID "699" Ports [1, 1] Position [352, 780, 368, 805] BlockRotation 270 ShowName off LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Delay" SourceType "Xilinx Delay Block" infoedit "Hardware notes: A delay line is a chain, each link of which is an SRL16 followed by a flip-flop." rst off infoeditControl "Selection of Reset will increase slice count due to use of real FFs and instead of SRLs" en off latency "4" dbl_ovrd off reg_retiming off xl_use_area off xl_area "[7 14 0 14 0 0 0]" has_advanced_control "0" sggui_pos "20,20,348,255" block_type "delay" block_version "9.1.01" sg_icon_stat "16,25,1,1,white,blue,0,d390c2d8,up,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 16 16 0 0 ],[0 0 25 25 0 ],[0.77 0.82" " 0.91 ]);\nplot([0 16 16 0 0 ],[0 0 25 25 0 ]);\npatch([3.55 6.44 8.44 10.44 12.44 8.44 5.55 3.55 ],[14.22 14.22" " 16.22 14.22 16.22 16.22 16.22 14.22 ],[1 1 1 ]);\npatch([5.55 8.44 6.44 3.55 5.55 ],[12.22 12.22 14.22 14.22 12" ".22 ],[0.931 0.946 0.973 ]);\npatch([3.55 6.44 8.44 5.55 3.55 ],[10.22 10.22 12.22 12.22 10.22 ],[1 1 1 ]);\npat" "ch([5.55 12.44 10.44 8.44 6.44 3.55 5.55 ],[8.22 8.22 10.22 8.22 10.22 10.22 8.22 ],[0.931 0.946 0.973 ]);\nfpri" "ntf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\n\n\ncolor('black');disp('z^{-4}'" ",'texmode','on');\nfprintf('','COMMENT: end icon text');" } Block { BlockType Reference Name "Delay5" SID "700" Ports [1, 1] Position [352, 520, 368, 545] BlockRotation 270 ShowName off LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Delay" SourceType "Xilinx Delay Block" infoedit "Hardware notes: A delay line is a chain, each link of which is an SRL16 followed by a flip-flop." rst off infoeditControl "Selection of Reset will increase slice count due to use of real FFs and instead of SRLs" en off latency "4" dbl_ovrd off reg_retiming off xl_use_area off xl_area "[7 14 0 14 0 0 0]" has_advanced_control "0" sggui_pos "20,20,348,255" block_type "delay" block_version "9.1.01" sg_icon_stat "16,25,1,1,white,blue,0,d390c2d8,up,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 16 16 0 0 ],[0 0 25 25 0 ],[0.77 0.82" " 0.91 ]);\nplot([0 16 16 0 0 ],[0 0 25 25 0 ]);\npatch([3.55 6.44 8.44 10.44 12.44 8.44 5.55 3.55 ],[14.22 14.22" " 16.22 14.22 16.22 16.22 16.22 14.22 ],[1 1 1 ]);\npatch([5.55 8.44 6.44 3.55 5.55 ],[12.22 12.22 14.22 14.22 12" ".22 ],[0.931 0.946 0.973 ]);\npatch([3.55 6.44 8.44 5.55 3.55 ],[10.22 10.22 12.22 12.22 10.22 ],[1 1 1 ]);\npat" "ch([5.55 12.44 10.44 8.44 6.44 3.55 5.55 ],[8.22 8.22 10.22 8.22 10.22 10.22 8.22 ],[0.931 0.946 0.973 ]);\nfpri" "ntf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\n\n\ncolor('black');disp('z^{-4}'" ",'texmode','on');\nfprintf('','COMMENT: end icon text');" } Block { BlockType Reference Name "Delay6" SID "701" Ports [1, 1] Position [332, 520, 348, 545] BlockRotation 270 ShowName off LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Delay" SourceType "Xilinx Delay Block" infoedit "Hardware notes: A delay line is a chain, each link of which is an SRL16 followed by a flip-flop." rst off infoeditControl "Selection of Reset will increase slice count due to use of real FFs and instead of SRLs" en off latency "4" dbl_ovrd off reg_retiming off xl_use_area off xl_area "[7 14 0 14 0 0 0]" has_advanced_control "0" sggui_pos "20,20,348,255" block_type "delay" block_version "9.1.01" sg_icon_stat "16,25,1,1,white,blue,0,d390c2d8,up,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 16 16 0 0 ],[0 0 25 25 0 ],[0.77 0.82" " 0.91 ]);\nplot([0 16 16 0 0 ],[0 0 25 25 0 ]);\npatch([3.55 6.44 8.44 10.44 12.44 8.44 5.55 3.55 ],[14.22 14.22" " 16.22 14.22 16.22 16.22 16.22 14.22 ],[1 1 1 ]);\npatch([5.55 8.44 6.44 3.55 5.55 ],[12.22 12.22 14.22 14.22 12" ".22 ],[0.931 0.946 0.973 ]);\npatch([3.55 6.44 8.44 5.55 3.55 ],[10.22 10.22 12.22 12.22 10.22 ],[1 1 1 ]);\npat" "ch([5.55 12.44 10.44 8.44 6.44 3.55 5.55 ],[8.22 8.22 10.22 8.22 10.22 10.22 8.22 ],[0.931 0.946 0.973 ]);\nfpri" "ntf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\n\n\ncolor('black');disp('z^{-4}'" ",'texmode','on');\nfprintf('','COMMENT: end icon text');" } Block { BlockType Reference Name "Delay7" SID "702" Ports [1, 1] Position [312, 520, 328, 545] BlockRotation 270 ShowName off LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Delay" SourceType "Xilinx Delay Block" infoedit "Hardware notes: A delay line is a chain, each link of which is an SRL16 followed by a flip-flop." rst off infoeditControl "Selection of Reset will increase slice count due to use of real FFs and instead of SRLs" en off latency "4" dbl_ovrd off reg_retiming off xl_use_area off xl_area "[7 14 0 14 0 0 0]" has_advanced_control "0" sggui_pos "20,20,348,255" block_type "delay" block_version "9.1.01" sg_icon_stat "16,25,1,1,white,blue,0,d390c2d8,up,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 16 16 0 0 ],[0 0 25 25 0 ],[0.77 0.82" " 0.91 ]);\nplot([0 16 16 0 0 ],[0 0 25 25 0 ]);\npatch([3.55 6.44 8.44 10.44 12.44 8.44 5.55 3.55 ],[14.22 14.22" " 16.22 14.22 16.22 16.22 16.22 14.22 ],[1 1 1 ]);\npatch([5.55 8.44 6.44 3.55 5.55 ],[12.22 12.22 14.22 14.22 12" ".22 ],[0.931 0.946 0.973 ]);\npatch([3.55 6.44 8.44 5.55 3.55 ],[10.22 10.22 12.22 12.22 10.22 ],[1 1 1 ]);\npat" "ch([5.55 12.44 10.44 8.44 6.44 3.55 5.55 ],[8.22 8.22 10.22 8.22 10.22 10.22 8.22 ],[0.931 0.946 0.973 ]);\nfpri" "ntf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\n\n\ncolor('black');disp('z^{-4}'" ",'texmode','on');\nfprintf('','COMMENT: end icon text');" } Block { BlockType Reference Name "Delay8" SID "703" Ports [1, 1] Position [292, 520, 308, 545] BlockRotation 270 ShowName off LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Delay" SourceType "Xilinx Delay Block" infoedit "Hardware notes: A delay line is a chain, each link of which is an SRL16 followed by a flip-flop." rst off infoeditControl "Selection of Reset will increase slice count due to use of real FFs and instead of SRLs" en off latency "4" dbl_ovrd off reg_retiming off xl_use_area off xl_area "[7 14 0 14 0 0 0]" has_advanced_control "0" sggui_pos "20,20,348,255" block_type "delay" block_version "9.1.01" sg_icon_stat "16,25,1,1,white,blue,0,d390c2d8,up,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 16 16 0 0 ],[0 0 25 25 0 ],[0.77 0.82" " 0.91 ]);\nplot([0 16 16 0 0 ],[0 0 25 25 0 ]);\npatch([3.55 6.44 8.44 10.44 12.44 8.44 5.55 3.55 ],[14.22 14.22" " 16.22 14.22 16.22 16.22 16.22 14.22 ],[1 1 1 ]);\npatch([5.55 8.44 6.44 3.55 5.55 ],[12.22 12.22 14.22 14.22 12" ".22 ],[0.931 0.946 0.973 ]);\npatch([3.55 6.44 8.44 5.55 3.55 ],[10.22 10.22 12.22 12.22 10.22 ],[1 1 1 ]);\npat" "ch([5.55 12.44 10.44 8.44 6.44 3.55 5.55 ],[8.22 8.22 10.22 8.22 10.22 10.22 8.22 ],[0.931 0.946 0.973 ]);\nfpri" "ntf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\n\n\ncolor('black');disp('z^{-4}'" ",'texmode','on');\nfprintf('','COMMENT: end icon text');" } Block { BlockType Reference Name "Delay9" SID "704" Ports [1, 1] Position [352, 260, 368, 285] BlockRotation 270 ShowName off LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Delay" SourceType "Xilinx Delay Block" infoedit "Hardware notes: A delay line is a chain, each link of which is an SRL16 followed by a flip-flop." rst off infoeditControl "Selection of Reset will increase slice count due to use of real FFs and instead of SRLs" en off latency "4" dbl_ovrd off reg_retiming off xl_use_area off xl_area "[7 14 0 14 0 0 0]" has_advanced_control "0" sggui_pos "20,20,348,255" block_type "delay" block_version "9.1.01" sg_icon_stat "16,25,1,1,white,blue,0,d390c2d8,up,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 16 16 0 0 ],[0 0 25 25 0 ],[0.77 0.82" " 0.91 ]);\nplot([0 16 16 0 0 ],[0 0 25 25 0 ]);\npatch([3.55 6.44 8.44 10.44 12.44 8.44 5.55 3.55 ],[14.22 14.22" " 16.22 14.22 16.22 16.22 16.22 14.22 ],[1 1 1 ]);\npatch([5.55 8.44 6.44 3.55 5.55 ],[12.22 12.22 14.22 14.22 12" ".22 ],[0.931 0.946 0.973 ]);\npatch([3.55 6.44 8.44 5.55 3.55 ],[10.22 10.22 12.22 12.22 10.22 ],[1 1 1 ]);\npat" "ch([5.55 12.44 10.44 8.44 6.44 3.55 5.55 ],[8.22 8.22 10.22 8.22 10.22 10.22 8.22 ],[0.931 0.946 0.973 ]);\nfpri" "ntf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\n\n\ncolor('black');disp('z^{-4}'" ",'texmode','on');\nfprintf('','COMMENT: end icon text');" } Block { BlockType SubSystem Name "TDD" SID "705" Ports [1, 4] Position [160, 786, 230, 1049] MinAlgLoopOccurrences off PropExecContextOutsideSubsystem off RTWSystemCode "Auto" FunctionWithSeparateData off Opaque off RequestExecContextInheritance off MaskHideContents off System { Name "TDD" Location [2, 74, 1902, 1112] Open off ModelBrowserVisibility off ModelBrowserWidth 200 ScreenColor "white" PaperOrientation "landscape" PaperPositionMode "auto" PaperType "usletter" PaperUnits "inches" TiledPaperMargins [0.500000, 0.500000, 0.500000, 0.500000] TiledPageScale 1 ShowPageBoundaries off ZoomFactor "100" Block { BlockType Inport Name "X" SID "706" Position [25, 148, 55, 162] IconDisplay "Port number" } Block { BlockType Reference Name "TDD" SID "707" Ports [1, 4] Position [120, 25, 175, 280] LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Time Division\nDemultiplexer" SourceType "Xilinx Time Division Demultiplexer Block" infoedit "Samples presented at the input are selected and down-sampled according to the frame sampling patt" "ern specified. The sampled input is presented either as a single or multiple channel at the output." frame_pattern "ones(1,4)" impl_style "Multiple Channel" vin off dbl_ovrd off xl_use_area off xl_area "[49 98 0 0 0 0 0]" explicit_period "off" period "1" has_advanced_control "0" sggui_pos "20,20,348,268" block_type "tdd" block_version "9.1.01" sg_icon_stat "55,255,1,4,white,blue,0,8781f2a5,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 0 ],[0 0 255 255 0 ],[0.77 0." "82 0.91 ]);\nplot([0 55 55 0 0 ],[0 0 255 255 0 ]);\npatch([11.425 21.54 28.54 35.54 42.54 28.54 18.425 11.425 ]" ",[134.77 134.77 141.77 134.77 141.77 141.77 141.77 134.77 ],[1 1 1 ]);\npatch([18.425 28.54 21.54 11.425 18.425 " "],[127.77 127.77 134.77 134.77 127.77 ],[0.931 0.946 0.973 ]);\npatch([11.425 21.54 28.54 18.425 11.425 ],[120.7" "7 120.77 127.77 127.77 120.77 ],[1 1 1 ]);\npatch([18.425 42.54 35.54 28.54 21.54 11.425 18.425 ],[113.77 113.77" " 120.77 113.77 120.77 120.77 113.77 ],[0.931 0.946 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf" "('','COMMENT: begin icon text');\ncolor('black');port_label('input',1,'d');\ncolor('black');port_label('output'," "1,'q0');\ncolor('black');port_label('output',2,'q1');\ncolor('black');port_label('output',3,'q2');\ncolor('black" "');port_label('output',4,'q3');\ncolor('black');disp('TDD');\nfprintf('','COMMENT: end icon text');" } Block { BlockType Outport Name "x[3]" SID "708" Position [235, 48, 265, 62] IconDisplay "Port number" } Block { BlockType Outport Name "x[2]" SID "709" Position [235, 113, 265, 127] Port "2" IconDisplay "Port number" } Block { BlockType Outport Name "x[1]" SID "710" Position [230, 178, 260, 192] Port "3" IconDisplay "Port number" } Block { BlockType Outport Name "x[0]" SID "711" Position [240, 243, 270, 257] Port "4" IconDisplay "Port number" } Line { SrcBlock "TDD" SrcPort 4 DstBlock "x[0]" DstPort 1 } Line { SrcBlock "TDD" SrcPort 3 DstBlock "x[1]" DstPort 1 } Line { SrcBlock "TDD" SrcPort 2 DstBlock "x[2]" DstPort 1 } Line { SrcBlock "TDD" SrcPort 1 DstBlock "x[3]" DstPort 1 } Line { SrcBlock "X" SrcPort 1 DstBlock "TDD" DstPort 1 } } } Block { BlockType Outport Name "Out1" SID "712" Position [525, 48, 555, 62] IconDisplay "Port number" } Block { BlockType Outport Name "Out2" SID "713" Position [525, 113, 555, 127] Port "2" IconDisplay "Port number" } Block { BlockType Outport Name "Out3" SID "714" Position [525, 178, 555, 192] Port "3" IconDisplay "Port number" } Block { BlockType Outport Name "Out4" SID "715" Position [525, 243, 555, 257] Port "4" IconDisplay "Port number" } Block { BlockType Outport Name "Out5" SID "716" Position [525, 308, 555, 322] Port "5" IconDisplay "Port number" } Block { BlockType Outport Name "Out6" SID "717" Position [525, 373, 555, 387] Port "6" IconDisplay "Port number" } Block { BlockType Outport Name "Out7" SID "718" Position [525, 438, 555, 452] Port "7" IconDisplay "Port number" } Block { BlockType Outport Name "Out8" SID "719" Position [525, 503, 555, 517] Port "8" IconDisplay "Port number" } Block { BlockType Outport Name "Out9" SID "720" Position [525, 568, 555, 582] Port "9" IconDisplay "Port number" } Block { BlockType Outport Name "Out10" SID "721" Position [525, 633, 555, 647] Port "10" IconDisplay "Port number" } Block { BlockType Outport Name "Out11" SID "722" Position [525, 698, 555, 712] Port "11" IconDisplay "Port number" } Block { BlockType Outport Name "Out12" SID "723" Position [525, 763, 555, 777] Port "12" IconDisplay "Port number" } Block { BlockType Outport Name "Out13" SID "724" Position [525, 828, 555, 842] Port "13" IconDisplay "Port number" } Block { BlockType Outport Name "Out14" SID "725" Position [525, 893, 555, 907] Port "14" IconDisplay "Port number" } Block { BlockType Outport Name "Out15" SID "726" Position [525, 958, 555, 972] Port "15" IconDisplay "Port number" } Block { BlockType Outport Name "Out16" SID "727" Position [525, 1023, 555, 1037] Port "16" IconDisplay "Port number" } Line { SrcBlock "X" SrcPort 1 DstBlock "TDD" DstPort 1 } Line { SrcBlock "TDD" SrcPort 2 Points [85, 0] Branch { DstBlock "ASR 5" DstPort 1 } Branch { DstBlock "Delay2" DstPort 1 } } Line { SrcBlock "TDD" SrcPort 1 Points [65, 0] Branch { DstBlock "ASR 4" DstPort 1 } Branch { DstBlock "Delay3" DstPort 1 } } Line { SrcBlock "TDD" SrcPort 4 Points [125, 0] Branch { DstBlock "ASR 7" DstPort 1 } Branch { DstBlock "Delay4" DstPort 1 } } Line { SrcBlock "Delay12" SrcPort 1 Points [0, -215] DstBlock "ASR 8" DstPort 1 } Line { SrcBlock "Delay11" SrcPort 1 Points [0, -150] DstBlock "ASR 9" DstPort 1 } Line { SrcBlock "Delay10" SrcPort 1 Points [0, -85] DstBlock "ASR 10" DstPort 1 } Line { SrcBlock "Delay9" SrcPort 1 Points [0, -20] DstBlock "ASR 11" DstPort 1 } Line { SrcBlock "Delay8" SrcPort 1 Points [0, -215] Branch { DstBlock "Delay12" DstPort 1 } Branch { DstBlock "ASR 12" DstPort 1 } } Line { SrcBlock "Delay7" SrcPort 1 Points [0, -150] Branch { DstBlock "Delay11" DstPort 1 } Branch { DstBlock "ASR 13" DstPort 1 } } Line { SrcBlock "Delay6" SrcPort 1 Points [0, -85] Branch { DstBlock "Delay10" DstPort 1 } Branch { DstBlock "ASR 14" DstPort 1 } } Line { SrcBlock "Delay5" SrcPort 1 Points [0, -20] Branch { DstBlock "Delay9" DstPort 1 } Branch { DstBlock "ASR 15" DstPort 1 } } Line { SrcBlock "TDD" SrcPort 3 Points [105, 0] Branch { DstBlock "ASR 6" DstPort 1 } Branch { DstBlock "Delay1" DstPort 1 } } Line { SrcBlock "Addr" SrcPort 1 Points [0, -15] Branch { DstBlock "ASR 7" DstPort 2 } Branch { Points [0, -65] Branch { DstBlock "ASR 6" DstPort 2 } Branch { Points [0, -65] Branch { DstBlock "ASR 5" DstPort 2 } Branch { Points [0, -65] Branch { DstBlock "ASR 4" DstPort 2 } Branch { Points [0, -65] Branch { DstBlock "ASR 3" DstPort 2 } Branch { Points [0, -65] Branch { DstBlock "ASR 2" DstPort 2 } Branch { Points [0, -65] Branch { DstBlock "ASR 1" DstPort 2 } Branch { Points [0, -65] Branch { DstBlock "ASR 0" DstPort 2 } Branch { Points [0, -65] Branch { DstBlock "ASR 15" DstPort 2 } Branch { Points [0, -65] Branch { DstBlock "ASR 14" DstPort 2 } Branch { Points [0, -65] Branch { DstBlock "ASR 13" DstPort 2 } Branch { Points [0, -65] Branch { DstBlock "ASR 12" DstPort 2 } Branch { Points [0, -65] Branch { DstBlock "ASR 11" DstPort 2 } Branch { Points [0, -65] Branch { DstBlock "ASR 10" DstPort 2 } Branch { Points [0, -65] Branch { Points [0, -65] DstBlock "ASR 8" DstPort 2 } Branch { DstBlock "ASR 9" DstPort 2 } } } } } } } } } } } } } } } } Line { SrcBlock "Delay3" SrcPort 1 Points [0, -215] Branch { DstBlock "ASR 0" DstPort 1 } Branch { DstBlock "Delay8" DstPort 1 } } Line { SrcBlock "Delay2" SrcPort 1 Points [0, -150] Branch { DstBlock "ASR 1" DstPort 1 } Branch { DstBlock "Delay7" DstPort 1 } } Line { SrcBlock "Delay1" SrcPort 1 Points [0, -85] Branch { DstBlock "ASR 2" DstPort 1 } Branch { DstBlock "Delay6" DstPort 1 } } Line { SrcBlock "Delay4" SrcPort 1 Points [0, -20] Branch { DstBlock "ASR 3" DstPort 1 } Branch { DstBlock "Delay5" DstPort 1 } } Line { SrcBlock "ASR 8" SrcPort 1 DstBlock "Out1" DstPort 1 } Line { SrcBlock "ASR 9" SrcPort 1 DstBlock "Out2" DstPort 1 } Line { SrcBlock "ASR 10" SrcPort 1 DstBlock "Out3" DstPort 1 } Line { SrcBlock "ASR 11" SrcPort 1 DstBlock "Out4" DstPort 1 } Line { SrcBlock "ASR 12" SrcPort 1 DstBlock "Out5" DstPort 1 } Line { SrcBlock "ASR 13" SrcPort 1 DstBlock "Out6" DstPort 1 } Line { SrcBlock "ASR 14" SrcPort 1 DstBlock "Out7" DstPort 1 } Line { SrcBlock "ASR 15" SrcPort 1 DstBlock "Out8" DstPort 1 } Line { SrcBlock "ASR 0" SrcPort 1 DstBlock "Out9" DstPort 1 } Line { SrcBlock "ASR 1" SrcPort 1 DstBlock "Out10" DstPort 1 } Line { SrcBlock "ASR 2" SrcPort 1 DstBlock "Out11" DstPort 1 } Line { SrcBlock "ASR 3" SrcPort 1 DstBlock "Out12" DstPort 1 } Line { SrcBlock "ASR 4" SrcPort 1 DstBlock "Out13" DstPort 1 } Line { SrcBlock "ASR 5" SrcPort 1 DstBlock "Out14" DstPort 1 } Line { SrcBlock "ASR 6" SrcPort 1 DstBlock "Out15" DstPort 1 } Line { SrcBlock "ASR 7" SrcPort 1 DstBlock "Out16" DstPort 1 } } } Block { BlockType Reference Name "Time Division\nMultiplexer" SID "728" Ports [2, 1] Position [330, 37, 390, 53] ShowName off LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Time Division\nMultiplexer" SourceType "Xilinx Time Division Multiplexer Block" infoedit "Multiple inputs are sampled and presented serially at the output." inputs "2" vin off dbl_ovrd off xl_use_area off xl_area "[3 2 0 31 0 0 0]" explicit_period "off" period "1" has_advanced_control "0" sggui_pos "20,20,348,209" block_type "tdm" block_version "10.1.3" sg_icon_stat "60,16,2,1,white,blue,0,2f8962b8,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 0 ],[0 0 16 16 0 ],[0.77 0.82 0.9" "1 ]);\nplot([0 60 60 0 0 ],[0 0 16 16 0 ]);\npatch([25.55 28.44 30.44 32.44 34.44 30.44 27.55 25.55 ],[10.22 10.22" " 12.22 10.22 12.22 12.22 12.22 10.22 ],[1 1 1 ]);\npatch([27.55 30.44 28.44 25.55 27.55 ],[8.22 8.22 10.22 10.22 8" ".22 ],[0.931 0.946 0.973 ]);\npatch([25.55 28.44 30.44 27.55 25.55 ],[6.22 6.22 8.22 8.22 6.22 ],[1 1 1 ]);\npatch" "([27.55 34.44 32.44 30.44 28.44 25.55 27.55 ],[4.22 4.22 6.22 4.22 6.22 6.22 4.22 ],[0.931 0.946 0.973 ]);\nfprint" "f('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1," "'d0');\ncolor('black');port_label('input',2,'d1');\ncolor('black');port_label('output',1,'q');\ncolor('black');dis" "p('TDM');\nfprintf('','COMMENT: end icon text');\n" } Block { BlockType Reference Name "Time Division\nMultiplexer10" SID "729" Ports [2, 1] Position [330, 217, 390, 233] ShowName off LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Time Division\nMultiplexer" SourceType "Xilinx Time Division Multiplexer Block" infoedit "Multiple inputs are sampled and presented serially at the output." inputs "2" vin off dbl_ovrd off xl_use_area off xl_area "[3 2 0 31 0 0 0]" explicit_period "off" period "1" has_advanced_control "0" sggui_pos "20,20,348,209" block_type "tdm" block_version "10.1.3" sg_icon_stat "60,16,2,1,white,blue,0,2f8962b8,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 0 ],[0 0 16 16 0 ],[0.77 0.82 0.9" "1 ]);\nplot([0 60 60 0 0 ],[0 0 16 16 0 ]);\npatch([25.55 28.44 30.44 32.44 34.44 30.44 27.55 25.55 ],[10.22 10.22" " 12.22 10.22 12.22 12.22 12.22 10.22 ],[1 1 1 ]);\npatch([27.55 30.44 28.44 25.55 27.55 ],[8.22 8.22 10.22 10.22 8" ".22 ],[0.931 0.946 0.973 ]);\npatch([25.55 28.44 30.44 27.55 25.55 ],[6.22 6.22 8.22 8.22 6.22 ],[1 1 1 ]);\npatch" "([27.55 34.44 32.44 30.44 28.44 25.55 27.55 ],[4.22 4.22 6.22 4.22 6.22 6.22 4.22 ],[0.931 0.946 0.973 ]);\nfprint" "f('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1," "'d0');\ncolor('black');port_label('input',2,'d1');\ncolor('black');port_label('output',1,'q');\ncolor('black');dis" "p('TDM');\nfprintf('','COMMENT: end icon text');\n" } Block { BlockType Reference Name "Time Division\nMultiplexer11" SID "730" Ports [2, 1] Position [330, 237, 390, 253] ShowName off LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Time Division\nMultiplexer" SourceType "Xilinx Time Division Multiplexer Block" infoedit "Multiple inputs are sampled and presented serially at the output." inputs "2" vin off dbl_ovrd off xl_use_area off xl_area "[3 2 0 31 0 0 0]" explicit_period "off" period "1" has_advanced_control "0" sggui_pos "20,20,348,209" block_type "tdm" block_version "10.1.3" sg_icon_stat "60,16,2,1,white,blue,0,2f8962b8,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 0 ],[0 0 16 16 0 ],[0.77 0.82 0.9" "1 ]);\nplot([0 60 60 0 0 ],[0 0 16 16 0 ]);\npatch([25.55 28.44 30.44 32.44 34.44 30.44 27.55 25.55 ],[10.22 10.22" " 12.22 10.22 12.22 12.22 12.22 10.22 ],[1 1 1 ]);\npatch([27.55 30.44 28.44 25.55 27.55 ],[8.22 8.22 10.22 10.22 8" ".22 ],[0.931 0.946 0.973 ]);\npatch([25.55 28.44 30.44 27.55 25.55 ],[6.22 6.22 8.22 8.22 6.22 ],[1 1 1 ]);\npatch" "([27.55 34.44 32.44 30.44 28.44 25.55 27.55 ],[4.22 4.22 6.22 4.22 6.22 6.22 4.22 ],[0.931 0.946 0.973 ]);\nfprint" "f('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1," "'d0');\ncolor('black');port_label('input',2,'d1');\ncolor('black');port_label('output',1,'q');\ncolor('black');dis" "p('TDM');\nfprintf('','COMMENT: end icon text');\n" } Block { BlockType Reference Name "Time Division\nMultiplexer12" SID "731" Ports [2, 1] Position [330, 257, 390, 273] ShowName off LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Time Division\nMultiplexer" SourceType "Xilinx Time Division Multiplexer Block" infoedit "Multiple inputs are sampled and presented serially at the output." inputs "2" vin off dbl_ovrd off xl_use_area off xl_area "[3 2 0 31 0 0 0]" explicit_period "off" period "1" has_advanced_control "0" sggui_pos "20,20,348,209" block_type "tdm" block_version "10.1.3" sg_icon_stat "60,16,2,1,white,blue,0,2f8962b8,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 0 ],[0 0 16 16 0 ],[0.77 0.82 0.9" "1 ]);\nplot([0 60 60 0 0 ],[0 0 16 16 0 ]);\npatch([25.55 28.44 30.44 32.44 34.44 30.44 27.55 25.55 ],[10.22 10.22" " 12.22 10.22 12.22 12.22 12.22 10.22 ],[1 1 1 ]);\npatch([27.55 30.44 28.44 25.55 27.55 ],[8.22 8.22 10.22 10.22 8" ".22 ],[0.931 0.946 0.973 ]);\npatch([25.55 28.44 30.44 27.55 25.55 ],[6.22 6.22 8.22 8.22 6.22 ],[1 1 1 ]);\npatch" "([27.55 34.44 32.44 30.44 28.44 25.55 27.55 ],[4.22 4.22 6.22 4.22 6.22 6.22 4.22 ],[0.931 0.946 0.973 ]);\nfprint" "f('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1," "'d0');\ncolor('black');port_label('input',2,'d1');\ncolor('black');port_label('output',1,'q');\ncolor('black');dis" "p('TDM');\nfprintf('','COMMENT: end icon text');\n" } Block { BlockType Reference Name "Time Division\nMultiplexer13" SID "732" Ports [2, 1] Position [330, 277, 390, 293] ShowName off LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Time Division\nMultiplexer" SourceType "Xilinx Time Division Multiplexer Block" infoedit "Multiple inputs are sampled and presented serially at the output." inputs "2" vin off dbl_ovrd off xl_use_area off xl_area "[3 2 0 31 0 0 0]" explicit_period "off" period "1" has_advanced_control "0" sggui_pos "20,20,348,209" block_type "tdm" block_version "10.1.3" sg_icon_stat "60,16,2,1,white,blue,0,2f8962b8,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 0 ],[0 0 16 16 0 ],[0.77 0.82 0.9" "1 ]);\nplot([0 60 60 0 0 ],[0 0 16 16 0 ]);\npatch([25.55 28.44 30.44 32.44 34.44 30.44 27.55 25.55 ],[10.22 10.22" " 12.22 10.22 12.22 12.22 12.22 10.22 ],[1 1 1 ]);\npatch([27.55 30.44 28.44 25.55 27.55 ],[8.22 8.22 10.22 10.22 8" ".22 ],[0.931 0.946 0.973 ]);\npatch([25.55 28.44 30.44 27.55 25.55 ],[6.22 6.22 8.22 8.22 6.22 ],[1 1 1 ]);\npatch" "([27.55 34.44 32.44 30.44 28.44 25.55 27.55 ],[4.22 4.22 6.22 4.22 6.22 6.22 4.22 ],[0.931 0.946 0.973 ]);\nfprint" "f('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1," "'d0');\ncolor('black');port_label('input',2,'d1');\ncolor('black');port_label('output',1,'q');\ncolor('black');dis" "p('TDM');\nfprintf('','COMMENT: end icon text');\n" } Block { BlockType Reference Name "Time Division\nMultiplexer14" SID "733" Ports [2, 1] Position [330, 297, 390, 313] ShowName off LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Time Division\nMultiplexer" SourceType "Xilinx Time Division Multiplexer Block" infoedit "Multiple inputs are sampled and presented serially at the output." inputs "2" vin off dbl_ovrd off xl_use_area off xl_area "[3 2 0 31 0 0 0]" explicit_period "off" period "1" has_advanced_control "0" sggui_pos "20,20,348,209" block_type "tdm" block_version "10.1.3" sg_icon_stat "60,16,2,1,white,blue,0,2f8962b8,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 0 ],[0 0 16 16 0 ],[0.77 0.82 0.9" "1 ]);\nplot([0 60 60 0 0 ],[0 0 16 16 0 ]);\npatch([25.55 28.44 30.44 32.44 34.44 30.44 27.55 25.55 ],[10.22 10.22" " 12.22 10.22 12.22 12.22 12.22 10.22 ],[1 1 1 ]);\npatch([27.55 30.44 28.44 25.55 27.55 ],[8.22 8.22 10.22 10.22 8" ".22 ],[0.931 0.946 0.973 ]);\npatch([25.55 28.44 30.44 27.55 25.55 ],[6.22 6.22 8.22 8.22 6.22 ],[1 1 1 ]);\npatch" "([27.55 34.44 32.44 30.44 28.44 25.55 27.55 ],[4.22 4.22 6.22 4.22 6.22 6.22 4.22 ],[0.931 0.946 0.973 ]);\nfprint" "f('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1," "'d0');\ncolor('black');port_label('input',2,'d1');\ncolor('black');port_label('output',1,'q');\ncolor('black');dis" "p('TDM');\nfprintf('','COMMENT: end icon text');\n" } Block { BlockType Reference Name "Time Division\nMultiplexer15" SID "734" Ports [2, 1] Position [330, 317, 390, 333] ShowName off LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Time Division\nMultiplexer" SourceType "Xilinx Time Division Multiplexer Block" infoedit "Multiple inputs are sampled and presented serially at the output." inputs "2" vin off dbl_ovrd off xl_use_area off xl_area "[3 2 0 31 0 0 0]" explicit_period "off" period "1" has_advanced_control "0" sggui_pos "20,20,348,209" block_type "tdm" block_version "10.1.3" sg_icon_stat "60,16,2,1,white,blue,0,2f8962b8,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 0 ],[0 0 16 16 0 ],[0.77 0.82 0.9" "1 ]);\nplot([0 60 60 0 0 ],[0 0 16 16 0 ]);\npatch([25.55 28.44 30.44 32.44 34.44 30.44 27.55 25.55 ],[10.22 10.22" " 12.22 10.22 12.22 12.22 12.22 10.22 ],[1 1 1 ]);\npatch([27.55 30.44 28.44 25.55 27.55 ],[8.22 8.22 10.22 10.22 8" ".22 ],[0.931 0.946 0.973 ]);\npatch([25.55 28.44 30.44 27.55 25.55 ],[6.22 6.22 8.22 8.22 6.22 ],[1 1 1 ]);\npatch" "([27.55 34.44 32.44 30.44 28.44 25.55 27.55 ],[4.22 4.22 6.22 4.22 6.22 6.22 4.22 ],[0.931 0.946 0.973 ]);\nfprint" "f('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1," "'d0');\ncolor('black');port_label('input',2,'d1');\ncolor('black');port_label('output',1,'q');\ncolor('black');dis" "p('TDM');\nfprintf('','COMMENT: end icon text');\n" } Block { BlockType Reference Name "Time Division\nMultiplexer16" SID "735" Ports [2, 1] Position [330, 337, 390, 353] ShowName off LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Time Division\nMultiplexer" SourceType "Xilinx Time Division Multiplexer Block" infoedit "Multiple inputs are sampled and presented serially at the output." inputs "2" vin off dbl_ovrd off xl_use_area off xl_area "[3 2 0 31 0 0 0]" explicit_period "off" period "1" has_advanced_control "0" sggui_pos "20,20,348,209" block_type "tdm" block_version "10.1.3" sg_icon_stat "60,16,2,1,white,blue,0,2f8962b8,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 0 ],[0 0 16 16 0 ],[0.77 0.82 0.9" "1 ]);\nplot([0 60 60 0 0 ],[0 0 16 16 0 ]);\npatch([25.55 28.44 30.44 32.44 34.44 30.44 27.55 25.55 ],[10.22 10.22" " 12.22 10.22 12.22 12.22 12.22 10.22 ],[1 1 1 ]);\npatch([27.55 30.44 28.44 25.55 27.55 ],[8.22 8.22 10.22 10.22 8" ".22 ],[0.931 0.946 0.973 ]);\npatch([25.55 28.44 30.44 27.55 25.55 ],[6.22 6.22 8.22 8.22 6.22 ],[1 1 1 ]);\npatch" "([27.55 34.44 32.44 30.44 28.44 25.55 27.55 ],[4.22 4.22 6.22 4.22 6.22 6.22 4.22 ],[0.931 0.946 0.973 ]);\nfprint" "f('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1," "'d0');\ncolor('black');port_label('input',2,'d1');\ncolor('black');port_label('output',1,'q');\ncolor('black');dis" "p('TDM');\nfprintf('','COMMENT: end icon text');\n" } Block { BlockType Reference Name "Time Division\nMultiplexer2" SID "736" Ports [2, 1] Position [330, 57, 390, 73] ShowName off LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Time Division\nMultiplexer" SourceType "Xilinx Time Division Multiplexer Block" infoedit "Multiple inputs are sampled and presented serially at the output." inputs "2" vin off dbl_ovrd off xl_use_area off xl_area "[3 2 0 31 0 0 0]" explicit_period "off" period "1" has_advanced_control "0" sggui_pos "20,20,348,209" block_type "tdm" block_version "10.1.3" sg_icon_stat "60,16,2,1,white,blue,0,2f8962b8,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 0 ],[0 0 16 16 0 ],[0.77 0.82 0.9" "1 ]);\nplot([0 60 60 0 0 ],[0 0 16 16 0 ]);\npatch([25.55 28.44 30.44 32.44 34.44 30.44 27.55 25.55 ],[10.22 10.22" " 12.22 10.22 12.22 12.22 12.22 10.22 ],[1 1 1 ]);\npatch([27.55 30.44 28.44 25.55 27.55 ],[8.22 8.22 10.22 10.22 8" ".22 ],[0.931 0.946 0.973 ]);\npatch([25.55 28.44 30.44 27.55 25.55 ],[6.22 6.22 8.22 8.22 6.22 ],[1 1 1 ]);\npatch" "([27.55 34.44 32.44 30.44 28.44 25.55 27.55 ],[4.22 4.22 6.22 4.22 6.22 6.22 4.22 ],[0.931 0.946 0.973 ]);\nfprint" "f('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1," "'d0');\ncolor('black');port_label('input',2,'d1');\ncolor('black');port_label('output',1,'q');\ncolor('black');dis" "p('TDM');\nfprintf('','COMMENT: end icon text');\n" } Block { BlockType Reference Name "Time Division\nMultiplexer3" SID "737" Ports [2, 1] Position [330, 77, 390, 93] ShowName off LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Time Division\nMultiplexer" SourceType "Xilinx Time Division Multiplexer Block" infoedit "Multiple inputs are sampled and presented serially at the output." inputs "2" vin off dbl_ovrd off xl_use_area off xl_area "[3 2 0 31 0 0 0]" explicit_period "off" period "1" has_advanced_control "0" sggui_pos "20,20,348,209" block_type "tdm" block_version "10.1.3" sg_icon_stat "60,16,2,1,white,blue,0,2f8962b8,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 0 ],[0 0 16 16 0 ],[0.77 0.82 0.9" "1 ]);\nplot([0 60 60 0 0 ],[0 0 16 16 0 ]);\npatch([25.55 28.44 30.44 32.44 34.44 30.44 27.55 25.55 ],[10.22 10.22" " 12.22 10.22 12.22 12.22 12.22 10.22 ],[1 1 1 ]);\npatch([27.55 30.44 28.44 25.55 27.55 ],[8.22 8.22 10.22 10.22 8" ".22 ],[0.931 0.946 0.973 ]);\npatch([25.55 28.44 30.44 27.55 25.55 ],[6.22 6.22 8.22 8.22 6.22 ],[1 1 1 ]);\npatch" "([27.55 34.44 32.44 30.44 28.44 25.55 27.55 ],[4.22 4.22 6.22 4.22 6.22 6.22 4.22 ],[0.931 0.946 0.973 ]);\nfprint" "f('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1," "'d0');\ncolor('black');port_label('input',2,'d1');\ncolor('black');port_label('output',1,'q');\ncolor('black');dis" "p('TDM');\nfprintf('','COMMENT: end icon text');\n" } Block { BlockType Reference Name "Time Division\nMultiplexer4" SID "738" Ports [2, 1] Position [330, 97, 390, 113] ShowName off LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Time Division\nMultiplexer" SourceType "Xilinx Time Division Multiplexer Block" infoedit "Multiple inputs are sampled and presented serially at the output." inputs "2" vin off dbl_ovrd off xl_use_area off xl_area "[3 2 0 31 0 0 0]" explicit_period "off" period "1" has_advanced_control "0" sggui_pos "20,20,348,209" block_type "tdm" block_version "10.1.3" sg_icon_stat "60,16,2,1,white,blue,0,2f8962b8,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 0 ],[0 0 16 16 0 ],[0.77 0.82 0.9" "1 ]);\nplot([0 60 60 0 0 ],[0 0 16 16 0 ]);\npatch([25.55 28.44 30.44 32.44 34.44 30.44 27.55 25.55 ],[10.22 10.22" " 12.22 10.22 12.22 12.22 12.22 10.22 ],[1 1 1 ]);\npatch([27.55 30.44 28.44 25.55 27.55 ],[8.22 8.22 10.22 10.22 8" ".22 ],[0.931 0.946 0.973 ]);\npatch([25.55 28.44 30.44 27.55 25.55 ],[6.22 6.22 8.22 8.22 6.22 ],[1 1 1 ]);\npatch" "([27.55 34.44 32.44 30.44 28.44 25.55 27.55 ],[4.22 4.22 6.22 4.22 6.22 6.22 4.22 ],[0.931 0.946 0.973 ]);\nfprint" "f('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1," "'d0');\ncolor('black');port_label('input',2,'d1');\ncolor('black');port_label('output',1,'q');\ncolor('black');dis" "p('TDM');\nfprintf('','COMMENT: end icon text');\n" } Block { BlockType Reference Name "Time Division\nMultiplexer5" SID "739" Ports [2, 1] Position [330, 117, 390, 133] ShowName off LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Time Division\nMultiplexer" SourceType "Xilinx Time Division Multiplexer Block" infoedit "Multiple inputs are sampled and presented serially at the output." inputs "2" vin off dbl_ovrd off xl_use_area off xl_area "[3 2 0 31 0 0 0]" explicit_period "off" period "1" has_advanced_control "0" sggui_pos "20,20,348,209" block_type "tdm" block_version "10.1.3" sg_icon_stat "60,16,2,1,white,blue,0,2f8962b8,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 0 ],[0 0 16 16 0 ],[0.77 0.82 0.9" "1 ]);\nplot([0 60 60 0 0 ],[0 0 16 16 0 ]);\npatch([25.55 28.44 30.44 32.44 34.44 30.44 27.55 25.55 ],[10.22 10.22" " 12.22 10.22 12.22 12.22 12.22 10.22 ],[1 1 1 ]);\npatch([27.55 30.44 28.44 25.55 27.55 ],[8.22 8.22 10.22 10.22 8" ".22 ],[0.931 0.946 0.973 ]);\npatch([25.55 28.44 30.44 27.55 25.55 ],[6.22 6.22 8.22 8.22 6.22 ],[1 1 1 ]);\npatch" "([27.55 34.44 32.44 30.44 28.44 25.55 27.55 ],[4.22 4.22 6.22 4.22 6.22 6.22 4.22 ],[0.931 0.946 0.973 ]);\nfprint" "f('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1," "'d0');\ncolor('black');port_label('input',2,'d1');\ncolor('black');port_label('output',1,'q');\ncolor('black');dis" "p('TDM');\nfprintf('','COMMENT: end icon text');\n" } Block { BlockType Reference Name "Time Division\nMultiplexer6" SID "740" Ports [2, 1] Position [330, 137, 390, 153] ShowName off LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Time Division\nMultiplexer" SourceType "Xilinx Time Division Multiplexer Block" infoedit "Multiple inputs are sampled and presented serially at the output." inputs "2" vin off dbl_ovrd off xl_use_area off xl_area "[3 2 0 31 0 0 0]" explicit_period "off" period "1" has_advanced_control "0" sggui_pos "20,20,348,209" block_type "tdm" block_version "10.1.3" sg_icon_stat "60,16,2,1,white,blue,0,2f8962b8,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 0 ],[0 0 16 16 0 ],[0.77 0.82 0.9" "1 ]);\nplot([0 60 60 0 0 ],[0 0 16 16 0 ]);\npatch([25.55 28.44 30.44 32.44 34.44 30.44 27.55 25.55 ],[10.22 10.22" " 12.22 10.22 12.22 12.22 12.22 10.22 ],[1 1 1 ]);\npatch([27.55 30.44 28.44 25.55 27.55 ],[8.22 8.22 10.22 10.22 8" ".22 ],[0.931 0.946 0.973 ]);\npatch([25.55 28.44 30.44 27.55 25.55 ],[6.22 6.22 8.22 8.22 6.22 ],[1 1 1 ]);\npatch" "([27.55 34.44 32.44 30.44 28.44 25.55 27.55 ],[4.22 4.22 6.22 4.22 6.22 6.22 4.22 ],[0.931 0.946 0.973 ]);\nfprint" "f('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1," "'d0');\ncolor('black');port_label('input',2,'d1');\ncolor('black');port_label('output',1,'q');\ncolor('black');dis" "p('TDM');\nfprintf('','COMMENT: end icon text');\n" } Block { BlockType Reference Name "Time Division\nMultiplexer7" SID "741" Ports [2, 1] Position [330, 157, 390, 173] ShowName off LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Time Division\nMultiplexer" SourceType "Xilinx Time Division Multiplexer Block" infoedit "Multiple inputs are sampled and presented serially at the output." inputs "2" vin off dbl_ovrd off xl_use_area off xl_area "[3 2 0 31 0 0 0]" explicit_period "off" period "1" has_advanced_control "0" sggui_pos "20,20,348,209" block_type "tdm" block_version "10.1.3" sg_icon_stat "60,16,2,1,white,blue,0,2f8962b8,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 0 ],[0 0 16 16 0 ],[0.77 0.82 0.9" "1 ]);\nplot([0 60 60 0 0 ],[0 0 16 16 0 ]);\npatch([25.55 28.44 30.44 32.44 34.44 30.44 27.55 25.55 ],[10.22 10.22" " 12.22 10.22 12.22 12.22 12.22 10.22 ],[1 1 1 ]);\npatch([27.55 30.44 28.44 25.55 27.55 ],[8.22 8.22 10.22 10.22 8" ".22 ],[0.931 0.946 0.973 ]);\npatch([25.55 28.44 30.44 27.55 25.55 ],[6.22 6.22 8.22 8.22 6.22 ],[1 1 1 ]);\npatch" "([27.55 34.44 32.44 30.44 28.44 25.55 27.55 ],[4.22 4.22 6.22 4.22 6.22 6.22 4.22 ],[0.931 0.946 0.973 ]);\nfprint" "f('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1," "'d0');\ncolor('black');port_label('input',2,'d1');\ncolor('black');port_label('output',1,'q');\ncolor('black');dis" "p('TDM');\nfprintf('','COMMENT: end icon text');\n" } Block { BlockType Reference Name "Time Division\nMultiplexer8" SID "742" Ports [2, 1] Position [330, 177, 390, 193] ShowName off LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Time Division\nMultiplexer" SourceType "Xilinx Time Division Multiplexer Block" infoedit "Multiple inputs are sampled and presented serially at the output." inputs "2" vin off dbl_ovrd off xl_use_area off xl_area "[3 2 0 31 0 0 0]" explicit_period "off" period "1" has_advanced_control "0" sggui_pos "20,20,348,209" block_type "tdm" block_version "10.1.3" sg_icon_stat "60,16,2,1,white,blue,0,2f8962b8,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 0 ],[0 0 16 16 0 ],[0.77 0.82 0.9" "1 ]);\nplot([0 60 60 0 0 ],[0 0 16 16 0 ]);\npatch([25.55 28.44 30.44 32.44 34.44 30.44 27.55 25.55 ],[10.22 10.22" " 12.22 10.22 12.22 12.22 12.22 10.22 ],[1 1 1 ]);\npatch([27.55 30.44 28.44 25.55 27.55 ],[8.22 8.22 10.22 10.22 8" ".22 ],[0.931 0.946 0.973 ]);\npatch([25.55 28.44 30.44 27.55 25.55 ],[6.22 6.22 8.22 8.22 6.22 ],[1 1 1 ]);\npatch" "([27.55 34.44 32.44 30.44 28.44 25.55 27.55 ],[4.22 4.22 6.22 4.22 6.22 6.22 4.22 ],[0.931 0.946 0.973 ]);\nfprint" "f('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1," "'d0');\ncolor('black');port_label('input',2,'d1');\ncolor('black');port_label('output',1,'q');\ncolor('black');dis" "p('TDM');\nfprintf('','COMMENT: end icon text');\n" } Block { BlockType Reference Name "Time Division\nMultiplexer9" SID "743" Ports [2, 1] Position [330, 197, 390, 213] ShowName off LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Time Division\nMultiplexer" SourceType "Xilinx Time Division Multiplexer Block" infoedit "Multiple inputs are sampled and presented serially at the output." inputs "2" vin off dbl_ovrd off xl_use_area off xl_area "[3 2 0 31 0 0 0]" explicit_period "off" period "1" has_advanced_control "0" sggui_pos "20,20,348,209" block_type "tdm" block_version "10.1.3" sg_icon_stat "60,16,2,1,white,blue,0,2f8962b8,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 0 ],[0 0 16 16 0 ],[0.77 0.82 0.9" "1 ]);\nplot([0 60 60 0 0 ],[0 0 16 16 0 ]);\npatch([25.55 28.44 30.44 32.44 34.44 30.44 27.55 25.55 ],[10.22 10.22" " 12.22 10.22 12.22 12.22 12.22 10.22 ],[1 1 1 ]);\npatch([27.55 30.44 28.44 25.55 27.55 ],[8.22 8.22 10.22 10.22 8" ".22 ],[0.931 0.946 0.973 ]);\npatch([25.55 28.44 30.44 27.55 25.55 ],[6.22 6.22 8.22 8.22 6.22 ],[1 1 1 ]);\npatch" "([27.55 34.44 32.44 30.44 28.44 25.55 27.55 ],[4.22 4.22 6.22 4.22 6.22 6.22 4.22 ],[0.931 0.946 0.973 ]);\nfprint" "f('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1," "'d0');\ncolor('black');port_label('input',2,'d1');\ncolor('black');port_label('output',1,'q');\ncolor('black');dis" "p('TDM');\nfprintf('','COMMENT: end icon text');\n" } Block { BlockType Outport Name "Out1" SID "744" Position [415, 38, 445, 52] IconDisplay "Port number" } Block { BlockType Outport Name "Out2" SID "745" Position [415, 58, 445, 72] Port "2" IconDisplay "Port number" } Block { BlockType Outport Name "Out3" SID "746" Position [415, 78, 445, 92] Port "3" IconDisplay "Port number" } Block { BlockType Outport Name "Out4" SID "747" Position [415, 98, 445, 112] Port "4" IconDisplay "Port number" } Block { BlockType Outport Name "Out5" SID "748" Position [415, 118, 445, 132] Port "5" IconDisplay "Port number" } Block { BlockType Outport Name "Out6" SID "749" Position [415, 138, 445, 152] Port "6" IconDisplay "Port number" } Block { BlockType Outport Name "Out7" SID "750" Position [415, 158, 445, 172] Port "7" IconDisplay "Port number" } Block { BlockType Outport Name "Out8" SID "751" Position [415, 178, 445, 192] Port "8" IconDisplay "Port number" } Block { BlockType Outport Name "Out9" SID "752" Position [415, 198, 445, 212] Port "9" IconDisplay "Port number" } Block { BlockType Outport Name "Out10" SID "753" Position [415, 218, 445, 232] Port "10" IconDisplay "Port number" } Block { BlockType Outport Name "Out11" SID "754" Position [415, 238, 445, 252] Port "11" IconDisplay "Port number" } Block { BlockType Outport Name "Out12" SID "755" Position [415, 258, 445, 272] Port "12" IconDisplay "Port number" } Block { BlockType Outport Name "Out13" SID "756" Position [415, 278, 445, 292] Port "13" IconDisplay "Port number" } Block { BlockType Outport Name "Out14" SID "757" Position [415, 298, 445, 312] Port "14" IconDisplay "Port number" } Block { BlockType Outport Name "Out15" SID "758" Position [415, 318, 445, 332] Port "15" IconDisplay "Port number" } Block { BlockType Outport Name "Out16" SID "759" Position [415, 338, 445, 352] Port "16" IconDisplay "Port number" } Line { SrcBlock "XB" SrcPort 1 DstBlock "MemoryB" DstPort 1 } Line { SrcBlock "Time Division\nMultiplexer16" SrcPort 1 DstBlock "Out16" DstPort 1 } Line { SrcBlock "Time Division\nMultiplexer15" SrcPort 1 DstBlock "Out15" DstPort 1 } Line { SrcBlock "Time Division\nMultiplexer14" SrcPort 1 DstBlock "Out14" DstPort 1 } Line { SrcBlock "Time Division\nMultiplexer13" SrcPort 1 DstBlock "Out13" DstPort 1 } Line { SrcBlock "Time Division\nMultiplexer12" SrcPort 1 DstBlock "Out12" DstPort 1 } Line { SrcBlock "Time Division\nMultiplexer11" SrcPort 1 DstBlock "Out11" DstPort 1 } Line { SrcBlock "Time Division\nMultiplexer10" SrcPort 1 DstBlock "Out10" DstPort 1 } Line { SrcBlock "Time Division\nMultiplexer9" SrcPort 1 DstBlock "Out9" DstPort 1 } Line { SrcBlock "Time Division\nMultiplexer8" SrcPort 1 DstBlock "Out8" DstPort 1 } Line { SrcBlock "Time Division\nMultiplexer7" SrcPort 1 DstBlock "Out7" DstPort 1 } Line { SrcBlock "Time Division\nMultiplexer6" SrcPort 1 DstBlock "Out6" DstPort 1 } Line { SrcBlock "Time Division\nMultiplexer5" SrcPort 1 DstBlock "Out5" DstPort 1 } Line { SrcBlock "XA" SrcPort 1 DstBlock "MemoryA" DstPort 1 } Line { SrcBlock "Time Division\nMultiplexer4" SrcPort 1 DstBlock "Out4" DstPort 1 } Line { SrcBlock "Time Division\nMultiplexer3" SrcPort 1 DstBlock "Out3" DstPort 1 } Line { SrcBlock "Time Division\nMultiplexer2" SrcPort 1 DstBlock "Out2" DstPort 1 } Line { SrcBlock "Time Division\nMultiplexer" SrcPort 1 DstBlock "Out1" DstPort 1 } Line { SrcBlock "MemoryB" SrcPort 16 Points [145, 0] DstBlock "Time Division\nMultiplexer16" DstPort 2 } Line { SrcBlock "MemoryB" SrcPort 15 Points [140, 0; 0, -310] DstBlock "Time Division\nMultiplexer15" DstPort 2 } Line { SrcBlock "MemoryB" SrcPort 14 Points [135, 0; 0, -310] DstBlock "Time Division\nMultiplexer14" DstPort 2 } Line { SrcBlock "MemoryB" SrcPort 13 Points [130, 0; 0, -310] DstBlock "Time Division\nMultiplexer13" DstPort 2 } Line { SrcBlock "MemoryB" SrcPort 12 Points [125, 0; 0, -310] DstBlock "Time Division\nMultiplexer12" DstPort 2 } Line { SrcBlock "MemoryB" SrcPort 11 Points [120, 0; 0, -310] DstBlock "Time Division\nMultiplexer11" DstPort 2 } Line { SrcBlock "MemoryB" SrcPort 10 Points [115, 0; 0, -310] DstBlock "Time Division\nMultiplexer10" DstPort 2 } Line { SrcBlock "MemoryB" SrcPort 9 Points [110, 0; 0, -310] DstBlock "Time Division\nMultiplexer9" DstPort 2 } Line { SrcBlock "MemoryB" SrcPort 8 Points [105, 0; 0, -310] DstBlock "Time Division\nMultiplexer8" DstPort 2 } Line { SrcBlock "MemoryB" SrcPort 7 Points [100, 0; 0, -310] DstBlock "Time Division\nMultiplexer7" DstPort 2 } Line { SrcBlock "MemoryB" SrcPort 6 Points [95, 0; 0, -310] DstBlock "Time Division\nMultiplexer6" DstPort 2 } Line { SrcBlock "MemoryB" SrcPort 5 Points [90, 0; 0, -310] DstBlock "Time Division\nMultiplexer5" DstPort 2 } Line { SrcBlock "MemoryB" SrcPort 4 Points [85, 0; 0, -310] DstBlock "Time Division\nMultiplexer4" DstPort 2 } Line { SrcBlock "MemoryB" SrcPort 3 Points [80, 0; 0, -310] DstBlock "Time Division\nMultiplexer3" DstPort 2 } Line { SrcBlock "MemoryB" SrcPort 2 Points [75, 0; 0, -310] DstBlock "Time Division\nMultiplexer2" DstPort 2 } Line { SrcBlock "MemoryB" SrcPort 1 Points [70, 0; 0, -310] DstBlock "Time Division\nMultiplexer" DstPort 2 } Line { SrcBlock "MemoryA" SrcPort 16 DstBlock "Time Division\nMultiplexer16" DstPort 1 } Line { SrcBlock "MemoryA" SrcPort 15 DstBlock "Time Division\nMultiplexer15" DstPort 1 } Line { SrcBlock "MemoryA" SrcPort 14 DstBlock "Time Division\nMultiplexer14" DstPort 1 } Line { SrcBlock "MemoryA" SrcPort 13 DstBlock "Time Division\nMultiplexer13" DstPort 1 } Line { SrcBlock "MemoryA" SrcPort 12 DstBlock "Time Division\nMultiplexer12" DstPort 1 } Line { SrcBlock "MemoryA" SrcPort 11 DstBlock "Time Division\nMultiplexer11" DstPort 1 } Line { SrcBlock "MemoryA" SrcPort 10 DstBlock "Time Division\nMultiplexer10" DstPort 1 } Line { SrcBlock "MemoryA" SrcPort 9 DstBlock "Time Division\nMultiplexer9" DstPort 1 } Line { SrcBlock "MemoryA" SrcPort 8 DstBlock "Time Division\nMultiplexer8" DstPort 1 } Line { SrcBlock "MemoryA" SrcPort 7 DstBlock "Time Division\nMultiplexer7" DstPort 1 } Line { SrcBlock "MemoryA" SrcPort 6 DstBlock "Time Division\nMultiplexer6" DstPort 1 } Line { SrcBlock "MemoryA" SrcPort 5 DstBlock "Time Division\nMultiplexer5" DstPort 1 } Line { SrcBlock "MemoryA" SrcPort 4 DstBlock "Time Division\nMultiplexer4" DstPort 1 } Line { SrcBlock "MemoryA" SrcPort 3 DstBlock "Time Division\nMultiplexer3" DstPort 1 } Line { SrcBlock "MemoryA" SrcPort 2 DstBlock "Time Division\nMultiplexer2" DstPort 1 } Line { SrcBlock "MemoryA" SrcPort 1 DstBlock "Time Division\nMultiplexer" DstPort 1 } Line { Labels [0, 0] SrcBlock "Addr" SrcPort 1 Points [0, -15] Branch { DstBlock "MemoryB" DstPort 2 } Branch { Points [0, -320] DstBlock "MemoryA" DstPort 2 } } } } Block { BlockType Reference Name "Scale" SID "760" Ports [1, 1] Position [1220, 459, 1250, 481] ShowName off LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Scale" SourceType "Xilinx Input Scaler Block" infoedit "Scales input by a power of two by adjusting the binary point position.

Hardware notes: In" " hardware this block costs nothing." scale_factor "-2" dbl_ovrd off has_advanced_control "0" sggui_pos "20,20,336,191" block_type "scale" block_version "10.1.3" sg_icon_stat "30,22,1,1,white,blue,0,0459bb18,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 30 30 0 0 ],[0 0 22 22 0 ],[0.77 0" ".82 0.91 ]);\nplot([0 30 30 0 0 ],[0 0 22 22 0 ]);\npatch([8.325 12.66 15.66 18.66 21.66 15.66 11.325 8.325 ],[" "14.33 14.33 17.33 14.33 17.33 17.33 17.33 14.33 ],[1 1 1 ]);\npatch([11.325 15.66 12.66 8.325 11.325 ],[11.33 1" "1.33 14.33 14.33 11.33 ],[0.931 0.946 0.973 ]);\npatch([8.325 12.66 15.66 11.325 8.325 ],[8.33 8.33 11.33 11.33" " 8.33 ],[1 1 1 ]);\npatch([11.325 21.66 18.66 15.66 12.66 8.325 11.325 ],[5.33 5.33 8.33 5.33 8.33 8.33 5.33 ]," "[0.931 0.946 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\n\n\n" "color('black');disp('\\bf{2^{-2}}','texmode','on');\nfprintf('','COMMENT: end icon text');" } Block { BlockType Reference Name "Scale1" SID "761" Ports [1, 1] Position [1220, 394, 1250, 416] ShowName off LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Scale" SourceType "Xilinx Input Scaler Block" infoedit "Scales input by a power of two by adjusting the binary point position.

Hardware notes: In" " hardware this block costs nothing." scale_factor "-2" dbl_ovrd off has_advanced_control "0" sggui_pos "20,20,336,191" block_type "scale" block_version "10.1.3" sg_icon_stat "30,22,1,1,white,blue,0,0459bb18,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 30 30 0 0 ],[0 0 22 22 0 ],[0.77 0" ".82 0.91 ]);\nplot([0 30 30 0 0 ],[0 0 22 22 0 ]);\npatch([8.325 12.66 15.66 18.66 21.66 15.66 11.325 8.325 ],[" "14.33 14.33 17.33 14.33 17.33 17.33 17.33 14.33 ],[1 1 1 ]);\npatch([11.325 15.66 12.66 8.325 11.325 ],[11.33 1" "1.33 14.33 14.33 11.33 ],[0.931 0.946 0.973 ]);\npatch([8.325 12.66 15.66 11.325 8.325 ],[8.33 8.33 11.33 11.33" " 8.33 ],[1 1 1 ]);\npatch([11.325 21.66 18.66 15.66 12.66 8.325 11.325 ],[5.33 5.33 8.33 5.33 8.33 8.33 5.33 ]," "[0.931 0.946 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\n\n\n" "color('black');disp('\\bf{2^{-2}}','texmode','on');\nfprintf('','COMMENT: end icon text');" } Block { BlockType Reference Name "TDD" SID "762" Ports [1, 2] Position [955, 379, 1015, 421] LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Time Division\nDemultiplexer" SourceType "Xilinx Time Division Demultiplexer Block" infoedit "Samples presented at the input are selected and down-sampled according to the frame sampling p" "attern specified. The sampled input is presented either as a single or multiple channel at the output." frame_pattern "ones(1,2)" impl_style "Multiple Channel" vin off dbl_ovrd off xl_use_area off xl_area "[42 84 0 0 0 0 0]" explicit_period "off" period "1" has_advanced_control "0" sggui_pos "20,20,348,268" block_type "tdd" block_version "9.1.01" sg_icon_stat "60,42,1,2,white,blue,0,f4ebcb89,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 0 ],[0 0 42 42 0 ],[0.77 0" ".82 0.91 ]);\nplot([0 60 60 0 0 ],[0 0 42 42 0 ]);\npatch([16.65 25.32 31.32 37.32 43.32 31.32 22.65 16.65 ],[2" "7.66 27.66 33.66 27.66 33.66 33.66 33.66 27.66 ],[1 1 1 ]);\npatch([22.65 31.32 25.32 16.65 22.65 ],[21.66 21.6" "6 27.66 27.66 21.66 ],[0.931 0.946 0.973 ]);\npatch([16.65 25.32 31.32 22.65 16.65 ],[15.66 15.66 21.66 21.66 1" "5.66 ],[1 1 1 ]);\npatch([22.65 43.32 37.32 31.32 25.32 16.65 22.65 ],[9.66 9.66 15.66 9.66 15.66 15.66 9.66 ]," "[0.931 0.946 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncol" "or('black');port_label('input',1,'d');\ncolor('black');port_label('output',1,'q0');\ncolor('black');port_label(" "'output',2,'q1');\ncolor('black');disp('TDD');\nfprintf('','COMMENT: end icon text');" } Block { BlockType Outport Name "YA" SID "763" Position [1570, 413, 1600, 427] IconDisplay "Port number" } Block { BlockType Outport Name "YB" SID "764" Position [1570, 478, 1600, 492] Port "2" IconDisplay "Port number" } Line { SrcBlock "Delays" SrcPort 16 DstBlock "ROMS & Mults" DstPort 16 } Line { SrcBlock "Delays" SrcPort 15 DstBlock "ROMS & Mults" DstPort 15 } Line { SrcBlock "Delays" SrcPort 14 DstBlock "ROMS & Mults" DstPort 14 } Line { SrcBlock "Delays" SrcPort 13 DstBlock "ROMS & Mults" DstPort 13 } Line { SrcBlock "Delays" SrcPort 12 DstBlock "ROMS & Mults" DstPort 12 } Line { SrcBlock "Delays" SrcPort 11 DstBlock "ROMS & Mults" DstPort 11 } Line { SrcBlock "Delays" SrcPort 10 DstBlock "ROMS & Mults" DstPort 10 } Line { SrcBlock "Delays" SrcPort 9 DstBlock "ROMS & Mults" DstPort 9 } Line { SrcBlock "Delays" SrcPort 8 DstBlock "ROMS & Mults" DstPort 8 } Line { SrcBlock "Delays" SrcPort 7 DstBlock "ROMS & Mults" DstPort 7 } Line { SrcBlock "Delays" SrcPort 6 DstBlock "ROMS & Mults" DstPort 6 } Line { SrcBlock "Delays" SrcPort 5 DstBlock "ROMS & Mults" DstPort 5 } Line { SrcBlock "Delays" SrcPort 4 DstBlock "ROMS & Mults" DstPort 4 } Line { SrcBlock "Delays" SrcPort 3 DstBlock "ROMS & Mults" DstPort 3 } Line { SrcBlock "Delays" SrcPort 2 DstBlock "ROMS & Mults" DstPort 2 } Line { SrcBlock "Delays" SrcPort 1 DstBlock "ROMS & Mults" DstPort 1 } Line { SrcBlock "Delay1" SrcPort 1 DstBlock "Relational" DstPort 2 } Line { Labels [0, 0] SrcBlock "Delay16" SrcPort 1 Points [0, -95] DstBlock "Sample\nRAMs" DstPort 3 } Line { SrcBlock "Sample\nRAMs" SrcPort 16 DstBlock "Delays" DstPort 16 } Line { SrcBlock "Sample\nRAMs" SrcPort 15 DstBlock "Delays" DstPort 15 } Line { SrcBlock "Sample\nRAMs" SrcPort 14 DstBlock "Delays" DstPort 14 } Line { SrcBlock "Sample\nRAMs" SrcPort 13 DstBlock "Delays" DstPort 13 } Line { SrcBlock "Sample\nRAMs" SrcPort 12 DstBlock "Delays" DstPort 12 } Line { SrcBlock "Sample\nRAMs" SrcPort 11 DstBlock "Delays" DstPort 11 } Line { SrcBlock "Sample\nRAMs" SrcPort 10 DstBlock "Delays" DstPort 10 } Line { SrcBlock "Sample\nRAMs" SrcPort 9 DstBlock "Delays" DstPort 9 } Line { SrcBlock "Sample\nRAMs" SrcPort 8 DstBlock "Delays" DstPort 8 } Line { SrcBlock "Sample\nRAMs" SrcPort 7 DstBlock "Delays" DstPort 7 } Line { SrcBlock "Sample\nRAMs" SrcPort 6 DstBlock "Delays" DstPort 6 } Line { SrcBlock "Sample\nRAMs" SrcPort 5 DstBlock "Delays" DstPort 5 } Line { SrcBlock "Sample\nRAMs" SrcPort 4 DstBlock "Delays" DstPort 4 } Line { SrcBlock "Sample\nRAMs" SrcPort 3 DstBlock "Delays" DstPort 3 } Line { SrcBlock "Sample\nRAMs" SrcPort 2 DstBlock "Delays" DstPort 2 } Line { SrcBlock "Sample\nRAMs" SrcPort 1 DstBlock "Delays" DstPort 1 } Line { SrcBlock "Relational" SrcPort 1 Points [190, 0] Branch { Points [260, 0; 0, -185] Branch { DstBlock "Register" DstPort 2 } Branch { Points [0, -65] DstBlock "Register1" DstPort 2 } } Branch { Points [0, -200] Branch { DstBlock "Accumulator" DstPort 2 } Branch { Points [0, -65] DstBlock "Accumulator1" DstPort 2 } } } Line { SrcBlock "TDD" SrcPort 2 Points [25, 0; 0, 45] DstBlock "Accumulator" DstPort 1 } Line { SrcBlock "TDD" SrcPort 1 DstBlock "Accumulator1" DstPort 1 } Line { SrcBlock "Adders" SrcPort 1 DstBlock "TDD" DstPort 1 } Line { SrcBlock "Down Sample1" SrcPort 1 DstBlock "YA" DstPort 1 } Line { SrcBlock "Register1" SrcPort 1 DstBlock "Down Sample1" DstPort 1 } Line { SrcBlock "Convert1" SrcPort 1 DstBlock "Register1" DstPort 1 } Line { SrcBlock "Scale1" SrcPort 1 DstBlock "Convert1" DstPort 1 } Line { SrcBlock "Accumulator1" SrcPort 1 DstBlock "Scale1" DstPort 1 } Line { SrcBlock "XB" SrcPort 1 DstBlock "Sample\nRAMs" DstPort 2 } Line { SrcBlock "XA" SrcPort 1 DstBlock "Sample\nRAMs" DstPort 1 } Line { SrcBlock "Convert" SrcPort 1 DstBlock "Register" DstPort 1 } Line { SrcBlock "Scale" SrcPort 1 DstBlock "Convert" DstPort 1 } Line { SrcBlock "From2" SrcPort 1 Points [50, 0] Branch { DstBlock "Delay1" DstPort 1 } Branch { DstBlock "Delay16" DstPort 1 } } Line { SrcBlock "Accumulator" SrcPort 1 DstBlock "Scale" DstPort 1 } Line { SrcBlock "Constant" SrcPort 1 DstBlock "Relational" DstPort 1 } Line { SrcBlock "Down Sample" SrcPort 1 DstBlock "YB" DstPort 1 } Line { SrcBlock "Register" SrcPort 1 DstBlock "Down Sample" DstPort 1 } Line { SrcBlock "ROMS & Mults" SrcPort 9 DstBlock "Adders" DstPort 9 } Line { SrcBlock "ROMS & Mults" SrcPort 10 DstBlock "Adders" DstPort 10 } Line { SrcBlock "ROMS & Mults" SrcPort 11 DstBlock "Adders" DstPort 11 } Line { SrcBlock "ROMS & Mults" SrcPort 12 DstBlock "Adders" DstPort 12 } Line { SrcBlock "ROMS & Mults" SrcPort 13 DstBlock "Adders" DstPort 13 } Line { SrcBlock "ROMS & Mults" SrcPort 14 DstBlock "Adders" DstPort 14 } Line { SrcBlock "ROMS & Mults" SrcPort 15 DstBlock "Adders" DstPort 15 } Line { SrcBlock "ROMS & Mults" SrcPort 16 DstBlock "Adders" DstPort 16 } Line { SrcBlock "ROMS & Mults" SrcPort 1 DstBlock "Adders" DstPort 1 } Line { SrcBlock "ROMS & Mults" SrcPort 2 DstBlock "Adders" DstPort 2 } Line { SrcBlock "ROMS & Mults" SrcPort 3 DstBlock "Adders" DstPort 3 } Line { SrcBlock "ROMS & Mults" SrcPort 4 DstBlock "Adders" DstPort 4 } Line { SrcBlock "ROMS & Mults" SrcPort 5 DstBlock "Adders" DstPort 5 } Line { SrcBlock "ROMS & Mults" SrcPort 6 DstBlock "Adders" DstPort 6 } Line { SrcBlock "ROMS & Mults" SrcPort 7 DstBlock "Adders" DstPort 7 } Line { SrcBlock "ROMS & Mults" SrcPort 8 DstBlock "Adders" DstPort 8 } Annotation { Name "Need a pipeline stage here to meet 80MHz timing when using non-DSP48 mults in V4.\nNeed double-del" "ays to keep everything lined up\nwhen processing two interleaved sample streams." Position [581, 185] } Annotation { Name "This convert will overflow, but never for\nthe output samples that are actually\ncaptured by the n" "ext register." Position [1335, 331] } } } Block { BlockType Sum Name "Add" SID "765" Ports [2, 1] Position [1100, 417, 1130, 448] ShowName off Inputs "+-" InputSameDT off OutDataTypeStr "Inherit: Inherit via internal rule" SaturateOnIntegerOverflow off } Block { BlockType Sum Name "Add1" SID "766" Ports [2, 1] Position [1110, 537, 1140, 568] ShowName off Inputs "+-" InputSameDT off OutDataTypeStr "Inherit: Inherit via internal rule" SaturateOnIntegerOverflow off } Block { BlockType SubSystem Name "Chirp" SID "767" Ports [0, 1] Position [180, 359, 220, 391] NamePlacement "alternate" MinAlgLoopOccurrences off PropExecContextOutsideSubsystem off RTWSystemCode "Auto" FunctionWithSeparateData off Opaque off RequestExecContextInheritance off MaskHideContents off System { Name "Chirp" Location [47, 420, 272, 502] Open off ModelBrowserVisibility off ModelBrowserWidth 200 ScreenColor "white" PaperOrientation "landscape" PaperPositionMode "auto" PaperType "usletter" PaperUnits "inches" TiledPaperMargins [0.500000, 0.500000, 0.500000, 0.500000] TiledPageScale 1 ShowPageBoundaries off ZoomFactor "100" Block { BlockType Reference Name "Chirp Signal" SID "768" Ports [0, 1] Position [25, 25, 55, 55] LibraryVersion "1.236" SourceBlock "simulink/Sources/Chirp Signal" SourceType "chirp" ShowPortLabels "FromPortIcon" SystemSampleTime "-1" FunctionWithSeparateData off RTWMemSecFuncInitTerm "Inherit from model" RTWMemSecFuncExecute "Inherit from model" RTWMemSecDataConstants "Inherit from model" RTWMemSecDataInternal "Inherit from model" RTWMemSecDataParameters "Inherit from model" GeneratePreprocessorConditionals off f1 "0" T "512" f2 "0.5" VectorParams1D on } Block { BlockType Gain Name "Gain" SID "769" Position [100, 25, 145, 55] Gain "0.99" ParamDataTypeStr "Inherit: Inherit via internal rule" OutDataTypeStr "Inherit: Inherit via internal rule" SaturateOnIntegerOverflow off } Block { BlockType Outport Name "Out1" SID "770" Position [170, 33, 200, 47] IconDisplay "Port number" } Line { SrcBlock "Chirp Signal" SrcPort 1 DstBlock "Gain" DstPort 1 } Line { SrcBlock "Gain" SrcPort 1 DstBlock "Out1" DstPort 1 } } } Block { BlockType SubSystem Name "Chirp1" SID "771" Ports [0, 1] Position [180, 384, 220, 416] MinAlgLoopOccurrences off PropExecContextOutsideSubsystem off RTWSystemCode "Auto" FunctionWithSeparateData off Opaque off RequestExecContextInheritance off MaskHideContents off System { Name "Chirp1" Location [47, 420, 272, 502] Open off ModelBrowserVisibility off ModelBrowserWidth 200 ScreenColor "white" PaperOrientation "landscape" PaperPositionMode "auto" PaperType "usletter" PaperUnits "inches" TiledPaperMargins [0.500000, 0.500000, 0.500000, 0.500000] TiledPageScale 1 ShowPageBoundaries off ZoomFactor "100" Block { BlockType Reference Name "Chirp Signal" SID "772" Ports [0, 1] Position [25, 25, 55, 55] LibraryVersion "1.236" SourceBlock "simulink/Sources/Chirp Signal" SourceType "chirp" ShowPortLabels "FromPortIcon" SystemSampleTime "-1" FunctionWithSeparateData off RTWMemSecFuncInitTerm "Inherit from model" RTWMemSecFuncExecute "Inherit from model" RTWMemSecDataConstants "Inherit from model" RTWMemSecDataInternal "Inherit from model" RTWMemSecDataParameters "Inherit from model" GeneratePreprocessorConditionals off f1 "0" T "512" f2 "0.5" VectorParams1D on } Block { BlockType Gain Name "Gain" SID "773" Position [100, 25, 145, 55] Gain "-0.99" ParamDataTypeStr "Inherit: Inherit via internal rule" OutDataTypeStr "Inherit: Inherit via internal rule" SaturateOnIntegerOverflow off } Block { BlockType Outport Name "Out1" SID "774" Position [170, 33, 200, 47] IconDisplay "Port number" } Line { SrcBlock "Gain" SrcPort 1 DstBlock "Out1" DstPort 1 } Line { SrcBlock "Chirp Signal" SrcPort 1 DstBlock "Gain" DstPort 1 } } } Block { BlockType Reference Name "Down Sample" SID "775" Ports [1, 1] Position [430, 360, 460, 390] ShowName off LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Down Sample" SourceType "Xilinx Down Sampler Block" infoedit "Hardware notes: Sample and Latency controls determine the hardware implementation. The cost in hardwa" "re of different implementations varies considerably; press Help for details." sample_ratio "2" sample_phase "Last Value of Frame (most efficient)" en off latency "1" dbl_ovrd off xl_use_area off xl_area "[7 14 0 0 0 0 0]" has_advanced_control "0" sggui_pos "20,20,360,300" block_type "dsamp" block_version "10.1.3" sg_icon_stat "30,30,1,1,white,blue,0,80c3f0cd,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 30 30 0 0 ],[0 0 30 30 0 ],[0.77 0.82 0.91" " ]);\nplot([0 30 30 0 0 ],[0 0 30 30 0 ]);\npatch([6.1 11.88 15.88 19.88 23.88 15.88 10.1 6.1 ],[19.44 19.44 23.44 " "19.44 23.44 23.44 23.44 19.44 ],[1 1 1 ]);\npatch([10.1 15.88 11.88 6.1 10.1 ],[15.44 15.44 19.44 19.44 15.44 ],[0." "931 0.946 0.973 ]);\npatch([6.1 11.88 15.88 10.1 6.1 ],[11.44 11.44 15.44 15.44 11.44 ],[1 1 1 ]);\npatch([10.1 23." "88 19.88 15.88 11.88 6.1 10.1 ],[7.44 7.44 11.44 7.44 11.44 11.44 7.44 ],[0.931 0.946 0.973 ]);\nfprintf('','COMMEN" "T: end icon graphics');\nfprintf('','COMMENT: begin icon text');\n\n\ncolor('black');disp('z^{-1}\\newline ','texmo" "de','on');\ncolor('black');disp(' \\newline{\\fontsize{14pt}\\bf\\downarrow}2','texmode','on');\nfprintf('','COMMEN" "T: end icon text');" } Block { BlockType Reference Name "Down Sample1" SID "776" Ports [1, 1] Position [430, 385, 460, 415] ShowName off LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Down Sample" SourceType "Xilinx Down Sampler Block" infoedit "Hardware notes: Sample and Latency controls determine the hardware implementation. The cost in hardwa" "re of different implementations varies considerably; press Help for details." sample_ratio "2" sample_phase "Last Value of Frame (most efficient)" en off latency "1" dbl_ovrd off xl_use_area off xl_area "[7 14 0 0 0 0 0]" has_advanced_control "0" sggui_pos "20,20,360,300" block_type "dsamp" block_version "10.1.3" sg_icon_stat "30,30,1,1,white,blue,0,80c3f0cd,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 30 30 0 0 ],[0 0 30 30 0 ],[0.77 0.82 0.91" " ]);\nplot([0 30 30 0 0 ],[0 0 30 30 0 ]);\npatch([6.1 11.88 15.88 19.88 23.88 15.88 10.1 6.1 ],[19.44 19.44 23.44 " "19.44 23.44 23.44 23.44 19.44 ],[1 1 1 ]);\npatch([10.1 15.88 11.88 6.1 10.1 ],[15.44 15.44 19.44 19.44 15.44 ],[0." "931 0.946 0.973 ]);\npatch([6.1 11.88 15.88 10.1 6.1 ],[11.44 11.44 15.44 15.44 11.44 ],[1 1 1 ]);\npatch([10.1 23." "88 19.88 15.88 11.88 6.1 10.1 ],[7.44 7.44 11.44 7.44 11.44 11.44 7.44 ],[0.931 0.946 0.973 ]);\nfprintf('','COMMEN" "T: end icon graphics');\nfprintf('','COMMENT: begin icon text');\n\n\ncolor('black');disp('z^{-1}\\newline ','texmo" "de','on');\ncolor('black');disp(' \\newline{\\fontsize{14pt}\\bf\\downarrow}2','texmode','on');\nfprintf('','COMMEN" "T: end icon text');" } Block { BlockType Reference Name "Down Sample2" SID "777" Ports [1, 1] Position [430, 460, 460, 490] ShowName off LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Down Sample" SourceType "Xilinx Down Sampler Block" infoedit "Hardware notes: Sample and Latency controls determine the hardware implementation. The cost in hardwa" "re of different implementations varies considerably; press Help for details." sample_ratio "2" sample_phase "Last Value of Frame (most efficient)" en off latency "1" dbl_ovrd off xl_use_area off xl_area "[7 14 0 0 0 0 0]" has_advanced_control "0" sggui_pos "20,20,360,300" block_type "dsamp" block_version "10.1.3" sg_icon_stat "30,30,1,1,white,blue,0,80c3f0cd,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 30 30 0 0 ],[0 0 30 30 0 ],[0.77 0.82 0.91" " ]);\nplot([0 30 30 0 0 ],[0 0 30 30 0 ]);\npatch([6.1 11.88 15.88 19.88 23.88 15.88 10.1 6.1 ],[19.44 19.44 23.44 " "19.44 23.44 23.44 23.44 19.44 ],[1 1 1 ]);\npatch([10.1 15.88 11.88 6.1 10.1 ],[15.44 15.44 19.44 19.44 15.44 ],[0." "931 0.946 0.973 ]);\npatch([6.1 11.88 15.88 10.1 6.1 ],[11.44 11.44 15.44 15.44 11.44 ],[1 1 1 ]);\npatch([10.1 23." "88 19.88 15.88 11.88 6.1 10.1 ],[7.44 7.44 11.44 7.44 11.44 11.44 7.44 ],[0.931 0.946 0.973 ]);\nfprintf('','COMMEN" "T: end icon graphics');\nfprintf('','COMMENT: begin icon text');\n\n\ncolor('black');disp('z^{-1}\\newline ','texmo" "de','on');\ncolor('black');disp(' \\newline{\\fontsize{14pt}\\bf\\downarrow}2','texmode','on');\nfprintf('','COMMEN" "T: end icon text');" } Block { BlockType Reference Name "Down Sample3" SID "778" Ports [1, 1] Position [430, 485, 460, 515] ShowName off LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Down Sample" SourceType "Xilinx Down Sampler Block" infoedit "Hardware notes: Sample and Latency controls determine the hardware implementation. The cost in hardwa" "re of different implementations varies considerably; press Help for details." sample_ratio "2" sample_phase "Last Value of Frame (most efficient)" en off latency "1" dbl_ovrd off xl_use_area off xl_area "[7 14 0 0 0 0 0]" has_advanced_control "0" sggui_pos "20,20,360,300" block_type "dsamp" block_version "10.1.3" sg_icon_stat "30,30,1,1,white,blue,0,80c3f0cd,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 30 30 0 0 ],[0 0 30 30 0 ],[0.77 0.82 0.91" " ]);\nplot([0 30 30 0 0 ],[0 0 30 30 0 ]);\npatch([6.1 11.88 15.88 19.88 23.88 15.88 10.1 6.1 ],[19.44 19.44 23.44 " "19.44 23.44 23.44 23.44 19.44 ],[1 1 1 ]);\npatch([10.1 15.88 11.88 6.1 10.1 ],[15.44 15.44 19.44 19.44 15.44 ],[0." "931 0.946 0.973 ]);\npatch([6.1 11.88 15.88 10.1 6.1 ],[11.44 11.44 15.44 15.44 11.44 ],[1 1 1 ]);\npatch([10.1 23." "88 19.88 15.88 11.88 6.1 10.1 ],[7.44 7.44 11.44 7.44 11.44 11.44 7.44 ],[0.931 0.946 0.973 ]);\nfprintf('','COMMEN" "T: end icon graphics');\nfprintf('','COMMENT: begin icon text');\n\n\ncolor('black');disp('z^{-1}\\newline ','texmo" "de','on');\ncolor('black');disp(' \\newline{\\fontsize{14pt}\\bf\\downarrow}2','texmode','on');\nfprintf('','COMMEN" "T: end icon text');" } Block { BlockType Reference Name "Down Sample4" SID "779" Ports [1, 1] Position [435, 190, 465, 220] ShowName off LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Down Sample" SourceType "Xilinx Down Sampler Block" infoedit "Hardware notes: Sample and Latency controls determine the hardware implementation. The cost in hardwa" "re of different implementations varies considerably; press Help for details." sample_ratio "2" sample_phase "Last Value of Frame (most efficient)" en off latency "1" dbl_ovrd off xl_use_area off xl_area "[7 14 0 0 0 0 0]" has_advanced_control "0" sggui_pos "20,20,360,300" block_type "dsamp" block_version "10.1.3" sg_icon_stat "30,30,1,1,white,blue,0,80c3f0cd,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 30 30 0 0 ],[0 0 30 30 0 ],[0.77 0.82 0.91" " ]);\nplot([0 30 30 0 0 ],[0 0 30 30 0 ]);\npatch([6.1 11.88 15.88 19.88 23.88 15.88 10.1 6.1 ],[19.44 19.44 23.44 " "19.44 23.44 23.44 23.44 19.44 ],[1 1 1 ]);\npatch([10.1 15.88 11.88 6.1 10.1 ],[15.44 15.44 19.44 19.44 15.44 ],[0." "931 0.946 0.973 ]);\npatch([6.1 11.88 15.88 10.1 6.1 ],[11.44 11.44 15.44 15.44 11.44 ],[1 1 1 ]);\npatch([10.1 23." "88 19.88 15.88 11.88 6.1 10.1 ],[7.44 7.44 11.44 7.44 11.44 11.44 7.44 ],[0.931 0.946 0.973 ]);\nfprintf('','COMMEN" "T: end icon graphics');\nfprintf('','COMMENT: begin icon text');\n\n\ncolor('black');disp('z^{-1}\\newline ','texmo" "de','on');\ncolor('black');disp(' \\newline{\\fontsize{14pt}\\bf\\downarrow}2','texmode','on');\nfprintf('','COMMEN" "T: end icon text');" } Block { BlockType Reference Name "Down Sample5" SID "780" Ports [1, 1] Position [435, 225, 465, 255] ShowName off LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Down Sample" SourceType "Xilinx Down Sampler Block" infoedit "Hardware notes: Sample and Latency controls determine the hardware implementation. The cost in hardwa" "re of different implementations varies considerably; press Help for details." sample_ratio "2" sample_phase "Last Value of Frame (most efficient)" en off latency "1" dbl_ovrd off xl_use_area off xl_area "[7 14 0 0 0 0 0]" has_advanced_control "0" sggui_pos "20,20,360,300" block_type "dsamp" block_version "10.1.3" sg_icon_stat "30,30,1,1,white,blue,0,80c3f0cd,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 30 30 0 0 ],[0 0 30 30 0 ],[0.77 0.82 0.91" " ]);\nplot([0 30 30 0 0 ],[0 0 30 30 0 ]);\npatch([6.1 11.88 15.88 19.88 23.88 15.88 10.1 6.1 ],[19.44 19.44 23.44 " "19.44 23.44 23.44 23.44 19.44 ],[1 1 1 ]);\npatch([10.1 15.88 11.88 6.1 10.1 ],[15.44 15.44 19.44 19.44 15.44 ],[0." "931 0.946 0.973 ]);\npatch([6.1 11.88 15.88 10.1 6.1 ],[11.44 11.44 15.44 15.44 11.44 ],[1 1 1 ]);\npatch([10.1 23." "88 19.88 15.88 11.88 6.1 10.1 ],[7.44 7.44 11.44 7.44 11.44 11.44 7.44 ],[0.931 0.946 0.973 ]);\nfprintf('','COMMEN" "T: end icon graphics');\nfprintf('','COMMENT: begin icon text');\n\n\ncolor('black');disp('z^{-1}\\newline ','texmo" "de','on');\ncolor('black');disp(' \\newline{\\fontsize{14pt}\\bf\\downarrow}2','texmode','on');\nfprintf('','COMMEN" "T: end icon text');" } Block { BlockType Reference Name "Integer Delay" SID "781" Ports [1, 1] Position [935, 359, 965, 391] ShowName off LibraryVersion "1.236" UserDataPersistent on UserData "DataTag1" SourceBlock "simulink/Discrete/Integer Delay" SourceType "Integer Delay" NumDelays "48*2" InputProcessing "Inherited" vinit "0.0" samptime "-1" } Block { BlockType Reference Name "Integer Delay1" SID "782" Ports [1, 1] Position [925, 479, 955, 511] ShowName off LibraryVersion "1.236" UserDataPersistent on UserData "DataTag2" SourceBlock "simulink/Discrete/Integer Delay" SourceType "Integer Delay" NumDelays "48*2" InputProcessing "Inherited" vinit "0.0" samptime "-1" } Block { BlockType SubSystem Name "Reference\nFilter" SID "783" Ports [1, 1] Position [535, 189, 600, 221] NamePlacement "alternate" MinAlgLoopOccurrences off PropExecContextOutsideSubsystem off RTWSystemCode "Auto" FunctionWithSeparateData off Opaque off RequestExecContextInheritance off MaskHideContents off System { Name "Reference\nFilter" Location [1157, 365, 1612, 542] Open on ModelBrowserVisibility off ModelBrowserWidth 200 ScreenColor "white" PaperOrientation "landscape" PaperPositionMode "auto" PaperType "usletter" PaperUnits "inches" TiledPaperMargins [0.500000, 0.500000, 0.500000, 0.500000] TiledPageScale 1 ShowPageBoundaries off ZoomFactor "100" Block { BlockType Inport Name "X" SID "784" Position [110, 198, 140, 212] IconDisplay "Port number" } Block { BlockType Reference Name "DAFIR v9_0 2" SID "785" Ports [1, 1] Position [205, 191, 270, 219] LibraryVersion "1.2" SourceBlock "xbsIndex_r4/DAFIR v9_0 " SourceType "Xilinx Finite Impulse Response Filter Block" infoedit "Hardware notes: Implemented using distributed arithmetic (DA). The hardware over sampling rat" "e determines the degree of parallelism. A rate of one produces a fully parallel DA filter. A rate of n (resp." ", n+1) for an n-bit input signal produces a fully serial implementation for a non-symmetric (resp., symmetric) " "impulse response. Intermediate values produce implementations with intermediate levels of parallelism." coef "h64" structure "Inferred from Coefficients" coef_n_bits "18" coef_bin_pt "17" over_sample "1" reload off valids off rst off latency "15" num_channels "1" serial_input off polyphase_behavior "Decimate: 4:1 (samples in:out)" dbl_ovrd off xl_use_area off xl_area "[0,0,0,0,0,0,0]" explicit_period "off" period "1" use_isim "0" has_advanced_control "0" sggui_pos "20,20,348,503" block_type "fir" block_version "10.1.2" sg_icon_stat "65,28,1,1,white,blue,0,5af91ae7,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 65 65 0 0 ],[0 0 28 28 0 ],[0.77 0" ".82 0.91 ]);\nplot([0 65 65 0 0 ],[0 0 28 28 0 ]);\npatch([23.1 28.88 32.88 36.88 40.88 32.88 27.1 23.1 ],[18.4" "4 18.44 22.44 18.44 22.44 22.44 22.44 18.44 ],[1 1 1 ]);\npatch([27.1 32.88 28.88 23.1 27.1 ],[14.44 14.44 18.4" "4 18.44 14.44 ],[0.931 0.946 0.973 ]);\npatch([23.1 28.88 32.88 27.1 23.1 ],[10.44 10.44 14.44 14.44 10.44 ],[1" " 1 1 ]);\npatch([27.1 40.88 36.88 32.88 28.88 23.1 27.1 ],[6.44 6.44 10.44 6.44 10.44 10.44 6.44 ],[0.931 0.946" " 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');" "port_label('input',1,'x0');\ncolor('black');port_label('output',1,'y0');\ncolor('black');disp('64 tap');\nfprin" "tf('','COMMENT: end icon text');\n" } Block { BlockType Reference Name "Disregard Subsystem" SID "786" Tag "discardX" Ports [] Position [301, 250, 359, 308] ShowName off AttributesFormatString "Disregard Subsystem\\nFor Generation" LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Disregard Subsystem" SourceType "Xilinx Disregard Subsystem For Generation Block" infoedit "Place this block into a subsystem to have System Generator ignore the subsystem during code ge" "neration. This block can be used in combination with the Simulation Multiplexer block to provide an alternative" " simulation model for another subsystem (e.g., to provide a simulation model for a black box)." has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "disregard" block_version "10.1.3" sg_icon_stat "58,58,-1,-1,darkgray,black,0,0,right" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 58 58 0 ],[0 0 58 58 ],[0.1 0.1 0." "1]);\npatch([14 4 18 4 14 29 33 37 53 40 28 19 33 19 28 40 53 37 33 29 14 ],[6 16 30 44 54 54 50 54 54 41 53 44" " 30 16 7 19 6 6 10 6 6 ],[0.33 0.33 0.33]);\nplot([0 58 58 0 0 ],[0 0 58 58 0 ]);\nfprintf('','COMMENT: end ico" "n graphics');\nfprintf('','COMMENT: begin icon text');\nfprintf('','COMMENT: end icon text');\n" } Block { BlockType Gain Name "Gain" SID "787" Position [425, 190, 470, 220] ShowName off Gain "0.25" ParamDataTypeStr "Inherit: Inherit via internal rule" OutDataTypeStr "Inherit: Inherit via internal rule" SaturateOnIntegerOverflow off } Block { BlockType Reference Name "Rx_I1" SID "788" Ports [1, 1] Position [335, 199, 380, 211] NamePlacement "alternate" ShowName off LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Gateway Out" SourceType "Xilinx Gateway Out Block" infoedit "Gateway out block. Converts Xilinx fixed point inputs into ouputs of type Simulink integer, d" "ouble, or fixed point.

Hardware notes: In hardware these blocks become top level output ports or are disc" "arded, depending on how they are configured." inherit_from_input off hdl_port off timing_constraint "None" locs_specified off LOCs "{}" xl_use_area off xl_area "[0,0,0,0,0,0,0]" UseAsDAC off DACChannel "'1'" has_advanced_control "0" sggui_pos "20,20,336,386" block_type "gatewayout" block_version "8.2.01" sg_icon_stat "45,12,1,1,white,grey,1,632ec840,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 45 45 0 0 ],[0 0 12 12 0 ],[0.88 0" ".88 0.88 ]);\nplot([0 45 45 0 0 ],[0 0 12 12 0 ]);\npatch([19.775 21.22 22.22 23.22 24.22 22.22 20.775 19.775 ]" ",[7.11 7.11 8.11 7.11 8.11 8.11 8.11 7.11 ],[1 1 1 ]);\npatch([20.775 22.22 21.22 19.775 20.775 ],[6.11 6.11 7." "11 7.11 6.11 ],[0.964 0.964 0.964 ]);\npatch([19.775 21.22 22.22 20.775 19.775 ],[5.11 5.11 6.11 6.11 5.11 ],[1" " 1 1 ]);\npatch([20.775 24.22 23.22 22.22 21.22 19.775 20.775 ],[4.11 4.11 5.11 4.11 5.11 5.11 4.11 ],[0.964 0." "964 0.964 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black" "');port_label('input',1,' ');\ncolor('black');port_label('output',1,'\\fontsize{11pt} Out ','texmode','on');\nf" "printf('','COMMENT: end icon text');" } Block { BlockType Outport Name "Y" SID "789" Position [515, 198, 545, 212] IconDisplay "Port number" } Line { SrcBlock "Rx_I1" SrcPort 1 DstBlock "Gain" DstPort 1 } Line { SrcBlock "DAFIR v9_0 2" SrcPort 1 DstBlock "Rx_I1" DstPort 1 } Line { SrcBlock "X" SrcPort 1 DstBlock "DAFIR v9_0 2" DstPort 1 } Line { SrcBlock "Gain" SrcPort 1 DstBlock "Y" DstPort 1 } } } Block { BlockType SubSystem Name "Reference\nFilter1" SID "790" Ports [1, 1] Position [535, 224, 600, 256] MinAlgLoopOccurrences off PropExecContextOutsideSubsystem off RTWSystemCode "Auto" FunctionWithSeparateData off Opaque off RequestExecContextInheritance off MaskHideContents off System { Name "Reference\nFilter1" Location [1157, 365, 1612, 542] Open off ModelBrowserVisibility off ModelBrowserWidth 200 ScreenColor "white" PaperOrientation "landscape" PaperPositionMode "auto" PaperType "usletter" PaperUnits "inches" TiledPaperMargins [0.500000, 0.500000, 0.500000, 0.500000] TiledPageScale 1 ShowPageBoundaries off ZoomFactor "100" Block { BlockType Inport Name "X" SID "791" Position [110, 198, 140, 212] IconDisplay "Port number" } Block { BlockType Reference Name "DAFIR v9_0 2" SID "792" Ports [1, 1] Position [205, 191, 270, 219] LibraryVersion "1.2" SourceBlock "xbsIndex_r4/DAFIR v9_0 " SourceType "Xilinx Finite Impulse Response Filter Block" infoedit "Hardware notes: Implemented using distributed arithmetic (DA). The hardware over sampling rat" "e determines the degree of parallelism. A rate of one produces a fully parallel DA filter. A rate of n (resp." ", n+1) for an n-bit input signal produces a fully serial implementation for a non-symmetric (resp., symmetric) " "impulse response. Intermediate values produce implementations with intermediate levels of parallelism." coef "h64" structure "Inferred from Coefficients" coef_n_bits "18" coef_bin_pt "17" over_sample "1" reload off valids off rst off latency "15" num_channels "1" serial_input off polyphase_behavior "Decimate: 4:1 (samples in:out)" dbl_ovrd off xl_use_area off xl_area "[0,0,0,0,0,0,0]" explicit_period "off" period "1" use_isim "0" has_advanced_control "0" sggui_pos "20,20,348,503" block_type "fir" block_version "10.1.2" sg_icon_stat "65,28,1,1,white,blue,0,5af91ae7,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 65 65 0 0 ],[0 0 28 28 0 ],[0.77 0" ".82 0.91 ]);\nplot([0 65 65 0 0 ],[0 0 28 28 0 ]);\npatch([23.1 28.88 32.88 36.88 40.88 32.88 27.1 23.1 ],[18.4" "4 18.44 22.44 18.44 22.44 22.44 22.44 18.44 ],[1 1 1 ]);\npatch([27.1 32.88 28.88 23.1 27.1 ],[14.44 14.44 18.4" "4 18.44 14.44 ],[0.931 0.946 0.973 ]);\npatch([23.1 28.88 32.88 27.1 23.1 ],[10.44 10.44 14.44 14.44 10.44 ],[1" " 1 1 ]);\npatch([27.1 40.88 36.88 32.88 28.88 23.1 27.1 ],[6.44 6.44 10.44 6.44 10.44 10.44 6.44 ],[0.931 0.946" " 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');" "port_label('input',1,'x0');\ncolor('black');port_label('output',1,'y0');\ncolor('black');disp('64 tap');\nfprin" "tf('','COMMENT: end icon text');\n" } Block { BlockType Reference Name "Disregard Subsystem" SID "793" Tag "discardX" Ports [] Position [301, 250, 359, 308] ShowName off AttributesFormatString "Disregard Subsystem\\nFor Generation" LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Disregard Subsystem" SourceType "Xilinx Disregard Subsystem For Generation Block" infoedit "Place this block into a subsystem to have System Generator ignore the subsystem during code ge" "neration. This block can be used in combination with the Simulation Multiplexer block to provide an alternative" " simulation model for another subsystem (e.g., to provide a simulation model for a black box)." has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "disregard" block_version "10.1.3" sg_icon_stat "58,58,-1,-1,darkgray,black,0,0,right" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 58 58 0 ],[0 0 58 58 ],[0.1 0.1 0." "1]);\npatch([14 4 18 4 14 29 33 37 53 40 28 19 33 19 28 40 53 37 33 29 14 ],[6 16 30 44 54 54 50 54 54 41 53 44" " 30 16 7 19 6 6 10 6 6 ],[0.33 0.33 0.33]);\nplot([0 58 58 0 0 ],[0 0 58 58 0 ]);\nfprintf('','COMMENT: end ico" "n graphics');\nfprintf('','COMMENT: begin icon text');\nfprintf('','COMMENT: end icon text');\n" } Block { BlockType Gain Name "Gain" SID "794" Position [425, 190, 470, 220] ShowName off Gain "0.25" ParamDataTypeStr "Inherit: Inherit via internal rule" OutDataTypeStr "Inherit: Inherit via internal rule" SaturateOnIntegerOverflow off } Block { BlockType Reference Name "Rx_I1" SID "795" Ports [1, 1] Position [335, 199, 380, 211] NamePlacement "alternate" ShowName off LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Gateway Out" SourceType "Xilinx Gateway Out Block" infoedit "Gateway out block. Converts Xilinx fixed point inputs into ouputs of type Simulink integer, d" "ouble, or fixed point.

Hardware notes: In hardware these blocks become top level output ports or are disc" "arded, depending on how they are configured." inherit_from_input off hdl_port off timing_constraint "None" locs_specified off LOCs "{}" xl_use_area off xl_area "[0,0,0,0,0,0,0]" UseAsDAC off DACChannel "'1'" has_advanced_control "0" sggui_pos "20,20,336,386" block_type "gatewayout" block_version "8.2.01" sg_icon_stat "45,12,1,1,white,grey,1,632ec840,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 45 45 0 0 ],[0 0 12 12 0 ],[0.88 0" ".88 0.88 ]);\nplot([0 45 45 0 0 ],[0 0 12 12 0 ]);\npatch([19.775 21.22 22.22 23.22 24.22 22.22 20.775 19.775 ]" ",[7.11 7.11 8.11 7.11 8.11 8.11 8.11 7.11 ],[1 1 1 ]);\npatch([20.775 22.22 21.22 19.775 20.775 ],[6.11 6.11 7." "11 7.11 6.11 ],[0.964 0.964 0.964 ]);\npatch([19.775 21.22 22.22 20.775 19.775 ],[5.11 5.11 6.11 6.11 5.11 ],[1" " 1 1 ]);\npatch([20.775 24.22 23.22 22.22 21.22 19.775 20.775 ],[4.11 4.11 5.11 4.11 5.11 5.11 4.11 ],[0.964 0." "964 0.964 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black" "');port_label('input',1,' ');\ncolor('black');port_label('output',1,'\\fontsize{11pt} Out ','texmode','on');\nf" "printf('','COMMENT: end icon text');" } Block { BlockType Outport Name "Y" SID "796" Position [520, 198, 550, 212] IconDisplay "Port number" } Line { SrcBlock "Gain" SrcPort 1 DstBlock "Y" DstPort 1 } Line { SrcBlock "X" SrcPort 1 DstBlock "DAFIR v9_0 2" DstPort 1 } Line { SrcBlock "DAFIR v9_0 2" SrcPort 1 DstBlock "Rx_I1" DstPort 1 } Line { SrcBlock "Rx_I1" SrcPort 1 DstBlock "Gain" DstPort 1 } } } Block { BlockType Scope Name "Rx Scope" SID "797" Ports [8] Position [1170, 326, 1220, 574] Floating off Location [565, 89, 2485, 1171] Open off NumInputPorts "8" TickLabels "on" ZoomMode "xonly" List { ListType AxesTitles axes1 "%" axes2 "%" axes3 "%" axes4 "%" axes5 "%" axes6 "%" axes7 "%" axes8 "%" } TimeRange "1000" YMin "-1~-1~-1~-1~-1~-1~-1~-1" YMax "1~1~1~1~1~1~1~1" DataFormat "StructureWithTime" LimitDataPoints off SampleTime "0" } Block { BlockType Reference Name "RxA_I_10M" SID "798" Ports [1, 1] Position [740, 365, 805, 385] NamePlacement "alternate" LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Gateway Out" SourceType "Xilinx Gateway Out Block" infoedit "Gateway out block. Converts Xilinx fixed point inputs into ouputs of type Simulink integer, double, o" "r fixed point.

Hardware notes: In hardware these blocks become top level output ports or are discarded, depen" "ding on how they are configured." inherit_from_input off hdl_port on timing_constraint "None" locs_specified off LOCs "{}" xl_use_area off xl_area "[0 0 0 0 14 0 0]" UseAsDAC off DACChannel "'1'" has_advanced_control "0" sggui_pos "20,20,336,386" block_type "gatewayout" block_version "8.2.01" sg_icon_stat "65,20,1,1,white,yellow,1,cc31b7ac,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 65 65 0 0 ],[0 0 20 20 0 ],[0.95 0.93 0.65" " ]);\nplot([0 65 65 0 0 ],[0 0 20 20 0 ]);\npatch([27.55 30.44 32.44 34.44 36.44 32.44 29.55 27.55 ],[12.22 12.22 1" "4.22 12.22 14.22 14.22 14.22 12.22 ],[1 1 1 ]);\npatch([29.55 32.44 30.44 27.55 29.55 ],[10.22 10.22 12.22 12.22 10" ".22 ],[0.985 0.979 0.895 ]);\npatch([27.55 30.44 32.44 29.55 27.55 ],[8.22 8.22 10.22 10.22 8.22 ],[1 1 1 ]);\npatc" "h([29.55 36.44 34.44 32.44 30.44 27.55 29.55 ],[6.22 6.22 8.22 6.22 8.22 8.22 6.22 ],[0.985 0.979 0.895 ]);\nfprint" "f('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1,'" " ');\ncolor('black');port_label('output',1,'\\fontsize{11pt}\\bf Out ','texmode','on');\nfprintf('','COMMENT: end i" "con text');" } Block { BlockType Reference Name "RxA_I_40M" SID "799" Ports [1, 1] Position [300, 365, 365, 385] NamePlacement "alternate" LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Gateway In" SourceType "Xilinx Gateway In Block" infoedit "Gateway in block. Converts inputs of type Simulink integer, double and fixed point to Xilinx fixed p" "oint type.

Hardware notes: In hardware these blocks become top level input ports." gui_display_data_type "Fixed-point" arith_type "Signed (2's comp)" n_bits "14" bin_pt "13" preci_type "Single" exp_width "8" frac_width "24" quantization "Round (unbiased: +/- Inf)" overflow "Flag as error" period "1/2" dbl_ovrd off timing_constraint "None" locs_specified off LOCs "{}" xl_use_area off xl_area "[0 0 0 0 14 0 0]" inherit_from_input off UseAsADC off ADCChannel "'1'" hdl_port "on" has_advanced_control "0" sggui_pos "20,20,356,432" block_type "gatewayin" block_version "8.2.01" sg_icon_stat "65,20,1,1,white,yellow,1,00d3666e,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 65 65 0 0 ],[0 0 20 20 0 ],[0.95 0.93 0.65" " ]);\nplot([0 65 65 0 0 ],[0 0 20 20 0 ]);\npatch([27.55 30.44 32.44 34.44 36.44 32.44 29.55 27.55 ],[12.22 12.22 1" "4.22 12.22 14.22 14.22 14.22 12.22 ],[1 1 1 ]);\npatch([29.55 32.44 30.44 27.55 29.55 ],[10.22 10.22 12.22 12.22 10" ".22 ],[0.985 0.979 0.895 ]);\npatch([27.55 30.44 32.44 29.55 27.55 ],[8.22 8.22 10.22 10.22 8.22 ],[1 1 1 ]);\npatc" "h([29.55 36.44 34.44 32.44 30.44 27.55 29.55 ],[6.22 6.22 8.22 6.22 8.22 8.22 6.22 ],[0.985 0.979 0.895 ]);\nfprint" "f('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1,'" "\\fontsize{11pt}\\bf In ','texmode','on');\ncolor('black');port_label('output',1,' ');\nfprintf('','COMMENT: end ic" "on text');" } Block { BlockType Reference Name "RxA_Q_10M" SID "800" Ports [1, 1] Position [740, 390, 805, 410] LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Gateway Out" SourceType "Xilinx Gateway Out Block" infoedit "Gateway out block. Converts Xilinx fixed point inputs into ouputs of type Simulink integer, double, o" "r fixed point.

Hardware notes: In hardware these blocks become top level output ports or are discarded, depen" "ding on how they are configured." inherit_from_input off hdl_port on timing_constraint "None" locs_specified off LOCs "{}" xl_use_area off xl_area "[0 0 0 0 14 0 0]" UseAsDAC off DACChannel "'1'" has_advanced_control "0" sggui_pos "20,20,336,386" block_type "gatewayout" block_version "8.2.01" sg_icon_stat "65,20,1,1,white,yellow,1,cc31b7ac,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 65 65 0 0 ],[0 0 20 20 0 ],[0.95 0.93 0.65" " ]);\nplot([0 65 65 0 0 ],[0 0 20 20 0 ]);\npatch([27.55 30.44 32.44 34.44 36.44 32.44 29.55 27.55 ],[12.22 12.22 1" "4.22 12.22 14.22 14.22 14.22 12.22 ],[1 1 1 ]);\npatch([29.55 32.44 30.44 27.55 29.55 ],[10.22 10.22 12.22 12.22 10" ".22 ],[0.985 0.979 0.895 ]);\npatch([27.55 30.44 32.44 29.55 27.55 ],[8.22 8.22 10.22 10.22 8.22 ],[1 1 1 ]);\npatc" "h([29.55 36.44 34.44 32.44 30.44 27.55 29.55 ],[6.22 6.22 8.22 6.22 8.22 8.22 6.22 ],[0.985 0.979 0.895 ]);\nfprint" "f('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1,'" " ');\ncolor('black');port_label('output',1,'\\fontsize{11pt}\\bf Out ','texmode','on');\nfprintf('','COMMENT: end i" "con text');" } Block { BlockType Reference Name "RxA_Q_40M" SID "801" Ports [1, 1] Position [300, 390, 365, 410] LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Gateway In" SourceType "Xilinx Gateway In Block" infoedit "Gateway in block. Converts inputs of type Simulink integer, double and fixed point to Xilinx fixed p" "oint type.

Hardware notes: In hardware these blocks become top level input ports." gui_display_data_type "Fixed-point" arith_type "Signed (2's comp)" n_bits "14" bin_pt "13" preci_type "Single" exp_width "8" frac_width "24" quantization "Round (unbiased: +/- Inf)" overflow "Flag as error" period "1/2" dbl_ovrd off timing_constraint "None" locs_specified off LOCs "{}" xl_use_area off xl_area "[0 0 0 0 14 0 0]" inherit_from_input off UseAsADC off ADCChannel "'1'" hdl_port "on" has_advanced_control "0" sggui_pos "20,20,356,432" block_type "gatewayin" block_version "8.2.01" sg_icon_stat "65,20,1,1,white,yellow,1,00d3666e,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 65 65 0 0 ],[0 0 20 20 0 ],[0.95 0.93 0.65" " ]);\nplot([0 65 65 0 0 ],[0 0 20 20 0 ]);\npatch([27.55 30.44 32.44 34.44 36.44 32.44 29.55 27.55 ],[12.22 12.22 1" "4.22 12.22 14.22 14.22 14.22 12.22 ],[1 1 1 ]);\npatch([29.55 32.44 30.44 27.55 29.55 ],[10.22 10.22 12.22 12.22 10" ".22 ],[0.985 0.979 0.895 ]);\npatch([27.55 30.44 32.44 29.55 27.55 ],[8.22 8.22 10.22 10.22 8.22 ],[1 1 1 ]);\npatc" "h([29.55 36.44 34.44 32.44 30.44 27.55 29.55 ],[6.22 6.22 8.22 6.22 8.22 8.22 6.22 ],[0.985 0.979 0.895 ]);\nfprint" "f('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1,'" "\\fontsize{11pt}\\bf In ','texmode','on');\ncolor('black');port_label('output',1,' ');\nfprintf('','COMMENT: end ic" "on text');" } Block { BlockType Reference Name "RxB_I_10M" SID "802" Ports [1, 1] Position [740, 465, 805, 485] NamePlacement "alternate" LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Gateway Out" SourceType "Xilinx Gateway Out Block" infoedit "Gateway out block. Converts Xilinx fixed point inputs into ouputs of type Simulink integer, double, o" "r fixed point.

Hardware notes: In hardware these blocks become top level output ports or are discarded, depen" "ding on how they are configured." inherit_from_input off hdl_port on timing_constraint "None" locs_specified off LOCs "{}" xl_use_area off xl_area "[0 0 0 0 14 0 0]" UseAsDAC off DACChannel "'1'" has_advanced_control "0" sggui_pos "20,20,336,386" block_type "gatewayout" block_version "8.2.01" sg_icon_stat "65,20,1,1,white,yellow,1,cc31b7ac,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 65 65 0 0 ],[0 0 20 20 0 ],[0.95 0.93 0.65" " ]);\nplot([0 65 65 0 0 ],[0 0 20 20 0 ]);\npatch([27.55 30.44 32.44 34.44 36.44 32.44 29.55 27.55 ],[12.22 12.22 1" "4.22 12.22 14.22 14.22 14.22 12.22 ],[1 1 1 ]);\npatch([29.55 32.44 30.44 27.55 29.55 ],[10.22 10.22 12.22 12.22 10" ".22 ],[0.985 0.979 0.895 ]);\npatch([27.55 30.44 32.44 29.55 27.55 ],[8.22 8.22 10.22 10.22 8.22 ],[1 1 1 ]);\npatc" "h([29.55 36.44 34.44 32.44 30.44 27.55 29.55 ],[6.22 6.22 8.22 6.22 8.22 8.22 6.22 ],[0.985 0.979 0.895 ]);\nfprint" "f('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1,'" " ');\ncolor('black');port_label('output',1,'\\fontsize{11pt}\\bf Out ','texmode','on');\nfprintf('','COMMENT: end i" "con text');" } Block { BlockType Reference Name "RxB_I_40M" SID "803" Ports [1, 1] Position [300, 465, 365, 485] NamePlacement "alternate" LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Gateway In" SourceType "Xilinx Gateway In Block" infoedit "Gateway in block. Converts inputs of type Simulink integer, double and fixed point to Xilinx fixed p" "oint type.

Hardware notes: In hardware these blocks become top level input ports." gui_display_data_type "Fixed-point" arith_type "Signed (2's comp)" n_bits "14" bin_pt "13" preci_type "Single" exp_width "8" frac_width "24" quantization "Round (unbiased: +/- Inf)" overflow "Flag as error" period "1/2" dbl_ovrd off timing_constraint "None" locs_specified off LOCs "{}" xl_use_area off xl_area "[0 0 0 0 14 0 0]" inherit_from_input off UseAsADC off ADCChannel "'1'" hdl_port "on" has_advanced_control "0" sggui_pos "20,20,356,432" block_type "gatewayin" block_version "8.2.01" sg_icon_stat "65,20,1,1,white,yellow,1,00d3666e,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 65 65 0 0 ],[0 0 20 20 0 ],[0.95 0.93 0.65" " ]);\nplot([0 65 65 0 0 ],[0 0 20 20 0 ]);\npatch([27.55 30.44 32.44 34.44 36.44 32.44 29.55 27.55 ],[12.22 12.22 1" "4.22 12.22 14.22 14.22 14.22 12.22 ],[1 1 1 ]);\npatch([29.55 32.44 30.44 27.55 29.55 ],[10.22 10.22 12.22 12.22 10" ".22 ],[0.985 0.979 0.895 ]);\npatch([27.55 30.44 32.44 29.55 27.55 ],[8.22 8.22 10.22 10.22 8.22 ],[1 1 1 ]);\npatc" "h([29.55 36.44 34.44 32.44 30.44 27.55 29.55 ],[6.22 6.22 8.22 6.22 8.22 8.22 6.22 ],[0.985 0.979 0.895 ]);\nfprint" "f('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1,'" "\\fontsize{11pt}\\bf In ','texmode','on');\ncolor('black');port_label('output',1,' ');\nfprintf('','COMMENT: end ic" "on text');" } Block { BlockType Reference Name "RxB_Q_10M" SID "804" Ports [1, 1] Position [740, 490, 805, 510] LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Gateway Out" SourceType "Xilinx Gateway Out Block" infoedit "Gateway out block. Converts Xilinx fixed point inputs into ouputs of type Simulink integer, double, o" "r fixed point.

Hardware notes: In hardware these blocks become top level output ports or are discarded, depen" "ding on how they are configured." inherit_from_input off hdl_port on timing_constraint "None" locs_specified off LOCs "{}" xl_use_area off xl_area "[0 0 0 0 14 0 0]" UseAsDAC off DACChannel "'1'" has_advanced_control "0" sggui_pos "20,20,336,386" block_type "gatewayout" block_version "8.2.01" sg_icon_stat "65,20,1,1,white,yellow,1,cc31b7ac,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 65 65 0 0 ],[0 0 20 20 0 ],[0.95 0.93 0.65" " ]);\nplot([0 65 65 0 0 ],[0 0 20 20 0 ]);\npatch([27.55 30.44 32.44 34.44 36.44 32.44 29.55 27.55 ],[12.22 12.22 1" "4.22 12.22 14.22 14.22 14.22 12.22 ],[1 1 1 ]);\npatch([29.55 32.44 30.44 27.55 29.55 ],[10.22 10.22 12.22 12.22 10" ".22 ],[0.985 0.979 0.895 ]);\npatch([27.55 30.44 32.44 29.55 27.55 ],[8.22 8.22 10.22 10.22 8.22 ],[1 1 1 ]);\npatc" "h([29.55 36.44 34.44 32.44 30.44 27.55 29.55 ],[6.22 6.22 8.22 6.22 8.22 8.22 6.22 ],[0.985 0.979 0.895 ]);\nfprint" "f('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1,'" " ');\ncolor('black');port_label('output',1,'\\fontsize{11pt}\\bf Out ','texmode','on');\nfprintf('','COMMENT: end i" "con text');" } Block { BlockType Reference Name "RxB_Q_40M" SID "805" Ports [1, 1] Position [300, 490, 365, 510] LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Gateway In" SourceType "Xilinx Gateway In Block" infoedit "Gateway in block. Converts inputs of type Simulink integer, double and fixed point to Xilinx fixed p" "oint type.

Hardware notes: In hardware these blocks become top level input ports." gui_display_data_type "Fixed-point" arith_type "Signed (2's comp)" n_bits "14" bin_pt "13" preci_type "Single" exp_width "8" frac_width "24" quantization "Round (unbiased: +/- Inf)" overflow "Flag as error" period "1/2" dbl_ovrd off timing_constraint "None" locs_specified off LOCs "{}" xl_use_area off xl_area "[0 0 0 0 14 0 0]" inherit_from_input off UseAsADC off ADCChannel "'1'" hdl_port "on" has_advanced_control "0" sggui_pos "20,20,356,432" block_type "gatewayin" block_version "8.2.01" sg_icon_stat "65,20,1,1,white,yellow,1,00d3666e,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 65 65 0 0 ],[0 0 20 20 0 ],[0.95 0.93 0.65" " ]);\nplot([0 65 65 0 0 ],[0 0 20 20 0 ]);\npatch([27.55 30.44 32.44 34.44 36.44 32.44 29.55 27.55 ],[12.22 12.22 1" "4.22 12.22 14.22 14.22 14.22 12.22 ],[1 1 1 ]);\npatch([29.55 32.44 30.44 27.55 29.55 ],[10.22 10.22 12.22 12.22 10" ".22 ],[0.985 0.979 0.895 ]);\npatch([27.55 30.44 32.44 29.55 27.55 ],[8.22 8.22 10.22 10.22 8.22 ],[1 1 1 ]);\npatc" "h([29.55 36.44 34.44 32.44 30.44 27.55 29.55 ],[6.22 6.22 8.22 6.22 8.22 8.22 6.22 ],[0.985 0.979 0.895 ]);\nfprint" "f('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1,'" "\\fontsize{11pt}\\bf In ','texmode','on');\ncolor('black');port_label('output',1,' ');\nfprintf('','COMMENT: end ic" "on text');" } Block { BlockType Terminator Name "Terminator1" SID "806" Position [870, 490, 890, 510] ShowName off } Block { BlockType Terminator Name "Terminator3" SID "807" Position [870, 465, 890, 485] ShowName off } Block { BlockType Reference Name "Up Sample" SID "808" Ports [1, 1] Position [645, 357, 675, 393] ShowName off LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Up Sample" SourceType "Xilinx Up Sampler Block" infoedit "Up samples input data. Inserted values can be zeros or copies of the most recent input sample.

" "Hardware notes: No hardware is needed if inserted values are copies of the input sample; otherwise, a mux and singl" "e bit flip-flop are used." sample_ratio "8" copy_samples on en off latency "0" dbl_ovrd off xl_use_area off xl_area "[0 0 0 0 0 0 0]" has_advanced_control "0" sggui_pos "20,20,348,277" block_type "usamp" block_version "10.1.3" sg_icon_stat "30,36,1,1,white,blue,0,8c255cf7,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 30 30 0 0 ],[0 0 36 36 0 ],[0.77 0.82 0.91" " ]);\nplot([0 30 30 0 0 ],[0 0 36 36 0 ]);\npatch([6.1 11.88 15.88 19.88 23.88 15.88 10.1 6.1 ],[22.44 22.44 26.44 " "22.44 26.44 26.44 26.44 22.44 ],[1 1 1 ]);\npatch([10.1 15.88 11.88 6.1 10.1 ],[18.44 18.44 22.44 22.44 18.44 ],[0." "931 0.946 0.973 ]);\npatch([6.1 11.88 15.88 10.1 6.1 ],[14.44 14.44 18.44 18.44 14.44 ],[1 1 1 ]);\npatch([10.1 23." "88 19.88 15.88 11.88 6.1 10.1 ],[10.44 10.44 14.44 10.44 14.44 14.44 10.44 ],[0.931 0.946 0.973 ]);\nfprintf('','CO" "MMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\n\n\ncolor('black');disp('{\\fontsize{14pt}\\b" "f\\uparrow}8','texmode','on');\nfprintf('','COMMENT: end icon text');" } Block { BlockType Reference Name "Up Sample1" SID "809" Ports [1, 1] Position [645, 382, 675, 418] ShowName off LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Up Sample" SourceType "Xilinx Up Sampler Block" infoedit "Up samples input data. Inserted values can be zeros or copies of the most recent input sample.

" "Hardware notes: No hardware is needed if inserted values are copies of the input sample; otherwise, a mux and singl" "e bit flip-flop are used." sample_ratio "8" copy_samples on en off latency "0" dbl_ovrd off xl_use_area off xl_area "[0 0 0 0 0 0 0]" has_advanced_control "0" sggui_pos "20,20,348,277" block_type "usamp" block_version "10.1.3" sg_icon_stat "30,36,1,1,white,blue,0,8c255cf7,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 30 30 0 0 ],[0 0 36 36 0 ],[0.77 0.82 0.91" " ]);\nplot([0 30 30 0 0 ],[0 0 36 36 0 ]);\npatch([6.1 11.88 15.88 19.88 23.88 15.88 10.1 6.1 ],[22.44 22.44 26.44 " "22.44 26.44 26.44 26.44 22.44 ],[1 1 1 ]);\npatch([10.1 15.88 11.88 6.1 10.1 ],[18.44 18.44 22.44 22.44 18.44 ],[0." "931 0.946 0.973 ]);\npatch([6.1 11.88 15.88 10.1 6.1 ],[14.44 14.44 18.44 18.44 14.44 ],[1 1 1 ]);\npatch([10.1 23." "88 19.88 15.88 11.88 6.1 10.1 ],[10.44 10.44 14.44 10.44 14.44 14.44 10.44 ],[0.931 0.946 0.973 ]);\nfprintf('','CO" "MMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\n\n\ncolor('black');disp('{\\fontsize{14pt}\\b" "f\\uparrow}8','texmode','on');\nfprintf('','COMMENT: end icon text');" } Block { BlockType Reference Name "Up Sample2" SID "810" Ports [1, 1] Position [645, 457, 675, 493] ShowName off LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Up Sample" SourceType "Xilinx Up Sampler Block" infoedit "Up samples input data. Inserted values can be zeros or copies of the most recent input sample.

" "Hardware notes: No hardware is needed if inserted values are copies of the input sample; otherwise, a mux and singl" "e bit flip-flop are used." sample_ratio "8" copy_samples on en off latency "0" dbl_ovrd off xl_use_area off xl_area "[0 0 0 0 0 0 0]" has_advanced_control "0" sggui_pos "20,20,348,277" block_type "usamp" block_version "10.1.3" sg_icon_stat "30,36,1,1,white,blue,0,8c255cf7,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 30 30 0 0 ],[0 0 36 36 0 ],[0.77 0.82 0.91" " ]);\nplot([0 30 30 0 0 ],[0 0 36 36 0 ]);\npatch([6.1 11.88 15.88 19.88 23.88 15.88 10.1 6.1 ],[22.44 22.44 26.44 " "22.44 26.44 26.44 26.44 22.44 ],[1 1 1 ]);\npatch([10.1 15.88 11.88 6.1 10.1 ],[18.44 18.44 22.44 22.44 18.44 ],[0." "931 0.946 0.973 ]);\npatch([6.1 11.88 15.88 10.1 6.1 ],[14.44 14.44 18.44 18.44 14.44 ],[1 1 1 ]);\npatch([10.1 23." "88 19.88 15.88 11.88 6.1 10.1 ],[10.44 10.44 14.44 10.44 14.44 14.44 10.44 ],[0.931 0.946 0.973 ]);\nfprintf('','CO" "MMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\n\n\ncolor('black');disp('{\\fontsize{14pt}\\b" "f\\uparrow}8','texmode','on');\nfprintf('','COMMENT: end icon text');" } Block { BlockType Reference Name "Up Sample3" SID "811" Ports [1, 1] Position [645, 482, 675, 518] ShowName off LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Up Sample" SourceType "Xilinx Up Sampler Block" infoedit "Up samples input data. Inserted values can be zeros or copies of the most recent input sample.

" "Hardware notes: No hardware is needed if inserted values are copies of the input sample; otherwise, a mux and singl" "e bit flip-flop are used." sample_ratio "8" copy_samples on en off latency "0" dbl_ovrd off xl_use_area off xl_area "[0 0 0 0 0 0 0]" has_advanced_control "0" sggui_pos "20,20,348,277" block_type "usamp" block_version "10.1.3" sg_icon_stat "30,36,1,1,white,blue,0,8c255cf7,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 30 30 0 0 ],[0 0 36 36 0 ],[0.77 0.82 0.91" " ]);\nplot([0 30 30 0 0 ],[0 0 36 36 0 ]);\npatch([6.1 11.88 15.88 19.88 23.88 15.88 10.1 6.1 ],[22.44 22.44 26.44 " "22.44 26.44 26.44 26.44 22.44 ],[1 1 1 ]);\npatch([10.1 15.88 11.88 6.1 10.1 ],[18.44 18.44 22.44 22.44 18.44 ],[0." "931 0.946 0.973 ]);\npatch([6.1 11.88 15.88 10.1 6.1 ],[14.44 14.44 18.44 18.44 14.44 ],[1 1 1 ]);\npatch([10.1 23." "88 19.88 15.88 11.88 6.1 10.1 ],[10.44 10.44 14.44 10.44 14.44 14.44 10.44 ],[0.931 0.946 0.973 ]);\nfprintf('','CO" "MMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\n\n\ncolor('black');disp('{\\fontsize{14pt}\\b" "f\\uparrow}8','texmode','on');\nfprintf('','COMMENT: end icon text');" } Line { SrcBlock "RxA_I_40M" SrcPort 1 Points [15, 0] Branch { DstBlock "Down Sample" DstPort 1 } Branch { Points [0, -170] DstBlock "Down Sample4" DstPort 1 } } Line { SrcBlock "Chirp" SrcPort 1 Points [35, 0] Branch { DstBlock "RxA_I_40M" DstPort 1 } Branch { Points [0, 100] DstBlock "RxB_I_40M" DstPort 1 } Branch { Points [0, -85; 885, 0; 0, 55] DstBlock "Rx Scope" DstPort 1 } } Line { SrcBlock "Chirp1" SrcPort 1 Points [40, 0] Branch { DstBlock "RxA_Q_40M" DstPort 1 } Branch { Points [0, 100] DstBlock "RxB_Q_40M" DstPort 1 } Branch { Points [0, -105; 875, 0; 0, 170] DstBlock "Rx Scope" DstPort 5 } } Line { SrcBlock "Integer Delay" SrcPort 1 Points [115, 0] Branch { DstBlock "Rx Scope" DstPort 2 } Branch { DstBlock "Add" DstPort 1 } } Line { SrcBlock "Integer Delay1" SrcPort 1 Points [115, 0] Branch { DstBlock "Rx Scope" DstPort 6 } Branch { Points [0, 50] DstBlock "Add1" DstPort 1 } } Line { SrcBlock "RxB_I_40M" SrcPort 1 DstBlock "Down Sample2" DstPort 1 } Line { SrcBlock "RxB_Q_40M" SrcPort 1 DstBlock "Down Sample3" DstPort 1 } Line { SrcBlock "2-Ch Decimation B" SrcPort 1 DstBlock "Up Sample2" DstPort 1 } Line { SrcBlock "2-Ch Decimation B" SrcPort 2 DstBlock "Up Sample3" DstPort 1 } Line { SrcBlock "Add" SrcPort 1 DstBlock "Rx Scope" DstPort 4 } Line { SrcBlock "Add1" SrcPort 1 DstBlock "Rx Scope" DstPort 8 } Line { SrcBlock "2-Ch Decimation A" SrcPort 1 DstBlock "Up Sample" DstPort 1 } Line { SrcBlock "RxA_Q_40M" SrcPort 1 Points [20, 0] Branch { DstBlock "Down Sample1" DstPort 1 } Branch { Points [0, -160] DstBlock "Down Sample5" DstPort 1 } } Line { SrcBlock "2-Ch Decimation A" SrcPort 2 DstBlock "Up Sample1" DstPort 1 } Line { SrcBlock "RxA_I_10M" SrcPort 1 DstBlock "Integer Delay" DstPort 1 } Line { SrcBlock "RxA_Q_10M" SrcPort 1 Points [95, 0; 0, 95] DstBlock "Integer Delay1" DstPort 1 } Line { SrcBlock "Reference\nFilter" SrcPort 1 Points [465, 0; 0, 200] Branch { DstBlock "Rx Scope" DstPort 3 } Branch { Points [0, 35] DstBlock "Add" DstPort 2 } } Line { SrcBlock "Reference\nFilter1" SrcPort 1 Points [450, 0; 0, 285] Branch { DstBlock "Rx Scope" DstPort 7 } Branch { Points [0, 35] DstBlock "Add1" DstPort 2 } } Line { SrcBlock "RxB_I_10M" SrcPort 1 DstBlock "Terminator3" DstPort 1 } Line { SrcBlock "RxB_Q_10M" SrcPort 1 DstBlock "Terminator1" DstPort 1 } Line { SrcBlock "Down Sample" SrcPort 1 DstBlock "2-Ch Decimation A" DstPort 1 } Line { SrcBlock "Down Sample1" SrcPort 1 DstBlock "2-Ch Decimation A" DstPort 2 } Line { SrcBlock "Down Sample2" SrcPort 1 DstBlock "2-Ch Decimation B" DstPort 1 } Line { SrcBlock "Down Sample3" SrcPort 1 DstBlock "2-Ch Decimation B" DstPort 2 } Line { SrcBlock "Down Sample4" SrcPort 1 DstBlock "Reference\nFilter" DstPort 1 } Line { SrcBlock "Down Sample5" SrcPort 1 DstBlock "Reference\nFilter1" DstPort 1 } Line { SrcBlock "Up Sample" SrcPort 1 DstBlock "RxA_I_10M" DstPort 1 } Line { SrcBlock "Up Sample1" SrcPort 1 DstBlock "RxA_Q_10M" DstPort 1 } Line { SrcBlock "Up Sample2" SrcPort 1 DstBlock "RxB_I_10M" DstPort 1 } Line { SrcBlock "Up Sample3" SrcPort 1 DstBlock "RxB_Q_10M" DstPort 1 } } } Block { BlockType SubSystem Name "Tx Path" SID "812" Ports [] Position [170, 65, 281, 101] NamePlacement "alternate" MinAlgLoopOccurrences off PropExecContextOutsideSubsystem off RTWSystemCode "Auto" FunctionWithSeparateData off Opaque off RequestExecContextInheritance off MaskHideContents off System { Name "Tx Path" Location [647, 750, 1457, 1017] Open off ModelBrowserVisibility off ModelBrowserWidth 200 ScreenColor "white" PaperOrientation "landscape" PaperPositionMode "auto" PaperType "usletter" PaperUnits "inches" TiledPaperMargins [0.500000, 0.500000, 0.500000, 0.500000] TiledPageScale 1 ShowPageBoundaries off ZoomFactor "100" Block { BlockType SubSystem Name "2-Ch Interp A" SID "813" Ports [2, 2] Position [510, 282, 590, 313] MinAlgLoopOccurrences off PropExecContextOutsideSubsystem off RTWSystemCode "Auto" FunctionWithSeparateData off Opaque off RequestExecContextInheritance off MaskHideContents off System { Name "2-Ch Interp A" Location [2, 74, 1910, 1112] Open off ModelBrowserVisibility off ModelBrowserWidth 200 ScreenColor "white" PaperOrientation "landscape" PaperPositionMode "auto" PaperType "usletter" PaperUnits "inches" TiledPaperMargins [0.500000, 0.500000, 0.500000, 0.500000] TiledPageScale 1 ShowPageBoundaries off ZoomFactor "134" Block { BlockType Inport Name "XA" SID "814" Position [550, 274, 585, 286] NamePlacement "alternate" IconDisplay "Port number" } Block { BlockType Inport Name "XB" SID "815" Position [550, 319, 585, 331] Port "2" IconDisplay "Port number" } Block { BlockType SubSystem Name "Adders" SID "816" Ports [8, 1] Position [880, 249, 920, 351] MinAlgLoopOccurrences off PropExecContextOutsideSubsystem off RTWSystemCode "Auto" FunctionWithSeparateData off Opaque off RequestExecContextInheritance off MaskHideContents off System { Name "Adders" Location [957, 199, 1352, 546] Open off ModelBrowserVisibility off ModelBrowserWidth 200 ScreenColor "white" PaperOrientation "landscape" PaperPositionMode "auto" PaperType "usletter" PaperUnits "inches" TiledPaperMargins [0.500000, 0.500000, 0.500000, 0.500000] TiledPageScale 1 ShowPageBoundaries off ZoomFactor "100" Block { BlockType Inport Name "In1" SID "817" Position [25, 28, 55, 42] IconDisplay "Port number" } Block { BlockType Inport Name "In2" SID "818" Position [25, 48, 55, 62] Port "2" IconDisplay "Port number" } Block { BlockType Inport Name "In3" SID "819" Position [25, 93, 55, 107] Port "3" IconDisplay "Port number" } Block { BlockType Inport Name "In4" SID "820" Position [25, 113, 55, 127] Port "4" IconDisplay "Port number" } Block { BlockType Inport Name "In5" SID "821" Position [25, 218, 55, 232] Port "5" IconDisplay "Port number" } Block { BlockType Inport Name "In6" SID "822" Position [25, 238, 55, 252] Port "6" IconDisplay "Port number" } Block { BlockType Inport Name "In7" SID "823" Position [25, 283, 55, 297] Port "7" IconDisplay "Port number" } Block { BlockType Inport Name "In8" SID "824" Position [25, 303, 55, 317] Port "8" IconDisplay "Port number" } Block { BlockType Reference Name "AddSub1" SID "825" Ports [2, 1] Position [80, 90, 125, 130] ShowName off LibraryVersion "1.2" SourceBlock "xbsIndex_r4/AddSub" SourceType "Xilinx Adder/Subtracter Block" mode "Addition" use_carryin off use_carryout off en off latency "0" precision "Full" arith_type "Unsigned" n_bits "16" bin_pt "14" quantization "Truncate" overflow "Wrap" dbl_ovrd off use_behavioral_HDL off hw_selection "Fabric" pipelined off xl_use_area off xl_area "[10 0 0 18 0 0 0]" use_rpm "on" has_advanced_control "0" sggui_pos "50,50,348,344" block_type "addsub" block_version "10.1.3" sg_icon_stat "45,40,2,1,white,blue,0,e139daf6,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 45 45 0 0 ],[0 0 40 40 0 ],[0.77 0.82 0.9" "1 ]);\nplot([0 45 45 0 0 ],[0 0 40 40 0 ]);\npatch([10.875 18.1 23.1 28.1 33.1 23.1 15.875 10.875 ],[25.55 25.55 3" "0.55 25.55 30.55 30.55 30.55 25.55 ],[1 1 1 ]);\npatch([15.875 23.1 18.1 10.875 15.875 ],[20.55 20.55 25.55 25.55 " "20.55 ],[0.931 0.946 0.973 ]);\npatch([10.875 18.1 23.1 15.875 10.875 ],[15.55 15.55 20.55 20.55 15.55 ],[1 1 1 ])" ";\npatch([15.875 33.1 28.1 23.1 18.1 10.875 15.875 ],[10.55 10.55 15.55 10.55 15.55 15.55 10.55 ],[0.931 0.946 0.9" "73 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_la" "bel('input',1,'a');\ncolor('black');port_label('input',2,'b');\ncolor('black');port_label('output',1,'\\bf{a + b}'" ",'texmode','on');\nfprintf('','COMMENT: end icon text');" } Block { BlockType Reference Name "AddSub2" SID "826" Ports [2, 1] Position [165, 80, 210, 120] ShowName off LibraryVersion "1.2" SourceBlock "xbsIndex_r4/AddSub" SourceType "Xilinx Adder/Subtracter Block" mode "Addition" use_carryin off use_carryout off en off latency "1" precision "Full" arith_type "Unsigned" n_bits "16" bin_pt "14" quantization "Truncate" overflow "Wrap" dbl_ovrd off use_behavioral_HDL off hw_selection "Fabric" pipelined off xl_use_area off xl_area "[10 20 0 19 0 0 0]" use_rpm "on" has_advanced_control "0" sggui_pos "50,50,348,344" block_type "addsub" block_version "10.1.3" sg_icon_stat "45,40,2,1,white,blue,0,e85d8a90,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 45 45 0 0 ],[0 0 40 40 0 ],[0.77 0.82 0.9" "1 ]);\nplot([0 45 45 0 0 ],[0 0 40 40 0 ]);\npatch([10.875 18.1 23.1 28.1 33.1 23.1 15.875 10.875 ],[25.55 25.55 3" "0.55 25.55 30.55 30.55 30.55 25.55 ],[1 1 1 ]);\npatch([15.875 23.1 18.1 10.875 15.875 ],[20.55 20.55 25.55 25.55 " "20.55 ],[0.931 0.946 0.973 ]);\npatch([10.875 18.1 23.1 15.875 10.875 ],[15.55 15.55 20.55 20.55 15.55 ],[1 1 1 ])" ";\npatch([15.875 33.1 28.1 23.1 18.1 10.875 15.875 ],[10.55 10.55 15.55 10.55 15.55 15.55 10.55 ],[0.931 0.946 0.9" "73 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_la" "bel('input',1,'a');\ncolor('black');port_label('input',2,'b');\ncolor('black');port_label('output',1,'\\bf{a + b}'" ",'texmode','on');\ncolor('black');disp('z^{-1}\\newline ','texmode','on');\ncolor('black');disp(' \\newline\\bf{}'" ",'texmode','on');\nfprintf('','COMMENT: end icon text');" } Block { BlockType Reference Name "AddSub3" SID "827" Ports [2, 1] Position [80, 215, 125, 255] ShowName off LibraryVersion "1.2" SourceBlock "xbsIndex_r4/AddSub" SourceType "Xilinx Adder/Subtracter Block" mode "Addition" use_carryin off use_carryout off en off latency "0" precision "Full" arith_type "Unsigned" n_bits "16" bin_pt "14" quantization "Truncate" overflow "Wrap" dbl_ovrd off use_behavioral_HDL off hw_selection "Fabric" pipelined off xl_use_area off xl_area "[10 0 0 18 0 0 0]" use_rpm "on" has_advanced_control "0" sggui_pos "50,50,348,344" block_type "addsub" block_version "10.1.3" sg_icon_stat "45,40,2,1,white,blue,0,e139daf6,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 45 45 0 0 ],[0 0 40 40 0 ],[0.77 0.82 0.9" "1 ]);\nplot([0 45 45 0 0 ],[0 0 40 40 0 ]);\npatch([10.875 18.1 23.1 28.1 33.1 23.1 15.875 10.875 ],[25.55 25.55 3" "0.55 25.55 30.55 30.55 30.55 25.55 ],[1 1 1 ]);\npatch([15.875 23.1 18.1 10.875 15.875 ],[20.55 20.55 25.55 25.55 " "20.55 ],[0.931 0.946 0.973 ]);\npatch([10.875 18.1 23.1 15.875 10.875 ],[15.55 15.55 20.55 20.55 15.55 ],[1 1 1 ])" ";\npatch([15.875 33.1 28.1 23.1 18.1 10.875 15.875 ],[10.55 10.55 15.55 10.55 15.55 15.55 10.55 ],[0.931 0.946 0.9" "73 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_la" "bel('input',1,'a');\ncolor('black');port_label('input',2,'b');\ncolor('black');port_label('output',1,'\\bf{a + b}'" ",'texmode','on');\nfprintf('','COMMENT: end icon text');" } Block { BlockType Reference Name "AddSub4" SID "828" Ports [2, 1] Position [80, 280, 125, 320] ShowName off LibraryVersion "1.2" SourceBlock "xbsIndex_r4/AddSub" SourceType "Xilinx Adder/Subtracter Block" mode "Addition" use_carryin off use_carryout off en off latency "0" precision "Full" arith_type "Unsigned" n_bits "16" bin_pt "14" quantization "Truncate" overflow "Wrap" dbl_ovrd off use_behavioral_HDL off hw_selection "Fabric" pipelined off xl_use_area off xl_area "[10 0 0 18 0 0 0]" use_rpm "on" has_advanced_control "0" sggui_pos "50,50,348,344" block_type "addsub" block_version "10.1.3" sg_icon_stat "45,40,2,1,white,blue,0,e139daf6,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 45 45 0 0 ],[0 0 40 40 0 ],[0.77 0.82 0.9" "1 ]);\nplot([0 45 45 0 0 ],[0 0 40 40 0 ]);\npatch([10.875 18.1 23.1 28.1 33.1 23.1 15.875 10.875 ],[25.55 25.55 3" "0.55 25.55 30.55 30.55 30.55 25.55 ],[1 1 1 ]);\npatch([15.875 23.1 18.1 10.875 15.875 ],[20.55 20.55 25.55 25.55 " "20.55 ],[0.931 0.946 0.973 ]);\npatch([10.875 18.1 23.1 15.875 10.875 ],[15.55 15.55 20.55 20.55 15.55 ],[1 1 1 ])" ";\npatch([15.875 33.1 28.1 23.1 18.1 10.875 15.875 ],[10.55 10.55 15.55 10.55 15.55 15.55 10.55 ],[0.931 0.946 0.9" "73 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_la" "bel('input',1,'a');\ncolor('black');port_label('input',2,'b');\ncolor('black');port_label('output',1,'\\bf{a + b}'" ",'texmode','on');\nfprintf('','COMMENT: end icon text');" } Block { BlockType Reference Name "AddSub5" SID "829" Ports [2, 1] Position [165, 225, 210, 265] ShowName off LibraryVersion "1.2" SourceBlock "xbsIndex_r4/AddSub" SourceType "Xilinx Adder/Subtracter Block" mode "Addition" use_carryin off use_carryout off en off latency "1" precision "Full" arith_type "Unsigned" n_bits "16" bin_pt "14" quantization "Truncate" overflow "Wrap" dbl_ovrd off use_behavioral_HDL off hw_selection "Fabric" pipelined off xl_use_area off xl_area "[10 20 0 19 0 0 0]" use_rpm "on" has_advanced_control "0" sggui_pos "50,50,348,344" block_type "addsub" block_version "10.1.3" sg_icon_stat "45,40,2,1,white,blue,0,e85d8a90,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 45 45 0 0 ],[0 0 40 40 0 ],[0.77 0.82 0.9" "1 ]);\nplot([0 45 45 0 0 ],[0 0 40 40 0 ]);\npatch([10.875 18.1 23.1 28.1 33.1 23.1 15.875 10.875 ],[25.55 25.55 3" "0.55 25.55 30.55 30.55 30.55 25.55 ],[1 1 1 ]);\npatch([15.875 23.1 18.1 10.875 15.875 ],[20.55 20.55 25.55 25.55 " "20.55 ],[0.931 0.946 0.973 ]);\npatch([10.875 18.1 23.1 15.875 10.875 ],[15.55 15.55 20.55 20.55 15.55 ],[1 1 1 ])" ";\npatch([15.875 33.1 28.1 23.1 18.1 10.875 15.875 ],[10.55 10.55 15.55 10.55 15.55 15.55 10.55 ],[0.931 0.946 0.9" "73 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_la" "bel('input',1,'a');\ncolor('black');port_label('input',2,'b');\ncolor('black');port_label('output',1,'\\bf{a + b}'" ",'texmode','on');\ncolor('black');disp('z^{-1}\\newline ','texmode','on');\ncolor('black');disp(' \\newline\\bf{}'" ",'texmode','on');\nfprintf('','COMMENT: end icon text');" } Block { BlockType Reference Name "AddSub7" SID "830" Ports [2, 1] Position [80, 25, 125, 65] ShowName off LibraryVersion "1.2" SourceBlock "xbsIndex_r4/AddSub" SourceType "Xilinx Adder/Subtracter Block" mode "Addition" use_carryin off use_carryout off en off latency "0" precision "Full" arith_type "Unsigned" n_bits "16" bin_pt "14" quantization "Truncate" overflow "Wrap" dbl_ovrd off use_behavioral_HDL off hw_selection "Fabric" pipelined off xl_use_area off xl_area "[10 0 0 18 0 0 0]" use_rpm "on" has_advanced_control "0" sggui_pos "50,50,348,344" block_type "addsub" block_version "10.1.3" sg_icon_stat "45,40,2,1,white,blue,0,e139daf6,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 45 45 0 0 ],[0 0 40 40 0 ],[0.77 0.82 0.9" "1 ]);\nplot([0 45 45 0 0 ],[0 0 40 40 0 ]);\npatch([10.875 18.1 23.1 28.1 33.1 23.1 15.875 10.875 ],[25.55 25.55 3" "0.55 25.55 30.55 30.55 30.55 25.55 ],[1 1 1 ]);\npatch([15.875 23.1 18.1 10.875 15.875 ],[20.55 20.55 25.55 25.55 " "20.55 ],[0.931 0.946 0.973 ]);\npatch([10.875 18.1 23.1 15.875 10.875 ],[15.55 15.55 20.55 20.55 15.55 ],[1 1 1 ])" ";\npatch([15.875 33.1 28.1 23.1 18.1 10.875 15.875 ],[10.55 10.55 15.55 10.55 15.55 15.55 10.55 ],[0.931 0.946 0.9" "73 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_la" "bel('input',1,'a');\ncolor('black');port_label('input',2,'b');\ncolor('black');port_label('output',1,'\\bf{a + b}'" ",'texmode','on');\nfprintf('','COMMENT: end icon text');" } Block { BlockType Reference Name "AddSub8" SID "831" Ports [2, 1] Position [270, 150, 315, 190] ShowName off LibraryVersion "1.2" SourceBlock "xbsIndex_r4/AddSub" SourceType "Xilinx Adder/Subtracter Block" mode "Addition" use_carryin off use_carryout off en off latency "0" precision "User Defined" arith_type "Signed (2's comp)" n_bits "16" bin_pt "15" quantization "Truncate" overflow "Flag as error" dbl_ovrd off use_behavioral_HDL off hw_selection "Fabric" pipelined off xl_use_area off xl_area "[11 0 0 20 0 0 0]" use_rpm "on" has_advanced_control "0" sggui_pos "388,27,348,344" block_type "addsub" block_version "10.1.3" sg_icon_stat "45,40,2,1,white,blue,0,e139daf6,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 45 45 0 0 ],[0 0 40 40 0 ],[0.77 0.82 0.9" "1 ]);\nplot([0 45 45 0 0 ],[0 0 40 40 0 ]);\npatch([10.875 18.1 23.1 28.1 33.1 23.1 15.875 10.875 ],[25.55 25.55 3" "0.55 25.55 30.55 30.55 30.55 25.55 ],[1 1 1 ]);\npatch([15.875 23.1 18.1 10.875 15.875 ],[20.55 20.55 25.55 25.55 " "20.55 ],[0.931 0.946 0.973 ]);\npatch([10.875 18.1 23.1 15.875 10.875 ],[15.55 15.55 20.55 20.55 15.55 ],[1 1 1 ])" ";\npatch([15.875 33.1 28.1 23.1 18.1 10.875 15.875 ],[10.55 10.55 15.55 10.55 15.55 15.55 10.55 ],[0.931 0.946 0.9" "73 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_la" "bel('input',1,'a');\ncolor('black');port_label('input',2,'b');\ncolor('black');port_label('output',1,'\\bf{a + b}'" ",'texmode','on');\nfprintf('','COMMENT: end icon text');" } Block { BlockType Outport Name "Out1" SID "832" Position [340, 163, 370, 177] IconDisplay "Port number" } Line { SrcBlock "AddSub5" SrcPort 1 Points [35, 0; 0, -65] DstBlock "AddSub8" DstPort 2 } Line { SrcBlock "AddSub2" SrcPort 1 Points [35, 0; 0, 60] DstBlock "AddSub8" DstPort 1 } Line { SrcBlock "AddSub4" SrcPort 1 Points [20, 0] DstBlock "AddSub5" DstPort 2 } Line { SrcBlock "AddSub3" SrcPort 1 DstBlock "AddSub5" DstPort 1 } Line { SrcBlock "AddSub1" SrcPort 1 DstBlock "AddSub2" DstPort 2 } Line { SrcBlock "AddSub7" SrcPort 1 Points [15, 0; 0, 45] DstBlock "AddSub2" DstPort 1 } Line { SrcBlock "In1" SrcPort 1 DstBlock "AddSub7" DstPort 1 } Line { SrcBlock "In2" SrcPort 1 DstBlock "AddSub7" DstPort 2 } Line { SrcBlock "In3" SrcPort 1 DstBlock "AddSub1" DstPort 1 } Line { SrcBlock "In4" SrcPort 1 DstBlock "AddSub1" DstPort 2 } Line { SrcBlock "AddSub8" SrcPort 1 DstBlock "Out1" DstPort 1 } Line { SrcBlock "In5" SrcPort 1 DstBlock "AddSub3" DstPort 1 } Line { SrcBlock "In6" SrcPort 1 DstBlock "AddSub3" DstPort 2 } Line { SrcBlock "In7" SrcPort 1 DstBlock "AddSub4" DstPort 1 } Line { SrcBlock "In8" SrcPort 1 DstBlock "AddSub4" DstPort 2 } } } Block { BlockType SubSystem Name "Mults & ROMs" SID "833" Ports [8, 8] Position [770, 250, 810, 350] MinAlgLoopOccurrences off PropExecContextOutsideSubsystem off RTWSystemCode "Auto" FunctionWithSeparateData off Opaque off RequestExecContextInheritance off MaskHideContents off System { Name "Mults & ROMs" Location [919, 161, 1381, 743] Open off ModelBrowserVisibility off ModelBrowserWidth 200 ScreenColor "white" PaperOrientation "landscape" PaperPositionMode "auto" PaperType "usletter" PaperUnits "inches" TiledPaperMargins [0.500000, 0.500000, 0.500000, 0.500000] TiledPageScale 1 ShowPageBoundaries off ZoomFactor "100" Block { BlockType Inport Name "In1" SID "834" Position [170, 33, 200, 47] IconDisplay "Port number" } Block { BlockType Inport Name "In2" SID "835" Position [170, 88, 200, 102] Port "2" IconDisplay "Port number" } Block { BlockType Inport Name "In3" SID "836" Position [170, 133, 200, 147] Port "3" IconDisplay "Port number" } Block { BlockType Inport Name "In4" SID "837" Position [170, 183, 200, 197] Port "4" IconDisplay "Port number" } Block { BlockType Inport Name "In5" SID "838" Position [170, 228, 200, 242] Port "5" IconDisplay "Port number" } Block { BlockType Inport Name "In6" SID "839" Position [170, 278, 200, 292] Port "6" IconDisplay "Port number" } Block { BlockType Inport Name "In7" SID "840" Position [170, 323, 200, 337] Port "7" IconDisplay "Port number" } Block { BlockType Inport Name "In8" SID "841" Position [170, 373, 200, 387] Port "8" IconDisplay "Port number" } Block { BlockType From Name "From1" SID "842" Position [25, 105, 125, 125] ShowName off CloseFcn "tagdialog Close" GotoTag "TX_ROM_1" TagVisibility "global" } Block { BlockType From Name "From16" SID "843" Position [30, 50, 130, 70] ShowName off CloseFcn "tagdialog Close" GotoTag "TX_ROM_0" TagVisibility "global" } Block { BlockType From Name "From2" SID "844" Position [25, 200, 125, 220] ShowName off CloseFcn "tagdialog Close" GotoTag "TX_ROM_3" TagVisibility "global" } Block { BlockType From Name "From3" SID "845" Position [25, 150, 125, 170] ShowName off CloseFcn "tagdialog Close" GotoTag "TX_ROM_2" TagVisibility "global" } Block { BlockType From Name "From4" SID "846" Position [30, 295, 130, 315] ShowName off CloseFcn "tagdialog Close" GotoTag "TX_ROM_5" TagVisibility "global" } Block { BlockType From Name "From5" SID "847" Position [25, 245, 125, 265] ShowName off CloseFcn "tagdialog Close" GotoTag "TX_ROM_4" TagVisibility "global" } Block { BlockType From Name "From6" SID "848" Position [30, 390, 130, 410] ShowName off CloseFcn "tagdialog Close" GotoTag "TX_ROM_7" TagVisibility "global" } Block { BlockType From Name "From7" SID "849" Position [30, 340, 130, 360] ShowName off CloseFcn "tagdialog Close" GotoTag "TX_ROM_6" TagVisibility "global" } Block { BlockType Reference Name "Mult1" SID "850" Ports [2, 1] Position [225, 84, 265, 126] ShowName off LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Mult" SourceType "Xilinx Multiplier Block" infoedit "Hardware notes: To use the internal pipeline stage of the dedicated multiplier you must select 'Pipel" "ine for maximum performance'." precision "User Defined" arith_type "Signed (2's comp)" n_bits "18" bin_pt "17" quantization "Truncate" overflow "Flag as error" en off latency "1" dbl_ovrd off use_behavioral_HDL off opt "Speed" use_embedded on optimum_pipeline off xl_use_area off xl_area "[9 -15 0 18 0 1 0]" pipeline "on" use_rpm "on" placement_style "Triangular" has_advanced_control "0" sggui_pos "50,50,348,433" block_type "mult" block_version "10.1.3" sg_icon_stat "40,42,2,1,white,blue,0,82c891c1,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 40 40 0 0 ],[0 0 42 42 0 ],[0.77 0.82 0.9" "1 ]);\nplot([0 40 40 0 0 ],[0 0 42 42 0 ]);\npatch([8.875 16.1 21.1 26.1 31.1 21.1 13.875 8.875 ],[26.55 26.55 31." "55 26.55 31.55 31.55 31.55 26.55 ],[1 1 1 ]);\npatch([13.875 21.1 16.1 8.875 13.875 ],[21.55 21.55 26.55 26.55 21." "55 ],[0.931 0.946 0.973 ]);\npatch([8.875 16.1 21.1 13.875 8.875 ],[16.55 16.55 21.55 21.55 16.55 ],[1 1 1 ]);\npa" "tch([13.875 31.1 26.1 21.1 16.1 8.875 13.875 ],[11.55 11.55 16.55 11.55 16.55 16.55 11.55 ],[0.931 0.946 0.973 ]);" "\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('i" "nput',1,'a');\ncolor('black');port_label('input',2,'b');\ncolor('black');port_label('output',1,'\\bfa \\times b','" "texmode','on');\ncolor('black');disp('z^{-1}\\newline ','texmode','on');\ncolor('black');disp(' \\n ');\nfprintf('" "','COMMENT: end icon text');" } Block { BlockType Reference Name "Mult2" SID "851" Ports [2, 1] Position [225, 29, 265, 71] ShowName off LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Mult" SourceType "Xilinx Multiplier Block" infoedit "Hardware notes: To use the internal pipeline stage of the dedicated multiplier you must select 'Pipel" "ine for maximum performance'." precision "User Defined" arith_type "Signed (2's comp)" n_bits "18" bin_pt "17" quantization "Truncate" overflow "Flag as error" en off latency "1" dbl_ovrd off use_behavioral_HDL off opt "Speed" use_embedded on optimum_pipeline off xl_use_area off xl_area "[9 -15 0 18 0 1 0]" pipeline "on" use_rpm "on" placement_style "Triangular" has_advanced_control "0" sggui_pos "50,50,348,433" block_type "mult" block_version "10.1.3" sg_icon_stat "40,42,2,1,white,blue,0,82c891c1,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 40 40 0 0 ],[0 0 42 42 0 ],[0.77 0.82 0.9" "1 ]);\nplot([0 40 40 0 0 ],[0 0 42 42 0 ]);\npatch([8.875 16.1 21.1 26.1 31.1 21.1 13.875 8.875 ],[26.55 26.55 31." "55 26.55 31.55 31.55 31.55 26.55 ],[1 1 1 ]);\npatch([13.875 21.1 16.1 8.875 13.875 ],[21.55 21.55 26.55 26.55 21." "55 ],[0.931 0.946 0.973 ]);\npatch([8.875 16.1 21.1 13.875 8.875 ],[16.55 16.55 21.55 21.55 16.55 ],[1 1 1 ]);\npa" "tch([13.875 31.1 26.1 21.1 16.1 8.875 13.875 ],[11.55 11.55 16.55 11.55 16.55 16.55 11.55 ],[0.931 0.946 0.973 ]);" "\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('i" "nput',1,'a');\ncolor('black');port_label('input',2,'b');\ncolor('black');port_label('output',1,'\\bfa \\times b','" "texmode','on');\ncolor('black');disp('z^{-1}\\newline ','texmode','on');\ncolor('black');disp(' \\n ');\nfprintf('" "','COMMENT: end icon text');" } Block { BlockType Reference Name "Mult3" SID "852" Ports [2, 1] Position [225, 129, 265, 171] ShowName off LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Mult" SourceType "Xilinx Multiplier Block" infoedit "Hardware notes: To use the internal pipeline stage of the dedicated multiplier you must select 'Pipel" "ine for maximum performance'." precision "User Defined" arith_type "Signed (2's comp)" n_bits "18" bin_pt "17" quantization "Truncate" overflow "Flag as error" en off latency "1" dbl_ovrd off use_behavioral_HDL off opt "Speed" use_embedded on optimum_pipeline off xl_use_area off xl_area "[9 -15 0 18 0 1 0]" pipeline "on" use_rpm "on" placement_style "Triangular" has_advanced_control "0" sggui_pos "50,50,348,433" block_type "mult" block_version "10.1.3" sg_icon_stat "40,42,2,1,white,blue,0,82c891c1,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 40 40 0 0 ],[0 0 42 42 0 ],[0.77 0.82 0.9" "1 ]);\nplot([0 40 40 0 0 ],[0 0 42 42 0 ]);\npatch([8.875 16.1 21.1 26.1 31.1 21.1 13.875 8.875 ],[26.55 26.55 31." "55 26.55 31.55 31.55 31.55 26.55 ],[1 1 1 ]);\npatch([13.875 21.1 16.1 8.875 13.875 ],[21.55 21.55 26.55 26.55 21." "55 ],[0.931 0.946 0.973 ]);\npatch([8.875 16.1 21.1 13.875 8.875 ],[16.55 16.55 21.55 21.55 16.55 ],[1 1 1 ]);\npa" "tch([13.875 31.1 26.1 21.1 16.1 8.875 13.875 ],[11.55 11.55 16.55 11.55 16.55 16.55 11.55 ],[0.931 0.946 0.973 ]);" "\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('i" "nput',1,'a');\ncolor('black');port_label('input',2,'b');\ncolor('black');port_label('output',1,'\\bfa \\times b','" "texmode','on');\ncolor('black');disp('z^{-1}\\newline ','texmode','on');\ncolor('black');disp(' \\n ');\nfprintf('" "','COMMENT: end icon text');" } Block { BlockType Reference Name "Mult4" SID "853" Ports [2, 1] Position [225, 179, 265, 221] ShowName off LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Mult" SourceType "Xilinx Multiplier Block" infoedit "Hardware notes: To use the internal pipeline stage of the dedicated multiplier you must select 'Pipel" "ine for maximum performance'." precision "User Defined" arith_type "Signed (2's comp)" n_bits "18" bin_pt "17" quantization "Truncate" overflow "Flag as error" en off latency "1" dbl_ovrd off use_behavioral_HDL off opt "Speed" use_embedded on optimum_pipeline off xl_use_area off xl_area "[9 -15 0 18 0 1 0]" pipeline "on" use_rpm "on" placement_style "Triangular" has_advanced_control "0" sggui_pos "50,50,348,433" block_type "mult" block_version "10.1.3" sg_icon_stat "40,42,2,1,white,blue,0,82c891c1,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 40 40 0 0 ],[0 0 42 42 0 ],[0.77 0.82 0.9" "1 ]);\nplot([0 40 40 0 0 ],[0 0 42 42 0 ]);\npatch([8.875 16.1 21.1 26.1 31.1 21.1 13.875 8.875 ],[26.55 26.55 31." "55 26.55 31.55 31.55 31.55 26.55 ],[1 1 1 ]);\npatch([13.875 21.1 16.1 8.875 13.875 ],[21.55 21.55 26.55 26.55 21." "55 ],[0.931 0.946 0.973 ]);\npatch([8.875 16.1 21.1 13.875 8.875 ],[16.55 16.55 21.55 21.55 16.55 ],[1 1 1 ]);\npa" "tch([13.875 31.1 26.1 21.1 16.1 8.875 13.875 ],[11.55 11.55 16.55 11.55 16.55 16.55 11.55 ],[0.931 0.946 0.973 ]);" "\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('i" "nput',1,'a');\ncolor('black');port_label('input',2,'b');\ncolor('black');port_label('output',1,'\\bfa \\times b','" "texmode','on');\ncolor('black');disp('z^{-1}\\newline ','texmode','on');\ncolor('black');disp(' \\n ');\nfprintf('" "','COMMENT: end icon text');" } Block { BlockType Reference Name "Mult5" SID "854" Ports [2, 1] Position [225, 224, 265, 266] ShowName off LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Mult" SourceType "Xilinx Multiplier Block" infoedit "Hardware notes: To use the internal pipeline stage of the dedicated multiplier you must select 'Pipel" "ine for maximum performance'." precision "User Defined" arith_type "Signed (2's comp)" n_bits "18" bin_pt "17" quantization "Truncate" overflow "Flag as error" en off latency "1" dbl_ovrd off use_behavioral_HDL off opt "Speed" use_embedded on optimum_pipeline off xl_use_area off xl_area "[9 -15 0 18 0 1 0]" pipeline "on" use_rpm "on" placement_style "Triangular" has_advanced_control "0" sggui_pos "50,50,348,433" block_type "mult" block_version "10.1.3" sg_icon_stat "40,42,2,1,white,blue,0,82c891c1,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 40 40 0 0 ],[0 0 42 42 0 ],[0.77 0.82 0.9" "1 ]);\nplot([0 40 40 0 0 ],[0 0 42 42 0 ]);\npatch([8.875 16.1 21.1 26.1 31.1 21.1 13.875 8.875 ],[26.55 26.55 31." "55 26.55 31.55 31.55 31.55 26.55 ],[1 1 1 ]);\npatch([13.875 21.1 16.1 8.875 13.875 ],[21.55 21.55 26.55 26.55 21." "55 ],[0.931 0.946 0.973 ]);\npatch([8.875 16.1 21.1 13.875 8.875 ],[16.55 16.55 21.55 21.55 16.55 ],[1 1 1 ]);\npa" "tch([13.875 31.1 26.1 21.1 16.1 8.875 13.875 ],[11.55 11.55 16.55 11.55 16.55 16.55 11.55 ],[0.931 0.946 0.973 ]);" "\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('i" "nput',1,'a');\ncolor('black');port_label('input',2,'b');\ncolor('black');port_label('output',1,'\\bfa \\times b','" "texmode','on');\ncolor('black');disp('z^{-1}\\newline ','texmode','on');\ncolor('black');disp(' \\n ');\nfprintf('" "','COMMENT: end icon text');" } Block { BlockType Reference Name "Mult6" SID "855" Ports [2, 1] Position [225, 274, 265, 316] ShowName off LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Mult" SourceType "Xilinx Multiplier Block" infoedit "Hardware notes: To use the internal pipeline stage of the dedicated multiplier you must select 'Pipel" "ine for maximum performance'." precision "User Defined" arith_type "Signed (2's comp)" n_bits "18" bin_pt "17" quantization "Truncate" overflow "Flag as error" en off latency "1" dbl_ovrd off use_behavioral_HDL off opt "Speed" use_embedded on optimum_pipeline off xl_use_area off xl_area "[9 -15 0 18 0 1 0]" pipeline "on" use_rpm "on" placement_style "Triangular" has_advanced_control "0" sggui_pos "50,50,348,433" block_type "mult" block_version "10.1.3" sg_icon_stat "40,42,2,1,white,blue,0,82c891c1,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 40 40 0 0 ],[0 0 42 42 0 ],[0.77 0.82 0.9" "1 ]);\nplot([0 40 40 0 0 ],[0 0 42 42 0 ]);\npatch([8.875 16.1 21.1 26.1 31.1 21.1 13.875 8.875 ],[26.55 26.55 31." "55 26.55 31.55 31.55 31.55 26.55 ],[1 1 1 ]);\npatch([13.875 21.1 16.1 8.875 13.875 ],[21.55 21.55 26.55 26.55 21." "55 ],[0.931 0.946 0.973 ]);\npatch([8.875 16.1 21.1 13.875 8.875 ],[16.55 16.55 21.55 21.55 16.55 ],[1 1 1 ]);\npa" "tch([13.875 31.1 26.1 21.1 16.1 8.875 13.875 ],[11.55 11.55 16.55 11.55 16.55 16.55 11.55 ],[0.931 0.946 0.973 ]);" "\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('i" "nput',1,'a');\ncolor('black');port_label('input',2,'b');\ncolor('black');port_label('output',1,'\\bfa \\times b','" "texmode','on');\ncolor('black');disp('z^{-1}\\newline ','texmode','on');\ncolor('black');disp(' \\n ');\nfprintf('" "','COMMENT: end icon text');" } Block { BlockType Reference Name "Mult7" SID "856" Ports [2, 1] Position [225, 319, 265, 361] ShowName off LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Mult" SourceType "Xilinx Multiplier Block" infoedit "Hardware notes: To use the internal pipeline stage of the dedicated multiplier you must select 'Pipel" "ine for maximum performance'." precision "User Defined" arith_type "Signed (2's comp)" n_bits "18" bin_pt "17" quantization "Truncate" overflow "Flag as error" en off latency "1" dbl_ovrd off use_behavioral_HDL off opt "Speed" use_embedded on optimum_pipeline off xl_use_area off xl_area "[9 -15 0 18 0 1 0]" pipeline "on" use_rpm "on" placement_style "Triangular" has_advanced_control "0" sggui_pos "50,50,348,433" block_type "mult" block_version "10.1.3" sg_icon_stat "40,42,2,1,white,blue,0,82c891c1,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 40 40 0 0 ],[0 0 42 42 0 ],[0.77 0.82 0.9" "1 ]);\nplot([0 40 40 0 0 ],[0 0 42 42 0 ]);\npatch([8.875 16.1 21.1 26.1 31.1 21.1 13.875 8.875 ],[26.55 26.55 31." "55 26.55 31.55 31.55 31.55 26.55 ],[1 1 1 ]);\npatch([13.875 21.1 16.1 8.875 13.875 ],[21.55 21.55 26.55 26.55 21." "55 ],[0.931 0.946 0.973 ]);\npatch([8.875 16.1 21.1 13.875 8.875 ],[16.55 16.55 21.55 21.55 16.55 ],[1 1 1 ]);\npa" "tch([13.875 31.1 26.1 21.1 16.1 8.875 13.875 ],[11.55 11.55 16.55 11.55 16.55 16.55 11.55 ],[0.931 0.946 0.973 ]);" "\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('i" "nput',1,'a');\ncolor('black');port_label('input',2,'b');\ncolor('black');port_label('output',1,'\\bfa \\times b','" "texmode','on');\ncolor('black');disp('z^{-1}\\newline ','texmode','on');\ncolor('black');disp(' \\n ');\nfprintf('" "','COMMENT: end icon text');" } Block { BlockType Reference Name "Mult8" SID "857" Ports [2, 1] Position [225, 369, 265, 411] ShowName off LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Mult" SourceType "Xilinx Multiplier Block" infoedit "Hardware notes: To use the internal pipeline stage of the dedicated multiplier you must select 'Pipel" "ine for maximum performance'." precision "User Defined" arith_type "Signed (2's comp)" n_bits "18" bin_pt "17" quantization "Truncate" overflow "Flag as error" en off latency "1" dbl_ovrd off use_behavioral_HDL off opt "Speed" use_embedded on optimum_pipeline off xl_use_area off xl_area "[9 -15 0 18 0 1 0]" pipeline "on" use_rpm "on" placement_style "Triangular" has_advanced_control "0" sggui_pos "50,50,348,433" block_type "mult" block_version "10.1.3" sg_icon_stat "40,42,2,1,white,blue,0,82c891c1,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 40 40 0 0 ],[0 0 42 42 0 ],[0.77 0.82 0.9" "1 ]);\nplot([0 40 40 0 0 ],[0 0 42 42 0 ]);\npatch([8.875 16.1 21.1 26.1 31.1 21.1 13.875 8.875 ],[26.55 26.55 31." "55 26.55 31.55 31.55 31.55 26.55 ],[1 1 1 ]);\npatch([13.875 21.1 16.1 8.875 13.875 ],[21.55 21.55 26.55 26.55 21." "55 ],[0.931 0.946 0.973 ]);\npatch([8.875 16.1 21.1 13.875 8.875 ],[16.55 16.55 21.55 21.55 16.55 ],[1 1 1 ]);\npa" "tch([13.875 31.1 26.1 21.1 16.1 8.875 13.875 ],[11.55 11.55 16.55 11.55 16.55 16.55 11.55 ],[0.931 0.946 0.973 ]);" "\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('i" "nput',1,'a');\ncolor('black');port_label('input',2,'b');\ncolor('black');port_label('output',1,'\\bfa \\times b','" "texmode','on');\ncolor('black');disp('z^{-1}\\newline ','texmode','on');\ncolor('black');disp(' \\n ');\nfprintf('" "','COMMENT: end icon text');" } Block { BlockType Outport Name "Out1" SID "858" Position [290, 43, 320, 57] IconDisplay "Port number" } Block { BlockType Outport Name "Out2" SID "859" Position [290, 98, 320, 112] Port "2" IconDisplay "Port number" } Block { BlockType Outport Name "Out3" SID "860" Position [290, 143, 320, 157] Port "3" IconDisplay "Port number" } Block { BlockType Outport Name "Out4" SID "861" Position [290, 193, 320, 207] Port "4" IconDisplay "Port number" } Block { BlockType Outport Name "Out5" SID "862" Position [290, 238, 320, 252] Port "5" IconDisplay "Port number" } Block { BlockType Outport Name "Out6" SID "863" Position [290, 288, 320, 302] Port "6" IconDisplay "Port number" } Block { BlockType Outport Name "Out7" SID "864" Position [290, 333, 320, 347] Port "7" IconDisplay "Port number" } Block { BlockType Outport Name "Out8" SID "865" Position [290, 383, 320, 397] Port "8" IconDisplay "Port number" } Line { SrcBlock "From6" SrcPort 1 DstBlock "Mult8" DstPort 2 } Line { SrcBlock "From7" SrcPort 1 DstBlock "Mult7" DstPort 2 } Line { SrcBlock "From4" SrcPort 1 DstBlock "Mult6" DstPort 2 } Line { SrcBlock "From5" SrcPort 1 DstBlock "Mult5" DstPort 2 } Line { SrcBlock "From2" SrcPort 1 DstBlock "Mult4" DstPort 2 } Line { SrcBlock "From3" SrcPort 1 DstBlock "Mult3" DstPort 2 } Line { SrcBlock "From1" SrcPort 1 DstBlock "Mult1" DstPort 2 } Line { SrcBlock "From16" SrcPort 1 DstBlock "Mult2" DstPort 2 } Line { SrcBlock "In1" SrcPort 1 DstBlock "Mult2" DstPort 1 } Line { SrcBlock "Mult2" SrcPort 1 DstBlock "Out1" DstPort 1 } Line { SrcBlock "In2" SrcPort 1 DstBlock "Mult1" DstPort 1 } Line { SrcBlock "Mult1" SrcPort 1 DstBlock "Out2" DstPort 1 } Line { SrcBlock "In3" SrcPort 1 DstBlock "Mult3" DstPort 1 } Line { SrcBlock "Mult3" SrcPort 1 DstBlock "Out3" DstPort 1 } Line { SrcBlock "In4" SrcPort 1 DstBlock "Mult4" DstPort 1 } Line { SrcBlock "Mult4" SrcPort 1 DstBlock "Out4" DstPort 1 } Line { SrcBlock "In5" SrcPort 1 DstBlock "Mult5" DstPort 1 } Line { SrcBlock "Mult5" SrcPort 1 DstBlock "Out5" DstPort 1 } Line { SrcBlock "In6" SrcPort 1 DstBlock "Mult6" DstPort 1 } Line { SrcBlock "Mult6" SrcPort 1 DstBlock "Out6" DstPort 1 } Line { SrcBlock "In7" SrcPort 1 DstBlock "Mult7" DstPort 1 } Line { SrcBlock "Mult7" SrcPort 1 DstBlock "Out7" DstPort 1 } Line { SrcBlock "In8" SrcPort 1 DstBlock "Mult8" DstPort 1 } Line { SrcBlock "Mult8" SrcPort 1 DstBlock "Out8" DstPort 1 } } } Block { BlockType SubSystem Name "Sample RAMs" SID "866" Ports [2, 8] Position [645, 255, 685, 345] MinAlgLoopOccurrences off PropExecContextOutsideSubsystem off RTWSystemCode "Auto" FunctionWithSeparateData off Opaque off RequestExecContextInheritance off MaskHideContents off System { Name "Sample RAMs" Location [163, 146, 651, 535] Open off ModelBrowserVisibility off ModelBrowserWidth 200 ScreenColor "white" PaperOrientation "landscape" PaperPositionMode "auto" PaperType "usletter" PaperUnits "inches" TiledPaperMargins [0.500000, 0.500000, 0.500000, 0.500000] TiledPageScale 1 ShowPageBoundaries off ZoomFactor "194" Block { BlockType Inport Name "A" SID "867" Position [25, 83, 55, 97] IconDisplay "Port number" } Block { BlockType Inport Name "B" SID "868" Position [25, 203, 55, 217] Port "2" IconDisplay "Port number" } Block { BlockType SubSystem Name "Delays" SID "869" Ports [1, 8] Position [80, 29, 125, 146] NamePlacement "alternate" MinAlgLoopOccurrences off PropExecContextOutsideSubsystem off RTWSystemCode "Auto" FunctionWithSeparateData off Opaque off RequestExecContextInheritance off MaskHideContents off System { Name "Delays" Location [47, 96, 322, 525] Open off ModelBrowserVisibility off ModelBrowserWidth 200 ScreenColor "white" PaperOrientation "landscape" PaperPositionMode "auto" PaperType "usletter" PaperUnits "inches" TiledPaperMargins [0.500000, 0.500000, 0.500000, 0.500000] TiledPageScale 1 ShowPageBoundaries off ZoomFactor "100" Block { BlockType Inport Name "X" SID "870" Position [25, 29, 60, 41] NamePlacement "alternate" IconDisplay "Port number" } Block { BlockType Reference Name "Delay" SID "871" Ports [1, 1] Position [112, 70, 138, 95] BlockRotation 270 BlockMirror on NamePlacement "alternate" ShowName off LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Delay" SourceType "Xilinx Delay Block" infoedit "Hardware notes: A delay line is a chain, each link of which is an SRL16 followed by a flip-flop." rst off infoeditControl "Selection of Reset will increase slice count due to use of real FFs and instead of SRLs" en off latency "1" dbl_ovrd off reg_retiming off xl_use_area off xl_area "[8 16 0 0 0 0 0]" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "delay" block_version "10.1.3" sg_icon_stat "26,25,1,1,white,blue,0,07b98262,down,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 26 26 0 0 ],[0 0 25 25 0 ],[0.77 0.82" " 0.91 ]);\nplot([0 26 26 0 0 ],[0 0 25 25 0 ]);\npatch([6.325 10.66 13.66 16.66 19.66 13.66 9.325 6.325 ],[15.33" " 15.33 18.33 15.33 18.33 18.33 18.33 15.33 ],[1 1 1 ]);\npatch([9.325 13.66 10.66 6.325 9.325 ],[12.33 12.33 15." "33 15.33 12.33 ],[0.931 0.946 0.973 ]);\npatch([6.325 10.66 13.66 9.325 6.325 ],[9.33 9.33 12.33 12.33 9.33 ],[1" " 1 1 ]);\npatch([9.325 19.66 16.66 13.66 10.66 6.325 9.325 ],[6.33 6.33 9.33 6.33 9.33 9.33 6.33 ],[0.931 0.946 " "0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\n\n\ncolor('black'" ");disp('z^{-1}','texmode','on');\nfprintf('','COMMENT: end icon text');" } Block { BlockType Reference Name "Delay1" SID "872" Ports [1, 1] Position [112, 120, 138, 145] BlockRotation 270 BlockMirror on NamePlacement "alternate" ShowName off LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Delay" SourceType "Xilinx Delay Block" infoedit "Hardware notes: A delay line is a chain, each link of which is an SRL16 followed by a flip-flop." rst off infoeditControl "Selection of Reset will increase slice count due to use of real FFs and instead of SRLs" en off latency "1" dbl_ovrd off reg_retiming off xl_use_area off xl_area "[8 16 0 0 0 0 0]" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "delay" block_version "10.1.3" sg_icon_stat "26,25,1,1,white,blue,0,07b98262,down,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 26 26 0 0 ],[0 0 25 25 0 ],[0.77 0.82" " 0.91 ]);\nplot([0 26 26 0 0 ],[0 0 25 25 0 ]);\npatch([6.325 10.66 13.66 16.66 19.66 13.66 9.325 6.325 ],[15.33" " 15.33 18.33 15.33 18.33 18.33 18.33 15.33 ],[1 1 1 ]);\npatch([9.325 13.66 10.66 6.325 9.325 ],[12.33 12.33 15." "33 15.33 12.33 ],[0.931 0.946 0.973 ]);\npatch([6.325 10.66 13.66 9.325 6.325 ],[9.33 9.33 12.33 12.33 9.33 ],[1" " 1 1 ]);\npatch([9.325 19.66 16.66 13.66 10.66 6.325 9.325 ],[6.33 6.33 9.33 6.33 9.33 9.33 6.33 ],[0.931 0.946 " "0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\n\n\ncolor('black'" ");disp('z^{-1}','texmode','on');\nfprintf('','COMMENT: end icon text');" } Block { BlockType Reference Name "Delay2" SID "873" Ports [1, 1] Position [112, 165, 138, 190] BlockRotation 270 BlockMirror on NamePlacement "alternate" ShowName off LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Delay" SourceType "Xilinx Delay Block" infoedit "Hardware notes: A delay line is a chain, each link of which is an SRL16 followed by a flip-flop." rst off infoeditControl "Selection of Reset will increase slice count due to use of real FFs and instead of SRLs" en off latency "1" dbl_ovrd off reg_retiming off xl_use_area off xl_area "[8 16 0 0 0 0 0]" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "delay" block_version "10.1.3" sg_icon_stat "26,25,1,1,white,blue,0,07b98262,down,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 26 26 0 0 ],[0 0 25 25 0 ],[0.77 0.82" " 0.91 ]);\nplot([0 26 26 0 0 ],[0 0 25 25 0 ]);\npatch([6.325 10.66 13.66 16.66 19.66 13.66 9.325 6.325 ],[15.33" " 15.33 18.33 15.33 18.33 18.33 18.33 15.33 ],[1 1 1 ]);\npatch([9.325 13.66 10.66 6.325 9.325 ],[12.33 12.33 15." "33 15.33 12.33 ],[0.931 0.946 0.973 ]);\npatch([6.325 10.66 13.66 9.325 6.325 ],[9.33 9.33 12.33 12.33 9.33 ],[1" " 1 1 ]);\npatch([9.325 19.66 16.66 13.66 10.66 6.325 9.325 ],[6.33 6.33 9.33 6.33 9.33 9.33 6.33 ],[0.931 0.946 " "0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\n\n\ncolor('black'" ");disp('z^{-1}','texmode','on');\nfprintf('','COMMENT: end icon text');" } Block { BlockType Reference Name "Delay3" SID "874" Ports [1, 1] Position [112, 210, 138, 235] BlockRotation 270 BlockMirror on NamePlacement "alternate" ShowName off LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Delay" SourceType "Xilinx Delay Block" infoedit "Hardware notes: A delay line is a chain, each link of which is an SRL16 followed by a flip-flop." rst off infoeditControl "Selection of Reset will increase slice count due to use of real FFs and instead of SRLs" en off latency "1" dbl_ovrd off reg_retiming off xl_use_area off xl_area "[8 16 0 0 0 0 0]" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "delay" block_version "10.1.3" sg_icon_stat "26,25,1,1,white,blue,0,07b98262,down,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 26 26 0 0 ],[0 0 25 25 0 ],[0.77 0.82" " 0.91 ]);\nplot([0 26 26 0 0 ],[0 0 25 25 0 ]);\npatch([6.325 10.66 13.66 16.66 19.66 13.66 9.325 6.325 ],[15.33" " 15.33 18.33 15.33 18.33 18.33 18.33 15.33 ],[1 1 1 ]);\npatch([9.325 13.66 10.66 6.325 9.325 ],[12.33 12.33 15." "33 15.33 12.33 ],[0.931 0.946 0.973 ]);\npatch([6.325 10.66 13.66 9.325 6.325 ],[9.33 9.33 12.33 12.33 9.33 ],[1" " 1 1 ]);\npatch([9.325 19.66 16.66 13.66 10.66 6.325 9.325 ],[6.33 6.33 9.33 6.33 9.33 9.33 6.33 ],[0.931 0.946 " "0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\n\n\ncolor('black'" ");disp('z^{-1}','texmode','on');\nfprintf('','COMMENT: end icon text');" } Block { BlockType Reference Name "Delay4" SID "875" Ports [1, 1] Position [112, 260, 138, 285] BlockRotation 270 BlockMirror on NamePlacement "alternate" ShowName off LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Delay" SourceType "Xilinx Delay Block" infoedit "Hardware notes: A delay line is a chain, each link of which is an SRL16 followed by a flip-flop." rst off infoeditControl "Selection of Reset will increase slice count due to use of real FFs and instead of SRLs" en off latency "1" dbl_ovrd off reg_retiming off xl_use_area off xl_area "[8 16 0 0 0 0 0]" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "delay" block_version "10.1.3" sg_icon_stat "26,25,1,1,white,blue,0,07b98262,down,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 26 26 0 0 ],[0 0 25 25 0 ],[0.77 0.82" " 0.91 ]);\nplot([0 26 26 0 0 ],[0 0 25 25 0 ]);\npatch([6.325 10.66 13.66 16.66 19.66 13.66 9.325 6.325 ],[15.33" " 15.33 18.33 15.33 18.33 18.33 18.33 15.33 ],[1 1 1 ]);\npatch([9.325 13.66 10.66 6.325 9.325 ],[12.33 12.33 15." "33 15.33 12.33 ],[0.931 0.946 0.973 ]);\npatch([6.325 10.66 13.66 9.325 6.325 ],[9.33 9.33 12.33 12.33 9.33 ],[1" " 1 1 ]);\npatch([9.325 19.66 16.66 13.66 10.66 6.325 9.325 ],[6.33 6.33 9.33 6.33 9.33 9.33 6.33 ],[0.931 0.946 " "0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\n\n\ncolor('black'" ");disp('z^{-1}','texmode','on');\nfprintf('','COMMENT: end icon text');" } Block { BlockType Reference Name "Delay5" SID "876" Ports [1, 1] Position [112, 310, 138, 335] BlockRotation 270 BlockMirror on NamePlacement "alternate" ShowName off LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Delay" SourceType "Xilinx Delay Block" infoedit "Hardware notes: A delay line is a chain, each link of which is an SRL16 followed by a flip-flop." rst off infoeditControl "Selection of Reset will increase slice count due to use of real FFs and instead of SRLs" en off latency "1" dbl_ovrd off reg_retiming off xl_use_area off xl_area "[8 16 0 0 0 0 0]" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "delay" block_version "10.1.3" sg_icon_stat "26,25,1,1,white,blue,0,07b98262,down,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 26 26 0 0 ],[0 0 25 25 0 ],[0.77 0.82" " 0.91 ]);\nplot([0 26 26 0 0 ],[0 0 25 25 0 ]);\npatch([6.325 10.66 13.66 16.66 19.66 13.66 9.325 6.325 ],[15.33" " 15.33 18.33 15.33 18.33 18.33 18.33 15.33 ],[1 1 1 ]);\npatch([9.325 13.66 10.66 6.325 9.325 ],[12.33 12.33 15." "33 15.33 12.33 ],[0.931 0.946 0.973 ]);\npatch([6.325 10.66 13.66 9.325 6.325 ],[9.33 9.33 12.33 12.33 9.33 ],[1" " 1 1 ]);\npatch([9.325 19.66 16.66 13.66 10.66 6.325 9.325 ],[6.33 6.33 9.33 6.33 9.33 9.33 6.33 ],[0.931 0.946 " "0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\n\n\ncolor('black'" ");disp('z^{-1}','texmode','on');\nfprintf('','COMMENT: end icon text');" } Block { BlockType Reference Name "Delay6" SID "877" Ports [1, 1] Position [112, 355, 138, 380] BlockRotation 270 BlockMirror on NamePlacement "alternate" ShowName off LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Delay" SourceType "Xilinx Delay Block" infoedit "Hardware notes: A delay line is a chain, each link of which is an SRL16 followed by a flip-flop." rst off infoeditControl "Selection of Reset will increase slice count due to use of real FFs and instead of SRLs" en off latency "1" dbl_ovrd off reg_retiming off xl_use_area off xl_area "[8 16 0 0 0 0 0]" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "delay" block_version "10.1.3" sg_icon_stat "26,25,1,1,white,blue,0,07b98262,down,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 26 26 0 0 ],[0 0 25 25 0 ],[0.77 0.82" " 0.91 ]);\nplot([0 26 26 0 0 ],[0 0 25 25 0 ]);\npatch([6.325 10.66 13.66 16.66 19.66 13.66 9.325 6.325 ],[15.33" " 15.33 18.33 15.33 18.33 18.33 18.33 15.33 ],[1 1 1 ]);\npatch([9.325 13.66 10.66 6.325 9.325 ],[12.33 12.33 15." "33 15.33 12.33 ],[0.931 0.946 0.973 ]);\npatch([6.325 10.66 13.66 9.325 6.325 ],[9.33 9.33 12.33 12.33 9.33 ],[1" " 1 1 ]);\npatch([9.325 19.66 16.66 13.66 10.66 6.325 9.325 ],[6.33 6.33 9.33 6.33 9.33 9.33 6.33 ],[0.931 0.946 " "0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\n\n\ncolor('black'" ");disp('z^{-1}','texmode','on');\nfprintf('','COMMENT: end icon text');" } Block { BlockType Reference Name "Up Sample1" SID "878" Ports [1, 1] Position [160, 91, 195, 119] ShowName off LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Up Sample" SourceType "Xilinx Up Sampler Block" infoedit "Up samples input data. Inserted values can be zeros or copies of the most recent input sample.<" "P>

Hardware notes: No hardware is needed if inserted values are copies of the input sample; otherwise, a mux a" "nd single bit flip-flop are used." sample_ratio "4" copy_samples on en off latency "0" dbl_ovrd off xl_use_area off xl_area "[0 0 0 0 0 0 0]" has_advanced_control "0" sggui_pos "20,20,348,277" block_type "usamp" block_version "10.1.3" sg_icon_stat "35,28,1,1,white,blue,0,a300b05e,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 35 35 0 0 ],[0 0 28 28 0 ],[0.77 0.82" " 0.91 ]);\nplot([0 35 35 0 0 ],[0 0 28 28 0 ]);\npatch([8.1 13.88 17.88 21.88 25.88 17.88 12.1 8.1 ],[18.44 18.4" "4 22.44 18.44 22.44 22.44 22.44 18.44 ],[1 1 1 ]);\npatch([12.1 17.88 13.88 8.1 12.1 ],[14.44 14.44 18.44 18.44 " "14.44 ],[0.931 0.946 0.973 ]);\npatch([8.1 13.88 17.88 12.1 8.1 ],[10.44 10.44 14.44 14.44 10.44 ],[1 1 1 ]);\np" "atch([12.1 25.88 21.88 17.88 13.88 8.1 12.1 ],[6.44 6.44 10.44 6.44 10.44 10.44 6.44 ],[0.931 0.946 0.973 ]);\nf" "printf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\n\n\ncolor('black');disp('{\\f" "ontsize{14pt}\\bf\\uparrow}4','texmode','on');\nfprintf('','COMMENT: end icon text');" } Block { BlockType Reference Name "Up Sample10" SID "879" Ports [1, 1] Position [160, 136, 195, 164] ShowName off LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Up Sample" SourceType "Xilinx Up Sampler Block" infoedit "Up samples input data. Inserted values can be zeros or copies of the most recent input sample.<" "P>

Hardware notes: No hardware is needed if inserted values are copies of the input sample; otherwise, a mux a" "nd single bit flip-flop are used." sample_ratio "4" copy_samples on en off latency "0" dbl_ovrd off xl_use_area off xl_area "[0 0 0 0 0 0 0]" has_advanced_control "0" sggui_pos "20,20,348,277" block_type "usamp" block_version "10.1.3" sg_icon_stat "35,28,1,1,white,blue,0,a300b05e,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 35 35 0 0 ],[0 0 28 28 0 ],[0.77 0.82" " 0.91 ]);\nplot([0 35 35 0 0 ],[0 0 28 28 0 ]);\npatch([8.1 13.88 17.88 21.88 25.88 17.88 12.1 8.1 ],[18.44 18.4" "4 22.44 18.44 22.44 22.44 22.44 18.44 ],[1 1 1 ]);\npatch([12.1 17.88 13.88 8.1 12.1 ],[14.44 14.44 18.44 18.44 " "14.44 ],[0.931 0.946 0.973 ]);\npatch([8.1 13.88 17.88 12.1 8.1 ],[10.44 10.44 14.44 14.44 10.44 ],[1 1 1 ]);\np" "atch([12.1 25.88 21.88 17.88 13.88 8.1 12.1 ],[6.44 6.44 10.44 6.44 10.44 10.44 6.44 ],[0.931 0.946 0.973 ]);\nf" "printf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\n\n\ncolor('black');disp('{\\f" "ontsize{14pt}\\bf\\uparrow}4','texmode','on');\nfprintf('','COMMENT: end icon text');" } Block { BlockType Reference Name "Up Sample15" SID "880" Ports [1, 1] Position [160, 376, 195, 404] ShowName off LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Up Sample" SourceType "Xilinx Up Sampler Block" infoedit "Up samples input data. Inserted values can be zeros or copies of the most recent input sample.<" "P>

Hardware notes: No hardware is needed if inserted values are copies of the input sample; otherwise, a mux a" "nd single bit flip-flop are used." sample_ratio "4" copy_samples on en off latency "0" dbl_ovrd off xl_use_area off xl_area "[0 0 0 0 0 0 0]" has_advanced_control "0" sggui_pos "20,20,348,277" block_type "usamp" block_version "10.1.3" sg_icon_stat "35,28,1,1,white,blue,0,a300b05e,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 35 35 0 0 ],[0 0 28 28 0 ],[0.77 0.82" " 0.91 ]);\nplot([0 35 35 0 0 ],[0 0 28 28 0 ]);\npatch([8.1 13.88 17.88 21.88 25.88 17.88 12.1 8.1 ],[18.44 18.4" "4 22.44 18.44 22.44 22.44 22.44 18.44 ],[1 1 1 ]);\npatch([12.1 17.88 13.88 8.1 12.1 ],[14.44 14.44 18.44 18.44 " "14.44 ],[0.931 0.946 0.973 ]);\npatch([8.1 13.88 17.88 12.1 8.1 ],[10.44 10.44 14.44 14.44 10.44 ],[1 1 1 ]);\np" "atch([12.1 25.88 21.88 17.88 13.88 8.1 12.1 ],[6.44 6.44 10.44 6.44 10.44 10.44 6.44 ],[0.931 0.946 0.973 ]);\nf" "printf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\n\n\ncolor('black');disp('{\\f" "ontsize{14pt}\\bf\\uparrow}4','texmode','on');\nfprintf('','COMMENT: end icon text');" } Block { BlockType Reference Name "Up Sample2" SID "881" Ports [1, 1] Position [160, 36, 195, 64] ShowName off LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Up Sample" SourceType "Xilinx Up Sampler Block" infoedit "Up samples input data. Inserted values can be zeros or copies of the most recent input sample.<" "P>

Hardware notes: No hardware is needed if inserted values are copies of the input sample; otherwise, a mux a" "nd single bit flip-flop are used." sample_ratio "4" copy_samples on en off latency "0" dbl_ovrd off xl_use_area off xl_area "[0 0 0 0 0 0 0]" has_advanced_control "0" sggui_pos "20,20,348,277" block_type "usamp" block_version "10.1.3" sg_icon_stat "35,28,1,1,white,blue,0,a300b05e,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 35 35 0 0 ],[0 0 28 28 0 ],[0.77 0.82" " 0.91 ]);\nplot([0 35 35 0 0 ],[0 0 28 28 0 ]);\npatch([8.1 13.88 17.88 21.88 25.88 17.88 12.1 8.1 ],[18.44 18.4" "4 22.44 18.44 22.44 22.44 22.44 18.44 ],[1 1 1 ]);\npatch([12.1 17.88 13.88 8.1 12.1 ],[14.44 14.44 18.44 18.44 " "14.44 ],[0.931 0.946 0.973 ]);\npatch([8.1 13.88 17.88 12.1 8.1 ],[10.44 10.44 14.44 14.44 10.44 ],[1 1 1 ]);\np" "atch([12.1 25.88 21.88 17.88 13.88 8.1 12.1 ],[6.44 6.44 10.44 6.44 10.44 10.44 6.44 ],[0.931 0.946 0.973 ]);\nf" "printf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\n\n\ncolor('black');disp('{\\f" "ontsize{14pt}\\bf\\uparrow}4','texmode','on');\nfprintf('','COMMENT: end icon text');" } Block { BlockType Reference Name "Up Sample3" SID "882" Ports [1, 1] Position [160, 186, 195, 214] ShowName off LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Up Sample" SourceType "Xilinx Up Sampler Block" infoedit "Up samples input data. Inserted values can be zeros or copies of the most recent input sample.<" "P>

Hardware notes: No hardware is needed if inserted values are copies of the input sample; otherwise, a mux a" "nd single bit flip-flop are used." sample_ratio "4" copy_samples on en off latency "0" dbl_ovrd off xl_use_area off xl_area "[0 0 0 0 0 0 0]" has_advanced_control "0" sggui_pos "20,20,348,277" block_type "usamp" block_version "10.1.3" sg_icon_stat "35,28,1,1,white,blue,0,a300b05e,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 35 35 0 0 ],[0 0 28 28 0 ],[0.77 0.82" " 0.91 ]);\nplot([0 35 35 0 0 ],[0 0 28 28 0 ]);\npatch([8.1 13.88 17.88 21.88 25.88 17.88 12.1 8.1 ],[18.44 18.4" "4 22.44 18.44 22.44 22.44 22.44 18.44 ],[1 1 1 ]);\npatch([12.1 17.88 13.88 8.1 12.1 ],[14.44 14.44 18.44 18.44 " "14.44 ],[0.931 0.946 0.973 ]);\npatch([8.1 13.88 17.88 12.1 8.1 ],[10.44 10.44 14.44 14.44 10.44 ],[1 1 1 ]);\np" "atch([12.1 25.88 21.88 17.88 13.88 8.1 12.1 ],[6.44 6.44 10.44 6.44 10.44 10.44 6.44 ],[0.931 0.946 0.973 ]);\nf" "printf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\n\n\ncolor('black');disp('{\\f" "ontsize{14pt}\\bf\\uparrow}4','texmode','on');\nfprintf('','COMMENT: end icon text');" } Block { BlockType Reference Name "Up Sample4" SID "883" Ports [1, 1] Position [160, 231, 195, 259] ShowName off LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Up Sample" SourceType "Xilinx Up Sampler Block" infoedit "Up samples input data. Inserted values can be zeros or copies of the most recent input sample.<" "P>

Hardware notes: No hardware is needed if inserted values are copies of the input sample; otherwise, a mux a" "nd single bit flip-flop are used." sample_ratio "4" copy_samples on en off latency "0" dbl_ovrd off xl_use_area off xl_area "[0 0 0 0 0 0 0]" has_advanced_control "0" sggui_pos "20,20,348,277" block_type "usamp" block_version "10.1.3" sg_icon_stat "35,28,1,1,white,blue,0,a300b05e,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 35 35 0 0 ],[0 0 28 28 0 ],[0.77 0.82" " 0.91 ]);\nplot([0 35 35 0 0 ],[0 0 28 28 0 ]);\npatch([8.1 13.88 17.88 21.88 25.88 17.88 12.1 8.1 ],[18.44 18.4" "4 22.44 18.44 22.44 22.44 22.44 18.44 ],[1 1 1 ]);\npatch([12.1 17.88 13.88 8.1 12.1 ],[14.44 14.44 18.44 18.44 " "14.44 ],[0.931 0.946 0.973 ]);\npatch([8.1 13.88 17.88 12.1 8.1 ],[10.44 10.44 14.44 14.44 10.44 ],[1 1 1 ]);\np" "atch([12.1 25.88 21.88 17.88 13.88 8.1 12.1 ],[6.44 6.44 10.44 6.44 10.44 10.44 6.44 ],[0.931 0.946 0.973 ]);\nf" "printf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\n\n\ncolor('black');disp('{\\f" "ontsize{14pt}\\bf\\uparrow}4','texmode','on');\nfprintf('','COMMENT: end icon text');" } Block { BlockType Reference Name "Up Sample5" SID "884" Ports [1, 1] Position [160, 281, 195, 309] ShowName off LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Up Sample" SourceType "Xilinx Up Sampler Block" infoedit "Up samples input data. Inserted values can be zeros or copies of the most recent input sample.<" "P>

Hardware notes: No hardware is needed if inserted values are copies of the input sample; otherwise, a mux a" "nd single bit flip-flop are used." sample_ratio "4" copy_samples on en off latency "0" dbl_ovrd off xl_use_area off xl_area "[0 0 0 0 0 0 0]" has_advanced_control "0" sggui_pos "20,20,348,277" block_type "usamp" block_version "10.1.3" sg_icon_stat "35,28,1,1,white,blue,0,a300b05e,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 35 35 0 0 ],[0 0 28 28 0 ],[0.77 0.82" " 0.91 ]);\nplot([0 35 35 0 0 ],[0 0 28 28 0 ]);\npatch([8.1 13.88 17.88 21.88 25.88 17.88 12.1 8.1 ],[18.44 18.4" "4 22.44 18.44 22.44 22.44 22.44 18.44 ],[1 1 1 ]);\npatch([12.1 17.88 13.88 8.1 12.1 ],[14.44 14.44 18.44 18.44 " "14.44 ],[0.931 0.946 0.973 ]);\npatch([8.1 13.88 17.88 12.1 8.1 ],[10.44 10.44 14.44 14.44 10.44 ],[1 1 1 ]);\np" "atch([12.1 25.88 21.88 17.88 13.88 8.1 12.1 ],[6.44 6.44 10.44 6.44 10.44 10.44 6.44 ],[0.931 0.946 0.973 ]);\nf" "printf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\n\n\ncolor('black');disp('{\\f" "ontsize{14pt}\\bf\\uparrow}4','texmode','on');\nfprintf('','COMMENT: end icon text');" } Block { BlockType Reference Name "Up Sample6" SID "885" Ports [1, 1] Position [160, 326, 195, 354] ShowName off LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Up Sample" SourceType "Xilinx Up Sampler Block" infoedit "Up samples input data. Inserted values can be zeros or copies of the most recent input sample.<" "P>

Hardware notes: No hardware is needed if inserted values are copies of the input sample; otherwise, a mux a" "nd single bit flip-flop are used." sample_ratio "4" copy_samples on en off latency "0" dbl_ovrd off xl_use_area off xl_area "[0 0 0 0 0 0 0]" has_advanced_control "0" sggui_pos "20,20,348,277" block_type "usamp" block_version "10.1.3" sg_icon_stat "35,28,1,1,white,blue,0,a300b05e,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 35 35 0 0 ],[0 0 28 28 0 ],[0.77 0.82" " 0.91 ]);\nplot([0 35 35 0 0 ],[0 0 28 28 0 ]);\npatch([8.1 13.88 17.88 21.88 25.88 17.88 12.1 8.1 ],[18.44 18.4" "4 22.44 18.44 22.44 22.44 22.44 18.44 ],[1 1 1 ]);\npatch([12.1 17.88 13.88 8.1 12.1 ],[14.44 14.44 18.44 18.44 " "14.44 ],[0.931 0.946 0.973 ]);\npatch([8.1 13.88 17.88 12.1 8.1 ],[10.44 10.44 14.44 14.44 10.44 ],[1 1 1 ]);\np" "atch([12.1 25.88 21.88 17.88 13.88 8.1 12.1 ],[6.44 6.44 10.44 6.44 10.44 10.44 6.44 ],[0.931 0.946 0.973 ]);\nf" "printf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\n\n\ncolor('black');disp('{\\f" "ontsize{14pt}\\bf\\uparrow}4','texmode','on');\nfprintf('','COMMENT: end icon text');" } Block { BlockType Outport Name "Out1" SID "886" Position [220, 43, 250, 57] IconDisplay "Port number" } Block { BlockType Outport Name "Out2" SID "887" Position [220, 98, 250, 112] Port "2" IconDisplay "Port number" } Block { BlockType Outport Name "Out3" SID "888" Position [220, 143, 250, 157] Port "3" IconDisplay "Port number" } Block { BlockType Outport Name "Out4" SID "889" Position [220, 193, 250, 207] Port "4" IconDisplay "Port number" } Block { BlockType Outport Name "Out5" SID "890" Position [220, 238, 250, 252] Port "5" IconDisplay "Port number" } Block { BlockType Outport Name "Out6" SID "891" Position [220, 288, 250, 302] Port "6" IconDisplay "Port number" } Block { BlockType Outport Name "Out7" SID "892" Position [220, 333, 250, 347] Port "7" IconDisplay "Port number" } Block { BlockType Outport Name "Out8" SID "893" Position [220, 383, 250, 397] Port "8" IconDisplay "Port number" } Line { SrcBlock "X" SrcPort 1 Points [60, 0; 0, 15] Branch { DstBlock "Delay" DstPort 1 } Branch { DstBlock "Up Sample2" DstPort 1 } } Line { SrcBlock "Delay6" SrcPort 1 Points [0, 5] DstBlock "Up Sample15" DstPort 1 } Line { SrcBlock "Delay5" SrcPort 1 Points [0, 0] Branch { DstBlock "Delay6" DstPort 1 } Branch { DstBlock "Up Sample6" DstPort 1 } } Line { SrcBlock "Delay4" SrcPort 1 Points [0, 5] Branch { DstBlock "Delay5" DstPort 1 } Branch { DstBlock "Up Sample5" DstPort 1 } } Line { SrcBlock "Delay3" SrcPort 1 Points [0, 5] Branch { DstBlock "Delay4" DstPort 1 } Branch { DstBlock "Up Sample4" DstPort 1 } } Line { SrcBlock "Delay2" SrcPort 1 Points [0, 5] Branch { DstBlock "Delay3" DstPort 1 } Branch { DstBlock "Up Sample3" DstPort 1 } } Line { SrcBlock "Delay1" SrcPort 1 Points [0, 0] Branch { DstBlock "Delay2" DstPort 1 } Branch { DstBlock "Up Sample10" DstPort 1 } } Line { SrcBlock "Delay" SrcPort 1 Points [0, 5] Branch { DstBlock "Delay1" DstPort 1 } Branch { DstBlock "Up Sample1" DstPort 1 } } Line { SrcBlock "Up Sample2" SrcPort 1 DstBlock "Out1" DstPort 1 } Line { SrcBlock "Up Sample1" SrcPort 1 DstBlock "Out2" DstPort 1 } Line { SrcBlock "Up Sample10" SrcPort 1 DstBlock "Out3" DstPort 1 } Line { SrcBlock "Up Sample3" SrcPort 1 DstBlock "Out4" DstPort 1 } Line { SrcBlock "Up Sample4" SrcPort 1 DstBlock "Out5" DstPort 1 } Line { SrcBlock "Up Sample5" SrcPort 1 DstBlock "Out6" DstPort 1 } Line { SrcBlock "Up Sample6" SrcPort 1 DstBlock "Out7" DstPort 1 } Line { SrcBlock "Up Sample15" SrcPort 1 DstBlock "Out8" DstPort 1 } } } Block { BlockType SubSystem Name "Delays1" SID "894" Ports [1, 8] Position [80, 149, 125, 266] MinAlgLoopOccurrences off PropExecContextOutsideSubsystem off RTWSystemCode "Auto" FunctionWithSeparateData off Opaque off RequestExecContextInheritance off MaskHideContents off System { Name "Delays1" Location [2, 74, 1910, 1112] Open off ModelBrowserVisibility off ModelBrowserWidth 200 ScreenColor "white" PaperOrientation "landscape" PaperPositionMode "auto" PaperType "usletter" PaperUnits "inches" TiledPaperMargins [0.500000, 0.500000, 0.500000, 0.500000] TiledPageScale 1 ShowPageBoundaries off ZoomFactor "100" Block { BlockType Inport Name "X" SID "895" Position [25, 29, 60, 41] NamePlacement "alternate" IconDisplay "Port number" } Block { BlockType Reference Name "Delay" SID "896" Ports [1, 1] Position [112, 70, 138, 95] BlockRotation 270 BlockMirror on NamePlacement "alternate" ShowName off LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Delay" SourceType "Xilinx Delay Block" infoedit "Hardware notes: A delay line is a chain, each link of which is an SRL16 followed by a flip-flop." rst off infoeditControl "Selection of Reset will increase slice count due to use of real FFs and instead of SRLs" en off latency "1" dbl_ovrd off reg_retiming off xl_use_area off xl_area "[8 16 0 0 0 0 0]" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "delay" block_version "10.1.3" sg_icon_stat "26,25,1,1,white,blue,0,07b98262,down,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 26 26 0 0 ],[0 0 25 25 0 ],[0.77 0.82" " 0.91 ]);\nplot([0 26 26 0 0 ],[0 0 25 25 0 ]);\npatch([6.325 10.66 13.66 16.66 19.66 13.66 9.325 6.325 ],[15.33" " 15.33 18.33 15.33 18.33 18.33 18.33 15.33 ],[1 1 1 ]);\npatch([9.325 13.66 10.66 6.325 9.325 ],[12.33 12.33 15." "33 15.33 12.33 ],[0.931 0.946 0.973 ]);\npatch([6.325 10.66 13.66 9.325 6.325 ],[9.33 9.33 12.33 12.33 9.33 ],[1" " 1 1 ]);\npatch([9.325 19.66 16.66 13.66 10.66 6.325 9.325 ],[6.33 6.33 9.33 6.33 9.33 9.33 6.33 ],[0.931 0.946 " "0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\n\n\ncolor('black'" ");disp('z^{-1}','texmode','on');\nfprintf('','COMMENT: end icon text');" } Block { BlockType Reference Name "Delay1" SID "897" Ports [1, 1] Position [112, 120, 138, 145] BlockRotation 270 BlockMirror on NamePlacement "alternate" ShowName off LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Delay" SourceType "Xilinx Delay Block" infoedit "Hardware notes: A delay line is a chain, each link of which is an SRL16 followed by a flip-flop." rst off infoeditControl "Selection of Reset will increase slice count due to use of real FFs and instead of SRLs" en off latency "1" dbl_ovrd off reg_retiming off xl_use_area off xl_area "[8 16 0 0 0 0 0]" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "delay" block_version "10.1.3" sg_icon_stat "26,25,1,1,white,blue,0,07b98262,down,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 26 26 0 0 ],[0 0 25 25 0 ],[0.77 0.82" " 0.91 ]);\nplot([0 26 26 0 0 ],[0 0 25 25 0 ]);\npatch([6.325 10.66 13.66 16.66 19.66 13.66 9.325 6.325 ],[15.33" " 15.33 18.33 15.33 18.33 18.33 18.33 15.33 ],[1 1 1 ]);\npatch([9.325 13.66 10.66 6.325 9.325 ],[12.33 12.33 15." "33 15.33 12.33 ],[0.931 0.946 0.973 ]);\npatch([6.325 10.66 13.66 9.325 6.325 ],[9.33 9.33 12.33 12.33 9.33 ],[1" " 1 1 ]);\npatch([9.325 19.66 16.66 13.66 10.66 6.325 9.325 ],[6.33 6.33 9.33 6.33 9.33 9.33 6.33 ],[0.931 0.946 " "0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\n\n\ncolor('black'" ");disp('z^{-1}','texmode','on');\nfprintf('','COMMENT: end icon text');" } Block { BlockType Reference Name "Delay2" SID "898" Ports [1, 1] Position [112, 165, 138, 190] BlockRotation 270 BlockMirror on NamePlacement "alternate" ShowName off LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Delay" SourceType "Xilinx Delay Block" infoedit "Hardware notes: A delay line is a chain, each link of which is an SRL16 followed by a flip-flop." rst off infoeditControl "Selection of Reset will increase slice count due to use of real FFs and instead of SRLs" en off latency "1" dbl_ovrd off reg_retiming off xl_use_area off xl_area "[8 16 0 0 0 0 0]" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "delay" block_version "10.1.3" sg_icon_stat "26,25,1,1,white,blue,0,07b98262,down,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 26 26 0 0 ],[0 0 25 25 0 ],[0.77 0.82" " 0.91 ]);\nplot([0 26 26 0 0 ],[0 0 25 25 0 ]);\npatch([6.325 10.66 13.66 16.66 19.66 13.66 9.325 6.325 ],[15.33" " 15.33 18.33 15.33 18.33 18.33 18.33 15.33 ],[1 1 1 ]);\npatch([9.325 13.66 10.66 6.325 9.325 ],[12.33 12.33 15." "33 15.33 12.33 ],[0.931 0.946 0.973 ]);\npatch([6.325 10.66 13.66 9.325 6.325 ],[9.33 9.33 12.33 12.33 9.33 ],[1" " 1 1 ]);\npatch([9.325 19.66 16.66 13.66 10.66 6.325 9.325 ],[6.33 6.33 9.33 6.33 9.33 9.33 6.33 ],[0.931 0.946 " "0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\n\n\ncolor('black'" ");disp('z^{-1}','texmode','on');\nfprintf('','COMMENT: end icon text');" } Block { BlockType Reference Name "Delay3" SID "899" Ports [1, 1] Position [112, 210, 138, 235] BlockRotation 270 BlockMirror on NamePlacement "alternate" ShowName off LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Delay" SourceType "Xilinx Delay Block" infoedit "Hardware notes: A delay line is a chain, each link of which is an SRL16 followed by a flip-flop." rst off infoeditControl "Selection of Reset will increase slice count due to use of real FFs and instead of SRLs" en off latency "1" dbl_ovrd off reg_retiming off xl_use_area off xl_area "[8 16 0 0 0 0 0]" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "delay" block_version "10.1.3" sg_icon_stat "26,25,1,1,white,blue,0,07b98262,down,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 26 26 0 0 ],[0 0 25 25 0 ],[0.77 0.82" " 0.91 ]);\nplot([0 26 26 0 0 ],[0 0 25 25 0 ]);\npatch([6.325 10.66 13.66 16.66 19.66 13.66 9.325 6.325 ],[15.33" " 15.33 18.33 15.33 18.33 18.33 18.33 15.33 ],[1 1 1 ]);\npatch([9.325 13.66 10.66 6.325 9.325 ],[12.33 12.33 15." "33 15.33 12.33 ],[0.931 0.946 0.973 ]);\npatch([6.325 10.66 13.66 9.325 6.325 ],[9.33 9.33 12.33 12.33 9.33 ],[1" " 1 1 ]);\npatch([9.325 19.66 16.66 13.66 10.66 6.325 9.325 ],[6.33 6.33 9.33 6.33 9.33 9.33 6.33 ],[0.931 0.946 " "0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\n\n\ncolor('black'" ");disp('z^{-1}','texmode','on');\nfprintf('','COMMENT: end icon text');" } Block { BlockType Reference Name "Delay4" SID "900" Ports [1, 1] Position [112, 260, 138, 285] BlockRotation 270 BlockMirror on NamePlacement "alternate" ShowName off LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Delay" SourceType "Xilinx Delay Block" infoedit "Hardware notes: A delay line is a chain, each link of which is an SRL16 followed by a flip-flop." rst off infoeditControl "Selection of Reset will increase slice count due to use of real FFs and instead of SRLs" en off latency "1" dbl_ovrd off reg_retiming off xl_use_area off xl_area "[8 16 0 0 0 0 0]" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "delay" block_version "10.1.3" sg_icon_stat "26,25,1,1,white,blue,0,07b98262,down,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 26 26 0 0 ],[0 0 25 25 0 ],[0.77 0.82" " 0.91 ]);\nplot([0 26 26 0 0 ],[0 0 25 25 0 ]);\npatch([6.325 10.66 13.66 16.66 19.66 13.66 9.325 6.325 ],[15.33" " 15.33 18.33 15.33 18.33 18.33 18.33 15.33 ],[1 1 1 ]);\npatch([9.325 13.66 10.66 6.325 9.325 ],[12.33 12.33 15." "33 15.33 12.33 ],[0.931 0.946 0.973 ]);\npatch([6.325 10.66 13.66 9.325 6.325 ],[9.33 9.33 12.33 12.33 9.33 ],[1" " 1 1 ]);\npatch([9.325 19.66 16.66 13.66 10.66 6.325 9.325 ],[6.33 6.33 9.33 6.33 9.33 9.33 6.33 ],[0.931 0.946 " "0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\n\n\ncolor('black'" ");disp('z^{-1}','texmode','on');\nfprintf('','COMMENT: end icon text');" } Block { BlockType Reference Name "Delay5" SID "901" Ports [1, 1] Position [112, 310, 138, 335] BlockRotation 270 BlockMirror on NamePlacement "alternate" ShowName off LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Delay" SourceType "Xilinx Delay Block" infoedit "Hardware notes: A delay line is a chain, each link of which is an SRL16 followed by a flip-flop." rst off infoeditControl "Selection of Reset will increase slice count due to use of real FFs and instead of SRLs" en off latency "1" dbl_ovrd off reg_retiming off xl_use_area off xl_area "[8 16 0 0 0 0 0]" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "delay" block_version "10.1.3" sg_icon_stat "26,25,1,1,white,blue,0,07b98262,down,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 26 26 0 0 ],[0 0 25 25 0 ],[0.77 0.82" " 0.91 ]);\nplot([0 26 26 0 0 ],[0 0 25 25 0 ]);\npatch([6.325 10.66 13.66 16.66 19.66 13.66 9.325 6.325 ],[15.33" " 15.33 18.33 15.33 18.33 18.33 18.33 15.33 ],[1 1 1 ]);\npatch([9.325 13.66 10.66 6.325 9.325 ],[12.33 12.33 15." "33 15.33 12.33 ],[0.931 0.946 0.973 ]);\npatch([6.325 10.66 13.66 9.325 6.325 ],[9.33 9.33 12.33 12.33 9.33 ],[1" " 1 1 ]);\npatch([9.325 19.66 16.66 13.66 10.66 6.325 9.325 ],[6.33 6.33 9.33 6.33 9.33 9.33 6.33 ],[0.931 0.946 " "0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\n\n\ncolor('black'" ");disp('z^{-1}','texmode','on');\nfprintf('','COMMENT: end icon text');" } Block { BlockType Reference Name "Delay6" SID "902" Ports [1, 1] Position [112, 355, 138, 380] BlockRotation 270 BlockMirror on NamePlacement "alternate" ShowName off LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Delay" SourceType "Xilinx Delay Block" infoedit "Hardware notes: A delay line is a chain, each link of which is an SRL16 followed by a flip-flop." rst off infoeditControl "Selection of Reset will increase slice count due to use of real FFs and instead of SRLs" en off latency "1" dbl_ovrd off reg_retiming off xl_use_area off xl_area "[8 16 0 0 0 0 0]" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "delay" block_version "10.1.3" sg_icon_stat "26,25,1,1,white,blue,0,07b98262,down,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 26 26 0 0 ],[0 0 25 25 0 ],[0.77 0.82" " 0.91 ]);\nplot([0 26 26 0 0 ],[0 0 25 25 0 ]);\npatch([6.325 10.66 13.66 16.66 19.66 13.66 9.325 6.325 ],[15.33" " 15.33 18.33 15.33 18.33 18.33 18.33 15.33 ],[1 1 1 ]);\npatch([9.325 13.66 10.66 6.325 9.325 ],[12.33 12.33 15." "33 15.33 12.33 ],[0.931 0.946 0.973 ]);\npatch([6.325 10.66 13.66 9.325 6.325 ],[9.33 9.33 12.33 12.33 9.33 ],[1" " 1 1 ]);\npatch([9.325 19.66 16.66 13.66 10.66 6.325 9.325 ],[6.33 6.33 9.33 6.33 9.33 9.33 6.33 ],[0.931 0.946 " "0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\n\n\ncolor('black'" ");disp('z^{-1}','texmode','on');\nfprintf('','COMMENT: end icon text');" } Block { BlockType Reference Name "Up Sample1" SID "903" Ports [1, 1] Position [160, 91, 195, 119] ShowName off LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Up Sample" SourceType "Xilinx Up Sampler Block" infoedit "Up samples input data. Inserted values can be zeros or copies of the most recent input sample.<" "P>

Hardware notes: No hardware is needed if inserted values are copies of the input sample; otherwise, a mux a" "nd single bit flip-flop are used." sample_ratio "4" copy_samples on en off latency "0" dbl_ovrd off xl_use_area off xl_area "[0 0 0 0 0 0 0]" has_advanced_control "0" sggui_pos "20,20,348,277" block_type "usamp" block_version "10.1.3" sg_icon_stat "35,28,1,1,white,blue,0,a300b05e,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 35 35 0 0 ],[0 0 28 28 0 ],[0.77 0.82" " 0.91 ]);\nplot([0 35 35 0 0 ],[0 0 28 28 0 ]);\npatch([8.1 13.88 17.88 21.88 25.88 17.88 12.1 8.1 ],[18.44 18.4" "4 22.44 18.44 22.44 22.44 22.44 18.44 ],[1 1 1 ]);\npatch([12.1 17.88 13.88 8.1 12.1 ],[14.44 14.44 18.44 18.44 " "14.44 ],[0.931 0.946 0.973 ]);\npatch([8.1 13.88 17.88 12.1 8.1 ],[10.44 10.44 14.44 14.44 10.44 ],[1 1 1 ]);\np" "atch([12.1 25.88 21.88 17.88 13.88 8.1 12.1 ],[6.44 6.44 10.44 6.44 10.44 10.44 6.44 ],[0.931 0.946 0.973 ]);\nf" "printf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\n\n\ncolor('black');disp('{\\f" "ontsize{14pt}\\bf\\uparrow}4','texmode','on');\nfprintf('','COMMENT: end icon text');" } Block { BlockType Reference Name "Up Sample10" SID "904" Ports [1, 1] Position [160, 136, 195, 164] ShowName off LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Up Sample" SourceType "Xilinx Up Sampler Block" infoedit "Up samples input data. Inserted values can be zeros or copies of the most recent input sample.<" "P>

Hardware notes: No hardware is needed if inserted values are copies of the input sample; otherwise, a mux a" "nd single bit flip-flop are used." sample_ratio "4" copy_samples on en off latency "0" dbl_ovrd off xl_use_area off xl_area "[0 0 0 0 0 0 0]" has_advanced_control "0" sggui_pos "20,20,348,277" block_type "usamp" block_version "10.1.3" sg_icon_stat "35,28,1,1,white,blue,0,a300b05e,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 35 35 0 0 ],[0 0 28 28 0 ],[0.77 0.82" " 0.91 ]);\nplot([0 35 35 0 0 ],[0 0 28 28 0 ]);\npatch([8.1 13.88 17.88 21.88 25.88 17.88 12.1 8.1 ],[18.44 18.4" "4 22.44 18.44 22.44 22.44 22.44 18.44 ],[1 1 1 ]);\npatch([12.1 17.88 13.88 8.1 12.1 ],[14.44 14.44 18.44 18.44 " "14.44 ],[0.931 0.946 0.973 ]);\npatch([8.1 13.88 17.88 12.1 8.1 ],[10.44 10.44 14.44 14.44 10.44 ],[1 1 1 ]);\np" "atch([12.1 25.88 21.88 17.88 13.88 8.1 12.1 ],[6.44 6.44 10.44 6.44 10.44 10.44 6.44 ],[0.931 0.946 0.973 ]);\nf" "printf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\n\n\ncolor('black');disp('{\\f" "ontsize{14pt}\\bf\\uparrow}4','texmode','on');\nfprintf('','COMMENT: end icon text');" } Block { BlockType Reference Name "Up Sample15" SID "905" Ports [1, 1] Position [160, 376, 195, 404] ShowName off LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Up Sample" SourceType "Xilinx Up Sampler Block" infoedit "Up samples input data. Inserted values can be zeros or copies of the most recent input sample.<" "P>

Hardware notes: No hardware is needed if inserted values are copies of the input sample; otherwise, a mux a" "nd single bit flip-flop are used." sample_ratio "4" copy_samples on en off latency "0" dbl_ovrd off xl_use_area off xl_area "[0 0 0 0 0 0 0]" has_advanced_control "0" sggui_pos "20,20,348,277" block_type "usamp" block_version "10.1.3" sg_icon_stat "35,28,1,1,white,blue,0,a300b05e,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 35 35 0 0 ],[0 0 28 28 0 ],[0.77 0.82" " 0.91 ]);\nplot([0 35 35 0 0 ],[0 0 28 28 0 ]);\npatch([8.1 13.88 17.88 21.88 25.88 17.88 12.1 8.1 ],[18.44 18.4" "4 22.44 18.44 22.44 22.44 22.44 18.44 ],[1 1 1 ]);\npatch([12.1 17.88 13.88 8.1 12.1 ],[14.44 14.44 18.44 18.44 " "14.44 ],[0.931 0.946 0.973 ]);\npatch([8.1 13.88 17.88 12.1 8.1 ],[10.44 10.44 14.44 14.44 10.44 ],[1 1 1 ]);\np" "atch([12.1 25.88 21.88 17.88 13.88 8.1 12.1 ],[6.44 6.44 10.44 6.44 10.44 10.44 6.44 ],[0.931 0.946 0.973 ]);\nf" "printf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\n\n\ncolor('black');disp('{\\f" "ontsize{14pt}\\bf\\uparrow}4','texmode','on');\nfprintf('','COMMENT: end icon text');" } Block { BlockType Reference Name "Up Sample2" SID "906" Ports [1, 1] Position [160, 36, 195, 64] ShowName off LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Up Sample" SourceType "Xilinx Up Sampler Block" infoedit "Up samples input data. Inserted values can be zeros or copies of the most recent input sample.<" "P>

Hardware notes: No hardware is needed if inserted values are copies of the input sample; otherwise, a mux a" "nd single bit flip-flop are used." sample_ratio "4" copy_samples on en off latency "0" dbl_ovrd off xl_use_area off xl_area "[0 0 0 0 0 0 0]" has_advanced_control "0" sggui_pos "20,20,348,277" block_type "usamp" block_version "10.1.3" sg_icon_stat "35,28,1,1,white,blue,0,a300b05e,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 35 35 0 0 ],[0 0 28 28 0 ],[0.77 0.82" " 0.91 ]);\nplot([0 35 35 0 0 ],[0 0 28 28 0 ]);\npatch([8.1 13.88 17.88 21.88 25.88 17.88 12.1 8.1 ],[18.44 18.4" "4 22.44 18.44 22.44 22.44 22.44 18.44 ],[1 1 1 ]);\npatch([12.1 17.88 13.88 8.1 12.1 ],[14.44 14.44 18.44 18.44 " "14.44 ],[0.931 0.946 0.973 ]);\npatch([8.1 13.88 17.88 12.1 8.1 ],[10.44 10.44 14.44 14.44 10.44 ],[1 1 1 ]);\np" "atch([12.1 25.88 21.88 17.88 13.88 8.1 12.1 ],[6.44 6.44 10.44 6.44 10.44 10.44 6.44 ],[0.931 0.946 0.973 ]);\nf" "printf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\n\n\ncolor('black');disp('{\\f" "ontsize{14pt}\\bf\\uparrow}4','texmode','on');\nfprintf('','COMMENT: end icon text');" } Block { BlockType Reference Name "Up Sample3" SID "907" Ports [1, 1] Position [160, 186, 195, 214] ShowName off LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Up Sample" SourceType "Xilinx Up Sampler Block" infoedit "Up samples input data. Inserted values can be zeros or copies of the most recent input sample.<" "P>

Hardware notes: No hardware is needed if inserted values are copies of the input sample; otherwise, a mux a" "nd single bit flip-flop are used." sample_ratio "4" copy_samples on en off latency "0" dbl_ovrd off xl_use_area off xl_area "[0 0 0 0 0 0 0]" has_advanced_control "0" sggui_pos "20,20,348,277" block_type "usamp" block_version "10.1.3" sg_icon_stat "35,28,1,1,white,blue,0,a300b05e,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 35 35 0 0 ],[0 0 28 28 0 ],[0.77 0.82" " 0.91 ]);\nplot([0 35 35 0 0 ],[0 0 28 28 0 ]);\npatch([8.1 13.88 17.88 21.88 25.88 17.88 12.1 8.1 ],[18.44 18.4" "4 22.44 18.44 22.44 22.44 22.44 18.44 ],[1 1 1 ]);\npatch([12.1 17.88 13.88 8.1 12.1 ],[14.44 14.44 18.44 18.44 " "14.44 ],[0.931 0.946 0.973 ]);\npatch([8.1 13.88 17.88 12.1 8.1 ],[10.44 10.44 14.44 14.44 10.44 ],[1 1 1 ]);\np" "atch([12.1 25.88 21.88 17.88 13.88 8.1 12.1 ],[6.44 6.44 10.44 6.44 10.44 10.44 6.44 ],[0.931 0.946 0.973 ]);\nf" "printf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\n\n\ncolor('black');disp('{\\f" "ontsize{14pt}\\bf\\uparrow}4','texmode','on');\nfprintf('','COMMENT: end icon text');" } Block { BlockType Reference Name "Up Sample4" SID "908" Ports [1, 1] Position [160, 231, 195, 259] ShowName off LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Up Sample" SourceType "Xilinx Up Sampler Block" infoedit "Up samples input data. Inserted values can be zeros or copies of the most recent input sample.<" "P>

Hardware notes: No hardware is needed if inserted values are copies of the input sample; otherwise, a mux a" "nd single bit flip-flop are used." sample_ratio "4" copy_samples on en off latency "0" dbl_ovrd off xl_use_area off xl_area "[0 0 0 0 0 0 0]" has_advanced_control "0" sggui_pos "20,20,348,277" block_type "usamp" block_version "10.1.3" sg_icon_stat "35,28,1,1,white,blue,0,a300b05e,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 35 35 0 0 ],[0 0 28 28 0 ],[0.77 0.82" " 0.91 ]);\nplot([0 35 35 0 0 ],[0 0 28 28 0 ]);\npatch([8.1 13.88 17.88 21.88 25.88 17.88 12.1 8.1 ],[18.44 18.4" "4 22.44 18.44 22.44 22.44 22.44 18.44 ],[1 1 1 ]);\npatch([12.1 17.88 13.88 8.1 12.1 ],[14.44 14.44 18.44 18.44 " "14.44 ],[0.931 0.946 0.973 ]);\npatch([8.1 13.88 17.88 12.1 8.1 ],[10.44 10.44 14.44 14.44 10.44 ],[1 1 1 ]);\np" "atch([12.1 25.88 21.88 17.88 13.88 8.1 12.1 ],[6.44 6.44 10.44 6.44 10.44 10.44 6.44 ],[0.931 0.946 0.973 ]);\nf" "printf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\n\n\ncolor('black');disp('{\\f" "ontsize{14pt}\\bf\\uparrow}4','texmode','on');\nfprintf('','COMMENT: end icon text');" } Block { BlockType Reference Name "Up Sample5" SID "909" Ports [1, 1] Position [160, 281, 195, 309] ShowName off LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Up Sample" SourceType "Xilinx Up Sampler Block" infoedit "Up samples input data. Inserted values can be zeros or copies of the most recent input sample.<" "P>

Hardware notes: No hardware is needed if inserted values are copies of the input sample; otherwise, a mux a" "nd single bit flip-flop are used." sample_ratio "4" copy_samples on en off latency "0" dbl_ovrd off xl_use_area off xl_area "[0 0 0 0 0 0 0]" has_advanced_control "0" sggui_pos "20,20,348,277" block_type "usamp" block_version "10.1.3" sg_icon_stat "35,28,1,1,white,blue,0,a300b05e,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 35 35 0 0 ],[0 0 28 28 0 ],[0.77 0.82" " 0.91 ]);\nplot([0 35 35 0 0 ],[0 0 28 28 0 ]);\npatch([8.1 13.88 17.88 21.88 25.88 17.88 12.1 8.1 ],[18.44 18.4" "4 22.44 18.44 22.44 22.44 22.44 18.44 ],[1 1 1 ]);\npatch([12.1 17.88 13.88 8.1 12.1 ],[14.44 14.44 18.44 18.44 " "14.44 ],[0.931 0.946 0.973 ]);\npatch([8.1 13.88 17.88 12.1 8.1 ],[10.44 10.44 14.44 14.44 10.44 ],[1 1 1 ]);\np" "atch([12.1 25.88 21.88 17.88 13.88 8.1 12.1 ],[6.44 6.44 10.44 6.44 10.44 10.44 6.44 ],[0.931 0.946 0.973 ]);\nf" "printf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\n\n\ncolor('black');disp('{\\f" "ontsize{14pt}\\bf\\uparrow}4','texmode','on');\nfprintf('','COMMENT: end icon text');" } Block { BlockType Reference Name "Up Sample6" SID "910" Ports [1, 1] Position [160, 326, 195, 354] ShowName off LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Up Sample" SourceType "Xilinx Up Sampler Block" infoedit "Up samples input data. Inserted values can be zeros or copies of the most recent input sample.<" "P>

Hardware notes: No hardware is needed if inserted values are copies of the input sample; otherwise, a mux a" "nd single bit flip-flop are used." sample_ratio "4" copy_samples on en off latency "0" dbl_ovrd off xl_use_area off xl_area "[0 0 0 0 0 0 0]" has_advanced_control "0" sggui_pos "20,20,348,277" block_type "usamp" block_version "10.1.3" sg_icon_stat "35,28,1,1,white,blue,0,a300b05e,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 35 35 0 0 ],[0 0 28 28 0 ],[0.77 0.82" " 0.91 ]);\nplot([0 35 35 0 0 ],[0 0 28 28 0 ]);\npatch([8.1 13.88 17.88 21.88 25.88 17.88 12.1 8.1 ],[18.44 18.4" "4 22.44 18.44 22.44 22.44 22.44 18.44 ],[1 1 1 ]);\npatch([12.1 17.88 13.88 8.1 12.1 ],[14.44 14.44 18.44 18.44 " "14.44 ],[0.931 0.946 0.973 ]);\npatch([8.1 13.88 17.88 12.1 8.1 ],[10.44 10.44 14.44 14.44 10.44 ],[1 1 1 ]);\np" "atch([12.1 25.88 21.88 17.88 13.88 8.1 12.1 ],[6.44 6.44 10.44 6.44 10.44 10.44 6.44 ],[0.931 0.946 0.973 ]);\nf" "printf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\n\n\ncolor('black');disp('{\\f" "ontsize{14pt}\\bf\\uparrow}4','texmode','on');\nfprintf('','COMMENT: end icon text');" } Block { BlockType Outport Name "Out1" SID "911" Position [220, 43, 250, 57] IconDisplay "Port number" } Block { BlockType Outport Name "Out2" SID "912" Position [220, 98, 250, 112] Port "2" IconDisplay "Port number" } Block { BlockType Outport Name "Out3" SID "913" Position [220, 143, 250, 157] Port "3" IconDisplay "Port number" } Block { BlockType Outport Name "Out4" SID "914" Position [220, 193, 250, 207] Port "4" IconDisplay "Port number" } Block { BlockType Outport Name "Out5" SID "915" Position [220, 238, 250, 252] Port "5" IconDisplay "Port number" } Block { BlockType Outport Name "Out6" SID "916" Position [220, 288, 250, 302] Port "6" IconDisplay "Port number" } Block { BlockType Outport Name "Out7" SID "917" Position [220, 333, 250, 347] Port "7" IconDisplay "Port number" } Block { BlockType Outport Name "Out8" SID "918" Position [220, 383, 250, 397] Port "8" IconDisplay "Port number" } Line { SrcBlock "Up Sample15" SrcPort 1 DstBlock "Out8" DstPort 1 } Line { SrcBlock "Up Sample6" SrcPort 1 DstBlock "Out7" DstPort 1 } Line { SrcBlock "Up Sample5" SrcPort 1 DstBlock "Out6" DstPort 1 } Line { SrcBlock "Up Sample4" SrcPort 1 DstBlock "Out5" DstPort 1 } Line { SrcBlock "Up Sample3" SrcPort 1 DstBlock "Out4" DstPort 1 } Line { SrcBlock "Up Sample10" SrcPort 1 DstBlock "Out3" DstPort 1 } Line { SrcBlock "Up Sample1" SrcPort 1 DstBlock "Out2" DstPort 1 } Line { SrcBlock "Up Sample2" SrcPort 1 DstBlock "Out1" DstPort 1 } Line { SrcBlock "Delay" SrcPort 1 Points [0, 5] Branch { DstBlock "Up Sample1" DstPort 1 } Branch { DstBlock "Delay1" DstPort 1 } } Line { SrcBlock "Delay1" SrcPort 1 Points [0, 0] Branch { DstBlock "Up Sample10" DstPort 1 } Branch { DstBlock "Delay2" DstPort 1 } } Line { SrcBlock "Delay2" SrcPort 1 Points [0, 5] Branch { DstBlock "Up Sample3" DstPort 1 } Branch { DstBlock "Delay3" DstPort 1 } } Line { SrcBlock "Delay3" SrcPort 1 Points [0, 5] Branch { DstBlock "Up Sample4" DstPort 1 } Branch { DstBlock "Delay4" DstPort 1 } } Line { SrcBlock "Delay4" SrcPort 1 Points [0, 5] Branch { DstBlock "Up Sample5" DstPort 1 } Branch { DstBlock "Delay5" DstPort 1 } } Line { SrcBlock "Delay5" SrcPort 1 Points [0, 0] Branch { DstBlock "Up Sample6" DstPort 1 } Branch { DstBlock "Delay6" DstPort 1 } } Line { SrcBlock "Delay6" SrcPort 1 Points [0, 5] DstBlock "Up Sample15" DstPort 1 } Line { SrcBlock "X" SrcPort 1 Points [60, 0; 0, 15] Branch { DstBlock "Up Sample2" DstPort 1 } Branch { DstBlock "Delay" DstPort 1 } } } } Block { BlockType Reference Name "Time Division\nMultiplexer1" SID "919" Ports [2, 1] Position [225, 47, 285, 63] ShowName off LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Time Division\nMultiplexer" SourceType "Xilinx Time Division Multiplexer Block" infoedit "Multiple inputs are sampled and presented serially at the output." inputs "2" vin off dbl_ovrd off xl_use_area off xl_area "[3 2 0 35 0 0 0]" explicit_period "off" period "1" has_advanced_control "0" sggui_pos "20,20,348,209" block_type "tdm" block_version "10.1.3" sg_icon_stat "60,16,2,1,white,blue,0,2f8962b8,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 0 ],[0 0 16 16 0 ],[0.77 0.82 0.9" "1 ]);\nplot([0 60 60 0 0 ],[0 0 16 16 0 ]);\npatch([25.55 28.44 30.44 32.44 34.44 30.44 27.55 25.55 ],[10.22 10.22" " 12.22 10.22 12.22 12.22 12.22 10.22 ],[1 1 1 ]);\npatch([27.55 30.44 28.44 25.55 27.55 ],[8.22 8.22 10.22 10.22 8" ".22 ],[0.931 0.946 0.973 ]);\npatch([25.55 28.44 30.44 27.55 25.55 ],[6.22 6.22 8.22 8.22 6.22 ],[1 1 1 ]);\npatch" "([27.55 34.44 32.44 30.44 28.44 25.55 27.55 ],[4.22 4.22 6.22 4.22 6.22 6.22 4.22 ],[0.931 0.946 0.973 ]);\nfprint" "f('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1," "'d0');\ncolor('black');port_label('input',2,'d1');\ncolor('black');port_label('output',1,'q');\ncolor('black');dis" "p('TDM');\nfprintf('','COMMENT: end icon text');\n" } Block { BlockType Reference Name "Time Division\nMultiplexer16" SID "920" Ports [2, 1] Position [225, 32, 285, 48] ShowName off LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Time Division\nMultiplexer" SourceType "Xilinx Time Division Multiplexer Block" infoedit "Multiple inputs are sampled and presented serially at the output." inputs "2" vin off dbl_ovrd off xl_use_area off xl_area "[3 2 0 35 0 0 0]" explicit_period "off" period "1" has_advanced_control "0" sggui_pos "20,20,348,209" block_type "tdm" block_version "10.1.3" sg_icon_stat "60,16,2,1,white,blue,0,2f8962b8,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 0 ],[0 0 16 16 0 ],[0.77 0.82 0.9" "1 ]);\nplot([0 60 60 0 0 ],[0 0 16 16 0 ]);\npatch([25.55 28.44 30.44 32.44 34.44 30.44 27.55 25.55 ],[10.22 10.22" " 12.22 10.22 12.22 12.22 12.22 10.22 ],[1 1 1 ]);\npatch([27.55 30.44 28.44 25.55 27.55 ],[8.22 8.22 10.22 10.22 8" ".22 ],[0.931 0.946 0.973 ]);\npatch([25.55 28.44 30.44 27.55 25.55 ],[6.22 6.22 8.22 8.22 6.22 ],[1 1 1 ]);\npatch" "([27.55 34.44 32.44 30.44 28.44 25.55 27.55 ],[4.22 4.22 6.22 4.22 6.22 6.22 4.22 ],[0.931 0.946 0.973 ]);\nfprint" "f('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1," "'d0');\ncolor('black');port_label('input',2,'d1');\ncolor('black');port_label('output',1,'q');\ncolor('black');dis" "p('TDM');\nfprintf('','COMMENT: end icon text');\n" } Block { BlockType Reference Name "Time Division\nMultiplexer2" SID "921" Ports [2, 1] Position [225, 77, 285, 93] ShowName off LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Time Division\nMultiplexer" SourceType "Xilinx Time Division Multiplexer Block" infoedit "Multiple inputs are sampled and presented serially at the output." inputs "2" vin off dbl_ovrd off xl_use_area off xl_area "[3 2 0 35 0 0 0]" explicit_period "off" period "1" has_advanced_control "0" sggui_pos "20,20,348,209" block_type "tdm" block_version "10.1.3" sg_icon_stat "60,16,2,1,white,blue,0,2f8962b8,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 0 ],[0 0 16 16 0 ],[0.77 0.82 0.9" "1 ]);\nplot([0 60 60 0 0 ],[0 0 16 16 0 ]);\npatch([25.55 28.44 30.44 32.44 34.44 30.44 27.55 25.55 ],[10.22 10.22" " 12.22 10.22 12.22 12.22 12.22 10.22 ],[1 1 1 ]);\npatch([27.55 30.44 28.44 25.55 27.55 ],[8.22 8.22 10.22 10.22 8" ".22 ],[0.931 0.946 0.973 ]);\npatch([25.55 28.44 30.44 27.55 25.55 ],[6.22 6.22 8.22 8.22 6.22 ],[1 1 1 ]);\npatch" "([27.55 34.44 32.44 30.44 28.44 25.55 27.55 ],[4.22 4.22 6.22 4.22 6.22 6.22 4.22 ],[0.931 0.946 0.973 ]);\nfprint" "f('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1," "'d0');\ncolor('black');port_label('input',2,'d1');\ncolor('black');port_label('output',1,'q');\ncolor('black');dis" "p('TDM');\nfprintf('','COMMENT: end icon text');\n" } Block { BlockType Reference Name "Time Division\nMultiplexer3" SID "922" Ports [2, 1] Position [225, 62, 285, 78] ShowName off LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Time Division\nMultiplexer" SourceType "Xilinx Time Division Multiplexer Block" infoedit "Multiple inputs are sampled and presented serially at the output." inputs "2" vin off dbl_ovrd off xl_use_area off xl_area "[3 2 0 35 0 0 0]" explicit_period "off" period "1" has_advanced_control "0" sggui_pos "20,20,348,209" block_type "tdm" block_version "10.1.3" sg_icon_stat "60,16,2,1,white,blue,0,2f8962b8,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 0 ],[0 0 16 16 0 ],[0.77 0.82 0.9" "1 ]);\nplot([0 60 60 0 0 ],[0 0 16 16 0 ]);\npatch([25.55 28.44 30.44 32.44 34.44 30.44 27.55 25.55 ],[10.22 10.22" " 12.22 10.22 12.22 12.22 12.22 10.22 ],[1 1 1 ]);\npatch([27.55 30.44 28.44 25.55 27.55 ],[8.22 8.22 10.22 10.22 8" ".22 ],[0.931 0.946 0.973 ]);\npatch([25.55 28.44 30.44 27.55 25.55 ],[6.22 6.22 8.22 8.22 6.22 ],[1 1 1 ]);\npatch" "([27.55 34.44 32.44 30.44 28.44 25.55 27.55 ],[4.22 4.22 6.22 4.22 6.22 6.22 4.22 ],[0.931 0.946 0.973 ]);\nfprint" "f('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1," "'d0');\ncolor('black');port_label('input',2,'d1');\ncolor('black');port_label('output',1,'q');\ncolor('black');dis" "p('TDM');\nfprintf('','COMMENT: end icon text');\n" } Block { BlockType Reference Name "Time Division\nMultiplexer4" SID "923" Ports [2, 1] Position [225, 107, 285, 123] ShowName off LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Time Division\nMultiplexer" SourceType "Xilinx Time Division Multiplexer Block" infoedit "Multiple inputs are sampled and presented serially at the output." inputs "2" vin off dbl_ovrd off xl_use_area off xl_area "[3 2 0 35 0 0 0]" explicit_period "off" period "1" has_advanced_control "0" sggui_pos "20,20,348,209" block_type "tdm" block_version "10.1.3" sg_icon_stat "60,16,2,1,white,blue,0,2f8962b8,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 0 ],[0 0 16 16 0 ],[0.77 0.82 0.9" "1 ]);\nplot([0 60 60 0 0 ],[0 0 16 16 0 ]);\npatch([25.55 28.44 30.44 32.44 34.44 30.44 27.55 25.55 ],[10.22 10.22" " 12.22 10.22 12.22 12.22 12.22 10.22 ],[1 1 1 ]);\npatch([27.55 30.44 28.44 25.55 27.55 ],[8.22 8.22 10.22 10.22 8" ".22 ],[0.931 0.946 0.973 ]);\npatch([25.55 28.44 30.44 27.55 25.55 ],[6.22 6.22 8.22 8.22 6.22 ],[1 1 1 ]);\npatch" "([27.55 34.44 32.44 30.44 28.44 25.55 27.55 ],[4.22 4.22 6.22 4.22 6.22 6.22 4.22 ],[0.931 0.946 0.973 ]);\nfprint" "f('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1," "'d0');\ncolor('black');port_label('input',2,'d1');\ncolor('black');port_label('output',1,'q');\ncolor('black');dis" "p('TDM');\nfprintf('','COMMENT: end icon text');\n" } Block { BlockType Reference Name "Time Division\nMultiplexer5" SID "924" Ports [2, 1] Position [225, 92, 285, 108] ShowName off LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Time Division\nMultiplexer" SourceType "Xilinx Time Division Multiplexer Block" infoedit "Multiple inputs are sampled and presented serially at the output." inputs "2" vin off dbl_ovrd off xl_use_area off xl_area "[3 2 0 35 0 0 0]" explicit_period "off" period "1" has_advanced_control "0" sggui_pos "20,20,348,209" block_type "tdm" block_version "10.1.3" sg_icon_stat "60,16,2,1,white,blue,0,2f8962b8,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 0 ],[0 0 16 16 0 ],[0.77 0.82 0.9" "1 ]);\nplot([0 60 60 0 0 ],[0 0 16 16 0 ]);\npatch([25.55 28.44 30.44 32.44 34.44 30.44 27.55 25.55 ],[10.22 10.22" " 12.22 10.22 12.22 12.22 12.22 10.22 ],[1 1 1 ]);\npatch([27.55 30.44 28.44 25.55 27.55 ],[8.22 8.22 10.22 10.22 8" ".22 ],[0.931 0.946 0.973 ]);\npatch([25.55 28.44 30.44 27.55 25.55 ],[6.22 6.22 8.22 8.22 6.22 ],[1 1 1 ]);\npatch" "([27.55 34.44 32.44 30.44 28.44 25.55 27.55 ],[4.22 4.22 6.22 4.22 6.22 6.22 4.22 ],[0.931 0.946 0.973 ]);\nfprint" "f('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1," "'d0');\ncolor('black');port_label('input',2,'d1');\ncolor('black');port_label('output',1,'q');\ncolor('black');dis" "p('TDM');\nfprintf('','COMMENT: end icon text');\n" } Block { BlockType Reference Name "Time Division\nMultiplexer6" SID "925" Ports [2, 1] Position [225, 137, 285, 153] ShowName off LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Time Division\nMultiplexer" SourceType "Xilinx Time Division Multiplexer Block" infoedit "Multiple inputs are sampled and presented serially at the output." inputs "2" vin off dbl_ovrd off xl_use_area off xl_area "[3 2 0 35 0 0 0]" explicit_period "off" period "1" has_advanced_control "0" sggui_pos "20,20,348,209" block_type "tdm" block_version "10.1.3" sg_icon_stat "60,16,2,1,white,blue,0,2f8962b8,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 0 ],[0 0 16 16 0 ],[0.77 0.82 0.9" "1 ]);\nplot([0 60 60 0 0 ],[0 0 16 16 0 ]);\npatch([25.55 28.44 30.44 32.44 34.44 30.44 27.55 25.55 ],[10.22 10.22" " 12.22 10.22 12.22 12.22 12.22 10.22 ],[1 1 1 ]);\npatch([27.55 30.44 28.44 25.55 27.55 ],[8.22 8.22 10.22 10.22 8" ".22 ],[0.931 0.946 0.973 ]);\npatch([25.55 28.44 30.44 27.55 25.55 ],[6.22 6.22 8.22 8.22 6.22 ],[1 1 1 ]);\npatch" "([27.55 34.44 32.44 30.44 28.44 25.55 27.55 ],[4.22 4.22 6.22 4.22 6.22 6.22 4.22 ],[0.931 0.946 0.973 ]);\nfprint" "f('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1," "'d0');\ncolor('black');port_label('input',2,'d1');\ncolor('black');port_label('output',1,'q');\ncolor('black');dis" "p('TDM');\nfprintf('','COMMENT: end icon text');\n" } Block { BlockType Reference Name "Time Division\nMultiplexer7" SID "926" Ports [2, 1] Position [225, 122, 285, 138] ShowName off LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Time Division\nMultiplexer" SourceType "Xilinx Time Division Multiplexer Block" infoedit "Multiple inputs are sampled and presented serially at the output." inputs "2" vin off dbl_ovrd off xl_use_area off xl_area "[3 2 0 35 0 0 0]" explicit_period "off" period "1" has_advanced_control "0" sggui_pos "20,20,348,209" block_type "tdm" block_version "10.1.3" sg_icon_stat "60,16,2,1,white,blue,0,2f8962b8,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 0 ],[0 0 16 16 0 ],[0.77 0.82 0.9" "1 ]);\nplot([0 60 60 0 0 ],[0 0 16 16 0 ]);\npatch([25.55 28.44 30.44 32.44 34.44 30.44 27.55 25.55 ],[10.22 10.22" " 12.22 10.22 12.22 12.22 12.22 10.22 ],[1 1 1 ]);\npatch([27.55 30.44 28.44 25.55 27.55 ],[8.22 8.22 10.22 10.22 8" ".22 ],[0.931 0.946 0.973 ]);\npatch([25.55 28.44 30.44 27.55 25.55 ],[6.22 6.22 8.22 8.22 6.22 ],[1 1 1 ]);\npatch" "([27.55 34.44 32.44 30.44 28.44 25.55 27.55 ],[4.22 4.22 6.22 4.22 6.22 6.22 4.22 ],[0.931 0.946 0.973 ]);\nfprint" "f('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1," "'d0');\ncolor('black');port_label('input',2,'d1');\ncolor('black');port_label('output',1,'q');\ncolor('black');dis" "p('TDM');\nfprintf('','COMMENT: end icon text');\n" } Block { BlockType Outport Name "Out1" SID "927" Position [385, 33, 415, 47] IconDisplay "Port number" } Block { BlockType Outport Name "Out2" SID "928" Position [310, 48, 340, 62] Port "2" IconDisplay "Port number" } Block { BlockType Outport Name "Out3" SID "929" Position [310, 63, 340, 77] Port "3" IconDisplay "Port number" } Block { BlockType Outport Name "Out4" SID "930" Position [310, 78, 340, 92] Port "4" IconDisplay "Port number" } Block { BlockType Outport Name "Out5" SID "931" Position [310, 93, 340, 107] Port "5" IconDisplay "Port number" } Block { BlockType Outport Name "Out6" SID "932" Position [310, 108, 340, 122] Port "6" IconDisplay "Port number" } Block { BlockType Outport Name "Out7" SID "933" Position [310, 123, 340, 137] Port "7" IconDisplay "Port number" } Block { BlockType Outport Name "Out8" SID "934" Position [310, 138, 340, 152] Port "8" IconDisplay "Port number" } Line { SrcBlock "Delays" SrcPort 1 DstBlock "Time Division\nMultiplexer16" DstPort 1 } Line { SrcBlock "Delays1" SrcPort 1 Points [15, 0; 0, -110] DstBlock "Time Division\nMultiplexer16" DstPort 2 } Line { SrcBlock "Delays" SrcPort 2 DstBlock "Time Division\nMultiplexer1" DstPort 1 } Line { SrcBlock "Delays1" SrcPort 2 Points [20, 0; 0, -110] DstBlock "Time Division\nMultiplexer1" DstPort 2 } Line { SrcBlock "Delays" SrcPort 3 DstBlock "Time Division\nMultiplexer3" DstPort 1 } Line { SrcBlock "Delays" SrcPort 4 DstBlock "Time Division\nMultiplexer2" DstPort 1 } Line { SrcBlock "Delays" SrcPort 5 DstBlock "Time Division\nMultiplexer5" DstPort 1 } Line { SrcBlock "Delays" SrcPort 6 DstBlock "Time Division\nMultiplexer4" DstPort 1 } Line { SrcBlock "Delays" SrcPort 7 DstBlock "Time Division\nMultiplexer7" DstPort 1 } Line { SrcBlock "Delays" SrcPort 8 DstBlock "Time Division\nMultiplexer6" DstPort 1 } Line { SrcBlock "Delays1" SrcPort 3 Points [25, 0; 0, -110] DstBlock "Time Division\nMultiplexer3" DstPort 2 } Line { SrcBlock "Delays1" SrcPort 4 Points [30, 0; 0, -110] DstBlock "Time Division\nMultiplexer2" DstPort 2 } Line { SrcBlock "Delays1" SrcPort 5 Points [35, 0; 0, -110] DstBlock "Time Division\nMultiplexer5" DstPort 2 } Line { SrcBlock "Delays1" SrcPort 6 Points [40, 0; 0, -110] DstBlock "Time Division\nMultiplexer4" DstPort 2 } Line { SrcBlock "Delays1" SrcPort 7 Points [45, 0; 0, -110] DstBlock "Time Division\nMultiplexer7" DstPort 2 } Line { SrcBlock "Delays1" SrcPort 8 Points [50, 0; 0, -110] DstBlock "Time Division\nMultiplexer6" DstPort 2 } Line { SrcBlock "Time Division\nMultiplexer16" SrcPort 1 DstBlock "Out1" DstPort 1 } Line { SrcBlock "Time Division\nMultiplexer1" SrcPort 1 DstBlock "Out2" DstPort 1 } Line { SrcBlock "Time Division\nMultiplexer3" SrcPort 1 DstBlock "Out3" DstPort 1 } Line { SrcBlock "Time Division\nMultiplexer2" SrcPort 1 DstBlock "Out4" DstPort 1 } Line { SrcBlock "A" SrcPort 1 DstBlock "Delays" DstPort 1 } Line { SrcBlock "Time Division\nMultiplexer5" SrcPort 1 DstBlock "Out5" DstPort 1 } Line { SrcBlock "Time Division\nMultiplexer4" SrcPort 1 DstBlock "Out6" DstPort 1 } Line { SrcBlock "Time Division\nMultiplexer7" SrcPort 1 DstBlock "Out7" DstPort 1 } Line { SrcBlock "Time Division\nMultiplexer6" SrcPort 1 DstBlock "Out8" DstPort 1 } Line { SrcBlock "B" SrcPort 1 DstBlock "Delays1" DstPort 1 } } } Block { BlockType Reference Name "TDD" SID "935" Ports [1, 2] Position [965, 279, 1025, 321] LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Time Division\nDemultiplexer" SourceType "Xilinx Time Division Demultiplexer Block" infoedit "Samples presented at the input are selected and down-sampled according to the frame sampling p" "attern specified. The sampled input is presented either as a single or multiple channel at the output." frame_pattern "ones(1,2)" impl_style "Multiple Channel" vin off dbl_ovrd off xl_use_area off xl_area "[24 48 0 0 0 0 0]" explicit_period "off" period "1" has_advanced_control "0" sggui_pos "20,20,348,268" block_type "tdd" block_version "9.1.01" sg_icon_stat "60,42,1,2,white,blue,0,f4ebcb89,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 0 ],[0 0 42 42 0 ],[0.77 0" ".82 0.91 ]);\nplot([0 60 60 0 0 ],[0 0 42 42 0 ]);\npatch([16.65 25.32 31.32 37.32 43.32 31.32 22.65 16.65 ],[2" "7.66 27.66 33.66 27.66 33.66 33.66 33.66 27.66 ],[1 1 1 ]);\npatch([22.65 31.32 25.32 16.65 22.65 ],[21.66 21.6" "6 27.66 27.66 21.66 ],[0.931 0.946 0.973 ]);\npatch([16.65 25.32 31.32 22.65 16.65 ],[15.66 15.66 21.66 21.66 1" "5.66 ],[1 1 1 ]);\npatch([22.65 43.32 37.32 31.32 25.32 16.65 22.65 ],[9.66 9.66 15.66 9.66 15.66 15.66 9.66 ]," "[0.931 0.946 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncol" "or('black');port_label('input',1,'d');\ncolor('black');port_label('output',1,'q0');\ncolor('black');port_label(" "'output',2,'q1');\ncolor('black');disp('TDD');\nfprintf('','COMMENT: end icon text');" } Block { BlockType Outport Name "YA" SID "936" Position [1100, 283, 1130, 297] NamePlacement "alternate" IconDisplay "Port number" } Block { BlockType Outport Name "YB" SID "937" Position [1100, 303, 1130, 317] Port "2" IconDisplay "Port number" } Line { SrcBlock "Mults & ROMs" SrcPort 8 DstBlock "Adders" DstPort 8 } Line { SrcBlock "Mults & ROMs" SrcPort 7 DstBlock "Adders" DstPort 7 } Line { SrcBlock "Mults & ROMs" SrcPort 6 DstBlock "Adders" DstPort 6 } Line { SrcBlock "Mults & ROMs" SrcPort 5 DstBlock "Adders" DstPort 5 } Line { SrcBlock "Mults & ROMs" SrcPort 4 DstBlock "Adders" DstPort 4 } Line { SrcBlock "Mults & ROMs" SrcPort 3 DstBlock "Adders" DstPort 3 } Line { SrcBlock "Mults & ROMs" SrcPort 2 DstBlock "Adders" DstPort 2 } Line { SrcBlock "Mults & ROMs" SrcPort 1 DstBlock "Adders" DstPort 1 } Line { SrcBlock "XA" SrcPort 1 DstBlock "Sample RAMs" DstPort 1 } Line { SrcBlock "XB" SrcPort 1 DstBlock "Sample RAMs" DstPort 2 } Line { SrcBlock "Sample RAMs" SrcPort 1 DstBlock "Mults & ROMs" DstPort 1 } Line { SrcBlock "Sample RAMs" SrcPort 2 DstBlock "Mults & ROMs" DstPort 2 } Line { SrcBlock "Sample RAMs" SrcPort 3 DstBlock "Mults & ROMs" DstPort 3 } Line { SrcBlock "Sample RAMs" SrcPort 4 DstBlock "Mults & ROMs" DstPort 4 } Line { SrcBlock "Sample RAMs" SrcPort 5 DstBlock "Mults & ROMs" DstPort 5 } Line { SrcBlock "Sample RAMs" SrcPort 6 DstBlock "Mults & ROMs" DstPort 6 } Line { SrcBlock "Sample RAMs" SrcPort 7 DstBlock "Mults & ROMs" DstPort 7 } Line { SrcBlock "Sample RAMs" SrcPort 8 DstBlock "Mults & ROMs" DstPort 8 } Line { SrcBlock "Adders" SrcPort 1 DstBlock "TDD" DstPort 1 } Line { SrcBlock "TDD" SrcPort 1 DstBlock "YA" DstPort 1 } Line { SrcBlock "TDD" SrcPort 2 DstBlock "YB" DstPort 1 } } } Block { BlockType SubSystem Name "2-Ch Interp B" SID "938" Ports [2, 2] Position [510, 392, 590, 423] MinAlgLoopOccurrences off PropExecContextOutsideSubsystem off RTWSystemCode "Auto" FunctionWithSeparateData off Opaque off RequestExecContextInheritance off MaskHideContents off System { Name "2-Ch Interp B" Location [2, 74, 1910, 1112] Open off ModelBrowserVisibility off ModelBrowserWidth 200 ScreenColor "white" PaperOrientation "landscape" PaperPositionMode "auto" PaperType "usletter" PaperUnits "inches" TiledPaperMargins [0.500000, 0.500000, 0.500000, 0.500000] TiledPageScale 1 ShowPageBoundaries off ZoomFactor "134" Block { BlockType Inport Name "XA" SID "939" Position [550, 274, 585, 286] NamePlacement "alternate" IconDisplay "Port number" } Block { BlockType Inport Name "XB" SID "940" Position [550, 319, 585, 331] Port "2" IconDisplay "Port number" } Block { BlockType SubSystem Name "Adders" SID "941" Ports [8, 1] Position [880, 249, 920, 351] MinAlgLoopOccurrences off PropExecContextOutsideSubsystem off RTWSystemCode "Auto" FunctionWithSeparateData off Opaque off RequestExecContextInheritance off MaskHideContents off System { Name "Adders" Location [2, 74, 1910, 1112] Open off ModelBrowserVisibility off ModelBrowserWidth 200 ScreenColor "white" PaperOrientation "landscape" PaperPositionMode "auto" PaperType "usletter" PaperUnits "inches" TiledPaperMargins [0.500000, 0.500000, 0.500000, 0.500000] TiledPageScale 1 ShowPageBoundaries off ZoomFactor "100" Block { BlockType Inport Name "In1" SID "942" Position [25, 28, 55, 42] IconDisplay "Port number" } Block { BlockType Inport Name "In2" SID "943" Position [25, 48, 55, 62] Port "2" IconDisplay "Port number" } Block { BlockType Inport Name "In3" SID "944" Position [25, 93, 55, 107] Port "3" IconDisplay "Port number" } Block { BlockType Inport Name "In4" SID "945" Position [25, 113, 55, 127] Port "4" IconDisplay "Port number" } Block { BlockType Inport Name "In5" SID "946" Position [25, 218, 55, 232] Port "5" IconDisplay "Port number" } Block { BlockType Inport Name "In6" SID "947" Position [25, 238, 55, 252] Port "6" IconDisplay "Port number" } Block { BlockType Inport Name "In7" SID "948" Position [25, 283, 55, 297] Port "7" IconDisplay "Port number" } Block { BlockType Inport Name "In8" SID "949" Position [25, 303, 55, 317] Port "8" IconDisplay "Port number" } Block { BlockType Reference Name "AddSub1" SID "950" Ports [2, 1] Position [80, 90, 125, 130] ShowName off LibraryVersion "1.2" SourceBlock "xbsIndex_r4/AddSub" SourceType "Xilinx Adder/Subtracter Block" mode "Addition" use_carryin off use_carryout off en off latency "0" precision "Full" arith_type "Unsigned" n_bits "16" bin_pt "14" quantization "Truncate" overflow "Wrap" dbl_ovrd off use_behavioral_HDL off hw_selection "Fabric" pipelined off xl_use_area off xl_area "[10 0 0 18 0 0 0]" use_rpm "on" has_advanced_control "0" sggui_pos "50,50,348,344" block_type "addsub" block_version "10.1.3" sg_icon_stat "45,40,2,1,white,blue,0,e139daf6,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 45 45 0 0 ],[0 0 40 40 0 ],[0.77 0.82 0.9" "1 ]);\nplot([0 45 45 0 0 ],[0 0 40 40 0 ]);\npatch([10.875 18.1 23.1 28.1 33.1 23.1 15.875 10.875 ],[25.55 25.55 3" "0.55 25.55 30.55 30.55 30.55 25.55 ],[1 1 1 ]);\npatch([15.875 23.1 18.1 10.875 15.875 ],[20.55 20.55 25.55 25.55 " "20.55 ],[0.931 0.946 0.973 ]);\npatch([10.875 18.1 23.1 15.875 10.875 ],[15.55 15.55 20.55 20.55 15.55 ],[1 1 1 ])" ";\npatch([15.875 33.1 28.1 23.1 18.1 10.875 15.875 ],[10.55 10.55 15.55 10.55 15.55 15.55 10.55 ],[0.931 0.946 0.9" "73 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_la" "bel('input',1,'a');\ncolor('black');port_label('input',2,'b');\ncolor('black');port_label('output',1,'\\bf{a + b}'" ",'texmode','on');\nfprintf('','COMMENT: end icon text');" } Block { BlockType Reference Name "AddSub2" SID "951" Ports [2, 1] Position [165, 80, 210, 120] ShowName off LibraryVersion "1.2" SourceBlock "xbsIndex_r4/AddSub" SourceType "Xilinx Adder/Subtracter Block" mode "Addition" use_carryin off use_carryout off en off latency "1" precision "Full" arith_type "Unsigned" n_bits "16" bin_pt "14" quantization "Truncate" overflow "Wrap" dbl_ovrd off use_behavioral_HDL off hw_selection "Fabric" pipelined off xl_use_area off xl_area "[10 20 0 19 0 0 0]" use_rpm "on" has_advanced_control "0" sggui_pos "50,50,348,344" block_type "addsub" block_version "10.1.3" sg_icon_stat "45,40,2,1,white,blue,0,e85d8a90,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 45 45 0 0 ],[0 0 40 40 0 ],[0.77 0.82 0.9" "1 ]);\nplot([0 45 45 0 0 ],[0 0 40 40 0 ]);\npatch([10.875 18.1 23.1 28.1 33.1 23.1 15.875 10.875 ],[25.55 25.55 3" "0.55 25.55 30.55 30.55 30.55 25.55 ],[1 1 1 ]);\npatch([15.875 23.1 18.1 10.875 15.875 ],[20.55 20.55 25.55 25.55 " "20.55 ],[0.931 0.946 0.973 ]);\npatch([10.875 18.1 23.1 15.875 10.875 ],[15.55 15.55 20.55 20.55 15.55 ],[1 1 1 ])" ";\npatch([15.875 33.1 28.1 23.1 18.1 10.875 15.875 ],[10.55 10.55 15.55 10.55 15.55 15.55 10.55 ],[0.931 0.946 0.9" "73 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_la" "bel('input',1,'a');\ncolor('black');port_label('input',2,'b');\ncolor('black');port_label('output',1,'\\bf{a + b}'" ",'texmode','on');\ncolor('black');disp('z^{-1}\\newline ','texmode','on');\ncolor('black');disp(' \\newline\\bf{}'" ",'texmode','on');\nfprintf('','COMMENT: end icon text');" } Block { BlockType Reference Name "AddSub3" SID "952" Ports [2, 1] Position [80, 215, 125, 255] ShowName off LibraryVersion "1.2" SourceBlock "xbsIndex_r4/AddSub" SourceType "Xilinx Adder/Subtracter Block" mode "Addition" use_carryin off use_carryout off en off latency "0" precision "Full" arith_type "Unsigned" n_bits "16" bin_pt "14" quantization "Truncate" overflow "Wrap" dbl_ovrd off use_behavioral_HDL off hw_selection "Fabric" pipelined off xl_use_area off xl_area "[10 0 0 18 0 0 0]" use_rpm "on" has_advanced_control "0" sggui_pos "50,50,348,344" block_type "addsub" block_version "10.1.3" sg_icon_stat "45,40,2,1,white,blue,0,e139daf6,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 45 45 0 0 ],[0 0 40 40 0 ],[0.77 0.82 0.9" "1 ]);\nplot([0 45 45 0 0 ],[0 0 40 40 0 ]);\npatch([10.875 18.1 23.1 28.1 33.1 23.1 15.875 10.875 ],[25.55 25.55 3" "0.55 25.55 30.55 30.55 30.55 25.55 ],[1 1 1 ]);\npatch([15.875 23.1 18.1 10.875 15.875 ],[20.55 20.55 25.55 25.55 " "20.55 ],[0.931 0.946 0.973 ]);\npatch([10.875 18.1 23.1 15.875 10.875 ],[15.55 15.55 20.55 20.55 15.55 ],[1 1 1 ])" ";\npatch([15.875 33.1 28.1 23.1 18.1 10.875 15.875 ],[10.55 10.55 15.55 10.55 15.55 15.55 10.55 ],[0.931 0.946 0.9" "73 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_la" "bel('input',1,'a');\ncolor('black');port_label('input',2,'b');\ncolor('black');port_label('output',1,'\\bf{a + b}'" ",'texmode','on');\nfprintf('','COMMENT: end icon text');" } Block { BlockType Reference Name "AddSub4" SID "953" Ports [2, 1] Position [80, 280, 125, 320] ShowName off LibraryVersion "1.2" SourceBlock "xbsIndex_r4/AddSub" SourceType "Xilinx Adder/Subtracter Block" mode "Addition" use_carryin off use_carryout off en off latency "0" precision "Full" arith_type "Unsigned" n_bits "16" bin_pt "14" quantization "Truncate" overflow "Wrap" dbl_ovrd off use_behavioral_HDL off hw_selection "Fabric" pipelined off xl_use_area off xl_area "[10 0 0 18 0 0 0]" use_rpm "on" has_advanced_control "0" sggui_pos "50,50,348,344" block_type "addsub" block_version "10.1.3" sg_icon_stat "45,40,2,1,white,blue,0,e139daf6,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 45 45 0 0 ],[0 0 40 40 0 ],[0.77 0.82 0.9" "1 ]);\nplot([0 45 45 0 0 ],[0 0 40 40 0 ]);\npatch([10.875 18.1 23.1 28.1 33.1 23.1 15.875 10.875 ],[25.55 25.55 3" "0.55 25.55 30.55 30.55 30.55 25.55 ],[1 1 1 ]);\npatch([15.875 23.1 18.1 10.875 15.875 ],[20.55 20.55 25.55 25.55 " "20.55 ],[0.931 0.946 0.973 ]);\npatch([10.875 18.1 23.1 15.875 10.875 ],[15.55 15.55 20.55 20.55 15.55 ],[1 1 1 ])" ";\npatch([15.875 33.1 28.1 23.1 18.1 10.875 15.875 ],[10.55 10.55 15.55 10.55 15.55 15.55 10.55 ],[0.931 0.946 0.9" "73 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_la" "bel('input',1,'a');\ncolor('black');port_label('input',2,'b');\ncolor('black');port_label('output',1,'\\bf{a + b}'" ",'texmode','on');\nfprintf('','COMMENT: end icon text');" } Block { BlockType Reference Name "AddSub5" SID "954" Ports [2, 1] Position [165, 225, 210, 265] ShowName off LibraryVersion "1.2" SourceBlock "xbsIndex_r4/AddSub" SourceType "Xilinx Adder/Subtracter Block" mode "Addition" use_carryin off use_carryout off en off latency "1" precision "Full" arith_type "Unsigned" n_bits "16" bin_pt "14" quantization "Truncate" overflow "Wrap" dbl_ovrd off use_behavioral_HDL off hw_selection "Fabric" pipelined off xl_use_area off xl_area "[10 20 0 19 0 0 0]" use_rpm "on" has_advanced_control "0" sggui_pos "50,50,348,344" block_type "addsub" block_version "10.1.3" sg_icon_stat "45,40,2,1,white,blue,0,e85d8a90,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 45 45 0 0 ],[0 0 40 40 0 ],[0.77 0.82 0.9" "1 ]);\nplot([0 45 45 0 0 ],[0 0 40 40 0 ]);\npatch([10.875 18.1 23.1 28.1 33.1 23.1 15.875 10.875 ],[25.55 25.55 3" "0.55 25.55 30.55 30.55 30.55 25.55 ],[1 1 1 ]);\npatch([15.875 23.1 18.1 10.875 15.875 ],[20.55 20.55 25.55 25.55 " "20.55 ],[0.931 0.946 0.973 ]);\npatch([10.875 18.1 23.1 15.875 10.875 ],[15.55 15.55 20.55 20.55 15.55 ],[1 1 1 ])" ";\npatch([15.875 33.1 28.1 23.1 18.1 10.875 15.875 ],[10.55 10.55 15.55 10.55 15.55 15.55 10.55 ],[0.931 0.946 0.9" "73 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_la" "bel('input',1,'a');\ncolor('black');port_label('input',2,'b');\ncolor('black');port_label('output',1,'\\bf{a + b}'" ",'texmode','on');\ncolor('black');disp('z^{-1}\\newline ','texmode','on');\ncolor('black');disp(' \\newline\\bf{}'" ",'texmode','on');\nfprintf('','COMMENT: end icon text');" } Block { BlockType Reference Name "AddSub7" SID "955" Ports [2, 1] Position [80, 25, 125, 65] ShowName off LibraryVersion "1.2" SourceBlock "xbsIndex_r4/AddSub" SourceType "Xilinx Adder/Subtracter Block" mode "Addition" use_carryin off use_carryout off en off latency "0" precision "Full" arith_type "Unsigned" n_bits "16" bin_pt "14" quantization "Truncate" overflow "Wrap" dbl_ovrd off use_behavioral_HDL off hw_selection "Fabric" pipelined off xl_use_area off xl_area "[10 0 0 18 0 0 0]" use_rpm "on" has_advanced_control "0" sggui_pos "50,50,348,344" block_type "addsub" block_version "10.1.3" sg_icon_stat "45,40,2,1,white,blue,0,e139daf6,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 45 45 0 0 ],[0 0 40 40 0 ],[0.77 0.82 0.9" "1 ]);\nplot([0 45 45 0 0 ],[0 0 40 40 0 ]);\npatch([10.875 18.1 23.1 28.1 33.1 23.1 15.875 10.875 ],[25.55 25.55 3" "0.55 25.55 30.55 30.55 30.55 25.55 ],[1 1 1 ]);\npatch([15.875 23.1 18.1 10.875 15.875 ],[20.55 20.55 25.55 25.55 " "20.55 ],[0.931 0.946 0.973 ]);\npatch([10.875 18.1 23.1 15.875 10.875 ],[15.55 15.55 20.55 20.55 15.55 ],[1 1 1 ])" ";\npatch([15.875 33.1 28.1 23.1 18.1 10.875 15.875 ],[10.55 10.55 15.55 10.55 15.55 15.55 10.55 ],[0.931 0.946 0.9" "73 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_la" "bel('input',1,'a');\ncolor('black');port_label('input',2,'b');\ncolor('black');port_label('output',1,'\\bf{a + b}'" ",'texmode','on');\nfprintf('','COMMENT: end icon text');" } Block { BlockType Reference Name "AddSub8" SID "956" Ports [2, 1] Position [270, 150, 315, 190] ShowName off LibraryVersion "1.2" SourceBlock "xbsIndex_r4/AddSub" SourceType "Xilinx Adder/Subtracter Block" mode "Addition" use_carryin off use_carryout off en off latency "0" precision "User Defined" arith_type "Signed (2's comp)" n_bits "16" bin_pt "15" quantization "Truncate" overflow "Flag as error" dbl_ovrd off use_behavioral_HDL off hw_selection "Fabric" pipelined off xl_use_area off xl_area "[11 0 0 20 0 0 0]" use_rpm "on" has_advanced_control "0" sggui_pos "388,27,348,344" block_type "addsub" block_version "10.1.3" sg_icon_stat "45,40,2,1,white,blue,0,e139daf6,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 45 45 0 0 ],[0 0 40 40 0 ],[0.77 0.82 0.9" "1 ]);\nplot([0 45 45 0 0 ],[0 0 40 40 0 ]);\npatch([10.875 18.1 23.1 28.1 33.1 23.1 15.875 10.875 ],[25.55 25.55 3" "0.55 25.55 30.55 30.55 30.55 25.55 ],[1 1 1 ]);\npatch([15.875 23.1 18.1 10.875 15.875 ],[20.55 20.55 25.55 25.55 " "20.55 ],[0.931 0.946 0.973 ]);\npatch([10.875 18.1 23.1 15.875 10.875 ],[15.55 15.55 20.55 20.55 15.55 ],[1 1 1 ])" ";\npatch([15.875 33.1 28.1 23.1 18.1 10.875 15.875 ],[10.55 10.55 15.55 10.55 15.55 15.55 10.55 ],[0.931 0.946 0.9" "73 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_la" "bel('input',1,'a');\ncolor('black');port_label('input',2,'b');\ncolor('black');port_label('output',1,'\\bf{a + b}'" ",'texmode','on');\nfprintf('','COMMENT: end icon text');" } Block { BlockType Outport Name "Out1" SID "957" Position [340, 163, 370, 177] IconDisplay "Port number" } Line { SrcBlock "In8" SrcPort 1 DstBlock "AddSub4" DstPort 2 } Line { SrcBlock "In7" SrcPort 1 DstBlock "AddSub4" DstPort 1 } Line { SrcBlock "In6" SrcPort 1 DstBlock "AddSub3" DstPort 2 } Line { SrcBlock "In5" SrcPort 1 DstBlock "AddSub3" DstPort 1 } Line { SrcBlock "AddSub8" SrcPort 1 DstBlock "Out1" DstPort 1 } Line { SrcBlock "In4" SrcPort 1 DstBlock "AddSub1" DstPort 2 } Line { SrcBlock "In3" SrcPort 1 DstBlock "AddSub1" DstPort 1 } Line { SrcBlock "In2" SrcPort 1 DstBlock "AddSub7" DstPort 2 } Line { SrcBlock "In1" SrcPort 1 DstBlock "AddSub7" DstPort 1 } Line { SrcBlock "AddSub7" SrcPort 1 Points [15, 0; 0, 45] DstBlock "AddSub2" DstPort 1 } Line { SrcBlock "AddSub1" SrcPort 1 DstBlock "AddSub2" DstPort 2 } Line { SrcBlock "AddSub3" SrcPort 1 DstBlock "AddSub5" DstPort 1 } Line { SrcBlock "AddSub4" SrcPort 1 Points [20, 0] DstBlock "AddSub5" DstPort 2 } Line { SrcBlock "AddSub2" SrcPort 1 Points [35, 0; 0, 60] DstBlock "AddSub8" DstPort 1 } Line { SrcBlock "AddSub5" SrcPort 1 Points [35, 0; 0, -65] DstBlock "AddSub8" DstPort 2 } } } Block { BlockType SubSystem Name "Mults & ROMs" SID "958" Ports [8, 8] Position [770, 250, 810, 350] MinAlgLoopOccurrences off PropExecContextOutsideSubsystem off RTWSystemCode "Auto" FunctionWithSeparateData off Opaque off RequestExecContextInheritance off MaskHideContents off System { Name "Mults & ROMs" Location [2, 74, 1910, 1112] Open off ModelBrowserVisibility off ModelBrowserWidth 200 ScreenColor "white" PaperOrientation "landscape" PaperPositionMode "auto" PaperType "usletter" PaperUnits "inches" TiledPaperMargins [0.500000, 0.500000, 0.500000, 0.500000] TiledPageScale 1 ShowPageBoundaries off ZoomFactor "100" Block { BlockType Inport Name "In1" SID "959" Position [170, 33, 200, 47] IconDisplay "Port number" } Block { BlockType Inport Name "In2" SID "960" Position [170, 88, 200, 102] Port "2" IconDisplay "Port number" } Block { BlockType Inport Name "In3" SID "961" Position [170, 133, 200, 147] Port "3" IconDisplay "Port number" } Block { BlockType Inport Name "In4" SID "962" Position [170, 183, 200, 197] Port "4" IconDisplay "Port number" } Block { BlockType Inport Name "In5" SID "963" Position [170, 228, 200, 242] Port "5" IconDisplay "Port number" } Block { BlockType Inport Name "In6" SID "964" Position [170, 278, 200, 292] Port "6" IconDisplay "Port number" } Block { BlockType Inport Name "In7" SID "965" Position [170, 323, 200, 337] Port "7" IconDisplay "Port number" } Block { BlockType Inport Name "In8" SID "966" Position [170, 373, 200, 387] Port "8" IconDisplay "Port number" } Block { BlockType From Name "From1" SID "967" Position [25, 105, 125, 125] ShowName off CloseFcn "tagdialog Close" GotoTag "TX_ROM_1" TagVisibility "global" } Block { BlockType From Name "From16" SID "968" Position [30, 50, 130, 70] ShowName off CloseFcn "tagdialog Close" GotoTag "TX_ROM_0" TagVisibility "global" } Block { BlockType From Name "From2" SID "969" Position [25, 200, 125, 220] ShowName off CloseFcn "tagdialog Close" GotoTag "TX_ROM_3" TagVisibility "global" } Block { BlockType From Name "From3" SID "970" Position [25, 150, 125, 170] ShowName off CloseFcn "tagdialog Close" GotoTag "TX_ROM_2" TagVisibility "global" } Block { BlockType From Name "From4" SID "971" Position [30, 295, 130, 315] ShowName off CloseFcn "tagdialog Close" GotoTag "TX_ROM_5" TagVisibility "global" } Block { BlockType From Name "From5" SID "972" Position [25, 245, 125, 265] ShowName off CloseFcn "tagdialog Close" GotoTag "TX_ROM_4" TagVisibility "global" } Block { BlockType From Name "From6" SID "973" Position [30, 390, 130, 410] ShowName off CloseFcn "tagdialog Close" GotoTag "TX_ROM_7" TagVisibility "global" } Block { BlockType From Name "From7" SID "974" Position [30, 340, 130, 360] ShowName off CloseFcn "tagdialog Close" GotoTag "TX_ROM_6" TagVisibility "global" } Block { BlockType Reference Name "Mult1" SID "975" Ports [2, 1] Position [225, 84, 265, 126] ShowName off LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Mult" SourceType "Xilinx Multiplier Block" infoedit "Hardware notes: To use the internal pipeline stage of the dedicated multiplier you must select 'Pipel" "ine for maximum performance'." precision "User Defined" arith_type "Signed (2's comp)" n_bits "18" bin_pt "17" quantization "Truncate" overflow "Flag as error" en off latency "1" dbl_ovrd off use_behavioral_HDL off opt "Speed" use_embedded off optimum_pipeline off xl_use_area off xl_area "[9 -15 0 18 0 1 0]" pipeline "on" use_rpm "on" placement_style "Triangular" has_advanced_control "0" sggui_pos "50,50,348,433" block_type "mult" block_version "10.1.3" sg_icon_stat "40,42,2,1,white,blue,0,82c891c1,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 40 40 0 0 ],[0 0 42 42 0 ],[0.77 0.82 0.9" "1 ]);\nplot([0 40 40 0 0 ],[0 0 42 42 0 ]);\npatch([8.875 16.1 21.1 26.1 31.1 21.1 13.875 8.875 ],[26.55 26.55 31." "55 26.55 31.55 31.55 31.55 26.55 ],[1 1 1 ]);\npatch([13.875 21.1 16.1 8.875 13.875 ],[21.55 21.55 26.55 26.55 21." "55 ],[0.931 0.946 0.973 ]);\npatch([8.875 16.1 21.1 13.875 8.875 ],[16.55 16.55 21.55 21.55 16.55 ],[1 1 1 ]);\npa" "tch([13.875 31.1 26.1 21.1 16.1 8.875 13.875 ],[11.55 11.55 16.55 11.55 16.55 16.55 11.55 ],[0.931 0.946 0.973 ]);" "\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('i" "nput',1,'a');\ncolor('black');port_label('input',2,'b');\ncolor('black');port_label('output',1,'\\bfa \\times b','" "texmode','on');\ncolor('black');disp('z^{-1}\\newline ','texmode','on');\ncolor('black');disp(' \\n ');\nfprintf('" "','COMMENT: end icon text');" } Block { BlockType Reference Name "Mult2" SID "976" Ports [2, 1] Position [225, 29, 265, 71] ShowName off LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Mult" SourceType "Xilinx Multiplier Block" infoedit "Hardware notes: To use the internal pipeline stage of the dedicated multiplier you must select 'Pipel" "ine for maximum performance'." precision "User Defined" arith_type "Signed (2's comp)" n_bits "18" bin_pt "17" quantization "Truncate" overflow "Flag as error" en off latency "1" dbl_ovrd off use_behavioral_HDL off opt "Speed" use_embedded off optimum_pipeline off xl_use_area off xl_area "[9 -15 0 18 0 1 0]" pipeline "on" use_rpm "on" placement_style "Triangular" has_advanced_control "0" sggui_pos "50,50,348,433" block_type "mult" block_version "10.1.3" sg_icon_stat "40,42,2,1,white,blue,0,82c891c1,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 40 40 0 0 ],[0 0 42 42 0 ],[0.77 0.82 0.9" "1 ]);\nplot([0 40 40 0 0 ],[0 0 42 42 0 ]);\npatch([8.875 16.1 21.1 26.1 31.1 21.1 13.875 8.875 ],[26.55 26.55 31." "55 26.55 31.55 31.55 31.55 26.55 ],[1 1 1 ]);\npatch([13.875 21.1 16.1 8.875 13.875 ],[21.55 21.55 26.55 26.55 21." "55 ],[0.931 0.946 0.973 ]);\npatch([8.875 16.1 21.1 13.875 8.875 ],[16.55 16.55 21.55 21.55 16.55 ],[1 1 1 ]);\npa" "tch([13.875 31.1 26.1 21.1 16.1 8.875 13.875 ],[11.55 11.55 16.55 11.55 16.55 16.55 11.55 ],[0.931 0.946 0.973 ]);" "\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('i" "nput',1,'a');\ncolor('black');port_label('input',2,'b');\ncolor('black');port_label('output',1,'\\bfa \\times b','" "texmode','on');\ncolor('black');disp('z^{-1}\\newline ','texmode','on');\ncolor('black');disp(' \\n ');\nfprintf('" "','COMMENT: end icon text');" } Block { BlockType Reference Name "Mult3" SID "977" Ports [2, 1] Position [225, 129, 265, 171] ShowName off LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Mult" SourceType "Xilinx Multiplier Block" infoedit "Hardware notes: To use the internal pipeline stage of the dedicated multiplier you must select 'Pipel" "ine for maximum performance'." precision "User Defined" arith_type "Signed (2's comp)" n_bits "18" bin_pt "17" quantization "Truncate" overflow "Flag as error" en off latency "1" dbl_ovrd off use_behavioral_HDL off opt "Speed" use_embedded off optimum_pipeline off xl_use_area off xl_area "[9 -15 0 18 0 1 0]" pipeline "on" use_rpm "on" placement_style "Triangular" has_advanced_control "0" sggui_pos "50,50,348,433" block_type "mult" block_version "10.1.3" sg_icon_stat "40,42,2,1,white,blue,0,82c891c1,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 40 40 0 0 ],[0 0 42 42 0 ],[0.77 0.82 0.9" "1 ]);\nplot([0 40 40 0 0 ],[0 0 42 42 0 ]);\npatch([8.875 16.1 21.1 26.1 31.1 21.1 13.875 8.875 ],[26.55 26.55 31." "55 26.55 31.55 31.55 31.55 26.55 ],[1 1 1 ]);\npatch([13.875 21.1 16.1 8.875 13.875 ],[21.55 21.55 26.55 26.55 21." "55 ],[0.931 0.946 0.973 ]);\npatch([8.875 16.1 21.1 13.875 8.875 ],[16.55 16.55 21.55 21.55 16.55 ],[1 1 1 ]);\npa" "tch([13.875 31.1 26.1 21.1 16.1 8.875 13.875 ],[11.55 11.55 16.55 11.55 16.55 16.55 11.55 ],[0.931 0.946 0.973 ]);" "\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('i" "nput',1,'a');\ncolor('black');port_label('input',2,'b');\ncolor('black');port_label('output',1,'\\bfa \\times b','" "texmode','on');\ncolor('black');disp('z^{-1}\\newline ','texmode','on');\ncolor('black');disp(' \\n ');\nfprintf('" "','COMMENT: end icon text');" } Block { BlockType Reference Name "Mult4" SID "978" Ports [2, 1] Position [225, 179, 265, 221] ShowName off LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Mult" SourceType "Xilinx Multiplier Block" infoedit "Hardware notes: To use the internal pipeline stage of the dedicated multiplier you must select 'Pipel" "ine for maximum performance'." precision "User Defined" arith_type "Signed (2's comp)" n_bits "18" bin_pt "17" quantization "Truncate" overflow "Flag as error" en off latency "1" dbl_ovrd off use_behavioral_HDL off opt "Speed" use_embedded off optimum_pipeline off xl_use_area off xl_area "[9 -15 0 18 0 1 0]" pipeline "on" use_rpm "on" placement_style "Triangular" has_advanced_control "0" sggui_pos "50,50,348,433" block_type "mult" block_version "10.1.3" sg_icon_stat "40,42,2,1,white,blue,0,82c891c1,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 40 40 0 0 ],[0 0 42 42 0 ],[0.77 0.82 0.9" "1 ]);\nplot([0 40 40 0 0 ],[0 0 42 42 0 ]);\npatch([8.875 16.1 21.1 26.1 31.1 21.1 13.875 8.875 ],[26.55 26.55 31." "55 26.55 31.55 31.55 31.55 26.55 ],[1 1 1 ]);\npatch([13.875 21.1 16.1 8.875 13.875 ],[21.55 21.55 26.55 26.55 21." "55 ],[0.931 0.946 0.973 ]);\npatch([8.875 16.1 21.1 13.875 8.875 ],[16.55 16.55 21.55 21.55 16.55 ],[1 1 1 ]);\npa" "tch([13.875 31.1 26.1 21.1 16.1 8.875 13.875 ],[11.55 11.55 16.55 11.55 16.55 16.55 11.55 ],[0.931 0.946 0.973 ]);" "\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('i" "nput',1,'a');\ncolor('black');port_label('input',2,'b');\ncolor('black');port_label('output',1,'\\bfa \\times b','" "texmode','on');\ncolor('black');disp('z^{-1}\\newline ','texmode','on');\ncolor('black');disp(' \\n ');\nfprintf('" "','COMMENT: end icon text');" } Block { BlockType Reference Name "Mult5" SID "979" Ports [2, 1] Position [225, 224, 265, 266] ShowName off LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Mult" SourceType "Xilinx Multiplier Block" infoedit "Hardware notes: To use the internal pipeline stage of the dedicated multiplier you must select 'Pipel" "ine for maximum performance'." precision "User Defined" arith_type "Signed (2's comp)" n_bits "18" bin_pt "17" quantization "Truncate" overflow "Flag as error" en off latency "1" dbl_ovrd off use_behavioral_HDL off opt "Speed" use_embedded off optimum_pipeline off xl_use_area off xl_area "[9 -15 0 18 0 1 0]" pipeline "on" use_rpm "on" placement_style "Triangular" has_advanced_control "0" sggui_pos "50,50,348,433" block_type "mult" block_version "10.1.3" sg_icon_stat "40,42,2,1,white,blue,0,82c891c1,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 40 40 0 0 ],[0 0 42 42 0 ],[0.77 0.82 0.9" "1 ]);\nplot([0 40 40 0 0 ],[0 0 42 42 0 ]);\npatch([8.875 16.1 21.1 26.1 31.1 21.1 13.875 8.875 ],[26.55 26.55 31." "55 26.55 31.55 31.55 31.55 26.55 ],[1 1 1 ]);\npatch([13.875 21.1 16.1 8.875 13.875 ],[21.55 21.55 26.55 26.55 21." "55 ],[0.931 0.946 0.973 ]);\npatch([8.875 16.1 21.1 13.875 8.875 ],[16.55 16.55 21.55 21.55 16.55 ],[1 1 1 ]);\npa" "tch([13.875 31.1 26.1 21.1 16.1 8.875 13.875 ],[11.55 11.55 16.55 11.55 16.55 16.55 11.55 ],[0.931 0.946 0.973 ]);" "\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('i" "nput',1,'a');\ncolor('black');port_label('input',2,'b');\ncolor('black');port_label('output',1,'\\bfa \\times b','" "texmode','on');\ncolor('black');disp('z^{-1}\\newline ','texmode','on');\ncolor('black');disp(' \\n ');\nfprintf('" "','COMMENT: end icon text');" } Block { BlockType Reference Name "Mult6" SID "980" Ports [2, 1] Position [225, 274, 265, 316] ShowName off LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Mult" SourceType "Xilinx Multiplier Block" infoedit "Hardware notes: To use the internal pipeline stage of the dedicated multiplier you must select 'Pipel" "ine for maximum performance'." precision "User Defined" arith_type "Signed (2's comp)" n_bits "18" bin_pt "17" quantization "Truncate" overflow "Flag as error" en off latency "1" dbl_ovrd off use_behavioral_HDL off opt "Speed" use_embedded off optimum_pipeline off xl_use_area off xl_area "[9 -15 0 18 0 1 0]" pipeline "on" use_rpm "on" placement_style "Triangular" has_advanced_control "0" sggui_pos "50,50,348,433" block_type "mult" block_version "10.1.3" sg_icon_stat "40,42,2,1,white,blue,0,82c891c1,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 40 40 0 0 ],[0 0 42 42 0 ],[0.77 0.82 0.9" "1 ]);\nplot([0 40 40 0 0 ],[0 0 42 42 0 ]);\npatch([8.875 16.1 21.1 26.1 31.1 21.1 13.875 8.875 ],[26.55 26.55 31." "55 26.55 31.55 31.55 31.55 26.55 ],[1 1 1 ]);\npatch([13.875 21.1 16.1 8.875 13.875 ],[21.55 21.55 26.55 26.55 21." "55 ],[0.931 0.946 0.973 ]);\npatch([8.875 16.1 21.1 13.875 8.875 ],[16.55 16.55 21.55 21.55 16.55 ],[1 1 1 ]);\npa" "tch([13.875 31.1 26.1 21.1 16.1 8.875 13.875 ],[11.55 11.55 16.55 11.55 16.55 16.55 11.55 ],[0.931 0.946 0.973 ]);" "\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('i" "nput',1,'a');\ncolor('black');port_label('input',2,'b');\ncolor('black');port_label('output',1,'\\bfa \\times b','" "texmode','on');\ncolor('black');disp('z^{-1}\\newline ','texmode','on');\ncolor('black');disp(' \\n ');\nfprintf('" "','COMMENT: end icon text');" } Block { BlockType Reference Name "Mult7" SID "981" Ports [2, 1] Position [225, 319, 265, 361] ShowName off LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Mult" SourceType "Xilinx Multiplier Block" infoedit "Hardware notes: To use the internal pipeline stage of the dedicated multiplier you must select 'Pipel" "ine for maximum performance'." precision "User Defined" arith_type "Signed (2's comp)" n_bits "18" bin_pt "17" quantization "Truncate" overflow "Flag as error" en off latency "1" dbl_ovrd off use_behavioral_HDL off opt "Speed" use_embedded off optimum_pipeline off xl_use_area off xl_area "[9 -15 0 18 0 1 0]" pipeline "on" use_rpm "on" placement_style "Triangular" has_advanced_control "0" sggui_pos "50,50,348,433" block_type "mult" block_version "10.1.3" sg_icon_stat "40,42,2,1,white,blue,0,82c891c1,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 40 40 0 0 ],[0 0 42 42 0 ],[0.77 0.82 0.9" "1 ]);\nplot([0 40 40 0 0 ],[0 0 42 42 0 ]);\npatch([8.875 16.1 21.1 26.1 31.1 21.1 13.875 8.875 ],[26.55 26.55 31." "55 26.55 31.55 31.55 31.55 26.55 ],[1 1 1 ]);\npatch([13.875 21.1 16.1 8.875 13.875 ],[21.55 21.55 26.55 26.55 21." "55 ],[0.931 0.946 0.973 ]);\npatch([8.875 16.1 21.1 13.875 8.875 ],[16.55 16.55 21.55 21.55 16.55 ],[1 1 1 ]);\npa" "tch([13.875 31.1 26.1 21.1 16.1 8.875 13.875 ],[11.55 11.55 16.55 11.55 16.55 16.55 11.55 ],[0.931 0.946 0.973 ]);" "\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('i" "nput',1,'a');\ncolor('black');port_label('input',2,'b');\ncolor('black');port_label('output',1,'\\bfa \\times b','" "texmode','on');\ncolor('black');disp('z^{-1}\\newline ','texmode','on');\ncolor('black');disp(' \\n ');\nfprintf('" "','COMMENT: end icon text');" } Block { BlockType Reference Name "Mult8" SID "982" Ports [2, 1] Position [225, 369, 265, 411] ShowName off LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Mult" SourceType "Xilinx Multiplier Block" infoedit "Hardware notes: To use the internal pipeline stage of the dedicated multiplier you must select 'Pipel" "ine for maximum performance'." precision "User Defined" arith_type "Signed (2's comp)" n_bits "18" bin_pt "17" quantization "Truncate" overflow "Flag as error" en off latency "1" dbl_ovrd off use_behavioral_HDL off opt "Speed" use_embedded off optimum_pipeline off xl_use_area off xl_area "[9 -15 0 18 0 1 0]" pipeline "on" use_rpm "on" placement_style "Triangular" has_advanced_control "0" sggui_pos "50,50,348,433" block_type "mult" block_version "10.1.3" sg_icon_stat "40,42,2,1,white,blue,0,82c891c1,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 40 40 0 0 ],[0 0 42 42 0 ],[0.77 0.82 0.9" "1 ]);\nplot([0 40 40 0 0 ],[0 0 42 42 0 ]);\npatch([8.875 16.1 21.1 26.1 31.1 21.1 13.875 8.875 ],[26.55 26.55 31." "55 26.55 31.55 31.55 31.55 26.55 ],[1 1 1 ]);\npatch([13.875 21.1 16.1 8.875 13.875 ],[21.55 21.55 26.55 26.55 21." "55 ],[0.931 0.946 0.973 ]);\npatch([8.875 16.1 21.1 13.875 8.875 ],[16.55 16.55 21.55 21.55 16.55 ],[1 1 1 ]);\npa" "tch([13.875 31.1 26.1 21.1 16.1 8.875 13.875 ],[11.55 11.55 16.55 11.55 16.55 16.55 11.55 ],[0.931 0.946 0.973 ]);" "\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('i" "nput',1,'a');\ncolor('black');port_label('input',2,'b');\ncolor('black');port_label('output',1,'\\bfa \\times b','" "texmode','on');\ncolor('black');disp('z^{-1}\\newline ','texmode','on');\ncolor('black');disp(' \\n ');\nfprintf('" "','COMMENT: end icon text');" } Block { BlockType Outport Name "Out1" SID "983" Position [290, 43, 320, 57] IconDisplay "Port number" } Block { BlockType Outport Name "Out2" SID "984" Position [290, 98, 320, 112] Port "2" IconDisplay "Port number" } Block { BlockType Outport Name "Out3" SID "985" Position [290, 143, 320, 157] Port "3" IconDisplay "Port number" } Block { BlockType Outport Name "Out4" SID "986" Position [290, 193, 320, 207] Port "4" IconDisplay "Port number" } Block { BlockType Outport Name "Out5" SID "987" Position [290, 238, 320, 252] Port "5" IconDisplay "Port number" } Block { BlockType Outport Name "Out6" SID "988" Position [290, 288, 320, 302] Port "6" IconDisplay "Port number" } Block { BlockType Outport Name "Out7" SID "989" Position [290, 333, 320, 347] Port "7" IconDisplay "Port number" } Block { BlockType Outport Name "Out8" SID "990" Position [290, 383, 320, 397] Port "8" IconDisplay "Port number" } Line { SrcBlock "Mult8" SrcPort 1 DstBlock "Out8" DstPort 1 } Line { SrcBlock "In8" SrcPort 1 DstBlock "Mult8" DstPort 1 } Line { SrcBlock "Mult7" SrcPort 1 DstBlock "Out7" DstPort 1 } Line { SrcBlock "In7" SrcPort 1 DstBlock "Mult7" DstPort 1 } Line { SrcBlock "Mult6" SrcPort 1 DstBlock "Out6" DstPort 1 } Line { SrcBlock "In6" SrcPort 1 DstBlock "Mult6" DstPort 1 } Line { SrcBlock "Mult5" SrcPort 1 DstBlock "Out5" DstPort 1 } Line { SrcBlock "In5" SrcPort 1 DstBlock "Mult5" DstPort 1 } Line { SrcBlock "Mult4" SrcPort 1 DstBlock "Out4" DstPort 1 } Line { SrcBlock "In4" SrcPort 1 DstBlock "Mult4" DstPort 1 } Line { SrcBlock "Mult3" SrcPort 1 DstBlock "Out3" DstPort 1 } Line { SrcBlock "In3" SrcPort 1 DstBlock "Mult3" DstPort 1 } Line { SrcBlock "Mult1" SrcPort 1 DstBlock "Out2" DstPort 1 } Line { SrcBlock "In2" SrcPort 1 DstBlock "Mult1" DstPort 1 } Line { SrcBlock "Mult2" SrcPort 1 DstBlock "Out1" DstPort 1 } Line { SrcBlock "In1" SrcPort 1 DstBlock "Mult2" DstPort 1 } Line { SrcBlock "From16" SrcPort 1 DstBlock "Mult2" DstPort 2 } Line { SrcBlock "From1" SrcPort 1 DstBlock "Mult1" DstPort 2 } Line { SrcBlock "From3" SrcPort 1 DstBlock "Mult3" DstPort 2 } Line { SrcBlock "From2" SrcPort 1 DstBlock "Mult4" DstPort 2 } Line { SrcBlock "From5" SrcPort 1 DstBlock "Mult5" DstPort 2 } Line { SrcBlock "From4" SrcPort 1 DstBlock "Mult6" DstPort 2 } Line { SrcBlock "From7" SrcPort 1 DstBlock "Mult7" DstPort 2 } Line { SrcBlock "From6" SrcPort 1 DstBlock "Mult8" DstPort 2 } } } Block { BlockType SubSystem Name "Sample RAMs" SID "991" Ports [2, 8] Position [645, 255, 685, 345] MinAlgLoopOccurrences off PropExecContextOutsideSubsystem off RTWSystemCode "Auto" FunctionWithSeparateData off Opaque off RequestExecContextInheritance off MaskHideContents off System { Name "Sample RAMs" Location [2, 74, 1910, 1112] Open off ModelBrowserVisibility off ModelBrowserWidth 200 ScreenColor "white" PaperOrientation "landscape" PaperPositionMode "auto" PaperType "usletter" PaperUnits "inches" TiledPaperMargins [0.500000, 0.500000, 0.500000, 0.500000] TiledPageScale 1 ShowPageBoundaries off ZoomFactor "194" Block { BlockType Inport Name "A" SID "992" Position [25, 83, 55, 97] IconDisplay "Port number" } Block { BlockType Inport Name "B" SID "993" Position [25, 203, 55, 217] Port "2" IconDisplay "Port number" } Block { BlockType SubSystem Name "Delays" SID "994" Ports [1, 8] Position [80, 29, 125, 146] NamePlacement "alternate" MinAlgLoopOccurrences off PropExecContextOutsideSubsystem off RTWSystemCode "Auto" FunctionWithSeparateData off Opaque off RequestExecContextInheritance off MaskHideContents off System { Name "Delays" Location [2, 74, 1910, 1112] Open off ModelBrowserVisibility off ModelBrowserWidth 200 ScreenColor "white" PaperOrientation "landscape" PaperPositionMode "auto" PaperType "usletter" PaperUnits "inches" TiledPaperMargins [0.500000, 0.500000, 0.500000, 0.500000] TiledPageScale 1 ShowPageBoundaries off ZoomFactor "100" Block { BlockType Inport Name "X" SID "995" Position [25, 29, 60, 41] NamePlacement "alternate" IconDisplay "Port number" } Block { BlockType Reference Name "Delay" SID "996" Ports [1, 1] Position [112, 70, 138, 95] BlockRotation 270 BlockMirror on NamePlacement "alternate" ShowName off LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Delay" SourceType "Xilinx Delay Block" infoedit "Hardware notes: A delay line is a chain, each link of which is an SRL16 followed by a flip-flop." rst off infoeditControl "Selection of Reset will increase slice count due to use of real FFs and instead of SRLs" en off latency "1" dbl_ovrd off reg_retiming off xl_use_area off xl_area "[8 16 0 0 0 0 0]" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "delay" block_version "10.1.3" sg_icon_stat "26,25,1,1,white,blue,0,07b98262,down,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 26 26 0 0 ],[0 0 25 25 0 ],[0.77 0.82" " 0.91 ]);\nplot([0 26 26 0 0 ],[0 0 25 25 0 ]);\npatch([6.325 10.66 13.66 16.66 19.66 13.66 9.325 6.325 ],[15.33" " 15.33 18.33 15.33 18.33 18.33 18.33 15.33 ],[1 1 1 ]);\npatch([9.325 13.66 10.66 6.325 9.325 ],[12.33 12.33 15." "33 15.33 12.33 ],[0.931 0.946 0.973 ]);\npatch([6.325 10.66 13.66 9.325 6.325 ],[9.33 9.33 12.33 12.33 9.33 ],[1" " 1 1 ]);\npatch([9.325 19.66 16.66 13.66 10.66 6.325 9.325 ],[6.33 6.33 9.33 6.33 9.33 9.33 6.33 ],[0.931 0.946 " "0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\n\n\ncolor('black'" ");disp('z^{-1}','texmode','on');\nfprintf('','COMMENT: end icon text');" } Block { BlockType Reference Name "Delay1" SID "997" Ports [1, 1] Position [112, 120, 138, 145] BlockRotation 270 BlockMirror on NamePlacement "alternate" ShowName off LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Delay" SourceType "Xilinx Delay Block" infoedit "Hardware notes: A delay line is a chain, each link of which is an SRL16 followed by a flip-flop." rst off infoeditControl "Selection of Reset will increase slice count due to use of real FFs and instead of SRLs" en off latency "1" dbl_ovrd off reg_retiming off xl_use_area off xl_area "[8 16 0 0 0 0 0]" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "delay" block_version "10.1.3" sg_icon_stat "26,25,1,1,white,blue,0,07b98262,down,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 26 26 0 0 ],[0 0 25 25 0 ],[0.77 0.82" " 0.91 ]);\nplot([0 26 26 0 0 ],[0 0 25 25 0 ]);\npatch([6.325 10.66 13.66 16.66 19.66 13.66 9.325 6.325 ],[15.33" " 15.33 18.33 15.33 18.33 18.33 18.33 15.33 ],[1 1 1 ]);\npatch([9.325 13.66 10.66 6.325 9.325 ],[12.33 12.33 15." "33 15.33 12.33 ],[0.931 0.946 0.973 ]);\npatch([6.325 10.66 13.66 9.325 6.325 ],[9.33 9.33 12.33 12.33 9.33 ],[1" " 1 1 ]);\npatch([9.325 19.66 16.66 13.66 10.66 6.325 9.325 ],[6.33 6.33 9.33 6.33 9.33 9.33 6.33 ],[0.931 0.946 " "0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\n\n\ncolor('black'" ");disp('z^{-1}','texmode','on');\nfprintf('','COMMENT: end icon text');" } Block { BlockType Reference Name "Delay2" SID "998" Ports [1, 1] Position [112, 165, 138, 190] BlockRotation 270 BlockMirror on NamePlacement "alternate" ShowName off LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Delay" SourceType "Xilinx Delay Block" infoedit "Hardware notes: A delay line is a chain, each link of which is an SRL16 followed by a flip-flop." rst off infoeditControl "Selection of Reset will increase slice count due to use of real FFs and instead of SRLs" en off latency "1" dbl_ovrd off reg_retiming off xl_use_area off xl_area "[8 16 0 0 0 0 0]" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "delay" block_version "10.1.3" sg_icon_stat "26,25,1,1,white,blue,0,07b98262,down,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 26 26 0 0 ],[0 0 25 25 0 ],[0.77 0.82" " 0.91 ]);\nplot([0 26 26 0 0 ],[0 0 25 25 0 ]);\npatch([6.325 10.66 13.66 16.66 19.66 13.66 9.325 6.325 ],[15.33" " 15.33 18.33 15.33 18.33 18.33 18.33 15.33 ],[1 1 1 ]);\npatch([9.325 13.66 10.66 6.325 9.325 ],[12.33 12.33 15." "33 15.33 12.33 ],[0.931 0.946 0.973 ]);\npatch([6.325 10.66 13.66 9.325 6.325 ],[9.33 9.33 12.33 12.33 9.33 ],[1" " 1 1 ]);\npatch([9.325 19.66 16.66 13.66 10.66 6.325 9.325 ],[6.33 6.33 9.33 6.33 9.33 9.33 6.33 ],[0.931 0.946 " "0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\n\n\ncolor('black'" ");disp('z^{-1}','texmode','on');\nfprintf('','COMMENT: end icon text');" } Block { BlockType Reference Name "Delay3" SID "999" Ports [1, 1] Position [112, 210, 138, 235] BlockRotation 270 BlockMirror on NamePlacement "alternate" ShowName off LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Delay" SourceType "Xilinx Delay Block" infoedit "Hardware notes: A delay line is a chain, each link of which is an SRL16 followed by a flip-flop." rst off infoeditControl "Selection of Reset will increase slice count due to use of real FFs and instead of SRLs" en off latency "1" dbl_ovrd off reg_retiming off xl_use_area off xl_area "[8 16 0 0 0 0 0]" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "delay" block_version "10.1.3" sg_icon_stat "26,25,1,1,white,blue,0,07b98262,down,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 26 26 0 0 ],[0 0 25 25 0 ],[0.77 0.82" " 0.91 ]);\nplot([0 26 26 0 0 ],[0 0 25 25 0 ]);\npatch([6.325 10.66 13.66 16.66 19.66 13.66 9.325 6.325 ],[15.33" " 15.33 18.33 15.33 18.33 18.33 18.33 15.33 ],[1 1 1 ]);\npatch([9.325 13.66 10.66 6.325 9.325 ],[12.33 12.33 15." "33 15.33 12.33 ],[0.931 0.946 0.973 ]);\npatch([6.325 10.66 13.66 9.325 6.325 ],[9.33 9.33 12.33 12.33 9.33 ],[1" " 1 1 ]);\npatch([9.325 19.66 16.66 13.66 10.66 6.325 9.325 ],[6.33 6.33 9.33 6.33 9.33 9.33 6.33 ],[0.931 0.946 " "0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\n\n\ncolor('black'" ");disp('z^{-1}','texmode','on');\nfprintf('','COMMENT: end icon text');" } Block { BlockType Reference Name "Delay4" SID "1000" Ports [1, 1] Position [112, 260, 138, 285] BlockRotation 270 BlockMirror on NamePlacement "alternate" ShowName off LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Delay" SourceType "Xilinx Delay Block" infoedit "Hardware notes: A delay line is a chain, each link of which is an SRL16 followed by a flip-flop." rst off infoeditControl "Selection of Reset will increase slice count due to use of real FFs and instead of SRLs" en off latency "1" dbl_ovrd off reg_retiming off xl_use_area off xl_area "[8 16 0 0 0 0 0]" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "delay" block_version "10.1.3" sg_icon_stat "26,25,1,1,white,blue,0,07b98262,down,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 26 26 0 0 ],[0 0 25 25 0 ],[0.77 0.82" " 0.91 ]);\nplot([0 26 26 0 0 ],[0 0 25 25 0 ]);\npatch([6.325 10.66 13.66 16.66 19.66 13.66 9.325 6.325 ],[15.33" " 15.33 18.33 15.33 18.33 18.33 18.33 15.33 ],[1 1 1 ]);\npatch([9.325 13.66 10.66 6.325 9.325 ],[12.33 12.33 15." "33 15.33 12.33 ],[0.931 0.946 0.973 ]);\npatch([6.325 10.66 13.66 9.325 6.325 ],[9.33 9.33 12.33 12.33 9.33 ],[1" " 1 1 ]);\npatch([9.325 19.66 16.66 13.66 10.66 6.325 9.325 ],[6.33 6.33 9.33 6.33 9.33 9.33 6.33 ],[0.931 0.946 " "0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\n\n\ncolor('black'" ");disp('z^{-1}','texmode','on');\nfprintf('','COMMENT: end icon text');" } Block { BlockType Reference Name "Delay5" SID "1001" Ports [1, 1] Position [112, 310, 138, 335] BlockRotation 270 BlockMirror on NamePlacement "alternate" ShowName off LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Delay" SourceType "Xilinx Delay Block" infoedit "Hardware notes: A delay line is a chain, each link of which is an SRL16 followed by a flip-flop." rst off infoeditControl "Selection of Reset will increase slice count due to use of real FFs and instead of SRLs" en off latency "1" dbl_ovrd off reg_retiming off xl_use_area off xl_area "[8 16 0 0 0 0 0]" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "delay" block_version "10.1.3" sg_icon_stat "26,25,1,1,white,blue,0,07b98262,down,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 26 26 0 0 ],[0 0 25 25 0 ],[0.77 0.82" " 0.91 ]);\nplot([0 26 26 0 0 ],[0 0 25 25 0 ]);\npatch([6.325 10.66 13.66 16.66 19.66 13.66 9.325 6.325 ],[15.33" " 15.33 18.33 15.33 18.33 18.33 18.33 15.33 ],[1 1 1 ]);\npatch([9.325 13.66 10.66 6.325 9.325 ],[12.33 12.33 15." "33 15.33 12.33 ],[0.931 0.946 0.973 ]);\npatch([6.325 10.66 13.66 9.325 6.325 ],[9.33 9.33 12.33 12.33 9.33 ],[1" " 1 1 ]);\npatch([9.325 19.66 16.66 13.66 10.66 6.325 9.325 ],[6.33 6.33 9.33 6.33 9.33 9.33 6.33 ],[0.931 0.946 " "0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\n\n\ncolor('black'" ");disp('z^{-1}','texmode','on');\nfprintf('','COMMENT: end icon text');" } Block { BlockType Reference Name "Delay6" SID "1002" Ports [1, 1] Position [112, 355, 138, 380] BlockRotation 270 BlockMirror on NamePlacement "alternate" ShowName off LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Delay" SourceType "Xilinx Delay Block" infoedit "Hardware notes: A delay line is a chain, each link of which is an SRL16 followed by a flip-flop." rst off infoeditControl "Selection of Reset will increase slice count due to use of real FFs and instead of SRLs" en off latency "1" dbl_ovrd off reg_retiming off xl_use_area off xl_area "[8 16 0 0 0 0 0]" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "delay" block_version "10.1.3" sg_icon_stat "26,25,1,1,white,blue,0,07b98262,down,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 26 26 0 0 ],[0 0 25 25 0 ],[0.77 0.82" " 0.91 ]);\nplot([0 26 26 0 0 ],[0 0 25 25 0 ]);\npatch([6.325 10.66 13.66 16.66 19.66 13.66 9.325 6.325 ],[15.33" " 15.33 18.33 15.33 18.33 18.33 18.33 15.33 ],[1 1 1 ]);\npatch([9.325 13.66 10.66 6.325 9.325 ],[12.33 12.33 15." "33 15.33 12.33 ],[0.931 0.946 0.973 ]);\npatch([6.325 10.66 13.66 9.325 6.325 ],[9.33 9.33 12.33 12.33 9.33 ],[1" " 1 1 ]);\npatch([9.325 19.66 16.66 13.66 10.66 6.325 9.325 ],[6.33 6.33 9.33 6.33 9.33 9.33 6.33 ],[0.931 0.946 " "0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\n\n\ncolor('black'" ");disp('z^{-1}','texmode','on');\nfprintf('','COMMENT: end icon text');" } Block { BlockType Reference Name "Up Sample1" SID "1003" Ports [1, 1] Position [160, 91, 195, 119] ShowName off LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Up Sample" SourceType "Xilinx Up Sampler Block" infoedit "Up samples input data. Inserted values can be zeros or copies of the most recent input sample.<" "P>

Hardware notes: No hardware is needed if inserted values are copies of the input sample; otherwise, a mux a" "nd single bit flip-flop are used." sample_ratio "4" copy_samples on en off latency "0" dbl_ovrd off xl_use_area off xl_area "[0 0 0 0 0 0 0]" has_advanced_control "0" sggui_pos "20,20,348,277" block_type "usamp" block_version "10.1.3" sg_icon_stat "35,28,1,1,white,blue,0,a300b05e,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 35 35 0 0 ],[0 0 28 28 0 ],[0.77 0.82" " 0.91 ]);\nplot([0 35 35 0 0 ],[0 0 28 28 0 ]);\npatch([8.1 13.88 17.88 21.88 25.88 17.88 12.1 8.1 ],[18.44 18.4" "4 22.44 18.44 22.44 22.44 22.44 18.44 ],[1 1 1 ]);\npatch([12.1 17.88 13.88 8.1 12.1 ],[14.44 14.44 18.44 18.44 " "14.44 ],[0.931 0.946 0.973 ]);\npatch([8.1 13.88 17.88 12.1 8.1 ],[10.44 10.44 14.44 14.44 10.44 ],[1 1 1 ]);\np" "atch([12.1 25.88 21.88 17.88 13.88 8.1 12.1 ],[6.44 6.44 10.44 6.44 10.44 10.44 6.44 ],[0.931 0.946 0.973 ]);\nf" "printf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\n\n\ncolor('black');disp('{\\f" "ontsize{14pt}\\bf\\uparrow}4','texmode','on');\nfprintf('','COMMENT: end icon text');" } Block { BlockType Reference Name "Up Sample10" SID "1004" Ports [1, 1] Position [160, 136, 195, 164] ShowName off LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Up Sample" SourceType "Xilinx Up Sampler Block" infoedit "Up samples input data. Inserted values can be zeros or copies of the most recent input sample.<" "P>

Hardware notes: No hardware is needed if inserted values are copies of the input sample; otherwise, a mux a" "nd single bit flip-flop are used." sample_ratio "4" copy_samples on en off latency "0" dbl_ovrd off xl_use_area off xl_area "[0 0 0 0 0 0 0]" has_advanced_control "0" sggui_pos "20,20,348,277" block_type "usamp" block_version "10.1.3" sg_icon_stat "35,28,1,1,white,blue,0,a300b05e,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 35 35 0 0 ],[0 0 28 28 0 ],[0.77 0.82" " 0.91 ]);\nplot([0 35 35 0 0 ],[0 0 28 28 0 ]);\npatch([8.1 13.88 17.88 21.88 25.88 17.88 12.1 8.1 ],[18.44 18.4" "4 22.44 18.44 22.44 22.44 22.44 18.44 ],[1 1 1 ]);\npatch([12.1 17.88 13.88 8.1 12.1 ],[14.44 14.44 18.44 18.44 " "14.44 ],[0.931 0.946 0.973 ]);\npatch([8.1 13.88 17.88 12.1 8.1 ],[10.44 10.44 14.44 14.44 10.44 ],[1 1 1 ]);\np" "atch([12.1 25.88 21.88 17.88 13.88 8.1 12.1 ],[6.44 6.44 10.44 6.44 10.44 10.44 6.44 ],[0.931 0.946 0.973 ]);\nf" "printf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\n\n\ncolor('black');disp('{\\f" "ontsize{14pt}\\bf\\uparrow}4','texmode','on');\nfprintf('','COMMENT: end icon text');" } Block { BlockType Reference Name "Up Sample15" SID "1005" Ports [1, 1] Position [160, 376, 195, 404] ShowName off LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Up Sample" SourceType "Xilinx Up Sampler Block" infoedit "Up samples input data. Inserted values can be zeros or copies of the most recent input sample.<" "P>

Hardware notes: No hardware is needed if inserted values are copies of the input sample; otherwise, a mux a" "nd single bit flip-flop are used." sample_ratio "4" copy_samples on en off latency "0" dbl_ovrd off xl_use_area off xl_area "[0 0 0 0 0 0 0]" has_advanced_control "0" sggui_pos "20,20,348,277" block_type "usamp" block_version "10.1.3" sg_icon_stat "35,28,1,1,white,blue,0,a300b05e,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 35 35 0 0 ],[0 0 28 28 0 ],[0.77 0.82" " 0.91 ]);\nplot([0 35 35 0 0 ],[0 0 28 28 0 ]);\npatch([8.1 13.88 17.88 21.88 25.88 17.88 12.1 8.1 ],[18.44 18.4" "4 22.44 18.44 22.44 22.44 22.44 18.44 ],[1 1 1 ]);\npatch([12.1 17.88 13.88 8.1 12.1 ],[14.44 14.44 18.44 18.44 " "14.44 ],[0.931 0.946 0.973 ]);\npatch([8.1 13.88 17.88 12.1 8.1 ],[10.44 10.44 14.44 14.44 10.44 ],[1 1 1 ]);\np" "atch([12.1 25.88 21.88 17.88 13.88 8.1 12.1 ],[6.44 6.44 10.44 6.44 10.44 10.44 6.44 ],[0.931 0.946 0.973 ]);\nf" "printf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\n\n\ncolor('black');disp('{\\f" "ontsize{14pt}\\bf\\uparrow}4','texmode','on');\nfprintf('','COMMENT: end icon text');" } Block { BlockType Reference Name "Up Sample2" SID "1006" Ports [1, 1] Position [160, 36, 195, 64] ShowName off LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Up Sample" SourceType "Xilinx Up Sampler Block" infoedit "Up samples input data. Inserted values can be zeros or copies of the most recent input sample.<" "P>

Hardware notes: No hardware is needed if inserted values are copies of the input sample; otherwise, a mux a" "nd single bit flip-flop are used." sample_ratio "4" copy_samples on en off latency "0" dbl_ovrd off xl_use_area off xl_area "[0 0 0 0 0 0 0]" has_advanced_control "0" sggui_pos "20,20,348,277" block_type "usamp" block_version "10.1.3" sg_icon_stat "35,28,1,1,white,blue,0,a300b05e,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 35 35 0 0 ],[0 0 28 28 0 ],[0.77 0.82" " 0.91 ]);\nplot([0 35 35 0 0 ],[0 0 28 28 0 ]);\npatch([8.1 13.88 17.88 21.88 25.88 17.88 12.1 8.1 ],[18.44 18.4" "4 22.44 18.44 22.44 22.44 22.44 18.44 ],[1 1 1 ]);\npatch([12.1 17.88 13.88 8.1 12.1 ],[14.44 14.44 18.44 18.44 " "14.44 ],[0.931 0.946 0.973 ]);\npatch([8.1 13.88 17.88 12.1 8.1 ],[10.44 10.44 14.44 14.44 10.44 ],[1 1 1 ]);\np" "atch([12.1 25.88 21.88 17.88 13.88 8.1 12.1 ],[6.44 6.44 10.44 6.44 10.44 10.44 6.44 ],[0.931 0.946 0.973 ]);\nf" "printf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\n\n\ncolor('black');disp('{\\f" "ontsize{14pt}\\bf\\uparrow}4','texmode','on');\nfprintf('','COMMENT: end icon text');" } Block { BlockType Reference Name "Up Sample3" SID "1007" Ports [1, 1] Position [160, 186, 195, 214] ShowName off LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Up Sample" SourceType "Xilinx Up Sampler Block" infoedit "Up samples input data. Inserted values can be zeros or copies of the most recent input sample.<" "P>

Hardware notes: No hardware is needed if inserted values are copies of the input sample; otherwise, a mux a" "nd single bit flip-flop are used." sample_ratio "4" copy_samples on en off latency "0" dbl_ovrd off xl_use_area off xl_area "[0 0 0 0 0 0 0]" has_advanced_control "0" sggui_pos "20,20,348,277" block_type "usamp" block_version "10.1.3" sg_icon_stat "35,28,1,1,white,blue,0,a300b05e,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 35 35 0 0 ],[0 0 28 28 0 ],[0.77 0.82" " 0.91 ]);\nplot([0 35 35 0 0 ],[0 0 28 28 0 ]);\npatch([8.1 13.88 17.88 21.88 25.88 17.88 12.1 8.1 ],[18.44 18.4" "4 22.44 18.44 22.44 22.44 22.44 18.44 ],[1 1 1 ]);\npatch([12.1 17.88 13.88 8.1 12.1 ],[14.44 14.44 18.44 18.44 " "14.44 ],[0.931 0.946 0.973 ]);\npatch([8.1 13.88 17.88 12.1 8.1 ],[10.44 10.44 14.44 14.44 10.44 ],[1 1 1 ]);\np" "atch([12.1 25.88 21.88 17.88 13.88 8.1 12.1 ],[6.44 6.44 10.44 6.44 10.44 10.44 6.44 ],[0.931 0.946 0.973 ]);\nf" "printf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\n\n\ncolor('black');disp('{\\f" "ontsize{14pt}\\bf\\uparrow}4','texmode','on');\nfprintf('','COMMENT: end icon text');" } Block { BlockType Reference Name "Up Sample4" SID "1008" Ports [1, 1] Position [160, 231, 195, 259] ShowName off LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Up Sample" SourceType "Xilinx Up Sampler Block" infoedit "Up samples input data. Inserted values can be zeros or copies of the most recent input sample.<" "P>

Hardware notes: No hardware is needed if inserted values are copies of the input sample; otherwise, a mux a" "nd single bit flip-flop are used." sample_ratio "4" copy_samples on en off latency "0" dbl_ovrd off xl_use_area off xl_area "[0 0 0 0 0 0 0]" has_advanced_control "0" sggui_pos "20,20,348,277" block_type "usamp" block_version "10.1.3" sg_icon_stat "35,28,1,1,white,blue,0,a300b05e,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 35 35 0 0 ],[0 0 28 28 0 ],[0.77 0.82" " 0.91 ]);\nplot([0 35 35 0 0 ],[0 0 28 28 0 ]);\npatch([8.1 13.88 17.88 21.88 25.88 17.88 12.1 8.1 ],[18.44 18.4" "4 22.44 18.44 22.44 22.44 22.44 18.44 ],[1 1 1 ]);\npatch([12.1 17.88 13.88 8.1 12.1 ],[14.44 14.44 18.44 18.44 " "14.44 ],[0.931 0.946 0.973 ]);\npatch([8.1 13.88 17.88 12.1 8.1 ],[10.44 10.44 14.44 14.44 10.44 ],[1 1 1 ]);\np" "atch([12.1 25.88 21.88 17.88 13.88 8.1 12.1 ],[6.44 6.44 10.44 6.44 10.44 10.44 6.44 ],[0.931 0.946 0.973 ]);\nf" "printf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\n\n\ncolor('black');disp('{\\f" "ontsize{14pt}\\bf\\uparrow}4','texmode','on');\nfprintf('','COMMENT: end icon text');" } Block { BlockType Reference Name "Up Sample5" SID "1009" Ports [1, 1] Position [160, 281, 195, 309] ShowName off LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Up Sample" SourceType "Xilinx Up Sampler Block" infoedit "Up samples input data. Inserted values can be zeros or copies of the most recent input sample.<" "P>

Hardware notes: No hardware is needed if inserted values are copies of the input sample; otherwise, a mux a" "nd single bit flip-flop are used." sample_ratio "4" copy_samples on en off latency "0" dbl_ovrd off xl_use_area off xl_area "[0 0 0 0 0 0 0]" has_advanced_control "0" sggui_pos "20,20,348,277" block_type "usamp" block_version "10.1.3" sg_icon_stat "35,28,1,1,white,blue,0,a300b05e,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 35 35 0 0 ],[0 0 28 28 0 ],[0.77 0.82" " 0.91 ]);\nplot([0 35 35 0 0 ],[0 0 28 28 0 ]);\npatch([8.1 13.88 17.88 21.88 25.88 17.88 12.1 8.1 ],[18.44 18.4" "4 22.44 18.44 22.44 22.44 22.44 18.44 ],[1 1 1 ]);\npatch([12.1 17.88 13.88 8.1 12.1 ],[14.44 14.44 18.44 18.44 " "14.44 ],[0.931 0.946 0.973 ]);\npatch([8.1 13.88 17.88 12.1 8.1 ],[10.44 10.44 14.44 14.44 10.44 ],[1 1 1 ]);\np" "atch([12.1 25.88 21.88 17.88 13.88 8.1 12.1 ],[6.44 6.44 10.44 6.44 10.44 10.44 6.44 ],[0.931 0.946 0.973 ]);\nf" "printf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\n\n\ncolor('black');disp('{\\f" "ontsize{14pt}\\bf\\uparrow}4','texmode','on');\nfprintf('','COMMENT: end icon text');" } Block { BlockType Reference Name "Up Sample6" SID "1010" Ports [1, 1] Position [160, 326, 195, 354] ShowName off LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Up Sample" SourceType "Xilinx Up Sampler Block" infoedit "Up samples input data. Inserted values can be zeros or copies of the most recent input sample.<" "P>

Hardware notes: No hardware is needed if inserted values are copies of the input sample; otherwise, a mux a" "nd single bit flip-flop are used." sample_ratio "4" copy_samples on en off latency "0" dbl_ovrd off xl_use_area off xl_area "[0 0 0 0 0 0 0]" has_advanced_control "0" sggui_pos "20,20,348,277" block_type "usamp" block_version "10.1.3" sg_icon_stat "35,28,1,1,white,blue,0,a300b05e,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 35 35 0 0 ],[0 0 28 28 0 ],[0.77 0.82" " 0.91 ]);\nplot([0 35 35 0 0 ],[0 0 28 28 0 ]);\npatch([8.1 13.88 17.88 21.88 25.88 17.88 12.1 8.1 ],[18.44 18.4" "4 22.44 18.44 22.44 22.44 22.44 18.44 ],[1 1 1 ]);\npatch([12.1 17.88 13.88 8.1 12.1 ],[14.44 14.44 18.44 18.44 " "14.44 ],[0.931 0.946 0.973 ]);\npatch([8.1 13.88 17.88 12.1 8.1 ],[10.44 10.44 14.44 14.44 10.44 ],[1 1 1 ]);\np" "atch([12.1 25.88 21.88 17.88 13.88 8.1 12.1 ],[6.44 6.44 10.44 6.44 10.44 10.44 6.44 ],[0.931 0.946 0.973 ]);\nf" "printf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\n\n\ncolor('black');disp('{\\f" "ontsize{14pt}\\bf\\uparrow}4','texmode','on');\nfprintf('','COMMENT: end icon text');" } Block { BlockType Outport Name "Out1" SID "1011" Position [220, 43, 250, 57] IconDisplay "Port number" } Block { BlockType Outport Name "Out2" SID "1012" Position [220, 98, 250, 112] Port "2" IconDisplay "Port number" } Block { BlockType Outport Name "Out3" SID "1013" Position [220, 143, 250, 157] Port "3" IconDisplay "Port number" } Block { BlockType Outport Name "Out4" SID "1014" Position [220, 193, 250, 207] Port "4" IconDisplay "Port number" } Block { BlockType Outport Name "Out5" SID "1015" Position [220, 238, 250, 252] Port "5" IconDisplay "Port number" } Block { BlockType Outport Name "Out6" SID "1016" Position [220, 288, 250, 302] Port "6" IconDisplay "Port number" } Block { BlockType Outport Name "Out7" SID "1017" Position [220, 333, 250, 347] Port "7" IconDisplay "Port number" } Block { BlockType Outport Name "Out8" SID "1018" Position [220, 383, 250, 397] Port "8" IconDisplay "Port number" } Line { SrcBlock "Up Sample15" SrcPort 1 DstBlock "Out8" DstPort 1 } Line { SrcBlock "Up Sample6" SrcPort 1 DstBlock "Out7" DstPort 1 } Line { SrcBlock "Up Sample5" SrcPort 1 DstBlock "Out6" DstPort 1 } Line { SrcBlock "Up Sample4" SrcPort 1 DstBlock "Out5" DstPort 1 } Line { SrcBlock "Up Sample3" SrcPort 1 DstBlock "Out4" DstPort 1 } Line { SrcBlock "Up Sample10" SrcPort 1 DstBlock "Out3" DstPort 1 } Line { SrcBlock "Up Sample1" SrcPort 1 DstBlock "Out2" DstPort 1 } Line { SrcBlock "Up Sample2" SrcPort 1 DstBlock "Out1" DstPort 1 } Line { SrcBlock "Delay" SrcPort 1 Points [0, 5] Branch { DstBlock "Up Sample1" DstPort 1 } Branch { DstBlock "Delay1" DstPort 1 } } Line { SrcBlock "Delay1" SrcPort 1 Points [0, 0] Branch { DstBlock "Up Sample10" DstPort 1 } Branch { DstBlock "Delay2" DstPort 1 } } Line { SrcBlock "Delay2" SrcPort 1 Points [0, 5] Branch { DstBlock "Up Sample3" DstPort 1 } Branch { DstBlock "Delay3" DstPort 1 } } Line { SrcBlock "Delay3" SrcPort 1 Points [0, 5] Branch { DstBlock "Up Sample4" DstPort 1 } Branch { DstBlock "Delay4" DstPort 1 } } Line { SrcBlock "Delay4" SrcPort 1 Points [0, 5] Branch { DstBlock "Up Sample5" DstPort 1 } Branch { DstBlock "Delay5" DstPort 1 } } Line { SrcBlock "Delay5" SrcPort 1 Points [0, 0] Branch { DstBlock "Up Sample6" DstPort 1 } Branch { DstBlock "Delay6" DstPort 1 } } Line { SrcBlock "Delay6" SrcPort 1 Points [0, 5] DstBlock "Up Sample15" DstPort 1 } Line { SrcBlock "X" SrcPort 1 Points [60, 0; 0, 15] Branch { DstBlock "Up Sample2" DstPort 1 } Branch { DstBlock "Delay" DstPort 1 } } } } Block { BlockType SubSystem Name "Delays1" SID "1019" Ports [1, 8] Position [80, 149, 125, 266] MinAlgLoopOccurrences off PropExecContextOutsideSubsystem off RTWSystemCode "Auto" FunctionWithSeparateData off Opaque off RequestExecContextInheritance off MaskHideContents off System { Name "Delays1" Location [2, 74, 1910, 1112] Open off ModelBrowserVisibility off ModelBrowserWidth 200 ScreenColor "white" PaperOrientation "landscape" PaperPositionMode "auto" PaperType "usletter" PaperUnits "inches" TiledPaperMargins [0.500000, 0.500000, 0.500000, 0.500000] TiledPageScale 1 ShowPageBoundaries off ZoomFactor "100" Block { BlockType Inport Name "X" SID "1020" Position [25, 29, 60, 41] NamePlacement "alternate" IconDisplay "Port number" } Block { BlockType Reference Name "Delay" SID "1021" Ports [1, 1] Position [112, 70, 138, 95] BlockRotation 270 BlockMirror on NamePlacement "alternate" ShowName off LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Delay" SourceType "Xilinx Delay Block" infoedit "Hardware notes: A delay line is a chain, each link of which is an SRL16 followed by a flip-flop." rst off infoeditControl "Selection of Reset will increase slice count due to use of real FFs and instead of SRLs" en off latency "1" dbl_ovrd off reg_retiming off xl_use_area off xl_area "[8 16 0 0 0 0 0]" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "delay" block_version "10.1.3" sg_icon_stat "26,25,1,1,white,blue,0,07b98262,down,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 26 26 0 0 ],[0 0 25 25 0 ],[0.77 0.82" " 0.91 ]);\nplot([0 26 26 0 0 ],[0 0 25 25 0 ]);\npatch([6.325 10.66 13.66 16.66 19.66 13.66 9.325 6.325 ],[15.33" " 15.33 18.33 15.33 18.33 18.33 18.33 15.33 ],[1 1 1 ]);\npatch([9.325 13.66 10.66 6.325 9.325 ],[12.33 12.33 15." "33 15.33 12.33 ],[0.931 0.946 0.973 ]);\npatch([6.325 10.66 13.66 9.325 6.325 ],[9.33 9.33 12.33 12.33 9.33 ],[1" " 1 1 ]);\npatch([9.325 19.66 16.66 13.66 10.66 6.325 9.325 ],[6.33 6.33 9.33 6.33 9.33 9.33 6.33 ],[0.931 0.946 " "0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\n\n\ncolor('black'" ");disp('z^{-1}','texmode','on');\nfprintf('','COMMENT: end icon text');" } Block { BlockType Reference Name "Delay1" SID "1022" Ports [1, 1] Position [112, 120, 138, 145] BlockRotation 270 BlockMirror on NamePlacement "alternate" ShowName off LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Delay" SourceType "Xilinx Delay Block" infoedit "Hardware notes: A delay line is a chain, each link of which is an SRL16 followed by a flip-flop." rst off infoeditControl "Selection of Reset will increase slice count due to use of real FFs and instead of SRLs" en off latency "1" dbl_ovrd off reg_retiming off xl_use_area off xl_area "[8 16 0 0 0 0 0]" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "delay" block_version "10.1.3" sg_icon_stat "26,25,1,1,white,blue,0,07b98262,down,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 26 26 0 0 ],[0 0 25 25 0 ],[0.77 0.82" " 0.91 ]);\nplot([0 26 26 0 0 ],[0 0 25 25 0 ]);\npatch([6.325 10.66 13.66 16.66 19.66 13.66 9.325 6.325 ],[15.33" " 15.33 18.33 15.33 18.33 18.33 18.33 15.33 ],[1 1 1 ]);\npatch([9.325 13.66 10.66 6.325 9.325 ],[12.33 12.33 15." "33 15.33 12.33 ],[0.931 0.946 0.973 ]);\npatch([6.325 10.66 13.66 9.325 6.325 ],[9.33 9.33 12.33 12.33 9.33 ],[1" " 1 1 ]);\npatch([9.325 19.66 16.66 13.66 10.66 6.325 9.325 ],[6.33 6.33 9.33 6.33 9.33 9.33 6.33 ],[0.931 0.946 " "0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\n\n\ncolor('black'" ");disp('z^{-1}','texmode','on');\nfprintf('','COMMENT: end icon text');" } Block { BlockType Reference Name "Delay2" SID "1023" Ports [1, 1] Position [112, 165, 138, 190] BlockRotation 270 BlockMirror on NamePlacement "alternate" ShowName off LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Delay" SourceType "Xilinx Delay Block" infoedit "Hardware notes: A delay line is a chain, each link of which is an SRL16 followed by a flip-flop." rst off infoeditControl "Selection of Reset will increase slice count due to use of real FFs and instead of SRLs" en off latency "1" dbl_ovrd off reg_retiming off xl_use_area off xl_area "[8 16 0 0 0 0 0]" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "delay" block_version "10.1.3" sg_icon_stat "26,25,1,1,white,blue,0,07b98262,down,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 26 26 0 0 ],[0 0 25 25 0 ],[0.77 0.82" " 0.91 ]);\nplot([0 26 26 0 0 ],[0 0 25 25 0 ]);\npatch([6.325 10.66 13.66 16.66 19.66 13.66 9.325 6.325 ],[15.33" " 15.33 18.33 15.33 18.33 18.33 18.33 15.33 ],[1 1 1 ]);\npatch([9.325 13.66 10.66 6.325 9.325 ],[12.33 12.33 15." "33 15.33 12.33 ],[0.931 0.946 0.973 ]);\npatch([6.325 10.66 13.66 9.325 6.325 ],[9.33 9.33 12.33 12.33 9.33 ],[1" " 1 1 ]);\npatch([9.325 19.66 16.66 13.66 10.66 6.325 9.325 ],[6.33 6.33 9.33 6.33 9.33 9.33 6.33 ],[0.931 0.946 " "0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\n\n\ncolor('black'" ");disp('z^{-1}','texmode','on');\nfprintf('','COMMENT: end icon text');" } Block { BlockType Reference Name "Delay3" SID "1024" Ports [1, 1] Position [112, 210, 138, 235] BlockRotation 270 BlockMirror on NamePlacement "alternate" ShowName off LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Delay" SourceType "Xilinx Delay Block" infoedit "Hardware notes: A delay line is a chain, each link of which is an SRL16 followed by a flip-flop." rst off infoeditControl "Selection of Reset will increase slice count due to use of real FFs and instead of SRLs" en off latency "1" dbl_ovrd off reg_retiming off xl_use_area off xl_area "[8 16 0 0 0 0 0]" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "delay" block_version "10.1.3" sg_icon_stat "26,25,1,1,white,blue,0,07b98262,down,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 26 26 0 0 ],[0 0 25 25 0 ],[0.77 0.82" " 0.91 ]);\nplot([0 26 26 0 0 ],[0 0 25 25 0 ]);\npatch([6.325 10.66 13.66 16.66 19.66 13.66 9.325 6.325 ],[15.33" " 15.33 18.33 15.33 18.33 18.33 18.33 15.33 ],[1 1 1 ]);\npatch([9.325 13.66 10.66 6.325 9.325 ],[12.33 12.33 15." "33 15.33 12.33 ],[0.931 0.946 0.973 ]);\npatch([6.325 10.66 13.66 9.325 6.325 ],[9.33 9.33 12.33 12.33 9.33 ],[1" " 1 1 ]);\npatch([9.325 19.66 16.66 13.66 10.66 6.325 9.325 ],[6.33 6.33 9.33 6.33 9.33 9.33 6.33 ],[0.931 0.946 " "0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\n\n\ncolor('black'" ");disp('z^{-1}','texmode','on');\nfprintf('','COMMENT: end icon text');" } Block { BlockType Reference Name "Delay4" SID "1025" Ports [1, 1] Position [112, 260, 138, 285] BlockRotation 270 BlockMirror on NamePlacement "alternate" ShowName off LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Delay" SourceType "Xilinx Delay Block" infoedit "Hardware notes: A delay line is a chain, each link of which is an SRL16 followed by a flip-flop." rst off infoeditControl "Selection of Reset will increase slice count due to use of real FFs and instead of SRLs" en off latency "1" dbl_ovrd off reg_retiming off xl_use_area off xl_area "[8 16 0 0 0 0 0]" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "delay" block_version "10.1.3" sg_icon_stat "26,25,1,1,white,blue,0,07b98262,down,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 26 26 0 0 ],[0 0 25 25 0 ],[0.77 0.82" " 0.91 ]);\nplot([0 26 26 0 0 ],[0 0 25 25 0 ]);\npatch([6.325 10.66 13.66 16.66 19.66 13.66 9.325 6.325 ],[15.33" " 15.33 18.33 15.33 18.33 18.33 18.33 15.33 ],[1 1 1 ]);\npatch([9.325 13.66 10.66 6.325 9.325 ],[12.33 12.33 15." "33 15.33 12.33 ],[0.931 0.946 0.973 ]);\npatch([6.325 10.66 13.66 9.325 6.325 ],[9.33 9.33 12.33 12.33 9.33 ],[1" " 1 1 ]);\npatch([9.325 19.66 16.66 13.66 10.66 6.325 9.325 ],[6.33 6.33 9.33 6.33 9.33 9.33 6.33 ],[0.931 0.946 " "0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\n\n\ncolor('black'" ");disp('z^{-1}','texmode','on');\nfprintf('','COMMENT: end icon text');" } Block { BlockType Reference Name "Delay5" SID "1026" Ports [1, 1] Position [112, 310, 138, 335] BlockRotation 270 BlockMirror on NamePlacement "alternate" ShowName off LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Delay" SourceType "Xilinx Delay Block" infoedit "Hardware notes: A delay line is a chain, each link of which is an SRL16 followed by a flip-flop." rst off infoeditControl "Selection of Reset will increase slice count due to use of real FFs and instead of SRLs" en off latency "1" dbl_ovrd off reg_retiming off xl_use_area off xl_area "[8 16 0 0 0 0 0]" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "delay" block_version "10.1.3" sg_icon_stat "26,25,1,1,white,blue,0,07b98262,down,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 26 26 0 0 ],[0 0 25 25 0 ],[0.77 0.82" " 0.91 ]);\nplot([0 26 26 0 0 ],[0 0 25 25 0 ]);\npatch([6.325 10.66 13.66 16.66 19.66 13.66 9.325 6.325 ],[15.33" " 15.33 18.33 15.33 18.33 18.33 18.33 15.33 ],[1 1 1 ]);\npatch([9.325 13.66 10.66 6.325 9.325 ],[12.33 12.33 15." "33 15.33 12.33 ],[0.931 0.946 0.973 ]);\npatch([6.325 10.66 13.66 9.325 6.325 ],[9.33 9.33 12.33 12.33 9.33 ],[1" " 1 1 ]);\npatch([9.325 19.66 16.66 13.66 10.66 6.325 9.325 ],[6.33 6.33 9.33 6.33 9.33 9.33 6.33 ],[0.931 0.946 " "0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\n\n\ncolor('black'" ");disp('z^{-1}','texmode','on');\nfprintf('','COMMENT: end icon text');" } Block { BlockType Reference Name "Delay6" SID "1027" Ports [1, 1] Position [112, 355, 138, 380] BlockRotation 270 BlockMirror on NamePlacement "alternate" ShowName off LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Delay" SourceType "Xilinx Delay Block" infoedit "Hardware notes: A delay line is a chain, each link of which is an SRL16 followed by a flip-flop." rst off infoeditControl "Selection of Reset will increase slice count due to use of real FFs and instead of SRLs" en off latency "1" dbl_ovrd off reg_retiming off xl_use_area off xl_area "[8 16 0 0 0 0 0]" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "delay" block_version "10.1.3" sg_icon_stat "26,25,1,1,white,blue,0,07b98262,down,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 26 26 0 0 ],[0 0 25 25 0 ],[0.77 0.82" " 0.91 ]);\nplot([0 26 26 0 0 ],[0 0 25 25 0 ]);\npatch([6.325 10.66 13.66 16.66 19.66 13.66 9.325 6.325 ],[15.33" " 15.33 18.33 15.33 18.33 18.33 18.33 15.33 ],[1 1 1 ]);\npatch([9.325 13.66 10.66 6.325 9.325 ],[12.33 12.33 15." "33 15.33 12.33 ],[0.931 0.946 0.973 ]);\npatch([6.325 10.66 13.66 9.325 6.325 ],[9.33 9.33 12.33 12.33 9.33 ],[1" " 1 1 ]);\npatch([9.325 19.66 16.66 13.66 10.66 6.325 9.325 ],[6.33 6.33 9.33 6.33 9.33 9.33 6.33 ],[0.931 0.946 " "0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\n\n\ncolor('black'" ");disp('z^{-1}','texmode','on');\nfprintf('','COMMENT: end icon text');" } Block { BlockType Reference Name "Up Sample1" SID "1028" Ports [1, 1] Position [160, 91, 195, 119] ShowName off LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Up Sample" SourceType "Xilinx Up Sampler Block" infoedit "Up samples input data. Inserted values can be zeros or copies of the most recent input sample.<" "P>

Hardware notes: No hardware is needed if inserted values are copies of the input sample; otherwise, a mux a" "nd single bit flip-flop are used." sample_ratio "4" copy_samples on en off latency "0" dbl_ovrd off xl_use_area off xl_area "[0 0 0 0 0 0 0]" has_advanced_control "0" sggui_pos "20,20,348,277" block_type "usamp" block_version "10.1.3" sg_icon_stat "35,28,1,1,white,blue,0,a300b05e,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 35 35 0 0 ],[0 0 28 28 0 ],[0.77 0.82" " 0.91 ]);\nplot([0 35 35 0 0 ],[0 0 28 28 0 ]);\npatch([8.1 13.88 17.88 21.88 25.88 17.88 12.1 8.1 ],[18.44 18.4" "4 22.44 18.44 22.44 22.44 22.44 18.44 ],[1 1 1 ]);\npatch([12.1 17.88 13.88 8.1 12.1 ],[14.44 14.44 18.44 18.44 " "14.44 ],[0.931 0.946 0.973 ]);\npatch([8.1 13.88 17.88 12.1 8.1 ],[10.44 10.44 14.44 14.44 10.44 ],[1 1 1 ]);\np" "atch([12.1 25.88 21.88 17.88 13.88 8.1 12.1 ],[6.44 6.44 10.44 6.44 10.44 10.44 6.44 ],[0.931 0.946 0.973 ]);\nf" "printf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\n\n\ncolor('black');disp('{\\f" "ontsize{14pt}\\bf\\uparrow}4','texmode','on');\nfprintf('','COMMENT: end icon text');" } Block { BlockType Reference Name "Up Sample10" SID "1029" Ports [1, 1] Position [160, 136, 195, 164] ShowName off LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Up Sample" SourceType "Xilinx Up Sampler Block" infoedit "Up samples input data. Inserted values can be zeros or copies of the most recent input sample.<" "P>

Hardware notes: No hardware is needed if inserted values are copies of the input sample; otherwise, a mux a" "nd single bit flip-flop are used." sample_ratio "4" copy_samples on en off latency "0" dbl_ovrd off xl_use_area off xl_area "[0 0 0 0 0 0 0]" has_advanced_control "0" sggui_pos "20,20,348,277" block_type "usamp" block_version "10.1.3" sg_icon_stat "35,28,1,1,white,blue,0,a300b05e,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 35 35 0 0 ],[0 0 28 28 0 ],[0.77 0.82" " 0.91 ]);\nplot([0 35 35 0 0 ],[0 0 28 28 0 ]);\npatch([8.1 13.88 17.88 21.88 25.88 17.88 12.1 8.1 ],[18.44 18.4" "4 22.44 18.44 22.44 22.44 22.44 18.44 ],[1 1 1 ]);\npatch([12.1 17.88 13.88 8.1 12.1 ],[14.44 14.44 18.44 18.44 " "14.44 ],[0.931 0.946 0.973 ]);\npatch([8.1 13.88 17.88 12.1 8.1 ],[10.44 10.44 14.44 14.44 10.44 ],[1 1 1 ]);\np" "atch([12.1 25.88 21.88 17.88 13.88 8.1 12.1 ],[6.44 6.44 10.44 6.44 10.44 10.44 6.44 ],[0.931 0.946 0.973 ]);\nf" "printf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\n\n\ncolor('black');disp('{\\f" "ontsize{14pt}\\bf\\uparrow}4','texmode','on');\nfprintf('','COMMENT: end icon text');" } Block { BlockType Reference Name "Up Sample15" SID "1030" Ports [1, 1] Position [160, 376, 195, 404] ShowName off LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Up Sample" SourceType "Xilinx Up Sampler Block" infoedit "Up samples input data. Inserted values can be zeros or copies of the most recent input sample.<" "P>

Hardware notes: No hardware is needed if inserted values are copies of the input sample; otherwise, a mux a" "nd single bit flip-flop are used." sample_ratio "4" copy_samples on en off latency "0" dbl_ovrd off xl_use_area off xl_area "[0 0 0 0 0 0 0]" has_advanced_control "0" sggui_pos "20,20,348,277" block_type "usamp" block_version "10.1.3" sg_icon_stat "35,28,1,1,white,blue,0,a300b05e,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 35 35 0 0 ],[0 0 28 28 0 ],[0.77 0.82" " 0.91 ]);\nplot([0 35 35 0 0 ],[0 0 28 28 0 ]);\npatch([8.1 13.88 17.88 21.88 25.88 17.88 12.1 8.1 ],[18.44 18.4" "4 22.44 18.44 22.44 22.44 22.44 18.44 ],[1 1 1 ]);\npatch([12.1 17.88 13.88 8.1 12.1 ],[14.44 14.44 18.44 18.44 " "14.44 ],[0.931 0.946 0.973 ]);\npatch([8.1 13.88 17.88 12.1 8.1 ],[10.44 10.44 14.44 14.44 10.44 ],[1 1 1 ]);\np" "atch([12.1 25.88 21.88 17.88 13.88 8.1 12.1 ],[6.44 6.44 10.44 6.44 10.44 10.44 6.44 ],[0.931 0.946 0.973 ]);\nf" "printf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\n\n\ncolor('black');disp('{\\f" "ontsize{14pt}\\bf\\uparrow}4','texmode','on');\nfprintf('','COMMENT: end icon text');" } Block { BlockType Reference Name "Up Sample2" SID "1031" Ports [1, 1] Position [160, 36, 195, 64] ShowName off LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Up Sample" SourceType "Xilinx Up Sampler Block" infoedit "Up samples input data. Inserted values can be zeros or copies of the most recent input sample.<" "P>

Hardware notes: No hardware is needed if inserted values are copies of the input sample; otherwise, a mux a" "nd single bit flip-flop are used." sample_ratio "4" copy_samples on en off latency "0" dbl_ovrd off xl_use_area off xl_area "[0 0 0 0 0 0 0]" has_advanced_control "0" sggui_pos "20,20,348,277" block_type "usamp" block_version "10.1.3" sg_icon_stat "35,28,1,1,white,blue,0,a300b05e,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 35 35 0 0 ],[0 0 28 28 0 ],[0.77 0.82" " 0.91 ]);\nplot([0 35 35 0 0 ],[0 0 28 28 0 ]);\npatch([8.1 13.88 17.88 21.88 25.88 17.88 12.1 8.1 ],[18.44 18.4" "4 22.44 18.44 22.44 22.44 22.44 18.44 ],[1 1 1 ]);\npatch([12.1 17.88 13.88 8.1 12.1 ],[14.44 14.44 18.44 18.44 " "14.44 ],[0.931 0.946 0.973 ]);\npatch([8.1 13.88 17.88 12.1 8.1 ],[10.44 10.44 14.44 14.44 10.44 ],[1 1 1 ]);\np" "atch([12.1 25.88 21.88 17.88 13.88 8.1 12.1 ],[6.44 6.44 10.44 6.44 10.44 10.44 6.44 ],[0.931 0.946 0.973 ]);\nf" "printf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\n\n\ncolor('black');disp('{\\f" "ontsize{14pt}\\bf\\uparrow}4','texmode','on');\nfprintf('','COMMENT: end icon text');" } Block { BlockType Reference Name "Up Sample3" SID "1032" Ports [1, 1] Position [160, 186, 195, 214] ShowName off LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Up Sample" SourceType "Xilinx Up Sampler Block" infoedit "Up samples input data. Inserted values can be zeros or copies of the most recent input sample.<" "P>

Hardware notes: No hardware is needed if inserted values are copies of the input sample; otherwise, a mux a" "nd single bit flip-flop are used." sample_ratio "4" copy_samples on en off latency "0" dbl_ovrd off xl_use_area off xl_area "[0 0 0 0 0 0 0]" has_advanced_control "0" sggui_pos "20,20,348,277" block_type "usamp" block_version "10.1.3" sg_icon_stat "35,28,1,1,white,blue,0,a300b05e,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 35 35 0 0 ],[0 0 28 28 0 ],[0.77 0.82" " 0.91 ]);\nplot([0 35 35 0 0 ],[0 0 28 28 0 ]);\npatch([8.1 13.88 17.88 21.88 25.88 17.88 12.1 8.1 ],[18.44 18.4" "4 22.44 18.44 22.44 22.44 22.44 18.44 ],[1 1 1 ]);\npatch([12.1 17.88 13.88 8.1 12.1 ],[14.44 14.44 18.44 18.44 " "14.44 ],[0.931 0.946 0.973 ]);\npatch([8.1 13.88 17.88 12.1 8.1 ],[10.44 10.44 14.44 14.44 10.44 ],[1 1 1 ]);\np" "atch([12.1 25.88 21.88 17.88 13.88 8.1 12.1 ],[6.44 6.44 10.44 6.44 10.44 10.44 6.44 ],[0.931 0.946 0.973 ]);\nf" "printf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\n\n\ncolor('black');disp('{\\f" "ontsize{14pt}\\bf\\uparrow}4','texmode','on');\nfprintf('','COMMENT: end icon text');" } Block { BlockType Reference Name "Up Sample4" SID "1033" Ports [1, 1] Position [160, 231, 195, 259] ShowName off LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Up Sample" SourceType "Xilinx Up Sampler Block" infoedit "Up samples input data. Inserted values can be zeros or copies of the most recent input sample.<" "P>

Hardware notes: No hardware is needed if inserted values are copies of the input sample; otherwise, a mux a" "nd single bit flip-flop are used." sample_ratio "4" copy_samples on en off latency "0" dbl_ovrd off xl_use_area off xl_area "[0 0 0 0 0 0 0]" has_advanced_control "0" sggui_pos "20,20,348,277" block_type "usamp" block_version "10.1.3" sg_icon_stat "35,28,1,1,white,blue,0,a300b05e,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 35 35 0 0 ],[0 0 28 28 0 ],[0.77 0.82" " 0.91 ]);\nplot([0 35 35 0 0 ],[0 0 28 28 0 ]);\npatch([8.1 13.88 17.88 21.88 25.88 17.88 12.1 8.1 ],[18.44 18.4" "4 22.44 18.44 22.44 22.44 22.44 18.44 ],[1 1 1 ]);\npatch([12.1 17.88 13.88 8.1 12.1 ],[14.44 14.44 18.44 18.44 " "14.44 ],[0.931 0.946 0.973 ]);\npatch([8.1 13.88 17.88 12.1 8.1 ],[10.44 10.44 14.44 14.44 10.44 ],[1 1 1 ]);\np" "atch([12.1 25.88 21.88 17.88 13.88 8.1 12.1 ],[6.44 6.44 10.44 6.44 10.44 10.44 6.44 ],[0.931 0.946 0.973 ]);\nf" "printf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\n\n\ncolor('black');disp('{\\f" "ontsize{14pt}\\bf\\uparrow}4','texmode','on');\nfprintf('','COMMENT: end icon text');" } Block { BlockType Reference Name "Up Sample5" SID "1034" Ports [1, 1] Position [160, 281, 195, 309] ShowName off LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Up Sample" SourceType "Xilinx Up Sampler Block" infoedit "Up samples input data. Inserted values can be zeros or copies of the most recent input sample.<" "P>

Hardware notes: No hardware is needed if inserted values are copies of the input sample; otherwise, a mux a" "nd single bit flip-flop are used." sample_ratio "4" copy_samples on en off latency "0" dbl_ovrd off xl_use_area off xl_area "[0 0 0 0 0 0 0]" has_advanced_control "0" sggui_pos "20,20,348,277" block_type "usamp" block_version "10.1.3" sg_icon_stat "35,28,1,1,white,blue,0,a300b05e,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 35 35 0 0 ],[0 0 28 28 0 ],[0.77 0.82" " 0.91 ]);\nplot([0 35 35 0 0 ],[0 0 28 28 0 ]);\npatch([8.1 13.88 17.88 21.88 25.88 17.88 12.1 8.1 ],[18.44 18.4" "4 22.44 18.44 22.44 22.44 22.44 18.44 ],[1 1 1 ]);\npatch([12.1 17.88 13.88 8.1 12.1 ],[14.44 14.44 18.44 18.44 " "14.44 ],[0.931 0.946 0.973 ]);\npatch([8.1 13.88 17.88 12.1 8.1 ],[10.44 10.44 14.44 14.44 10.44 ],[1 1 1 ]);\np" "atch([12.1 25.88 21.88 17.88 13.88 8.1 12.1 ],[6.44 6.44 10.44 6.44 10.44 10.44 6.44 ],[0.931 0.946 0.973 ]);\nf" "printf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\n\n\ncolor('black');disp('{\\f" "ontsize{14pt}\\bf\\uparrow}4','texmode','on');\nfprintf('','COMMENT: end icon text');" } Block { BlockType Reference Name "Up Sample6" SID "1035" Ports [1, 1] Position [160, 326, 195, 354] ShowName off LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Up Sample" SourceType "Xilinx Up Sampler Block" infoedit "Up samples input data. Inserted values can be zeros or copies of the most recent input sample.<" "P>

Hardware notes: No hardware is needed if inserted values are copies of the input sample; otherwise, a mux a" "nd single bit flip-flop are used." sample_ratio "4" copy_samples on en off latency "0" dbl_ovrd off xl_use_area off xl_area "[0 0 0 0 0 0 0]" has_advanced_control "0" sggui_pos "20,20,348,277" block_type "usamp" block_version "10.1.3" sg_icon_stat "35,28,1,1,white,blue,0,a300b05e,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 35 35 0 0 ],[0 0 28 28 0 ],[0.77 0.82" " 0.91 ]);\nplot([0 35 35 0 0 ],[0 0 28 28 0 ]);\npatch([8.1 13.88 17.88 21.88 25.88 17.88 12.1 8.1 ],[18.44 18.4" "4 22.44 18.44 22.44 22.44 22.44 18.44 ],[1 1 1 ]);\npatch([12.1 17.88 13.88 8.1 12.1 ],[14.44 14.44 18.44 18.44 " "14.44 ],[0.931 0.946 0.973 ]);\npatch([8.1 13.88 17.88 12.1 8.1 ],[10.44 10.44 14.44 14.44 10.44 ],[1 1 1 ]);\np" "atch([12.1 25.88 21.88 17.88 13.88 8.1 12.1 ],[6.44 6.44 10.44 6.44 10.44 10.44 6.44 ],[0.931 0.946 0.973 ]);\nf" "printf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\n\n\ncolor('black');disp('{\\f" "ontsize{14pt}\\bf\\uparrow}4','texmode','on');\nfprintf('','COMMENT: end icon text');" } Block { BlockType Outport Name "Out1" SID "1036" Position [220, 43, 250, 57] IconDisplay "Port number" } Block { BlockType Outport Name "Out2" SID "1037" Position [220, 98, 250, 112] Port "2" IconDisplay "Port number" } Block { BlockType Outport Name "Out3" SID "1038" Position [220, 143, 250, 157] Port "3" IconDisplay "Port number" } Block { BlockType Outport Name "Out4" SID "1039" Position [220, 193, 250, 207] Port "4" IconDisplay "Port number" } Block { BlockType Outport Name "Out5" SID "1040" Position [220, 238, 250, 252] Port "5" IconDisplay "Port number" } Block { BlockType Outport Name "Out6" SID "1041" Position [220, 288, 250, 302] Port "6" IconDisplay "Port number" } Block { BlockType Outport Name "Out7" SID "1042" Position [220, 333, 250, 347] Port "7" IconDisplay "Port number" } Block { BlockType Outport Name "Out8" SID "1043" Position [220, 383, 250, 397] Port "8" IconDisplay "Port number" } Line { SrcBlock "X" SrcPort 1 Points [60, 0; 0, 15] Branch { DstBlock "Delay" DstPort 1 } Branch { DstBlock "Up Sample2" DstPort 1 } } Line { SrcBlock "Delay6" SrcPort 1 Points [0, 5] DstBlock "Up Sample15" DstPort 1 } Line { SrcBlock "Delay5" SrcPort 1 Points [0, 0] Branch { DstBlock "Delay6" DstPort 1 } Branch { DstBlock "Up Sample6" DstPort 1 } } Line { SrcBlock "Delay4" SrcPort 1 Points [0, 5] Branch { DstBlock "Delay5" DstPort 1 } Branch { DstBlock "Up Sample5" DstPort 1 } } Line { SrcBlock "Delay3" SrcPort 1 Points [0, 5] Branch { DstBlock "Delay4" DstPort 1 } Branch { DstBlock "Up Sample4" DstPort 1 } } Line { SrcBlock "Delay2" SrcPort 1 Points [0, 5] Branch { DstBlock "Delay3" DstPort 1 } Branch { DstBlock "Up Sample3" DstPort 1 } } Line { SrcBlock "Delay1" SrcPort 1 Points [0, 0] Branch { DstBlock "Delay2" DstPort 1 } Branch { DstBlock "Up Sample10" DstPort 1 } } Line { SrcBlock "Delay" SrcPort 1 Points [0, 5] Branch { DstBlock "Delay1" DstPort 1 } Branch { DstBlock "Up Sample1" DstPort 1 } } Line { SrcBlock "Up Sample2" SrcPort 1 DstBlock "Out1" DstPort 1 } Line { SrcBlock "Up Sample1" SrcPort 1 DstBlock "Out2" DstPort 1 } Line { SrcBlock "Up Sample10" SrcPort 1 DstBlock "Out3" DstPort 1 } Line { SrcBlock "Up Sample3" SrcPort 1 DstBlock "Out4" DstPort 1 } Line { SrcBlock "Up Sample4" SrcPort 1 DstBlock "Out5" DstPort 1 } Line { SrcBlock "Up Sample5" SrcPort 1 DstBlock "Out6" DstPort 1 } Line { SrcBlock "Up Sample6" SrcPort 1 DstBlock "Out7" DstPort 1 } Line { SrcBlock "Up Sample15" SrcPort 1 DstBlock "Out8" DstPort 1 } } } Block { BlockType Reference Name "Time Division\nMultiplexer1" SID "1044" Ports [2, 1] Position [225, 47, 285, 63] ShowName off LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Time Division\nMultiplexer" SourceType "Xilinx Time Division Multiplexer Block" infoedit "Multiple inputs are sampled and presented serially at the output." inputs "2" vin off dbl_ovrd off xl_use_area off xl_area "[3 2 0 35 0 0 0]" explicit_period "off" period "1" has_advanced_control "0" sggui_pos "20,20,348,209" block_type "tdm" block_version "10.1.3" sg_icon_stat "60,16,2,1,white,blue,0,2f8962b8,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 0 ],[0 0 16 16 0 ],[0.77 0.82 0.9" "1 ]);\nplot([0 60 60 0 0 ],[0 0 16 16 0 ]);\npatch([25.55 28.44 30.44 32.44 34.44 30.44 27.55 25.55 ],[10.22 10.22" " 12.22 10.22 12.22 12.22 12.22 10.22 ],[1 1 1 ]);\npatch([27.55 30.44 28.44 25.55 27.55 ],[8.22 8.22 10.22 10.22 8" ".22 ],[0.931 0.946 0.973 ]);\npatch([25.55 28.44 30.44 27.55 25.55 ],[6.22 6.22 8.22 8.22 6.22 ],[1 1 1 ]);\npatch" "([27.55 34.44 32.44 30.44 28.44 25.55 27.55 ],[4.22 4.22 6.22 4.22 6.22 6.22 4.22 ],[0.931 0.946 0.973 ]);\nfprint" "f('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1," "'d0');\ncolor('black');port_label('input',2,'d1');\ncolor('black');port_label('output',1,'q');\ncolor('black');dis" "p('TDM');\nfprintf('','COMMENT: end icon text');\n" } Block { BlockType Reference Name "Time Division\nMultiplexer16" SID "1045" Ports [2, 1] Position [225, 32, 285, 48] ShowName off LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Time Division\nMultiplexer" SourceType "Xilinx Time Division Multiplexer Block" infoedit "Multiple inputs are sampled and presented serially at the output." inputs "2" vin off dbl_ovrd off xl_use_area off xl_area "[3 2 0 35 0 0 0]" explicit_period "off" period "1" has_advanced_control "0" sggui_pos "20,20,348,209" block_type "tdm" block_version "10.1.3" sg_icon_stat "60,16,2,1,white,blue,0,2f8962b8,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 0 ],[0 0 16 16 0 ],[0.77 0.82 0.9" "1 ]);\nplot([0 60 60 0 0 ],[0 0 16 16 0 ]);\npatch([25.55 28.44 30.44 32.44 34.44 30.44 27.55 25.55 ],[10.22 10.22" " 12.22 10.22 12.22 12.22 12.22 10.22 ],[1 1 1 ]);\npatch([27.55 30.44 28.44 25.55 27.55 ],[8.22 8.22 10.22 10.22 8" ".22 ],[0.931 0.946 0.973 ]);\npatch([25.55 28.44 30.44 27.55 25.55 ],[6.22 6.22 8.22 8.22 6.22 ],[1 1 1 ]);\npatch" "([27.55 34.44 32.44 30.44 28.44 25.55 27.55 ],[4.22 4.22 6.22 4.22 6.22 6.22 4.22 ],[0.931 0.946 0.973 ]);\nfprint" "f('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1," "'d0');\ncolor('black');port_label('input',2,'d1');\ncolor('black');port_label('output',1,'q');\ncolor('black');dis" "p('TDM');\nfprintf('','COMMENT: end icon text');\n" } Block { BlockType Reference Name "Time Division\nMultiplexer2" SID "1046" Ports [2, 1] Position [225, 77, 285, 93] ShowName off LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Time Division\nMultiplexer" SourceType "Xilinx Time Division Multiplexer Block" infoedit "Multiple inputs are sampled and presented serially at the output." inputs "2" vin off dbl_ovrd off xl_use_area off xl_area "[3 2 0 35 0 0 0]" explicit_period "off" period "1" has_advanced_control "0" sggui_pos "20,20,348,209" block_type "tdm" block_version "10.1.3" sg_icon_stat "60,16,2,1,white,blue,0,2f8962b8,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 0 ],[0 0 16 16 0 ],[0.77 0.82 0.9" "1 ]);\nplot([0 60 60 0 0 ],[0 0 16 16 0 ]);\npatch([25.55 28.44 30.44 32.44 34.44 30.44 27.55 25.55 ],[10.22 10.22" " 12.22 10.22 12.22 12.22 12.22 10.22 ],[1 1 1 ]);\npatch([27.55 30.44 28.44 25.55 27.55 ],[8.22 8.22 10.22 10.22 8" ".22 ],[0.931 0.946 0.973 ]);\npatch([25.55 28.44 30.44 27.55 25.55 ],[6.22 6.22 8.22 8.22 6.22 ],[1 1 1 ]);\npatch" "([27.55 34.44 32.44 30.44 28.44 25.55 27.55 ],[4.22 4.22 6.22 4.22 6.22 6.22 4.22 ],[0.931 0.946 0.973 ]);\nfprint" "f('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1," "'d0');\ncolor('black');port_label('input',2,'d1');\ncolor('black');port_label('output',1,'q');\ncolor('black');dis" "p('TDM');\nfprintf('','COMMENT: end icon text');\n" } Block { BlockType Reference Name "Time Division\nMultiplexer3" SID "1047" Ports [2, 1] Position [225, 62, 285, 78] ShowName off LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Time Division\nMultiplexer" SourceType "Xilinx Time Division Multiplexer Block" infoedit "Multiple inputs are sampled and presented serially at the output." inputs "2" vin off dbl_ovrd off xl_use_area off xl_area "[3 2 0 35 0 0 0]" explicit_period "off" period "1" has_advanced_control "0" sggui_pos "20,20,348,209" block_type "tdm" block_version "10.1.3" sg_icon_stat "60,16,2,1,white,blue,0,2f8962b8,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 0 ],[0 0 16 16 0 ],[0.77 0.82 0.9" "1 ]);\nplot([0 60 60 0 0 ],[0 0 16 16 0 ]);\npatch([25.55 28.44 30.44 32.44 34.44 30.44 27.55 25.55 ],[10.22 10.22" " 12.22 10.22 12.22 12.22 12.22 10.22 ],[1 1 1 ]);\npatch([27.55 30.44 28.44 25.55 27.55 ],[8.22 8.22 10.22 10.22 8" ".22 ],[0.931 0.946 0.973 ]);\npatch([25.55 28.44 30.44 27.55 25.55 ],[6.22 6.22 8.22 8.22 6.22 ],[1 1 1 ]);\npatch" "([27.55 34.44 32.44 30.44 28.44 25.55 27.55 ],[4.22 4.22 6.22 4.22 6.22 6.22 4.22 ],[0.931 0.946 0.973 ]);\nfprint" "f('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1," "'d0');\ncolor('black');port_label('input',2,'d1');\ncolor('black');port_label('output',1,'q');\ncolor('black');dis" "p('TDM');\nfprintf('','COMMENT: end icon text');\n" } Block { BlockType Reference Name "Time Division\nMultiplexer4" SID "1048" Ports [2, 1] Position [225, 107, 285, 123] ShowName off LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Time Division\nMultiplexer" SourceType "Xilinx Time Division Multiplexer Block" infoedit "Multiple inputs are sampled and presented serially at the output." inputs "2" vin off dbl_ovrd off xl_use_area off xl_area "[3 2 0 35 0 0 0]" explicit_period "off" period "1" has_advanced_control "0" sggui_pos "20,20,348,209" block_type "tdm" block_version "10.1.3" sg_icon_stat "60,16,2,1,white,blue,0,2f8962b8,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 0 ],[0 0 16 16 0 ],[0.77 0.82 0.9" "1 ]);\nplot([0 60 60 0 0 ],[0 0 16 16 0 ]);\npatch([25.55 28.44 30.44 32.44 34.44 30.44 27.55 25.55 ],[10.22 10.22" " 12.22 10.22 12.22 12.22 12.22 10.22 ],[1 1 1 ]);\npatch([27.55 30.44 28.44 25.55 27.55 ],[8.22 8.22 10.22 10.22 8" ".22 ],[0.931 0.946 0.973 ]);\npatch([25.55 28.44 30.44 27.55 25.55 ],[6.22 6.22 8.22 8.22 6.22 ],[1 1 1 ]);\npatch" "([27.55 34.44 32.44 30.44 28.44 25.55 27.55 ],[4.22 4.22 6.22 4.22 6.22 6.22 4.22 ],[0.931 0.946 0.973 ]);\nfprint" "f('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1," "'d0');\ncolor('black');port_label('input',2,'d1');\ncolor('black');port_label('output',1,'q');\ncolor('black');dis" "p('TDM');\nfprintf('','COMMENT: end icon text');\n" } Block { BlockType Reference Name "Time Division\nMultiplexer5" SID "1049" Ports [2, 1] Position [225, 92, 285, 108] ShowName off LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Time Division\nMultiplexer" SourceType "Xilinx Time Division Multiplexer Block" infoedit "Multiple inputs are sampled and presented serially at the output." inputs "2" vin off dbl_ovrd off xl_use_area off xl_area "[3 2 0 35 0 0 0]" explicit_period "off" period "1" has_advanced_control "0" sggui_pos "20,20,348,209" block_type "tdm" block_version "10.1.3" sg_icon_stat "60,16,2,1,white,blue,0,2f8962b8,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 0 ],[0 0 16 16 0 ],[0.77 0.82 0.9" "1 ]);\nplot([0 60 60 0 0 ],[0 0 16 16 0 ]);\npatch([25.55 28.44 30.44 32.44 34.44 30.44 27.55 25.55 ],[10.22 10.22" " 12.22 10.22 12.22 12.22 12.22 10.22 ],[1 1 1 ]);\npatch([27.55 30.44 28.44 25.55 27.55 ],[8.22 8.22 10.22 10.22 8" ".22 ],[0.931 0.946 0.973 ]);\npatch([25.55 28.44 30.44 27.55 25.55 ],[6.22 6.22 8.22 8.22 6.22 ],[1 1 1 ]);\npatch" "([27.55 34.44 32.44 30.44 28.44 25.55 27.55 ],[4.22 4.22 6.22 4.22 6.22 6.22 4.22 ],[0.931 0.946 0.973 ]);\nfprint" "f('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1," "'d0');\ncolor('black');port_label('input',2,'d1');\ncolor('black');port_label('output',1,'q');\ncolor('black');dis" "p('TDM');\nfprintf('','COMMENT: end icon text');\n" } Block { BlockType Reference Name "Time Division\nMultiplexer6" SID "1050" Ports [2, 1] Position [225, 137, 285, 153] ShowName off LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Time Division\nMultiplexer" SourceType "Xilinx Time Division Multiplexer Block" infoedit "Multiple inputs are sampled and presented serially at the output." inputs "2" vin off dbl_ovrd off xl_use_area off xl_area "[3 2 0 35 0 0 0]" explicit_period "off" period "1" has_advanced_control "0" sggui_pos "20,20,348,209" block_type "tdm" block_version "10.1.3" sg_icon_stat "60,16,2,1,white,blue,0,2f8962b8,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 0 ],[0 0 16 16 0 ],[0.77 0.82 0.9" "1 ]);\nplot([0 60 60 0 0 ],[0 0 16 16 0 ]);\npatch([25.55 28.44 30.44 32.44 34.44 30.44 27.55 25.55 ],[10.22 10.22" " 12.22 10.22 12.22 12.22 12.22 10.22 ],[1 1 1 ]);\npatch([27.55 30.44 28.44 25.55 27.55 ],[8.22 8.22 10.22 10.22 8" ".22 ],[0.931 0.946 0.973 ]);\npatch([25.55 28.44 30.44 27.55 25.55 ],[6.22 6.22 8.22 8.22 6.22 ],[1 1 1 ]);\npatch" "([27.55 34.44 32.44 30.44 28.44 25.55 27.55 ],[4.22 4.22 6.22 4.22 6.22 6.22 4.22 ],[0.931 0.946 0.973 ]);\nfprint" "f('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1," "'d0');\ncolor('black');port_label('input',2,'d1');\ncolor('black');port_label('output',1,'q');\ncolor('black');dis" "p('TDM');\nfprintf('','COMMENT: end icon text');\n" } Block { BlockType Reference Name "Time Division\nMultiplexer7" SID "1051" Ports [2, 1] Position [225, 122, 285, 138] ShowName off LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Time Division\nMultiplexer" SourceType "Xilinx Time Division Multiplexer Block" infoedit "Multiple inputs are sampled and presented serially at the output." inputs "2" vin off dbl_ovrd off xl_use_area off xl_area "[3 2 0 35 0 0 0]" explicit_period "off" period "1" has_advanced_control "0" sggui_pos "20,20,348,209" block_type "tdm" block_version "10.1.3" sg_icon_stat "60,16,2,1,white,blue,0,2f8962b8,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 0 ],[0 0 16 16 0 ],[0.77 0.82 0.9" "1 ]);\nplot([0 60 60 0 0 ],[0 0 16 16 0 ]);\npatch([25.55 28.44 30.44 32.44 34.44 30.44 27.55 25.55 ],[10.22 10.22" " 12.22 10.22 12.22 12.22 12.22 10.22 ],[1 1 1 ]);\npatch([27.55 30.44 28.44 25.55 27.55 ],[8.22 8.22 10.22 10.22 8" ".22 ],[0.931 0.946 0.973 ]);\npatch([25.55 28.44 30.44 27.55 25.55 ],[6.22 6.22 8.22 8.22 6.22 ],[1 1 1 ]);\npatch" "([27.55 34.44 32.44 30.44 28.44 25.55 27.55 ],[4.22 4.22 6.22 4.22 6.22 6.22 4.22 ],[0.931 0.946 0.973 ]);\nfprint" "f('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1," "'d0');\ncolor('black');port_label('input',2,'d1');\ncolor('black');port_label('output',1,'q');\ncolor('black');dis" "p('TDM');\nfprintf('','COMMENT: end icon text');\n" } Block { BlockType Outport Name "Out1" SID "1052" Position [385, 33, 415, 47] IconDisplay "Port number" } Block { BlockType Outport Name "Out2" SID "1053" Position [310, 48, 340, 62] Port "2" IconDisplay "Port number" } Block { BlockType Outport Name "Out3" SID "1054" Position [310, 63, 340, 77] Port "3" IconDisplay "Port number" } Block { BlockType Outport Name "Out4" SID "1055" Position [310, 78, 340, 92] Port "4" IconDisplay "Port number" } Block { BlockType Outport Name "Out5" SID "1056" Position [310, 93, 340, 107] Port "5" IconDisplay "Port number" } Block { BlockType Outport Name "Out6" SID "1057" Position [310, 108, 340, 122] Port "6" IconDisplay "Port number" } Block { BlockType Outport Name "Out7" SID "1058" Position [310, 123, 340, 137] Port "7" IconDisplay "Port number" } Block { BlockType Outport Name "Out8" SID "1059" Position [310, 138, 340, 152] Port "8" IconDisplay "Port number" } Line { SrcBlock "B" SrcPort 1 DstBlock "Delays1" DstPort 1 } Line { SrcBlock "Time Division\nMultiplexer6" SrcPort 1 DstBlock "Out8" DstPort 1 } Line { SrcBlock "Time Division\nMultiplexer7" SrcPort 1 DstBlock "Out7" DstPort 1 } Line { SrcBlock "Time Division\nMultiplexer4" SrcPort 1 DstBlock "Out6" DstPort 1 } Line { SrcBlock "Time Division\nMultiplexer5" SrcPort 1 DstBlock "Out5" DstPort 1 } Line { SrcBlock "A" SrcPort 1 DstBlock "Delays" DstPort 1 } Line { SrcBlock "Time Division\nMultiplexer2" SrcPort 1 DstBlock "Out4" DstPort 1 } Line { SrcBlock "Time Division\nMultiplexer3" SrcPort 1 DstBlock "Out3" DstPort 1 } Line { SrcBlock "Time Division\nMultiplexer1" SrcPort 1 DstBlock "Out2" DstPort 1 } Line { SrcBlock "Time Division\nMultiplexer16" SrcPort 1 DstBlock "Out1" DstPort 1 } Line { SrcBlock "Delays1" SrcPort 8 Points [50, 0; 0, -110] DstBlock "Time Division\nMultiplexer6" DstPort 2 } Line { SrcBlock "Delays1" SrcPort 7 Points [45, 0; 0, -110] DstBlock "Time Division\nMultiplexer7" DstPort 2 } Line { SrcBlock "Delays1" SrcPort 6 Points [40, 0; 0, -110] DstBlock "Time Division\nMultiplexer4" DstPort 2 } Line { SrcBlock "Delays1" SrcPort 5 Points [35, 0; 0, -110] DstBlock "Time Division\nMultiplexer5" DstPort 2 } Line { SrcBlock "Delays1" SrcPort 4 Points [30, 0; 0, -110] DstBlock "Time Division\nMultiplexer2" DstPort 2 } Line { SrcBlock "Delays1" SrcPort 3 Points [25, 0; 0, -110] DstBlock "Time Division\nMultiplexer3" DstPort 2 } Line { SrcBlock "Delays" SrcPort 8 DstBlock "Time Division\nMultiplexer6" DstPort 1 } Line { SrcBlock "Delays" SrcPort 7 DstBlock "Time Division\nMultiplexer7" DstPort 1 } Line { SrcBlock "Delays" SrcPort 6 DstBlock "Time Division\nMultiplexer4" DstPort 1 } Line { SrcBlock "Delays" SrcPort 5 DstBlock "Time Division\nMultiplexer5" DstPort 1 } Line { SrcBlock "Delays" SrcPort 4 DstBlock "Time Division\nMultiplexer2" DstPort 1 } Line { SrcBlock "Delays" SrcPort 3 DstBlock "Time Division\nMultiplexer3" DstPort 1 } Line { SrcBlock "Delays1" SrcPort 2 Points [20, 0; 0, -110] DstBlock "Time Division\nMultiplexer1" DstPort 2 } Line { SrcBlock "Delays" SrcPort 2 DstBlock "Time Division\nMultiplexer1" DstPort 1 } Line { SrcBlock "Delays1" SrcPort 1 Points [15, 0; 0, -110] DstBlock "Time Division\nMultiplexer16" DstPort 2 } Line { SrcBlock "Delays" SrcPort 1 DstBlock "Time Division\nMultiplexer16" DstPort 1 } } } Block { BlockType Reference Name "TDD" SID "1060" Ports [1, 2] Position [965, 279, 1025, 321] LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Time Division\nDemultiplexer" SourceType "Xilinx Time Division Demultiplexer Block" infoedit "Samples presented at the input are selected and down-sampled according to the frame sampling p" "attern specified. The sampled input is presented either as a single or multiple channel at the output." frame_pattern "ones(1,2)" impl_style "Multiple Channel" vin off dbl_ovrd off xl_use_area off xl_area "[24 48 0 0 0 0 0]" explicit_period "off" period "1" has_advanced_control "0" sggui_pos "20,20,348,268" block_type "tdd" block_version "9.1.01" sg_icon_stat "60,42,1,2,white,blue,0,f4ebcb89,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 0 ],[0 0 42 42 0 ],[0.77 0" ".82 0.91 ]);\nplot([0 60 60 0 0 ],[0 0 42 42 0 ]);\npatch([16.65 25.32 31.32 37.32 43.32 31.32 22.65 16.65 ],[2" "7.66 27.66 33.66 27.66 33.66 33.66 33.66 27.66 ],[1 1 1 ]);\npatch([22.65 31.32 25.32 16.65 22.65 ],[21.66 21.6" "6 27.66 27.66 21.66 ],[0.931 0.946 0.973 ]);\npatch([16.65 25.32 31.32 22.65 16.65 ],[15.66 15.66 21.66 21.66 1" "5.66 ],[1 1 1 ]);\npatch([22.65 43.32 37.32 31.32 25.32 16.65 22.65 ],[9.66 9.66 15.66 9.66 15.66 15.66 9.66 ]," "[0.931 0.946 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncol" "or('black');port_label('input',1,'d');\ncolor('black');port_label('output',1,'q0');\ncolor('black');port_label(" "'output',2,'q1');\ncolor('black');disp('TDD');\nfprintf('','COMMENT: end icon text');" } Block { BlockType Outport Name "YA" SID "1061" Position [1100, 283, 1130, 297] NamePlacement "alternate" IconDisplay "Port number" } Block { BlockType Outport Name "YB" SID "1062" Position [1100, 303, 1130, 317] Port "2" IconDisplay "Port number" } Line { SrcBlock "TDD" SrcPort 2 DstBlock "YB" DstPort 1 } Line { SrcBlock "TDD" SrcPort 1 DstBlock "YA" DstPort 1 } Line { SrcBlock "Adders" SrcPort 1 DstBlock "TDD" DstPort 1 } Line { SrcBlock "Sample RAMs" SrcPort 8 DstBlock "Mults & ROMs" DstPort 8 } Line { SrcBlock "Sample RAMs" SrcPort 7 DstBlock "Mults & ROMs" DstPort 7 } Line { SrcBlock "Sample RAMs" SrcPort 6 DstBlock "Mults & ROMs" DstPort 6 } Line { SrcBlock "Sample RAMs" SrcPort 5 DstBlock "Mults & ROMs" DstPort 5 } Line { SrcBlock "Sample RAMs" SrcPort 4 DstBlock "Mults & ROMs" DstPort 4 } Line { SrcBlock "Sample RAMs" SrcPort 3 DstBlock "Mults & ROMs" DstPort 3 } Line { SrcBlock "Sample RAMs" SrcPort 2 DstBlock "Mults & ROMs" DstPort 2 } Line { SrcBlock "Sample RAMs" SrcPort 1 DstBlock "Mults & ROMs" DstPort 1 } Line { SrcBlock "XB" SrcPort 1 DstBlock "Sample RAMs" DstPort 2 } Line { SrcBlock "XA" SrcPort 1 DstBlock "Sample RAMs" DstPort 1 } Line { SrcBlock "Mults & ROMs" SrcPort 1 DstBlock "Adders" DstPort 1 } Line { SrcBlock "Mults & ROMs" SrcPort 2 DstBlock "Adders" DstPort 2 } Line { SrcBlock "Mults & ROMs" SrcPort 3 DstBlock "Adders" DstPort 3 } Line { SrcBlock "Mults & ROMs" SrcPort 4 DstBlock "Adders" DstPort 4 } Line { SrcBlock "Mults & ROMs" SrcPort 5 DstBlock "Adders" DstPort 5 } Line { SrcBlock "Mults & ROMs" SrcPort 6 DstBlock "Adders" DstPort 6 } Line { SrcBlock "Mults & ROMs" SrcPort 7 DstBlock "Adders" DstPort 7 } Line { SrcBlock "Mults & ROMs" SrcPort 8 DstBlock "Adders" DstPort 8 } } } Block { BlockType Sum Name "Add" SID "1063" Ports [2, 1] Position [1100, 202, 1130, 233] ShowName off Inputs "+-" InputSameDT off OutDataTypeStr "Inherit: Inherit via internal rule" SaturateOnIntegerOverflow off } Block { BlockType Sum Name "Add1" SID "1064" Ports [2, 1] Position [1095, 322, 1125, 353] ShowName off Inputs "+-" InputSameDT off OutDataTypeStr "Inherit: Inherit via internal rule" SaturateOnIntegerOverflow off } Block { BlockType SubSystem Name "Chirp" SID "1065" Ports [0, 1] Position [100, 274, 140, 306] MinAlgLoopOccurrences off PropExecContextOutsideSubsystem off RTWSystemCode "Auto" FunctionWithSeparateData off Opaque off RequestExecContextInheritance off MaskHideContents off System { Name "Chirp" Location [47, 420, 272, 502] Open off ModelBrowserVisibility off ModelBrowserWidth 200 ScreenColor "white" PaperOrientation "landscape" PaperPositionMode "auto" PaperType "usletter" PaperUnits "inches" TiledPaperMargins [0.500000, 0.500000, 0.500000, 0.500000] TiledPageScale 1 ShowPageBoundaries off ZoomFactor "100" Block { BlockType Reference Name "Chirp Signal" SID "1066" Ports [0, 1] Position [25, 25, 55, 55] LibraryVersion "1.236" SourceBlock "simulink/Sources/Chirp Signal" SourceType "chirp" ShowPortLabels "FromPortIcon" SystemSampleTime "-1" FunctionWithSeparateData off RTWMemSecFuncInitTerm "Inherit from model" RTWMemSecFuncExecute "Inherit from model" RTWMemSecDataConstants "Inherit from model" RTWMemSecDataInternal "Inherit from model" RTWMemSecDataParameters "Inherit from model" GeneratePreprocessorConditionals off f1 "0" T "512" f2 "0.5" VectorParams1D on } Block { BlockType Gain Name "Gain" SID "1067" Position [100, 25, 145, 55] Gain "0.95" ParamDataTypeStr "Inherit: Inherit via internal rule" OutDataTypeStr "Inherit: Inherit via internal rule" SaturateOnIntegerOverflow off } Block { BlockType Outport Name "Out1" SID "1068" Position [170, 33, 200, 47] IconDisplay "Port number" } Line { SrcBlock "Chirp Signal" SrcPort 1 DstBlock "Gain" DstPort 1 } Line { SrcBlock "Gain" SrcPort 1 DstBlock "Out1" DstPort 1 } } } Block { BlockType SubSystem Name "Chirp1" SID "1069" Ports [0, 1] Position [100, 329, 140, 361] MinAlgLoopOccurrences off PropExecContextOutsideSubsystem off RTWSystemCode "Auto" FunctionWithSeparateData off Opaque off RequestExecContextInheritance off MaskHideContents off System { Name "Chirp1" Location [2, 74, 1910, 1112] Open off ModelBrowserVisibility off ModelBrowserWidth 200 ScreenColor "white" PaperOrientation "landscape" PaperPositionMode "auto" PaperType "usletter" PaperUnits "inches" TiledPaperMargins [0.500000, 0.500000, 0.500000, 0.500000] TiledPageScale 1 ShowPageBoundaries off ZoomFactor "100" Block { BlockType Reference Name "Chirp Signal" SID "1070" Ports [0, 1] Position [25, 25, 55, 55] LibraryVersion "1.236" SourceBlock "simulink/Sources/Chirp Signal" SourceType "chirp" ShowPortLabels "FromPortIcon" SystemSampleTime "-1" FunctionWithSeparateData off RTWMemSecFuncInitTerm "Inherit from model" RTWMemSecFuncExecute "Inherit from model" RTWMemSecDataConstants "Inherit from model" RTWMemSecDataInternal "Inherit from model" RTWMemSecDataParameters "Inherit from model" GeneratePreprocessorConditionals off f1 "0" T "512" f2 "0.5" VectorParams1D on } Block { BlockType Gain Name "Gain" SID "1071" Position [100, 25, 145, 55] Gain "-0.95" ParamDataTypeStr "Inherit: Inherit via internal rule" OutDataTypeStr "Inherit: Inherit via internal rule" SaturateOnIntegerOverflow off } Block { BlockType Outport Name "Out1" SID "1072" Position [170, 33, 200, 47] IconDisplay "Port number" } Line { SrcBlock "Gain" SrcPort 1 DstBlock "Out1" DstPort 1 } Line { SrcBlock "Chirp Signal" SrcPort 1 DstBlock "Gain" DstPort 1 } } } Block { BlockType Reference Name "Down Sample1" SID "1073" Ports [1, 1] Position [335, 331, 360, 359] ShowName off LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Down Sample" SourceType "Xilinx Down Sampler Block" infoedit "Hardware notes: Sample and Latency controls determine the hardware implementation. The cost in hardwa" "re of different implementations varies considerably; press Help for details." sample_ratio "8" sample_phase "Last Value of Frame (most efficient)" en off latency "1" dbl_ovrd off xl_use_area off xl_area "[8 16 0 0 0 0 0]" has_advanced_control "0" sggui_pos "20,20,360,300" block_type "dsamp" block_version "9.1.01" sg_icon_stat "25,28,1,1,white,blue,0,dee880ed,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 25 25 0 0 ],[0 0 28 28 0 ],[0.77 0.82 0.91" " ]);\nplot([0 25 25 0 0 ],[0 0 28 28 0 ]);\npatch([5.325 9.66 12.66 15.66 18.66 12.66 8.325 5.325 ],[17.33 17.33 20" ".33 17.33 20.33 20.33 20.33 17.33 ],[1 1 1 ]);\npatch([8.325 12.66 9.66 5.325 8.325 ],[14.33 14.33 17.33 17.33 14.3" "3 ],[0.931 0.946 0.973 ]);\npatch([5.325 9.66 12.66 8.325 5.325 ],[11.33 11.33 14.33 14.33 11.33 ],[1 1 1 ]);\npatc" "h([8.325 18.66 15.66 12.66 9.66 5.325 8.325 ],[8.33 8.33 11.33 8.33 11.33 11.33 8.33 ],[0.931 0.946 0.973 ]);\nfpri" "ntf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\n\n\ncolor('black');disp('z^{-1}\\ne" "wline ','texmode','on');\ncolor('black');disp(' \\newline{\\fontsize{14pt}\\bf\\downarrow}8','texmode','on');\nfpri" "ntf('','COMMENT: end icon text');" } Block { BlockType Reference Name "Down Sample2" SID "1074" Ports [1, 1] Position [335, 276, 360, 304] ShowName off LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Down Sample" SourceType "Xilinx Down Sampler Block" infoedit "Hardware notes: Sample and Latency controls determine the hardware implementation. The cost in hardwa" "re of different implementations varies considerably; press Help for details." sample_ratio "8" sample_phase "Last Value of Frame (most efficient)" en off latency "1" dbl_ovrd off xl_use_area off xl_area "[8 16 0 0 0 0 0]" has_advanced_control "0" sggui_pos "20,20,360,300" block_type "dsamp" block_version "9.1.01" sg_icon_stat "25,28,1,1,white,blue,0,dee880ed,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 25 25 0 0 ],[0 0 28 28 0 ],[0.77 0.82 0.91" " ]);\nplot([0 25 25 0 0 ],[0 0 28 28 0 ]);\npatch([5.325 9.66 12.66 15.66 18.66 12.66 8.325 5.325 ],[17.33 17.33 20" ".33 17.33 20.33 20.33 20.33 17.33 ],[1 1 1 ]);\npatch([8.325 12.66 9.66 5.325 8.325 ],[14.33 14.33 17.33 17.33 14.3" "3 ],[0.931 0.946 0.973 ]);\npatch([5.325 9.66 12.66 8.325 5.325 ],[11.33 11.33 14.33 14.33 11.33 ],[1 1 1 ]);\npatc" "h([8.325 18.66 15.66 12.66 9.66 5.325 8.325 ],[8.33 8.33 11.33 8.33 11.33 11.33 8.33 ],[0.931 0.946 0.973 ]);\nfpri" "ntf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\n\n\ncolor('black');disp('z^{-1}\\ne" "wline ','texmode','on');\ncolor('black');disp(' \\newline{\\fontsize{14pt}\\bf\\downarrow}8','texmode','on');\nfpri" "ntf('','COMMENT: end icon text');" } Block { BlockType Reference Name "Down Sample3" SID "1075" Ports [1, 1] Position [335, 386, 360, 414] ShowName off LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Down Sample" SourceType "Xilinx Down Sampler Block" infoedit "Hardware notes: Sample and Latency controls determine the hardware implementation. The cost in hardwa" "re of different implementations varies considerably; press Help for details." sample_ratio "8" sample_phase "Last Value of Frame (most efficient)" en off latency "1" dbl_ovrd off xl_use_area off xl_area "[8 16 0 0 0 0 0]" has_advanced_control "0" sggui_pos "20,20,360,300" block_type "dsamp" block_version "9.1.01" sg_icon_stat "25,28,1,1,white,blue,0,dee880ed,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 25 25 0 0 ],[0 0 28 28 0 ],[0.77 0.82 0.91" " ]);\nplot([0 25 25 0 0 ],[0 0 28 28 0 ]);\npatch([5.325 9.66 12.66 15.66 18.66 12.66 8.325 5.325 ],[17.33 17.33 20" ".33 17.33 20.33 20.33 20.33 17.33 ],[1 1 1 ]);\npatch([8.325 12.66 9.66 5.325 8.325 ],[14.33 14.33 17.33 17.33 14.3" "3 ],[0.931 0.946 0.973 ]);\npatch([5.325 9.66 12.66 8.325 5.325 ],[11.33 11.33 14.33 14.33 11.33 ],[1 1 1 ]);\npatc" "h([8.325 18.66 15.66 12.66 9.66 5.325 8.325 ],[8.33 8.33 11.33 8.33 11.33 11.33 8.33 ],[0.931 0.946 0.973 ]);\nfpri" "ntf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\n\n\ncolor('black');disp('z^{-1}\\ne" "wline ','texmode','on');\ncolor('black');disp(' \\newline{\\fontsize{14pt}\\bf\\downarrow}8','texmode','on');\nfpri" "ntf('','COMMENT: end icon text');" } Block { BlockType Reference Name "Down Sample4" SID "1076" Ports [1, 1] Position [335, 441, 360, 469] ShowName off LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Down Sample" SourceType "Xilinx Down Sampler Block" infoedit "Hardware notes: Sample and Latency controls determine the hardware implementation. The cost in hardwa" "re of different implementations varies considerably; press Help for details." sample_ratio "8" sample_phase "Last Value of Frame (most efficient)" en off latency "1" dbl_ovrd off xl_use_area off xl_area "[8 16 0 0 0 0 0]" has_advanced_control "0" sggui_pos "20,20,360,300" block_type "dsamp" block_version "9.1.01" sg_icon_stat "25,28,1,1,white,blue,0,dee880ed,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 25 25 0 0 ],[0 0 28 28 0 ],[0.77 0.82 0.91" " ]);\nplot([0 25 25 0 0 ],[0 0 28 28 0 ]);\npatch([5.325 9.66 12.66 15.66 18.66 12.66 8.325 5.325 ],[17.33 17.33 20" ".33 17.33 20.33 20.33 20.33 17.33 ],[1 1 1 ]);\npatch([8.325 12.66 9.66 5.325 8.325 ],[14.33 14.33 17.33 17.33 14.3" "3 ],[0.931 0.946 0.973 ]);\npatch([5.325 9.66 12.66 8.325 5.325 ],[11.33 11.33 14.33 14.33 11.33 ],[1 1 1 ]);\npatc" "h([8.325 18.66 15.66 12.66 9.66 5.325 8.325 ],[8.33 8.33 11.33 8.33 11.33 11.33 8.33 ],[0.931 0.946 0.973 ]);\nfpri" "ntf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\n\n\ncolor('black');disp('z^{-1}\\ne" "wline ','texmode','on');\ncolor('black');disp(' \\newline{\\fontsize{14pt}\\bf\\downarrow}8','texmode','on');\nfpri" "ntf('','COMMENT: end icon text');" } Block { BlockType Reference Name "Integer Delay" SID "1077" Ports [1, 1] Position [995, 144, 1025, 176] ShowName off LibraryVersion "1.236" UserDataPersistent on UserData "DataTag3" SourceBlock "simulink/Discrete/Integer Delay" SourceType "Integer Delay" NumDelays "13*2" InputProcessing "Inherited" vinit "0.0" samptime "-1" } Block { BlockType Reference Name "Integer Delay1" SID "1078" Ports [1, 1] Position [995, 264, 1025, 296] ShowName off LibraryVersion "1.236" UserDataPersistent on UserData "DataTag4" SourceBlock "simulink/Discrete/Integer Delay" SourceType "Integer Delay" NumDelays "13*2" InputProcessing "Inherited" vinit "0.0" samptime "-1" } Block { BlockType SubSystem Name "Reference\nFilter" SID "1079" Ports [1, 1] Position [515, 174, 580, 206] NamePlacement "alternate" MinAlgLoopOccurrences off PropExecContextOutsideSubsystem off RTWSystemCode "Auto" FunctionWithSeparateData off Opaque off RequestExecContextInheritance off MaskHideContents off System { Name "Reference\nFilter" Location [1157, 365, 1612, 542] Open off ModelBrowserVisibility off ModelBrowserWidth 200 ScreenColor "white" PaperOrientation "landscape" PaperPositionMode "auto" PaperType "usletter" PaperUnits "inches" TiledPaperMargins [0.500000, 0.500000, 0.500000, 0.500000] TiledPageScale 1 ShowPageBoundaries off ZoomFactor "100" Block { BlockType Inport Name "X" SID "1080" Position [110, 198, 140, 212] IconDisplay "Port number" } Block { BlockType Reference Name "DAFIR v9_0 2" SID "1081" Ports [1, 1] Position [205, 191, 270, 219] LibraryVersion "1.2" SourceBlock "xbsIndex_r4/DAFIR v9_0 " SourceType "Xilinx Finite Impulse Response Filter Block" infoedit "Hardware notes: Implemented using distributed arithmetic (DA). The hardware over sampling rat" "e determines the degree of parallelism. A rate of one produces a fully parallel DA filter. A rate of n (resp." ", n+1) for an n-bit input signal produces a fully serial implementation for a non-symmetric (resp., symmetric) " "impulse response. Intermediate values produce implementations with intermediate levels of parallelism." coef "h32" structure "Inferred from Coefficients" coef_n_bits "14" coef_bin_pt "13" over_sample "1" reload off valids off rst off latency "15" num_channels "1" serial_input off polyphase_behavior "Interpolate: 1:4 (samples in:out)" dbl_ovrd off xl_use_area off xl_area "[0,0,0,0,0,0,0]" explicit_period "off" period "1" use_isim "0" has_advanced_control "0" sggui_pos "20,20,348,503" block_type "fir" block_version "10.1.2" sg_icon_stat "65,28,1,1,white,blue,0,23d63bd5,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 65 65 0 0 ],[0 0 28 28 0 ],[0.77 0" ".82 0.91 ]);\nplot([0 65 65 0 0 ],[0 0 28 28 0 ]);\npatch([23.1 28.88 32.88 36.88 40.88 32.88 27.1 23.1 ],[18.4" "4 18.44 22.44 18.44 22.44 22.44 22.44 18.44 ],[1 1 1 ]);\npatch([27.1 32.88 28.88 23.1 27.1 ],[14.44 14.44 18.4" "4 18.44 14.44 ],[0.931 0.946 0.973 ]);\npatch([23.1 28.88 32.88 27.1 23.1 ],[10.44 10.44 14.44 14.44 10.44 ],[1" " 1 1 ]);\npatch([27.1 40.88 36.88 32.88 28.88 23.1 27.1 ],[6.44 6.44 10.44 6.44 10.44 10.44 6.44 ],[0.931 0.946" " 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');" "port_label('input',1,'x0');\ncolor('black');port_label('output',1,'y0');\ncolor('black');disp('32 tap');\nfprin" "tf('','COMMENT: end icon text');\n" } Block { BlockType Reference Name "Disregard Subsystem" SID "1082" Tag "discardX" Ports [] Position [301, 250, 359, 308] ShowName off AttributesFormatString "Disregard Subsystem\\nFor Generation" LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Disregard Subsystem" SourceType "Xilinx Disregard Subsystem For Generation Block" infoedit "Place this block into a subsystem to have System Generator ignore the subsystem during code ge" "neration. This block can be used in combination with the Simulation Multiplexer block to provide an alternative" " simulation model for another subsystem (e.g., to provide a simulation model for a black box)." has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "disregard" block_version "10.1.3" sg_icon_stat "58,58,-1,-1,darkgray,black,0,0,right" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 58 58 0 ],[0 0 58 58 ],[0.1 0.1 0." "1]);\npatch([14 4 18 4 14 29 33 37 53 40 28 19 33 19 28 40 53 37 33 29 14 ],[6 16 30 44 54 54 50 54 54 41 53 44" " 30 16 7 19 6 6 10 6 6 ],[0.33 0.33 0.33]);\nplot([0 58 58 0 0 ],[0 0 58 58 0 ]);\nfprintf('','COMMENT: end ico" "n graphics');\nfprintf('','COMMENT: begin icon text');\nfprintf('','COMMENT: end icon text');\n" } Block { BlockType Reference Name "Rx_I1" SID "1083" Ports [1, 1] Position [335, 199, 380, 211] NamePlacement "alternate" ShowName off LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Gateway Out" SourceType "Xilinx Gateway Out Block" infoedit "Gateway out block. Converts Xilinx fixed point inputs into ouputs of type Simulink integer, d" "ouble, or fixed point.

Hardware notes: In hardware these blocks become top level output ports or are disc" "arded, depending on how they are configured." inherit_from_input off hdl_port off timing_constraint "None" locs_specified off LOCs "{}" xl_use_area off xl_area "[0,0,0,0,0,0,0]" UseAsDAC off DACChannel "'1'" has_advanced_control "0" sggui_pos "20,20,336,386" block_type "gatewayout" block_version "8.2.01" sg_icon_stat "45,12,1,1,white,grey,1,632ec840,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 45 45 0 0 ],[0 0 12 12 0 ],[0.88 0" ".88 0.88 ]);\nplot([0 45 45 0 0 ],[0 0 12 12 0 ]);\npatch([19.775 21.22 22.22 23.22 24.22 22.22 20.775 19.775 ]" ",[7.11 7.11 8.11 7.11 8.11 8.11 8.11 7.11 ],[1 1 1 ]);\npatch([20.775 22.22 21.22 19.775 20.775 ],[6.11 6.11 7." "11 7.11 6.11 ],[0.964 0.964 0.964 ]);\npatch([19.775 21.22 22.22 20.775 19.775 ],[5.11 5.11 6.11 6.11 5.11 ],[1" " 1 1 ]);\npatch([20.775 24.22 23.22 22.22 21.22 19.775 20.775 ],[4.11 4.11 5.11 4.11 5.11 5.11 4.11 ],[0.964 0." "964 0.964 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black" "');port_label('input',1,' ');\ncolor('black');port_label('output',1,'\\fontsize{11pt} Out ','texmode','on');\nf" "printf('','COMMENT: end icon text');" } Block { BlockType Outport Name "Y" SID "1084" Position [520, 198, 550, 212] IconDisplay "Port number" } Line { SrcBlock "Rx_I1" SrcPort 1 DstBlock "Y" DstPort 1 } Line { SrcBlock "DAFIR v9_0 2" SrcPort 1 DstBlock "Rx_I1" DstPort 1 } Line { SrcBlock "X" SrcPort 1 DstBlock "DAFIR v9_0 2" DstPort 1 } } } Block { BlockType SubSystem Name "Reference\nFilter1" SID "1085" Ports [1, 1] Position [515, 209, 580, 241] MinAlgLoopOccurrences off PropExecContextOutsideSubsystem off RTWSystemCode "Auto" FunctionWithSeparateData off Opaque off RequestExecContextInheritance off MaskHideContents off System { Name "Reference\nFilter1" Location [2, 74, 1910, 1112] Open off ModelBrowserVisibility off ModelBrowserWidth 200 ScreenColor "white" PaperOrientation "landscape" PaperPositionMode "auto" PaperType "usletter" PaperUnits "inches" TiledPaperMargins [0.500000, 0.500000, 0.500000, 0.500000] TiledPageScale 1 ShowPageBoundaries off ZoomFactor "100" Block { BlockType Inport Name "X" SID "1086" Position [110, 198, 140, 212] IconDisplay "Port number" } Block { BlockType Reference Name "DAFIR v9_0 2" SID "1087" Ports [1, 1] Position [205, 191, 270, 219] LibraryVersion "1.2" SourceBlock "xbsIndex_r4/DAFIR v9_0 " SourceType "Xilinx Finite Impulse Response Filter Block" infoedit "Hardware notes: Implemented using distributed arithmetic (DA). The hardware over sampling rat" "e determines the degree of parallelism. A rate of one produces a fully parallel DA filter. A rate of n (resp." ", n+1) for an n-bit input signal produces a fully serial implementation for a non-symmetric (resp., symmetric) " "impulse response. Intermediate values produce implementations with intermediate levels of parallelism." coef "h32" structure "Inferred from Coefficients" coef_n_bits "14" coef_bin_pt "13" over_sample "1" reload off valids off rst off latency "15" num_channels "1" serial_input off polyphase_behavior "Interpolate: 1:4 (samples in:out)" dbl_ovrd off xl_use_area off xl_area "[0,0,0,0,0,0,0]" explicit_period "off" period "1" use_isim "0" has_advanced_control "0" sggui_pos "20,20,348,503" block_type "fir" block_version "10.1.2" sg_icon_stat "65,28,1,1,white,blue,0,23d63bd5,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 65 65 0 0 ],[0 0 28 28 0 ],[0.77 0" ".82 0.91 ]);\nplot([0 65 65 0 0 ],[0 0 28 28 0 ]);\npatch([23.1 28.88 32.88 36.88 40.88 32.88 27.1 23.1 ],[18.4" "4 18.44 22.44 18.44 22.44 22.44 22.44 18.44 ],[1 1 1 ]);\npatch([27.1 32.88 28.88 23.1 27.1 ],[14.44 14.44 18.4" "4 18.44 14.44 ],[0.931 0.946 0.973 ]);\npatch([23.1 28.88 32.88 27.1 23.1 ],[10.44 10.44 14.44 14.44 10.44 ],[1" " 1 1 ]);\npatch([27.1 40.88 36.88 32.88 28.88 23.1 27.1 ],[6.44 6.44 10.44 6.44 10.44 10.44 6.44 ],[0.931 0.946" " 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');" "port_label('input',1,'x0');\ncolor('black');port_label('output',1,'y0');\ncolor('black');disp('32 tap');\nfprin" "tf('','COMMENT: end icon text');\n" } Block { BlockType Reference Name "Disregard Subsystem" SID "1088" Tag "discardX" Ports [] Position [301, 250, 359, 308] ShowName off AttributesFormatString "Disregard Subsystem\\nFor Generation" LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Disregard Subsystem" SourceType "Xilinx Disregard Subsystem For Generation Block" infoedit "Place this block into a subsystem to have System Generator ignore the subsystem during code ge" "neration. This block can be used in combination with the Simulation Multiplexer block to provide an alternative" " simulation model for another subsystem (e.g., to provide a simulation model for a black box)." has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "disregard" block_version "10.1.3" sg_icon_stat "58,58,-1,-1,darkgray,black,0,0,right" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 58 58 0 ],[0 0 58 58 ],[0.1 0.1 0." "1]);\npatch([14 4 18 4 14 29 33 37 53 40 28 19 33 19 28 40 53 37 33 29 14 ],[6 16 30 44 54 54 50 54 54 41 53 44" " 30 16 7 19 6 6 10 6 6 ],[0.33 0.33 0.33]);\nplot([0 58 58 0 0 ],[0 0 58 58 0 ]);\nfprintf('','COMMENT: end ico" "n graphics');\nfprintf('','COMMENT: begin icon text');\nfprintf('','COMMENT: end icon text');\n" } Block { BlockType Reference Name "Rx_I1" SID "1089" Ports [1, 1] Position [335, 199, 380, 211] NamePlacement "alternate" ShowName off LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Gateway Out" SourceType "Xilinx Gateway Out Block" infoedit "Gateway out block. Converts Xilinx fixed point inputs into ouputs of type Simulink integer, d" "ouble, or fixed point.

Hardware notes: In hardware these blocks become top level output ports or are disc" "arded, depending on how they are configured." inherit_from_input off hdl_port off timing_constraint "None" locs_specified off LOCs "{}" xl_use_area off xl_area "[0,0,0,0,0,0,0]" UseAsDAC off DACChannel "'1'" has_advanced_control "0" sggui_pos "20,20,336,386" block_type "gatewayout" block_version "8.2.01" sg_icon_stat "45,12,1,1,white,grey,1,632ec840,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 45 45 0 0 ],[0 0 12 12 0 ],[0.88 0" ".88 0.88 ]);\nplot([0 45 45 0 0 ],[0 0 12 12 0 ]);\npatch([19.775 21.22 22.22 23.22 24.22 22.22 20.775 19.775 ]" ",[7.11 7.11 8.11 7.11 8.11 8.11 8.11 7.11 ],[1 1 1 ]);\npatch([20.775 22.22 21.22 19.775 20.775 ],[6.11 6.11 7." "11 7.11 6.11 ],[0.964 0.964 0.964 ]);\npatch([19.775 21.22 22.22 20.775 19.775 ],[5.11 5.11 6.11 6.11 5.11 ],[1" " 1 1 ]);\npatch([20.775 24.22 23.22 22.22 21.22 19.775 20.775 ],[4.11 4.11 5.11 4.11 5.11 5.11 4.11 ],[0.964 0." "964 0.964 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black" "');port_label('input',1,' ');\ncolor('black');port_label('output',1,'\\fontsize{11pt} Out ','texmode','on');\nf" "printf('','COMMENT: end icon text');" } Block { BlockType Outport Name "Y" SID "1090" Position [520, 198, 550, 212] IconDisplay "Port number" } Line { SrcBlock "X" SrcPort 1 DstBlock "DAFIR v9_0 2" DstPort 1 } Line { SrcBlock "DAFIR v9_0 2" SrcPort 1 DstBlock "Rx_I1" DstPort 1 } Line { SrcBlock "Rx_I1" SrcPort 1 DstBlock "Y" DstPort 1 } } } Block { BlockType Terminator Name "Terminator1" SID "1091" Position [905, 405, 925, 425] ShowName off } Block { BlockType Terminator Name "Terminator3" SID "1092" Position [905, 390, 925, 410] ShowName off } Block { BlockType Scope Name "Tx Scope" SID "1093" Ports [8] Position [1160, 111, 1210, 359] Floating off Location [5, 45, 1925, 1127] Open off NumInputPorts "8" TickLabels "on" ZoomMode "xonly" List { ListType AxesTitles axes1 "%" axes2 "%" axes3 "%" axes4 "%" axes5 "%" axes6 "%" axes7 "%" axes8 "%" } TimeRange "1000" YMin "-1~-1~-1~-1~-1~-1~-1~-1" YMax "1~1~1~1~1~1~1~1" SaveName "ScopeData1" DataFormat "StructureWithTime" LimitDataPoints off SampleTime "0" } Block { BlockType Reference Name "TxA_I_10M" SID "1094" Ports [1, 1] Position [205, 280, 270, 300] NamePlacement "alternate" LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Gateway In" SourceType "Xilinx Gateway In Block" infoedit "Gateway in block. Converts inputs of type Simulink integer, double and fixed point to Xilinx fixed p" "oint type.

Hardware notes: In hardware these blocks become top level input ports." gui_display_data_type "Fixed-point" arith_type "Signed (2's comp)" n_bits "16" bin_pt "15" preci_type "Single" exp_width "8" frac_width "24" quantization "Round (unbiased: +/- Inf)" overflow "Flag as error" period "1/2" dbl_ovrd off timing_constraint "None" locs_specified off LOCs "{}" xl_use_area off xl_area "[0 0 0 0 16 0 0]" inherit_from_input off UseAsADC off ADCChannel "'1'" hdl_port "on" has_advanced_control "0" sggui_pos "20,20,356,432" block_type "gatewayin" block_version "8.2.01" sg_icon_stat "65,20,1,1,white,yellow,1,00d3666e,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 65 65 0 0 ],[0 0 20 20 0 ],[0.95 0.93 0.65" " ]);\nplot([0 65 65 0 0 ],[0 0 20 20 0 ]);\npatch([27.55 30.44 32.44 34.44 36.44 32.44 29.55 27.55 ],[12.22 12.22 1" "4.22 12.22 14.22 14.22 14.22 12.22 ],[1 1 1 ]);\npatch([29.55 32.44 30.44 27.55 29.55 ],[10.22 10.22 12.22 12.22 10" ".22 ],[0.985 0.979 0.895 ]);\npatch([27.55 30.44 32.44 29.55 27.55 ],[8.22 8.22 10.22 10.22 8.22 ],[1 1 1 ]);\npatc" "h([29.55 36.44 34.44 32.44 30.44 27.55 29.55 ],[6.22 6.22 8.22 6.22 8.22 8.22 6.22 ],[0.985 0.979 0.895 ]);\nfprint" "f('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1,'" "\\fontsize{11pt}\\bf In ','texmode','on');\ncolor('black');port_label('output',1,' ');\nfprintf('','COMMENT: end ic" "on text');" } Block { BlockType Reference Name "TxA_I_40M" SID "1095" Ports [1, 1] Position [780, 280, 845, 300] NamePlacement "alternate" LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Gateway Out" SourceType "Xilinx Gateway Out Block" infoedit "Gateway out block. Converts Xilinx fixed point inputs into ouputs of type Simulink integer, double, o" "r fixed point.

Hardware notes: In hardware these blocks become top level output ports or are discarded, depen" "ding on how they are configured." inherit_from_input off hdl_port on timing_constraint "None" locs_specified off LOCs "{}" xl_use_area off xl_area "[0 0 0 0 16 0 0]" UseAsDAC off DACChannel "'1'" has_advanced_control "0" sggui_pos "20,20,336,386" block_type "gatewayout" block_version "8.2.01" sg_icon_stat "65,20,1,1,white,yellow,1,cc31b7ac,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 65 65 0 0 ],[0 0 20 20 0 ],[0.95 0.93 0.65" " ]);\nplot([0 65 65 0 0 ],[0 0 20 20 0 ]);\npatch([27.55 30.44 32.44 34.44 36.44 32.44 29.55 27.55 ],[12.22 12.22 1" "4.22 12.22 14.22 14.22 14.22 12.22 ],[1 1 1 ]);\npatch([29.55 32.44 30.44 27.55 29.55 ],[10.22 10.22 12.22 12.22 10" ".22 ],[0.985 0.979 0.895 ]);\npatch([27.55 30.44 32.44 29.55 27.55 ],[8.22 8.22 10.22 10.22 8.22 ],[1 1 1 ]);\npatc" "h([29.55 36.44 34.44 32.44 30.44 27.55 29.55 ],[6.22 6.22 8.22 6.22 8.22 8.22 6.22 ],[0.985 0.979 0.895 ]);\nfprint" "f('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1,'" " ');\ncolor('black');port_label('output',1,'\\fontsize{11pt}\\bf Out ','texmode','on');\nfprintf('','COMMENT: end i" "con text');" } Block { BlockType Reference Name "TxA_Q_10M" SID "1096" Ports [1, 1] Position [205, 335, 270, 355] NamePlacement "alternate" LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Gateway In" SourceType "Xilinx Gateway In Block" infoedit "Gateway in block. Converts inputs of type Simulink integer, double and fixed point to Xilinx fixed p" "oint type.

Hardware notes: In hardware these blocks become top level input ports." gui_display_data_type "Fixed-point" arith_type "Signed (2's comp)" n_bits "16" bin_pt "15" preci_type "Single" exp_width "8" frac_width "24" quantization "Round (unbiased: +/- Inf)" overflow "Flag as error" period "1/2" dbl_ovrd off timing_constraint "None" locs_specified off LOCs "{}" xl_use_area off xl_area "[0 0 0 0 16 0 0]" inherit_from_input off UseAsADC off ADCChannel "'1'" hdl_port "on" has_advanced_control "0" sggui_pos "20,20,356,432" block_type "gatewayin" block_version "8.2.01" sg_icon_stat "65,20,1,1,white,yellow,1,00d3666e,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 65 65 0 0 ],[0 0 20 20 0 ],[0.95 0.93 0.65" " ]);\nplot([0 65 65 0 0 ],[0 0 20 20 0 ]);\npatch([27.55 30.44 32.44 34.44 36.44 32.44 29.55 27.55 ],[12.22 12.22 1" "4.22 12.22 14.22 14.22 14.22 12.22 ],[1 1 1 ]);\npatch([29.55 32.44 30.44 27.55 29.55 ],[10.22 10.22 12.22 12.22 10" ".22 ],[0.985 0.979 0.895 ]);\npatch([27.55 30.44 32.44 29.55 27.55 ],[8.22 8.22 10.22 10.22 8.22 ],[1 1 1 ]);\npatc" "h([29.55 36.44 34.44 32.44 30.44 27.55 29.55 ],[6.22 6.22 8.22 6.22 8.22 8.22 6.22 ],[0.985 0.979 0.895 ]);\nfprint" "f('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1,'" "\\fontsize{11pt}\\bf In ','texmode','on');\ncolor('black');port_label('output',1,' ');\nfprintf('','COMMENT: end ic" "on text');" } Block { BlockType Reference Name "TxA_Q_40M" SID "1097" Ports [1, 1] Position [780, 295, 845, 315] LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Gateway Out" SourceType "Xilinx Gateway Out Block" infoedit "Gateway out block. Converts Xilinx fixed point inputs into ouputs of type Simulink integer, double, o" "r fixed point.

Hardware notes: In hardware these blocks become top level output ports or are discarded, depen" "ding on how they are configured." inherit_from_input off hdl_port on timing_constraint "None" locs_specified off LOCs "{}" xl_use_area off xl_area "[0 0 0 0 16 0 0]" UseAsDAC off DACChannel "'1'" has_advanced_control "0" sggui_pos "20,20,336,386" block_type "gatewayout" block_version "8.2.01" sg_icon_stat "65,20,1,1,white,yellow,1,cc31b7ac,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 65 65 0 0 ],[0 0 20 20 0 ],[0.95 0.93 0.65" " ]);\nplot([0 65 65 0 0 ],[0 0 20 20 0 ]);\npatch([27.55 30.44 32.44 34.44 36.44 32.44 29.55 27.55 ],[12.22 12.22 1" "4.22 12.22 14.22 14.22 14.22 12.22 ],[1 1 1 ]);\npatch([29.55 32.44 30.44 27.55 29.55 ],[10.22 10.22 12.22 12.22 10" ".22 ],[0.985 0.979 0.895 ]);\npatch([27.55 30.44 32.44 29.55 27.55 ],[8.22 8.22 10.22 10.22 8.22 ],[1 1 1 ]);\npatc" "h([29.55 36.44 34.44 32.44 30.44 27.55 29.55 ],[6.22 6.22 8.22 6.22 8.22 8.22 6.22 ],[0.985 0.979 0.895 ]);\nfprint" "f('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1,'" " ');\ncolor('black');port_label('output',1,'\\fontsize{11pt}\\bf Out ','texmode','on');\nfprintf('','COMMENT: end i" "con text');" } Block { BlockType Reference Name "TxB_I_10M" SID "1098" Ports [1, 1] Position [205, 390, 270, 410] NamePlacement "alternate" LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Gateway In" SourceType "Xilinx Gateway In Block" infoedit "Gateway in block. Converts inputs of type Simulink integer, double and fixed point to Xilinx fixed p" "oint type.

Hardware notes: In hardware these blocks become top level input ports." gui_display_data_type "Fixed-point" arith_type "Signed (2's comp)" n_bits "16" bin_pt "15" preci_type "Single" exp_width "8" frac_width "24" quantization "Round (unbiased: +/- Inf)" overflow "Flag as error" period "1/2" dbl_ovrd off timing_constraint "None" locs_specified off LOCs "{}" xl_use_area off xl_area "[0 0 0 0 16 0 0]" inherit_from_input off UseAsADC off ADCChannel "'1'" hdl_port "on" has_advanced_control "0" sggui_pos "20,20,356,432" block_type "gatewayin" block_version "8.2.01" sg_icon_stat "65,20,1,1,white,yellow,1,00d3666e,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 65 65 0 0 ],[0 0 20 20 0 ],[0.95 0.93 0.65" " ]);\nplot([0 65 65 0 0 ],[0 0 20 20 0 ]);\npatch([27.55 30.44 32.44 34.44 36.44 32.44 29.55 27.55 ],[12.22 12.22 1" "4.22 12.22 14.22 14.22 14.22 12.22 ],[1 1 1 ]);\npatch([29.55 32.44 30.44 27.55 29.55 ],[10.22 10.22 12.22 12.22 10" ".22 ],[0.985 0.979 0.895 ]);\npatch([27.55 30.44 32.44 29.55 27.55 ],[8.22 8.22 10.22 10.22 8.22 ],[1 1 1 ]);\npatc" "h([29.55 36.44 34.44 32.44 30.44 27.55 29.55 ],[6.22 6.22 8.22 6.22 8.22 8.22 6.22 ],[0.985 0.979 0.895 ]);\nfprint" "f('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1,'" "\\fontsize{11pt}\\bf In ','texmode','on');\ncolor('black');port_label('output',1,' ');\nfprintf('','COMMENT: end ic" "on text');" } Block { BlockType Reference Name "TxB_I_40M" SID "1099" Ports [1, 1] Position [780, 390, 845, 410] NamePlacement "alternate" LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Gateway Out" SourceType "Xilinx Gateway Out Block" infoedit "Gateway out block. Converts Xilinx fixed point inputs into ouputs of type Simulink integer, double, o" "r fixed point.

Hardware notes: In hardware these blocks become top level output ports or are discarded, depen" "ding on how they are configured." inherit_from_input off hdl_port on timing_constraint "None" locs_specified off LOCs "{}" xl_use_area off xl_area "[0 0 0 0 16 0 0]" UseAsDAC off DACChannel "'1'" has_advanced_control "0" sggui_pos "20,20,336,386" block_type "gatewayout" block_version "8.2.01" sg_icon_stat "65,20,1,1,white,yellow,1,cc31b7ac,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 65 65 0 0 ],[0 0 20 20 0 ],[0.95 0.93 0.65" " ]);\nplot([0 65 65 0 0 ],[0 0 20 20 0 ]);\npatch([27.55 30.44 32.44 34.44 36.44 32.44 29.55 27.55 ],[12.22 12.22 1" "4.22 12.22 14.22 14.22 14.22 12.22 ],[1 1 1 ]);\npatch([29.55 32.44 30.44 27.55 29.55 ],[10.22 10.22 12.22 12.22 10" ".22 ],[0.985 0.979 0.895 ]);\npatch([27.55 30.44 32.44 29.55 27.55 ],[8.22 8.22 10.22 10.22 8.22 ],[1 1 1 ]);\npatc" "h([29.55 36.44 34.44 32.44 30.44 27.55 29.55 ],[6.22 6.22 8.22 6.22 8.22 8.22 6.22 ],[0.985 0.979 0.895 ]);\nfprint" "f('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1,'" " ');\ncolor('black');port_label('output',1,'\\fontsize{11pt}\\bf Out ','texmode','on');\nfprintf('','COMMENT: end i" "con text');" } Block { BlockType Reference Name "TxB_Q_10M" SID "1100" Ports [1, 1] Position [205, 445, 270, 465] NamePlacement "alternate" LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Gateway In" SourceType "Xilinx Gateway In Block" infoedit "Gateway in block. Converts inputs of type Simulink integer, double and fixed point to Xilinx fixed p" "oint type.

Hardware notes: In hardware these blocks become top level input ports." gui_display_data_type "Fixed-point" arith_type "Signed (2's comp)" n_bits "16" bin_pt "15" preci_type "Single" exp_width "8" frac_width "24" quantization "Round (unbiased: +/- Inf)" overflow "Flag as error" period "1/2" dbl_ovrd off timing_constraint "None" locs_specified off LOCs "{}" xl_use_area off xl_area "[0 0 0 0 16 0 0]" inherit_from_input off UseAsADC off ADCChannel "'1'" hdl_port "on" has_advanced_control "0" sggui_pos "20,20,356,432" block_type "gatewayin" block_version "8.2.01" sg_icon_stat "65,20,1,1,white,yellow,1,00d3666e,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 65 65 0 0 ],[0 0 20 20 0 ],[0.95 0.93 0.65" " ]);\nplot([0 65 65 0 0 ],[0 0 20 20 0 ]);\npatch([27.55 30.44 32.44 34.44 36.44 32.44 29.55 27.55 ],[12.22 12.22 1" "4.22 12.22 14.22 14.22 14.22 12.22 ],[1 1 1 ]);\npatch([29.55 32.44 30.44 27.55 29.55 ],[10.22 10.22 12.22 12.22 10" ".22 ],[0.985 0.979 0.895 ]);\npatch([27.55 30.44 32.44 29.55 27.55 ],[8.22 8.22 10.22 10.22 8.22 ],[1 1 1 ]);\npatc" "h([29.55 36.44 34.44 32.44 30.44 27.55 29.55 ],[6.22 6.22 8.22 6.22 8.22 8.22 6.22 ],[0.985 0.979 0.895 ]);\nfprint" "f('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1,'" "\\fontsize{11pt}\\bf In ','texmode','on');\ncolor('black');port_label('output',1,' ');\nfprintf('','COMMENT: end ic" "on text');" } Block { BlockType Reference Name "TxB_Q_40M" SID "1101" Ports [1, 1] Position [780, 405, 845, 425] LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Gateway Out" SourceType "Xilinx Gateway Out Block" infoedit "Gateway out block. Converts Xilinx fixed point inputs into ouputs of type Simulink integer, double, o" "r fixed point.

Hardware notes: In hardware these blocks become top level output ports or are discarded, depen" "ding on how they are configured." inherit_from_input off hdl_port on timing_constraint "None" locs_specified off LOCs "{}" xl_use_area off xl_area "[0 0 0 0 16 0 0]" UseAsDAC off DACChannel "'1'" has_advanced_control "0" sggui_pos "20,20,336,386" block_type "gatewayout" block_version "8.2.01" sg_icon_stat "65,20,1,1,white,yellow,1,cc31b7ac,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 65 65 0 0 ],[0 0 20 20 0 ],[0.95 0.93 0.65" " ]);\nplot([0 65 65 0 0 ],[0 0 20 20 0 ]);\npatch([27.55 30.44 32.44 34.44 36.44 32.44 29.55 27.55 ],[12.22 12.22 1" "4.22 12.22 14.22 14.22 14.22 12.22 ],[1 1 1 ]);\npatch([29.55 32.44 30.44 27.55 29.55 ],[10.22 10.22 12.22 12.22 10" ".22 ],[0.985 0.979 0.895 ]);\npatch([27.55 30.44 32.44 29.55 27.55 ],[8.22 8.22 10.22 10.22 8.22 ],[1 1 1 ]);\npatc" "h([29.55 36.44 34.44 32.44 30.44 27.55 29.55 ],[6.22 6.22 8.22 6.22 8.22 8.22 6.22 ],[0.985 0.979 0.895 ]);\nfprint" "f('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1,'" " ');\ncolor('black');port_label('output',1,'\\fontsize{11pt}\\bf Out ','texmode','on');\nfprintf('','COMMENT: end i" "con text');" } Block { BlockType Reference Name "Up Sample" SID "1102" Ports [1, 1] Position [660, 279, 680, 301] ShowName off LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Up Sample" SourceType "Xilinx Up Sampler Block" infoedit "Up samples input data. Inserted values can be zeros or copies of the most recent input sample.

" "Hardware notes: No hardware is needed if inserted values are copies of the input sample; otherwise, a mux and singl" "e bit flip-flop are used." sample_ratio "2" copy_samples on en off latency "0" dbl_ovrd off xl_use_area off xl_area "[0 0 0 0 0 0 0]" has_advanced_control "0" sggui_pos "20,20,348,277" block_type "usamp" block_version "10.1.3" sg_icon_stat "20,22,1,1,white,blue,0,cf641474,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 20 20 0 0 ],[0 0 22 22 0 ],[0.77 0.82 0.91" " ]);\nplot([0 20 20 0 0 ],[0 0 22 22 0 ]);\npatch([5.55 8.44 10.44 12.44 14.44 10.44 7.55 5.55 ],[13.22 13.22 15.22" " 13.22 15.22 15.22 15.22 13.22 ],[1 1 1 ]);\npatch([7.55 10.44 8.44 5.55 7.55 ],[11.22 11.22 13.22 13.22 11.22 ],[0" ".931 0.946 0.973 ]);\npatch([5.55 8.44 10.44 7.55 5.55 ],[9.22 9.22 11.22 11.22 9.22 ],[1 1 1 ]);\npatch([7.55 14.4" "4 12.44 10.44 8.44 5.55 7.55 ],[7.22 7.22 9.22 7.22 9.22 9.22 7.22 ],[0.931 0.946 0.973 ]);\nfprintf('','COMMENT: e" "nd icon graphics');\nfprintf('','COMMENT: begin icon text');\n\n\ncolor('black');disp('{\\fontsize{14pt}\\bf\\uparr" "ow}2','texmode','on');\nfprintf('','COMMENT: end icon text');" } Block { BlockType Reference Name "Up Sample1" SID "1103" Ports [1, 1] Position [660, 294, 680, 316] ShowName off LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Up Sample" SourceType "Xilinx Up Sampler Block" infoedit "Up samples input data. Inserted values can be zeros or copies of the most recent input sample.

" "Hardware notes: No hardware is needed if inserted values are copies of the input sample; otherwise, a mux and singl" "e bit flip-flop are used." sample_ratio "2" copy_samples on en off latency "0" dbl_ovrd off xl_use_area off xl_area "[0 0 0 0 0 0 0]" has_advanced_control "0" sggui_pos "20,20,348,277" block_type "usamp" block_version "10.1.3" sg_icon_stat "20,22,1,1,white,blue,0,cf641474,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 20 20 0 0 ],[0 0 22 22 0 ],[0.77 0.82 0.91" " ]);\nplot([0 20 20 0 0 ],[0 0 22 22 0 ]);\npatch([5.55 8.44 10.44 12.44 14.44 10.44 7.55 5.55 ],[13.22 13.22 15.22" " 13.22 15.22 15.22 15.22 13.22 ],[1 1 1 ]);\npatch([7.55 10.44 8.44 5.55 7.55 ],[11.22 11.22 13.22 13.22 11.22 ],[0" ".931 0.946 0.973 ]);\npatch([5.55 8.44 10.44 7.55 5.55 ],[9.22 9.22 11.22 11.22 9.22 ],[1 1 1 ]);\npatch([7.55 14.4" "4 12.44 10.44 8.44 5.55 7.55 ],[7.22 7.22 9.22 7.22 9.22 9.22 7.22 ],[0.931 0.946 0.973 ]);\nfprintf('','COMMENT: e" "nd icon graphics');\nfprintf('','COMMENT: begin icon text');\n\n\ncolor('black');disp('{\\fontsize{14pt}\\bf\\uparr" "ow}2','texmode','on');\nfprintf('','COMMENT: end icon text');" } Block { BlockType Reference Name "Up Sample2" SID "1104" Ports [1, 1] Position [660, 389, 680, 411] ShowName off LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Up Sample" SourceType "Xilinx Up Sampler Block" infoedit "Up samples input data. Inserted values can be zeros or copies of the most recent input sample.

" "Hardware notes: No hardware is needed if inserted values are copies of the input sample; otherwise, a mux and singl" "e bit flip-flop are used." sample_ratio "2" copy_samples on en off latency "0" dbl_ovrd off xl_use_area off xl_area "[0 0 0 0 0 0 0]" has_advanced_control "0" sggui_pos "20,20,348,277" block_type "usamp" block_version "10.1.3" sg_icon_stat "20,22,1,1,white,blue,0,cf641474,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 20 20 0 0 ],[0 0 22 22 0 ],[0.77 0.82 0.91" " ]);\nplot([0 20 20 0 0 ],[0 0 22 22 0 ]);\npatch([5.55 8.44 10.44 12.44 14.44 10.44 7.55 5.55 ],[13.22 13.22 15.22" " 13.22 15.22 15.22 15.22 13.22 ],[1 1 1 ]);\npatch([7.55 10.44 8.44 5.55 7.55 ],[11.22 11.22 13.22 13.22 11.22 ],[0" ".931 0.946 0.973 ]);\npatch([5.55 8.44 10.44 7.55 5.55 ],[9.22 9.22 11.22 11.22 9.22 ],[1 1 1 ]);\npatch([7.55 14.4" "4 12.44 10.44 8.44 5.55 7.55 ],[7.22 7.22 9.22 7.22 9.22 9.22 7.22 ],[0.931 0.946 0.973 ]);\nfprintf('','COMMENT: e" "nd icon graphics');\nfprintf('','COMMENT: begin icon text');\n\n\ncolor('black');disp('{\\fontsize{14pt}\\bf\\uparr" "ow}2','texmode','on');\nfprintf('','COMMENT: end icon text');" } Block { BlockType Reference Name "Up Sample3" SID "1105" Ports [1, 1] Position [660, 404, 680, 426] ShowName off LibraryVersion "1.2" SourceBlock "xbsIndex_r4/Up Sample" SourceType "Xilinx Up Sampler Block" infoedit "Up samples input data. Inserted values can be zeros or copies of the most recent input sample.

" "Hardware notes: No hardware is needed if inserted values are copies of the input sample; otherwise, a mux and singl" "e bit flip-flop are used." sample_ratio "2" copy_samples on en off latency "0" dbl_ovrd off xl_use_area off xl_area "[0 0 0 0 0 0 0]" has_advanced_control "0" sggui_pos "20,20,348,277" block_type "usamp" block_version "10.1.3" sg_icon_stat "20,22,1,1,white,blue,0,cf641474,right,,[ ],[ ]" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npatch([0 20 20 0 0 ],[0 0 22 22 0 ],[0.77 0.82 0.91" " ]);\nplot([0 20 20 0 0 ],[0 0 22 22 0 ]);\npatch([5.55 8.44 10.44 12.44 14.44 10.44 7.55 5.55 ],[13.22 13.22 15.22" " 13.22 15.22 15.22 15.22 13.22 ],[1 1 1 ]);\npatch([7.55 10.44 8.44 5.55 7.55 ],[11.22 11.22 13.22 13.22 11.22 ],[0" ".931 0.946 0.973 ]);\npatch([5.55 8.44 10.44 7.55 5.55 ],[9.22 9.22 11.22 11.22 9.22 ],[1 1 1 ]);\npatch([7.55 14.4" "4 12.44 10.44 8.44 5.55 7.55 ],[7.22 7.22 9.22 7.22 9.22 9.22 7.22 ],[0.931 0.946 0.973 ]);\nfprintf('','COMMENT: e" "nd icon graphics');\nfprintf('','COMMENT: begin icon text');\n\n\ncolor('black');disp('{\\fontsize{14pt}\\bf\\uparr" "ow}2','texmode','on');\nfprintf('','COMMENT: end icon text');" } Line { SrcBlock "TxA_I_10M" SrcPort 1 DstBlock "Down Sample2" DstPort 1 } Line { SrcBlock "TxA_Q_10M" SrcPort 1 DstBlock "Down Sample1" DstPort 1 } Line { SrcBlock "TxB_I_10M" SrcPort 1 DstBlock "Down Sample3" DstPort 1 } Line { SrcBlock "TxB_Q_10M" SrcPort 1 DstBlock "Down Sample4" DstPort 1 } Line { SrcBlock "Down Sample2" SrcPort 1 Points [100, 0] Branch { Points [0, -100] DstBlock "Reference\nFilter" DstPort 1 } Branch { DstBlock "2-Ch Interp A" DstPort 1 } } Line { SrcBlock "Chirp" SrcPort 1 Points [30, 0] Branch { DstBlock "TxA_I_10M" DstPort 1 } Branch { Points [0, 110] DstBlock "TxB_I_10M" DstPort 1 } Branch { Points [0, -215; 950, 0; 0, 55] DstBlock "Tx Scope" DstPort 1 } } Line { SrcBlock "Integer Delay" SrcPort 1 Points [45, 0] Branch { DstBlock "Tx Scope" DstPort 2 } Branch { Points [0, 50] DstBlock "Add" DstPort 1 } } Line { SrcBlock "Add" SrcPort 1 DstBlock "Tx Scope" DstPort 4 } Line { SrcBlock "Down Sample1" SrcPort 1 Points [65, 0; 0, -40; 40, 0] Branch { DstBlock "2-Ch Interp A" DstPort 2 } Branch { Points [0, -80] DstBlock "Reference\nFilter1" DstPort 1 } } Line { SrcBlock "Chirp1" SrcPort 1 Points [25, 0] Branch { DstBlock "TxA_Q_10M" DstPort 1 } Branch { Points [0, 110] DstBlock "TxB_Q_10M" DstPort 1 } Branch { Points [0, -265; 805, 0; 0, 170] DstBlock "Tx Scope" DstPort 5 } } Line { SrcBlock "Add1" SrcPort 1 DstBlock "Tx Scope" DstPort 8 } Line { SrcBlock "Down Sample3" SrcPort 1 DstBlock "2-Ch Interp B" DstPort 1 } Line { SrcBlock "Down Sample4" SrcPort 1 Points [30, 0; 0, -40] DstBlock "2-Ch Interp B" DstPort 2 } Line { SrcBlock "2-Ch Interp A" SrcPort 1 DstBlock "Up Sample" DstPort 1 } Line { SrcBlock "2-Ch Interp A" SrcPort 2 DstBlock "Up Sample1" DstPort 1 } Line { SrcBlock "2-Ch Interp B" SrcPort 1 DstBlock "Up Sample2" DstPort 1 } Line { SrcBlock "2-Ch Interp B" SrcPort 2 DstBlock "Up Sample3" DstPort 1 } Line { SrcBlock "TxA_I_40M" SrcPort 1 Points [70, 0; 0, -130] DstBlock "Integer Delay" DstPort 1 } Line { SrcBlock "Reference\nFilter" SrcPort 1 Points [485, 0] Branch { DstBlock "Tx Scope" DstPort 3 } Branch { Points [0, 35] DstBlock "Add" DstPort 2 } } Line { SrcBlock "TxA_Q_40M" SrcPort 1 Points [95, 0; 0, -25] DstBlock "Integer Delay1" DstPort 1 } Line { SrcBlock "Integer Delay1" SrcPort 1 Points [30, 0] Branch { DstBlock "Tx Scope" DstPort 6 } Branch { Points [0, 50] DstBlock "Add1" DstPort 1 } } Line { SrcBlock "Reference\nFilter1" SrcPort 1 Points [480, 0; 0, 85] Branch { DstBlock "Tx Scope" DstPort 7 } Branch { Points [0, 35] DstBlock "Add1" DstPort 2 } } Line { SrcBlock "TxB_I_40M" SrcPort 1 DstBlock "Terminator3" DstPort 1 } Line { SrcBlock "TxB_Q_40M" SrcPort 1 DstBlock "Terminator1" DstPort 1 } Line { SrcBlock "Up Sample" SrcPort 1 DstBlock "TxA_I_40M" DstPort 1 } Line { SrcBlock "Up Sample1" SrcPort 1 DstBlock "TxA_Q_40M" DstPort 1 } Line { SrcBlock "Up Sample2" SrcPort 1 DstBlock "TxB_I_40M" DstPort 1 } Line { SrcBlock "Up Sample3" SrcPort 1 DstBlock "TxB_Q_40M" DstPort 1 } } } } } MatData { NumRecords 5 DataRecord { Tag DataTag4 Data " %)30 . B 8 ( @ % \" $ ! 0 % 0 $P $ 3 :&%S26" "YH97)I=&5D3W!T:6]N #@ # & \" D\" !0 @ ! 0 $ @ ! $ " } DataRecord { Tag DataTag3 Data " %)30 . B 8 ( @ % \" $ ! 0 % 0 $P $ 3 :&%S26" "YH97)I=&5D3W!T:6]N #@ # & \" D\" !0 @ ! 0 $ @ ! $ " } DataRecord { Tag DataTag2 Data " %)30 . B 8 ( @ % \" $ ! 0 % 0 $P $ 3 :&%S26" "YH97)I=&5D3W!T:6]N #@ # & \" D\" !0 @ ! 0 $ @ ! $ " } DataRecord { Tag DataTag1 Data " %)30 . B 8 ( @ % \" $ ! 0 % 0 $P $ 3 :&%S26" "YH97)I=&5D3W!T:6]N #@ # & \" D\" !0 @ ! 0 $ @ ! $ " } DataRecord { Tag DataTag0 Data " %)30 . :%0 8 ( @ % \" $ ! 0 % 0 !@ $ , 0 . . 8 ( ! " "% \" $ ' 0 0 !P '1A7, !V86QU97, . P 8 ( 0 % \" $ \" 0 " ". 0 8 ( ! % \" $ + 0 0 \"P $A$3\"!.971L:7-T . 2 8 " " ( ! % \" $ 8 0 0 & $5X<&]R=\"!A7-T96T #@ $@ & \" 0 !0 @ ! & $ $ !@ !!8V-O 0 !H$ !I;F9O961I= !X:6QI;GAF86UI;'D !P87)T " " !S<&5E9 !P86-K86=E !" "S>6YT:&5S:7-?=&]O;%]S9V%D=F%N8V5D !S>6YT:&5S:7-?=&]O; !C;&]C:U]W7-C;&M?<&5R:6]D !D8VU?:6YP=71?8VQO8VM?<" "&5R:6]D !I;F-R7VYE=&QI7-T96T@1V5N97)A=&]R X X !@ @ $ 4 ( 0 < " " ! ! ' =FER=&5X- . . 8 ( ! % \" $ ( 0 0 \" 'AC-" "'9S>#,U#@ # & \" 0 !0 @ ! P $ $ # \"TQ, . . 8 ( ! " " % \" $ % 0 0 !0 &9F-C8X #@ # & \" 0 !0 @ $ " " $ . , 8 ( ! % \" $ # 0 0 , 6%-4 X P !@ @ " " $ 4 ( ! ! #@ $ & \" 0 !0 @ ! #0 $ " " $ T !#;&]C:R!%;F%B;&5S #@ $ & \" 0 !0 @ ! \"0 $ $ D " "N+VYE=&QI 0 $8% !I;F9O961I= " " !X:6QI;GAF86UI;'D !P87)T !S<&5E9 " " !P86-K86=E !S>6YT:&5S:7-?=&]O;%]S9V%D=F%N8V5D !S>6YT:&5S:7-?=&]O; " " !C;&]C:U]W7-C;&M?<&5R:" "6]D !D8VU?:6YP=71?8VQO8VM?<&5R:6]D !I;F-R7VYE=&QI&,T=F9X,3 P " " X P !@ @ $ 4 ( 0 , ! ! P M,3$ #@ #@ & \" 0 !0 " " @ ! !@ $ $ 8 !F9C$U,3< X P !@ @ $ 4 ( ! ! " " #@ # & \" 0 !0 @ ! P $ $ # %A35 . , 8 ( ! " " % \" 0 0 X ! !@ @ $ 4 ( 0 T ! ! " " - 0VQO8VL@16YA8FQE

0 ;6%J7W-L:61E<@ " " ;6EN;W)?5]S;&ED97( :7-$979E;&]P;65N= =7-E0W5S=&]M" "0G5S26YT97)F86-E 8W5S=&]M0G5S26YT97)F86-E5F%L=64 #@ #@ & \" 8 !0 @ ! 0 $ " " \"0 @ X P !@ @ $ 4 ( ! ! #@ $ & " " \" 0 !0 @ ! $ $ $ ! !T87)G971?9&ER96-T;W)Y#@ # & \" 0 " " !0 @ $ $ . . 8 ( !@ % \" $ ! 0 ) " " \" ! #@ #@ & \" 8 !0 @ ! 0 $ \"0 @ X P " " !@ @ $ 4 ( 0 $ ! ! 0!A #@ #@ & \" 8 !0 @ ! " " 0 $ \"0 @ \"60XMLY_O_/PX X !@ @ & 4 ( 0 $ ! D ( " " . . 8 ( !@ % \" $ ! 0 ) \" 0%A #@ #@ & " " \" 8 !0 @ ! 0 $ \"0 @ X X !@ @ & 4 ( " " 0 $ ! D ( . , 8 ( !@ % \" 0 ) " " X P !@ @ $ 4 ( 0 , ! ! P!O9F8 #@ $@ & \" 0 !" "0 @ ! $0 $ $ !$ !0'!O&EL:6YX9F%M:6QY " " <&%R= #0 #@ #@ & \" 0 !0 @ ! \" $ " " $ @ !X8S1V'0G*3L*9G!R:6YT9B@G)RPG0T]-345.5#H@96YD(&EC;VX@=&5X=\"&EL:6YX9F%M:6QY <&%R= &QE9&MS971T:6" "YG7!E 7-T96T@1V5N97)A=&]R X X !@ @ $ 4 ( 0" " < ! ! ' =FER=&5X- . 0 8 ( ! % \" $ ) 0 0 \"0" " 'AC-'9F>#$P, . , 8 ( ! % \" $ # 0 0 , +3$Q X X !@ " " @ $ 4 ( 0 8 ! ! & 9F8Q-3$W . , 8 ( ! % \" " " 0 0 X P !@ @ $ 4 ( 0 , ! ! P!84U0 #@ #" " & \" 0 !0 @ $ $ . 0 8 ( ! % \" " "$ - 0 0 #0 $-L;V-K($5N86)L97, . 2 8 ( ! % \" $ 8 0 " " 0 & \"XO9FEL='-?=C1?=C$Q7VAA;&9$4U T. X P !@ @ $ 4 ( ! ! " " #@ # & \" 0 !0 @ ! P $ $ # &]F9@ . , 8 ( ! " " % \" $ \" 0 0 ( ,3 X P !@ @ $ 4 ( 0 , ! ! " "P Q,# #@ # & \" 0 !0 @ $ $ . , 8 ( ! " " % \" 0 0 X P !@ @ $ 4 ( ! ! " " #@ # & \" 0 !0 @ $ $ . 2 8 ( ! %" " \" $ 8 0 0 & $%C8V]R9&EN9R!T;R!\";&]C:R!-87-K'0G*3L*9G!R:6YT9B@G)RPG0T]-345.5#H@96YD(&EC;VX@=&5X=\"" "0X P !@ @ $ 4 ( ! ! #@ #@ & \" 8 !0 " "@ ! 0 $ \"0 @ 0 X X !@ @ & 4 ( 0 $ ! D" " ( . , 8 ( ! % \" $ ! 0 0 $ 80 X X !@ @ " " & 4 ( 0 $ ! D ( ED.+;.?[_S\\. . 8 ( !@ % \" $ " " ! 0 ) \" #@ #@ & \" 8 !0 @ ! 0 $ \"0 @" " $!80 X X !@ @ & 4 ( 0 $ ! D ( . . 8 " " ( !@ % \" $ ! 0 ) \" #@ # & \" 8 !0 @ " " $ \"0 . , 8 ( ! % \" $ # 0 0 , ;V9F X " " !( !@ @ $ 4 ( 0 !$ ! ! 1 4')O:F5C=\"!.879I9V%T;W( #@ $ " " & \" 0 !0 @ ! #0 $ $ T !84U0@1&5F875L=',J #@ $ & \" 0 " " !0 @ ! #0 $ $ T !)4T4@1&5F875L=',J " } }