WARP Project Forums - Wireless Open-Access Research Platform

You are not logged in.

#1 2018-Nov-02 14:19:15

banerjee.152
Member
Registered: 2018-Oct-19
Posts: 4

Warp Lab How To Generate Bit File From Bin

A .bit file is the final output of the Xilinx ISE hardware synthesis and implementation processes. Before you can use the SD card for configuration, you must generate a valid .bit file for the FPGA on your board.
How to generate  .bit file. Any tutorials

Offline

 

#2 2018-Nov-05 08:47:51

chunter
Administrator
From: Mango Communications
Registered: 2006-Aug-24
Posts: 1212

Re: Warp Lab How To Generate Bit File From Bin

Our projects typically have a software component running in one or more MicroBlaze processors. If your project does as well, you'll want to use the Xilinx SDK to generate the .bit file. From the SDK you can program the board directly, or you can construct an SD card that will configure the board from the bitstream created by the SDK.

Offline

 

Board footer