Line | |
---|
1 | --------------------------------------------------------------------------------------------------- |
---|
2 | -- |
---|
3 | -- Title : difclk |
---|
4 | -- Design : flow4 |
---|
5 | -- Author : jiang hai |
---|
6 | -- Company : Nokia |
---|
7 | -- |
---|
8 | --------------------------------------------------------------------------------------------------- |
---|
9 | -- |
---|
10 | -- File : difclk.vhd |
---|
11 | -- Generated : Mon Jul 31 10:34:43 2006 |
---|
12 | -- |
---|
13 | --------------------------------------------------------------------------------------------------- |
---|
14 | -- |
---|
15 | -- Description : |
---|
16 | -- |
---|
17 | --------------------------------------------------------------------------------------------------- |
---|
18 | |
---|
19 | library ieee; |
---|
20 | use ieee.std_logic_1164.all; |
---|
21 | use ieee.std_logic_arith.all; |
---|
22 | use ieee.std_logic_unsigned.all; |
---|
23 | |
---|
24 | Library XilinxCoreLib; |
---|
25 | use XilinxCoreLib.all; |
---|
26 | |
---|
27 | library unisim ; |
---|
28 | use unisim.vcomponents.all ; |
---|
29 | |
---|
30 | |
---|
31 | |
---|
32 | entity difclk is |
---|
33 | port( |
---|
34 | top_ref_clk_p : in STD_LOGIC; |
---|
35 | top_ref_clk_n : in STD_LOGIC; |
---|
36 | user_clk_i : out STD_LOGIC; |
---|
37 | top_ref_clk_i : out STD_LOGIC |
---|
38 | ); |
---|
39 | end difclk; |
---|
40 | |
---|
41 | --}} End of automatically maintained section |
---|
42 | |
---|
43 | architecture difclk of difclk is |
---|
44 | |
---|
45 | signal top_ref_clk_i_1: std_logic; |
---|
46 | begin |
---|
47 | |
---|
48 | -- Differential Clock Buffers for top clock input |
---|
49 | diff_clk_buff_top : IBUFGDS_LVDS_25 |
---|
50 | port map( |
---|
51 | I =>top_ref_clk_p , --IN |
---|
52 | IB =>top_ref_clk_n , --IN |
---|
53 | O =>top_ref_clk_i_1 --OUT |
---|
54 | ); |
---|
55 | -- |
---|
56 | -- Bufg used to drive user clk on global clock net |
---|
57 | user_clock_bufg:BUFG |
---|
58 | port map( |
---|
59 | I =>top_ref_clk_i_1 , --IN |
---|
60 | O =>user_clk_i --OUT |
---|
61 | ); |
---|
62 | |
---|
63 | top_ref_clk_i <= top_ref_clk_i_1; |
---|
64 | |
---|
65 | end difclk; |
---|
Note: See
TracBrowser
for help on using the repository browser.