source: PlatformSupport/Deprecated/pcores/linkport_v1_00_a/hdl/vhdl/fifo_64x18.vhd

Last change on this file was 408, checked in by haijiang, 18 years ago
File size: 5.5 KB
Line 
1--------------------------------------------------------------------------------
2--     This file is owned and controlled by Xilinx and must be used           --
3--     solely for design, simulation, implementation and creation of          --
4--     design files limited to Xilinx devices or technologies. Use            --
5--     with non-Xilinx devices or technologies is expressly prohibited        --
6--     and immediately terminates your license.                               --
7--                                                                            --
8--     XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS"          --
9--     SOLELY FOR USE IN DEVELOPING PROGRAMS AND SOLUTIONS FOR                --
10--     XILINX DEVICES.  BY PROVIDING THIS DESIGN, CODE, OR INFORMATION        --
11--     AS ONE POSSIBLE IMPLEMENTATION OF THIS FEATURE, APPLICATION            --
12--     OR STANDARD, XILINX IS MAKING NO REPRESENTATION THAT THIS              --
13--     IMPLEMENTATION IS FREE FROM ANY CLAIMS OF INFRINGEMENT,                --
14--     AND YOU ARE RESPONSIBLE FOR OBTAINING ANY RIGHTS YOU MAY REQUIRE       --
15--     FOR YOUR IMPLEMENTATION.  XILINX EXPRESSLY DISCLAIMS ANY               --
16--     WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE                --
17--     IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR         --
18--     REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF        --
19--     INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS        --
20--     FOR A PARTICULAR PURPOSE.                                              --
21--                                                                            --
22--     Xilinx products are not intended for use in life support               --
23--     appliances, devices, or systems. Use in such applications are          --
24--     expressly prohibited.                                                  --
25--                                                                            --
26--     (c) Copyright 1995-2006 Xilinx, Inc.                                   --
27--     All rights reserved.                                                   --
28--------------------------------------------------------------------------------
29-- You must compile the wrapper file fifo_64x18.vhd when simulating
30-- the core, fifo_64x18. When compiling the wrapper file, be sure to
31-- reference the XilinxCoreLib VHDL simulation library. For detailed
32-- instructions, please refer to the "CORE Generator Help".
33
34-- The synopsys directives "translate_off/translate_on" specified
35-- below are supported by XST, FPGA Compiler II, Mentor Graphics and Synplicity
36-- synthesis tools. Ensure they are correct for your synthesis tool(s).
37
38LIBRARY ieee;
39USE ieee.std_logic_1164.ALL;
40-- synopsys translate_off
41Library XilinxCoreLib;
42-- synopsys translate_on
43ENTITY fifo_64x18 IS
44    port (
45    din: IN std_logic_VECTOR(17 downto 0);
46    rd_clk: IN std_logic;
47    rd_en: IN std_logic;
48    rst: IN std_logic;
49    wr_clk: IN std_logic;
50    wr_en: IN std_logic;
51    almost_empty: OUT std_logic;
52    almost_full: OUT std_logic;
53    dout: OUT std_logic_VECTOR(17 downto 0);
54    empty: OUT std_logic;
55    full: OUT std_logic);
56END fifo_64x18;
57
58ARCHITECTURE fifo_64x18_a OF fifo_64x18 IS
59-- synopsys translate_off
60component wrapped_fifo_64x18
61    port (
62    din: IN std_logic_VECTOR(17 downto 0);
63    rd_clk: IN std_logic;
64    rd_en: IN std_logic;
65    rst: IN std_logic;
66    wr_clk: IN std_logic;
67    wr_en: IN std_logic;
68    almost_empty: OUT std_logic;
69    almost_full: OUT std_logic;
70    dout: OUT std_logic_VECTOR(17 downto 0);
71    empty: OUT std_logic;
72    full: OUT std_logic);
73end component;
74
75-- Configuration specification
76    for all : wrapped_fifo_64x18 use entity XilinxCoreLib.fifo_generator_v2_3(behavioral)
77        generic map(
78            c_wr_response_latency => 1,
79            c_has_rd_data_count => 0,
80            c_din_width => 18,
81            c_has_wr_data_count => 0,
82            c_implementation_type => 2,
83            c_family => "virtex2p",
84            c_has_wr_rst => 0,
85            c_underflow_low => 0,
86            c_has_meminit_file => 0,
87            c_has_overflow => 0,
88            c_preload_latency => 0,
89            c_dout_width => 18,
90            c_rd_depth => 64,
91            c_default_value => "BlankString",
92            c_mif_file_name => "BlankString",
93            c_has_underflow => 0,
94            c_has_rd_rst => 0,
95            c_has_almost_full => 1,
96            c_has_rst => 1,
97            c_data_count_width => 2,
98            c_has_wr_ack => 0,
99            c_wr_ack_low => 0,
100            c_common_clock => 0,
101            c_rd_pntr_width => 6,
102            c_has_almost_empty => 1,
103            c_rd_data_count_width => 2,
104            c_enable_rlocs => 0,
105            c_wr_pntr_width => 6,
106            c_overflow_low => 0,
107            c_prog_empty_type => 0,
108            c_optimization_mode => 0,
109            c_wr_data_count_width => 2,
110            c_preload_regs => 1,
111            c_dout_rst_val => "0",
112            c_has_data_count => 0,
113            c_prog_full_thresh_negate_val => 62,
114            c_wr_depth => 64,
115            c_prog_empty_thresh_negate_val => 62,
116            c_prog_empty_thresh_assert_val => 62,
117            c_has_valid => 0,
118            c_init_wr_pntr_val => 0,
119            c_prog_full_thresh_assert_val => 62,
120            c_use_fifo16_flags => 0,
121            c_has_backup => 0,
122            c_valid_low => 0,
123            c_prim_fifo_type => 512,
124            c_count_type => 0,
125            c_prog_full_type => 0,
126            c_memory_type => 2);
127-- synopsys translate_on
128BEGIN
129-- synopsys translate_off
130U0 : wrapped_fifo_64x18
131        port map (
132            din => din,
133            rd_clk => rd_clk,
134            rd_en => rd_en,
135            rst => rst,
136            wr_clk => wr_clk,
137            wr_en => wr_en,
138            almost_empty => almost_empty,
139            almost_full => almost_full,
140            dout => dout,
141            empty => empty,
142            full => full);
143-- synopsys translate_on
144
145END fifo_64x18_a;
146
Note: See TracBrowser for help on using the repository browser.