source: PlatformSupport/Deprecated/pcores/linkport_v1_00_a/hdl/vhdl/tx_ff_ctrl.vhd

Last change on this file was 408, checked in by haijiang, 18 years ago
File size: 774 bytes
Line 
1library ieee;
2use ieee.std_logic_1164.all;
3use ieee.std_logic_arith.all;
4use ieee.std_logic_unsigned.all;
5
6entity tx_ff_ctrl is
7    port (
8        tx_wr : in std_logic;
9        tx_wdata  :in std_logic_vector(15 downto 0);
10        tx_rdy :out std_logic ;
11        tx_first  :in std_logic;
12        tx_last :in std_logic ;
13        tx_en :in std_logic ;
14       
15        tx_ff_wr  :out std_logic;
16        tx_ff_full :in std_logic ;       
17        tx_ff_wdata :out std_logic_vector(17 downto 0) ;
18        tx_ff_almost_full : in std_logic;
19        aur_lane_up :in std_logic 
20        );
21end tx_ff_ctrl;
22
23
24architecture tx_ff_ctrl_b1 of tx_ff_ctrl is
25begin
26   
27    tx_rdy <= (not tx_ff_almost_full) and aur_lane_up and tx_en;
28   
29   
30   
31    tx_ff_Wr <=  tx_wr and (not tx_ff_full) and aur_lane_up and tx_en;
32   
33   
34   
35    tx_ff_wdata <= tx_last&tx_first&tx_wdata;
36   
37end tx_ff_ctrl_b1;
Note: See TracBrowser for help on using the repository browser.