source: PlatformSupport/Deprecated/pcores/mgt_null_controller_v1_01_a/hdl/verilog/null_pair_example_top.v

Last change on this file was 1433, checked in by sgupta, 15 years ago

new mgt nullifier core that is needed for proper operation of the MGTs over long periods

File size: 3.3 KB
Line 
1//--------------------------------------------------------------------------------------------
2//   ____  ____
3//  /   /\/   /
4// /___/  \  /    Vendor: Xilinx
5// \   \   \/     Version : 1.0
6//  \   \         Application : NULL MGT Tile
7//  /   /         Filename : null_pair_example.v
8// /___/   /\     Date : 10/07/2005
9// \   \  /  \
10//  \___\/\___\
11//
12//
13//   Disclaimer:  XILINX IS PROVIDING THIS DESIGN, CODE, OR
14//                INFORMATION "AS IS" SOLELY FOR USE IN DEVELOPING
15//                PROGRAMS AND SOLUTIONS FOR XILINX DEVICES.  BY
16//                PROVIDING THIS DESIGN, CODE, OR INFORMATION AS
17//                ONE POSSIBLE IMPLEMENTATION OF THIS FEATURE,
18//                APPLICATION OR STANDARD, XILINX IS MAKING NO
19//                REPRESENTATION THAT THIS IMPLEMENTATION IS FREE
20//                FROM ANY CLAIMS OF INFRINGEMENT, AND YOU ARE
21//                RESPONSIBLE FOR OBTAINING ANY RIGHTS YOU MAY
22//                REQUIRE FOR YOUR IMPLEMENTATION.  XILINX
23//                EXPRESSLY DISCLAIMS ANY WARRANTY WHATSOEVER WITH
24//                RESPECT TO THE ADEQUACY OF THE IMPLEMENTATION,
25//                INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR
26//                REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE
27//                FROM CLAIMS OF INFRINGEMENT, IMPLIED WARRANTIES
28//                OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR
29//                PURPOSE.
30//
31//                (c) Copyright 2004 Xilinx, Inc.
32//                All rights reserved.
33//
34
35`timescale  1 ns / 1 ps
36
37module null_pair_example
38  (
39     clk,
40     rx1n,
41     rx1p,
42     tx1n,
43     tx1p
44  );
45
46  input clk;
47  input [1:0] rx1n;
48  input [1:0] rx1p;
49  output [1:0] tx1n;
50  output [1:0] tx1p;
51
52  //-----------------------------------------------------------------
53  //
54  //  NULL_PAIR wire declarations
55  //
56  //-----------------------------------------------------------------
57  wire       clk;
58  wire [1:0] rx1n;
59  wire [1:0] rx1p;
60  wire [1:0] tx1n;
61  wire [1:0] tx1p;
62  wire       global_sig;
63
64
65  //-----------------------------------------------------------------
66  //
67  //  NULL_PAIR core instance
68  //
69  //-----------------------------------------------------------------
70  NULL_PAIR null_pair_inst
71  ( 
72     .GREFCLK_IN  (global_sig),
73     .RX1N_IN     (rx1n),
74     .RX1P_IN     (rx1p),
75     .TX1N_OUT    (tx1n), 
76     .TX1P_OUT    (tx1p)
77  );
78
79 
80  //-----------------------------------------------------------------
81  //
82  //  GREFCLK_IN port needs to be driven with any global signal
83  //  (any BUFG output, even a BUFG with ground for input will work).
84  //
85  //-----------------------------------------------------------------
86  BUFG global_sig_i
87  (
88     .I           (clk),
89     .O           (global_sig)
90  );
91
92endmodule
93
94
95//-------------------------------------------------------------------
96//
97//  NULL_PAIR core module declaration
98//
99//-------------------------------------------------------------------
100module NULL_PAIR(
101             GREFCLK_IN,
102             RX1N_IN,
103             RX1P_IN,
104             TX1N_OUT,
105             TX1P_OUT);
106
107
108input        GREFCLK_IN;
109input  [1:0] RX1N_IN;
110input  [1:0] RX1P_IN;
111output [1:0] TX1N_OUT;
112output [1:0] TX1P_OUT;
113
114endmodule
115
Note: See TracBrowser for help on using the repository browser.