source: PlatformSupport/Deprecated/pcores/radio_bridge_v1_20_a/mdlsrc/radio_bridge_rateChangeFilter_4x_2ch.mdl

Last change on this file was 1051, checked in by murphpo, 16 years ago

Updated LCD controller with line/character offsets

  • Property svn:executable set to *
File size: 280.8 KB
Line 
1Model {
2  Name            "radio_bridge_rateChangeFilter_4x_2ch"
3  Version         6.6
4  MdlSubVersion       0
5  GraphicalInterface {
6    NumRootInports      0
7    NumRootOutports     0
8    ParameterArgumentNames  ""
9    ComputedModelVersion    "1.14"
10    NumModelReferences      0
11    NumTestPointedSignals   0
12  }
13  SavedCharacterEncoding  "windows-1252"
14  SaveDefaultBlockParams  on
15  SampleTimeColors    on
16  LibraryLinkDisplay      "none"
17  WideLines       off
18  ShowLineDimensions      off
19  ShowPortDataTypes   on
20  ShowLoopsOnError    on
21  IgnoreBidirectionalLines off
22  ShowStorageClass    off
23  ShowTestPointIcons      on
24  ShowViewerIcons     on
25  SortedOrder         off
26  ExecutionContextIcon    off
27  ShowLinearizationAnnotations on
28  ScopeRefreshTime    0.035000
29  OverrideScopeRefreshTime on
30  DisableAllScopes    off
31  DataTypeOverride    "UseLocalSettings"
32  MinMaxOverflowLogging   "UseLocalSettings"
33  MinMaxOverflowArchiveMode "Overwrite"
34  BlockNameDataTip    off
35  BlockParametersDataTip  off
36  BlockDescriptionStringDataTip off
37  ToolBar         on
38  StatusBar       on
39  BrowserShowLibraryLinks off
40  BrowserLookUnderMasks   on
41  Created         "Mon Apr 21 08:18:24 2008"
42  Creator         "murphpo"
43  UpdateHistory       "UpdateHistoryNever"
44  ModifiedByFormat    "%<Auto>"
45  LastModifiedBy      "murphpo"
46  ModifiedDateFormat      "%<Auto>"
47  LastModifiedDate    "Thu Jul 24 11:49:59 2008"
48  ModelVersionFormat      "1.%<AutoIncrement:14>"
49  ConfigurationManager    "None"
50  SimulationMode      "normal"
51  LinearizationMsg    "none"
52  Profile         off
53  ParamWorkspaceSource    "MATLABWorkspace"
54  AccelSystemTargetFile   "accel.tlc"
55  AccelTemplateMakefile   "accel_default_tmf"
56  AccelMakeCommand    "make_rtw"
57  AccelVerboseBuild   off
58  TryForcingSFcnDF    off
59  RecordCoverage      off
60  CovPath         "/"
61  CovSaveName         "covdata"
62  CovMetricSettings   "dw"
63  CovNameIncrementing     off
64  CovHtmlReporting    on
65  covSaveCumulativeToWorkspaceVar on
66  CovSaveSingleToWorkspaceVar on
67  CovCumulativeVarName    "covCumulativeData"
68  CovCumulativeReport     off
69  CovReportOnPause    on
70  ExtModeBatchMode    off
71  ExtModeEnableFloating   on
72  ExtModeTrigType     "manual"
73  ExtModeTrigMode     "normal"
74  ExtModeTrigPort     "1"
75  ExtModeTrigElement      "any"
76  ExtModeTrigDuration     1000
77  ExtModeTrigDurationFloating "auto"
78  ExtModeTrigHoldOff      0
79  ExtModeTrigDelay    0
80  ExtModeTrigDirection    "rising"
81  ExtModeTrigLevel    0
82  ExtModeArchiveMode      "off"
83  ExtModeAutoIncOneShot   off
84  ExtModeIncDirWhenArm    off
85  ExtModeAddSuffixToVar   off
86  ExtModeWriteAllDataToWs off
87  ExtModeArmWhenConnect   on
88  ExtModeSkipDownloadWhenConnect off
89  ExtModeLogAll       on
90  ExtModeAutoUpdateStatusClock on
91  BufferReuse         on
92  ProdHWDeviceType    "32-bit Generic"
93  ShowModelReferenceBlockVersion off
94  ShowModelReferenceBlockIO off
95  Array {
96    Type            "Handle"
97    Dimension           1
98    Simulink.ConfigSet {
99      $ObjectID           1
100      Version             "1.2.0"
101      Array {
102    Type            "Handle"
103    Dimension       7
104    Simulink.SolverCC {
105      $ObjectID       2
106      Version         "1.2.0"
107      StartTime       "0.0"
108      StopTime        "1e3"
109      AbsTol          "auto"
110      FixedStep       "auto"
111      InitialStep         "auto"
112      MaxNumMinSteps      "-1"
113      MaxOrder        5
114      ConsecutiveZCsStepRelTol "10*128*eps"
115      MaxConsecutiveZCs   "1000"
116      ExtrapolationOrder      4
117      NumberNewtonIterations  1
118      MaxStep         "auto"
119      MinStep         "auto"
120      MaxConsecutiveMinStep   "1"
121      RelTol          "1e-3"
122      SolverMode          "Auto"
123      Solver          "ode45"
124      SolverName          "ode45"
125      ZeroCrossControl    "UseLocalSettings"
126      AlgebraicLoopSolver     "TrustRegion"
127      SolverResetMethod   "Fast"
128      PositivePriorityOrder   off
129      AutoInsertRateTranBlk   off
130      SampleTimeConstraint    "Unconstrained"
131      RateTranMode        "Deterministic"
132    }
133    Simulink.DataIOCC {
134      $ObjectID       3
135      Version         "1.2.0"
136      Decimation          "1"
137      ExternalInput       "[t, u]"
138      FinalStateName      "xFinal"
139      InitialState        "xInitial"
140      LimitDataPoints     on
141      MaxDataPoints       "1000"
142      LoadExternalInput   off
143      LoadInitialState    off
144      SaveFinalState      off
145      SaveFormat          "Array"
146      SaveOutput          on
147      SaveState       off
148      SignalLogging       on
149      InspectSignalLogs   off
150      SaveTime        on
151      StateSaveName       "xout"
152      TimeSaveName        "tout"
153      OutputSaveName      "yout"
154      SignalLoggingName   "logsout"
155      OutputOption        "RefineOutputTimes"
156      OutputTimes         "[]"
157      Refine          "1"
158    }
159    Simulink.OptimizationCC {
160      $ObjectID       4
161      Array {
162        Type            "Cell"
163        Dimension           5
164        Cell            "ZeroExternalMemoryAtStartup"
165        Cell            "ZeroInternalMemoryAtStartup"
166        Cell            "InitFltsAndDblsToZero"
167        Cell            "OptimizeModelRefInitCode"
168        Cell            "NoFixptDivByZeroProtection"
169        PropName            "DisabledProps"
170      }
171      Version         "1.2.0"
172      BlockReduction      on
173      BooleanDataType     on
174      ConditionallyExecuteInputs on
175      InlineParams        off
176      InlineInvariantSignals  off
177      OptimizeBlockIOStorage  on
178      BufferReuse         on
179      EnforceIntegerDowncast  on
180      ExpressionFolding   on
181      ExpressionDepthLimit    2147483647
182      FoldNonRolledExpr   on
183      LocalBlockOutputs   on
184      RollThreshold       5
185      SystemCodeInlineAuto    off
186      StateBitsets        off
187      DataBitsets         off
188      UseTempVars         off
189      ZeroExternalMemoryAtStartup on
190      ZeroInternalMemoryAtStartup on
191      InitFltsAndDblsToZero   on
192      NoFixptDivByZeroProtection off
193      EfficientFloat2IntCast  off
194      OptimizeModelRefInitCode off
195      LifeSpan        "inf"
196      BufferReusableBoundary  on
197    }
198    Simulink.DebuggingCC {
199      $ObjectID       5
200      Version         "1.2.0"
201      RTPrefix        "error"
202      ConsistencyChecking     "none"
203      ArrayBoundsChecking     "none"
204      SignalInfNanChecking    "none"
205      ReadBeforeWriteMsg      "UseLocalSettings"
206      WriteAfterWriteMsg      "UseLocalSettings"
207      WriteAfterReadMsg   "UseLocalSettings"
208      AlgebraicLoopMsg    "warning"
209      ArtificialAlgebraicLoopMsg "warning"
210      CheckSSInitialOutputMsg on
211      CheckExecutionContextPreStartOutputMsg off
212      CheckExecutionContextRuntimeOutputMsg off
213      SignalResolutionControl "UseLocalSettings"
214      BlockPriorityViolationMsg "warning"
215      MinStepSizeMsg      "warning"
216      TimeAdjustmentMsg   "none"
217      MaxConsecutiveZCsMsg    "error"
218      SolverPrmCheckMsg   "warning"
219      InheritedTsInSrcMsg     "warning"
220      DiscreteInheritContinuousMsg "warning"
221      MultiTaskDSMMsg     "error"
222      MultiTaskCondExecSysMsg "none"
223      MultiTaskRateTransMsg   "error"
224      SingleTaskRateTransMsg  "none"
225      TasksWithSamePriorityMsg "warning"
226      SigSpecEnsureSampleTimeMsg "warning"
227      CheckMatrixSingularityMsg "none"
228      IntegerOverflowMsg      "warning"
229      Int32ToFloatConvMsg     "warning"
230      ParameterDowncastMsg    "error"
231      ParameterOverflowMsg    "error"
232      ParameterUnderflowMsg   "none"
233      ParameterPrecisionLossMsg "warning"
234      ParameterTunabilityLossMsg "warning"
235      UnderSpecifiedDataTypeMsg "none"
236      UnnecessaryDatatypeConvMsg "none"
237      VectorMatrixConversionMsg "none"
238      InvalidFcnCallConnMsg   "error"
239      FcnCallInpInsideContextMsg "Use local settings"
240      SignalLabelMismatchMsg  "none"
241      UnconnectedInputMsg     "warning"
242      UnconnectedOutputMsg    "warning"
243      UnconnectedLineMsg      "warning"
244      SFcnCompatibilityMsg    "none"
245      UniqueDataStoreMsg      "none"
246      BusObjectLabelMismatch  "warning"
247      RootOutportRequireBusObject "warning"
248      AssertControl       "UseLocalSettings"
249      EnableOverflowDetection off
250      ModelReferenceIOMsg     "none"
251      ModelReferenceVersionMismatchMessage "none"
252      ModelReferenceIOMismatchMessage "none"
253      ModelReferenceCSMismatchMessage "none"
254      ModelReferenceSimTargetVerbose off
255      UnknownTsInhSupMsg      "warning"
256      ModelReferenceDataLoggingMessage "warning"
257      ModelReferenceSymbolNameMessage "warning"
258      ModelReferenceExtraNoncontSigs "error"
259      StateNameClashWarn      "warning"
260      StrictBusMsg        "Warning"
261    }
262    Simulink.HardwareCC {
263      $ObjectID       6
264      Version         "1.2.0"
265      ProdBitPerChar      8
266      ProdBitPerShort     16
267      ProdBitPerInt       32
268      ProdBitPerLong      32
269      ProdIntDivRoundTo   "Undefined"
270      ProdEndianess       "Unspecified"
271      ProdWordSize        32
272      ProdShiftRightIntArith  on
273      ProdHWDeviceType    "32-bit Generic"
274      TargetBitPerChar    8
275      TargetBitPerShort   16
276      TargetBitPerInt     32
277      TargetBitPerLong    32
278      TargetShiftRightIntArith on
279      TargetIntDivRoundTo     "Undefined"
280      TargetEndianess     "Unspecified"
281      TargetWordSize      32
282      TargetTypeEmulationWarnSuppressLevel 0
283      TargetPreprocMaxBitsSint 32
284      TargetPreprocMaxBitsUint 32
285      TargetHWDeviceType      "Specified"
286      TargetUnknown       off
287      ProdEqTarget        on
288    }
289    Simulink.ModelReferenceCC {
290      $ObjectID       7
291      Version         "1.2.0"
292      UpdateModelReferenceTargets "IfOutOfDateOrStructuralChange"
293      CheckModelReferenceTargetMessage "error"
294      ModelReferenceNumInstancesAllowed "Multi"
295      ModelReferencePassRootInputsByReference on
296      ModelReferenceMinAlgLoopOccurrences off
297    }
298    Simulink.RTWCC {
299      $BackupClass        "Simulink.RTWCC"
300      $ObjectID       8
301      Array {
302        Type            "Cell"
303        Dimension           1
304        Cell            "IncludeHyperlinkInReport"
305        PropName            "DisabledProps"
306      }
307      Version         "1.2.0"
308      SystemTargetFile    "grt.tlc"
309      GenCodeOnly         off
310      MakeCommand         "make_rtw"
311      GenerateMakefile    on
312      TemplateMakefile    "grt_default_tmf"
313      GenerateReport      off
314      SaveLog         off
315      RTWVerbose          on
316      RetainRTWFile       off
317      ProfileTLC          off
318      TLCDebug        off
319      TLCCoverage         off
320      TLCAssert       off
321      ProcessScriptMode   "Default"
322      ConfigurationMode   "Optimized"
323      ConfigAtBuild       off
324      IncludeHyperlinkInReport off
325      LaunchReport        off
326      TargetLang          "C"
327      IncludeBusHierarchyInRTWFileBlockHierarchyMap off
328      IncludeERTFirstTime     on
329      Array {
330        Type            "Handle"
331        Dimension           2
332        Simulink.CodeAppCC {
333          $ObjectID           9
334          Array {
335        Type            "Cell"
336        Dimension       16
337        Cell            "IgnoreCustomStorageClasses"
338        Cell            "InsertBlockDesc"
339        Cell            "SFDataObjDesc"
340        Cell            "SimulinkDataObjDesc"
341        Cell            "DefineNamingRule"
342        Cell            "SignalNamingRule"
343        Cell            "ParamNamingRule"
344        Cell            "InlinedPrmAccess"
345        Cell            "CustomSymbolStr"
346        Cell            "CustomSymbolStrGlobalVar"
347        Cell            "CustomSymbolStrType"
348        Cell            "CustomSymbolStrField"
349        Cell            "CustomSymbolStrFcn"
350        Cell            "CustomSymbolStrBlkIO"
351        Cell            "CustomSymbolStrTmpVar"
352        Cell            "CustomSymbolStrMacro"
353        PropName        "DisabledProps"
354          }
355          Version             "1.2.0"
356          ForceParamTrailComments off
357          GenerateComments        on
358          IgnoreCustomStorageClasses on
359          IncHierarchyInIds       off
360          MaxIdLength         31
361          PreserveName        off
362          PreserveNameWithParent  off
363          ShowEliminatedStatement off
364          IncAutoGenComments      off
365          SimulinkDataObjDesc     off
366          SFDataObjDesc       off
367          IncDataTypeInIds        off
368          PrefixModelToSubsysFcnNames on
369          MangleLength        1
370          CustomSymbolStrGlobalVar "$R$N$M"
371          CustomSymbolStrType     "$N$R$M"
372          CustomSymbolStrField    "$N$M"
373          CustomSymbolStrFcn      "$R$N$M$F"
374          CustomSymbolStrBlkIO    "rtb_$N$M"
375          CustomSymbolStrTmpVar   "$N$M"
376          CustomSymbolStrMacro    "$R$N$M"
377          DefineNamingRule        "None"
378          ParamNamingRule         "None"
379          SignalNamingRule        "None"
380          InsertBlockDesc         off
381          SimulinkBlockComments   on
382          EnableCustomComments    off
383          InlinedPrmAccess        "Literals"
384          ReqsInCode          off
385        }
386        Simulink.GRTTargetCC {
387          $BackupClass        "Simulink.TargetCC"
388          $ObjectID           10
389          Array {
390        Type            "Cell"
391        Dimension       15
392        Cell            "IncludeMdlTerminateFcn"
393        Cell            "CombineOutputUpdateFcns"
394        Cell            "SuppressErrorStatus"
395        Cell            "ERTCustomFileBanners"
396        Cell            "GenerateSampleERTMain"
397        Cell            "GenerateTestInterfaces"
398        Cell            "ModelStepFunctionPrototypeControlComp"
399"liant"
400        Cell            "MultiInstanceERTCode"
401        Cell            "PurelyIntegerCode"
402        Cell            "SupportNonFinite"
403        Cell            "SupportComplex"
404        Cell            "SupportAbsoluteTime"
405        Cell            "SupportContinuousTime"
406        Cell            "SupportNonInlinedSFcns"
407        Cell            "PortableWordSizes"
408        PropName        "DisabledProps"
409          }
410          Version             "1.2.0"
411          TargetFcnLib        "ansi_tfl_tmw.mat"
412          TargetLibSuffix         ""
413          TargetPreCompLibLocation ""
414          GenFloatMathFcnCalls    "ANSI_C"
415          UtilityFuncGeneration   "Auto"
416          GenerateFullHeader      on
417          GenerateSampleERTMain   off
418          GenerateTestInterfaces  off
419          IsPILTarget         off
420          ModelReferenceCompliant on
421          IncludeMdlTerminateFcn  on
422          CombineOutputUpdateFcns off
423          SuppressErrorStatus     off
424          IncludeFileDelimiter    "Auto"
425          ERTCustomFileBanners    off
426          SupportAbsoluteTime     on
427          LogVarNameModifier      "rt_"
428          MatFileLogging          on
429          MultiInstanceERTCode    off
430          SupportNonFinite        on
431          SupportComplex          on
432          PurelyIntegerCode       off
433          SupportContinuousTime   on
434          SupportNonInlinedSFcns  on
435          EnableShiftOperators    on
436          ParenthesesLevel        "Nominal"
437          PortableWordSizes       off
438          ModelStepFunctionPrototypeControlCompliant off
439          ExtMode             off
440          ExtModeStaticAlloc      off
441          ExtModeTesting          off
442          ExtModeStaticAllocSize  1000000
443          ExtModeTransport        0
444          ExtModeMexFile          "ext_comm"
445          RTWCAPISignals          off
446          RTWCAPIParams       off
447          RTWCAPIStates       off
448          GenerateASAP2       off
449        }
450        PropName            "Components"
451      }
452    }
453    PropName        "Components"
454      }
455      Name            "Configuration"
456      CurrentDlgPage          "Solver"
457    }
458    PropName            "ConfigurationSets"
459  }
460  Simulink.ConfigSet {
461    $PropName           "ActiveConfigurationSet"
462    $ObjectID           1
463  }
464  BlockDefaults {
465    Orientation         "right"
466    ForegroundColor     "black"
467    BackgroundColor     "white"
468    DropShadow          off
469    NamePlacement       "normal"
470    FontName            "Arial"
471    FontSize            10
472    FontWeight          "normal"
473    FontAngle           "normal"
474    ShowName            on
475  }
476  BlockParameterDefaults {
477    Block {
478      BlockType           Constant
479      Value           "1"
480      VectorParams1D          on
481      SamplingMode        "Sample based"
482      OutDataTypeMode         "Inherit from 'Constant value'"
483      OutDataType         "sfix(16)"
484      ConRadixGroup       "Use specified scaling"
485      OutScaling          "2^0"
486      SampleTime          "inf"
487      FramePeriod         "inf"
488    }
489    Block {
490      BlockType           DiscretePulseGenerator
491      PulseType           "Sample based"
492      TimeSource          "Use simulation time"
493      Amplitude           "1"
494      Period              "2"
495      PulseWidth          "1"
496      PhaseDelay          "0"
497      SampleTime          "1"
498      VectorParams1D          on
499    }
500    Block {
501      BlockType           Inport
502      Port            "1"
503      UseBusObject        off
504      BusObject           "BusObject"
505      BusOutputAsStruct       off
506      PortDimensions          "-1"
507      SampleTime          "-1"
508      DataType            "auto"
509      OutDataType         "sfix(16)"
510      OutScaling          "2^0"
511      SignalType          "auto"
512      SamplingMode        "auto"
513      LatchByDelayingOutsideSignal off
514      LatchByCopyingInsideSignal off
515      Interpolate         on
516    }
517    Block {
518      BlockType           Outport
519      Port            "1"
520      UseBusObject        off
521      BusObject           "BusObject"
522      BusOutputAsStruct       off
523      PortDimensions          "-1"
524      SampleTime          "-1"
525      DataType            "auto"
526      OutDataType         "sfix(16)"
527      OutScaling          "2^0"
528      SignalType          "auto"
529      SamplingMode        "auto"
530      OutputWhenDisabled      "held"
531      InitialOutput       "[]"
532    }
533    Block {
534      BlockType           Scope
535      ModelBased          off
536      TickLabels          "OneTimeTick"
537      ZoomMode            "on"
538      Grid            "on"
539      TimeRange           "auto"
540      YMin            "-5"
541      YMax            "5"
542      SaveToWorkspace         off
543      SaveName            "ScopeData"
544      LimitDataPoints         on
545      MaxDataPoints       "5000"
546      Decimation          "1"
547      SampleInput         off
548      SampleTime          "-1"
549    }
550    Block {
551      BlockType           "S-Function"
552      FunctionName        "system"
553      SFunctionModules        "''"
554      PortCounts          "[]"
555    }
556    Block {
557      BlockType           Sin
558      SineType            "Time based"
559      TimeSource          "Use simulation time"
560      Amplitude           "1"
561      Bias            "0"
562      Frequency           "1"
563      Phase           "0"
564      Samples             "10"
565      Offset              "0"
566      SampleTime          "-1"
567      VectorParams1D          on
568    }
569    Block {
570      BlockType           SubSystem
571      ShowPortLabels          "FromPortIcon"
572      Permissions         "ReadWrite"
573      PermitHierarchicalResolution "All"
574      TreatAsAtomicUnit       off
575      SystemSampleTime        "-1"
576      RTWFcnNameOpts          "Auto"
577      RTWFileNameOpts         "Auto"
578      RTWMemSecFuncInitTerm   "Inherit from model"
579      RTWMemSecFuncExecute    "Inherit from model"
580      RTWMemSecDataConstants  "Inherit from model"
581      RTWMemSecDataInternal   "Inherit from model"
582      RTWMemSecDataParameters "Inherit from model"
583      SimViewingDevice        off
584      DataTypeOverride        "UseLocalSettings"
585      MinMaxOverflowLogging   "UseLocalSettings"
586    }
587    Block {
588      BlockType           Terminator
589    }
590    Block {
591      BlockType           ToWorkspace
592      VariableName        "simulink_output"
593      MaxDataPoints       "1000"
594      Decimation          "1"
595      SampleTime          "0"
596      FixptAsFi           off
597    }
598  }
599  AnnotationDefaults {
600    HorizontalAlignment     "center"
601    VerticalAlignment       "middle"
602    ForegroundColor     "black"
603    BackgroundColor     "white"
604    DropShadow          off
605    FontName            "Arial"
606    FontSize            10
607    FontWeight          "normal"
608    FontAngle           "normal"
609    UseDisplayTextAsClickCallback off
610  }
611  LineDefaults {
612    FontName            "Arial"
613    FontSize            9
614    FontWeight          "normal"
615    FontAngle           "normal"
616  }
617  System {
618    Name            "radio_bridge_rateChangeFilter_4x_2ch"
619    Location            [207, 75, 1923, 1112]
620    Open            on
621    ModelBrowserVisibility  on
622    ModelBrowserWidth       200
623    ScreenColor         "white"
624    PaperOrientation        "landscape"
625    PaperPositionMode       "auto"
626    PaperType           "usletter"
627    PaperUnits          "inches"
628    TiledPaperMargins       [0.500000, 0.500000, 0.500000, 0.500000]
629    TiledPageScale      1
630    ShowPageBoundaries      off
631    ZoomFactor          "100"
632    ReportName          "simulink-default.rpt"
633    Block {
634      BlockType           Reference
635      Name            " System Generator"
636      Tag             "genX"
637      Ports           []
638      Position            [44, 484, 95, 534]
639      ShowName            off
640      AttributesFormatString  "System\\nGenerator"
641      UserDataPersistent      on
642      UserData            "DataTag0"
643      SourceBlock         "xbsIndex_r4/ System Generator"
644      SourceType          "Xilinx System Generator Block"
645      ShowPortLabels          "FromPortIcon"
646      SystemSampleTime        "-1"
647      FunctionWithSeparateData off
648      RTWMemSecFuncInitTerm   "Inherit from model"
649      RTWMemSecFuncExecute    "Inherit from model"
650      RTWMemSecDataConstants  "Inherit from model"
651      RTWMemSecDataInternal   "Inherit from model"
652      RTWMemSecDataParameters "Inherit from model"
653      infoedit            " System Generator"
654      xilinxfamily        "virtex2p"
655      part            "xc2vp70"
656      speed           "-6"
657      package             "ff1517"
658      synthesis_tool          "XST"
659      clock_wrapper       "Clock Enables"
660      directory           "./netlist_HDL_V2P_v05_decBypass"
661      testbench           off
662      simulink_period         "1"
663      sysclk_period       "25"
664      dcm_input_clock_period  "100"
665      incr_netlist        off
666      trim_vbits          "Everywhere in SubSystem"
667      dbl_ovrd            "According to Block Masks"
668      core_generation         "According to Block Masks"
669      run_coregen         off
670      deprecated_control      off
671      eval_field          "0"
672      has_advanced_control    "0"
673      sggui_pos           "-1,-1,-1,-1"
674      block_type          "sysgen"
675      block_version       "10.1"
676      sg_icon_stat        "51,50,-1,-1,red,beige,0,07734,right"
677      sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npa"
678"tch([0 51 51 0 ],[0 0 50 50 ],[0.93 0.92 0.86]);\npatch([12 4 16 4 12 25 29 3"
679"3 47 36 25 17 29 17 25 36 47 33 29 25 12 ],[5 13 25 37 45 45 41 45 45 34 45 3"
680"7 25 13 5 16 5 5 9 5 5 ],[0.6 0.2 0.25]);\nplot([0 51 51 0 0 ],[0 0 50 50 0 ]"
681");\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin ico"
682"n text');\nfprintf('','COMMENT: end icon text');\n"
683      sg_blockgui_xml         "<!--  *  Copyright (c) 2005, Xilinx, Inc.  All "
684"Rights Reserved.            --><!--  *  Reproduction or reuse, in any form, w"
685"ithout the explicit written  --><!--  *  consent of Xilinx, Inc., is strictly"
686" prohibited.                  --><sysgenblock has_userdata=\"true\" tag=\"gen"
687"X\" block_type=\"sysgen\" simulinkname=\" System Generator\" >\n <icon width="
688"\"51\" bg_color=\"beige\" height=\"50\" caption_format=\"System\\nGenerator\""
689" wmark_color=\"red\" />\n <callbacks DeleteFcn=\"xlSysgenGUI('delete', gcs, g"
690"cbh);\" OpenFcn=\"xlSysgenGUI('startup',gcs,gcbh)\" ModelCloseFcn=\"xlSysgenG"
691"UI('Close',gcs,gcbh)\" PostSaveFcn=\"xlSysgenGUI('Save')\" />\n <libraries>\n"
692"  <library name=\"xbsIndex\" />\n  <library name=\"xbsBasic\" />\n  <library "
693"name=\"xbsTools\" />\n </libraries>\n <subsystem_model file=\"system_generato"
694"r_subsystem.mdl\" />\n <blockgui label=\"Xilinx System Generator\" >\n  <edit"
695"box evaluate=\"false\" multi_line=\"true\" name=\"infoedit\" read_only=\"true"
696"\" default=\" System Generator\" />\n  <editbox evaluate=\"false\" name=\"xil"
697"inxfamily\" default=\"Virtex4\" label=\"Xilinx family\" />\n  <editbox evalua"
698"te=\"false\" name=\"part\" default=\"xc4vsx35\" label=\"Part\" />\n  <editbox"
699" evaluate=\"false\" name=\"speed\" default=\"-10\" label=\"Speed\" />\n  <edi"
700"tbox evaluate=\"false\" name=\"package\" default=\"ff668\" label=\"Package\" "
701"/>\n  <listbox evaluate=\"true\" name=\"synthesis_tool\" default=\"XST\" labe"
702"l=\"Synthesis tool\" >\n   <item value=\"Spectrum\" />\n   <item value=\"Synp"
703"lify\" />\n   <item value=\"Synplify Pro\" />\n   <item value=\"XST\" />\n   "
704"<item value=\"Precision\" />\n  </listbox>\n  <editbox evaluate=\"false\" nam"
705"e=\"directory\" default=\"./netlist\" label=\"Target directory\" />\n  <check"
706"box evaluate=\"true\" name=\"testbench\" default=\"off\" label=\"Testbench\" "
707"/>\n  <editbox evaluate=\"true\" name=\"simulink_period\" default=\"1\" label"
708"=\"Simulink period\" />\n  <editbox evaluate=\"true\" name=\"sysclk_period\" "
709"default=\"10\" label=\"System clock period\" />\n  <checkbox evaluate=\"true"
710"\" name=\"incr_netlist\" default=\"off\" label=\"Incremental netlisting\" />"
711"\n  <listbox evaluate=\"true\" name=\"trim_vbits\" default=\"Everywhere in Su"
712"bSystem\" label=\"Trim valid bits\" >\n   <item value=\"According to Block Ma"
713"sks\" />\n   <item value=\"Everywhere in SubSystem\" />\n   <item value=\"No "
714"Where in SubSystem\" />\n  </listbox>\n  <listbox evaluate=\"true\" name=\"db"
715"l_ovrd\" default=\"According to Block Masks\" label=\"Override with doubles\""
716" >\n   <item value=\"According to Block Masks\" />\n   <item value=\"Everywhe"
717"re in SubSystem\" />\n   <item value=\"No Where in SubSystem\" />\n  </listbo"
718"x>\n  <listbox evaluate=\"true\" name=\"core_generation\" default=\"According"
719" to Block Masks\" label=\"Generate cores\" >\n   <item value=\"According to B"
720"lock Masks\" />\n   <item value=\"Everywhere Available\" />\n   <item value="
721"\"Not Needed - Already Generated\" />\n  </listbox>\n  <checkbox evaluate=\"t"
722"rue\" name=\"run_coregen\" default=\"off\" label=\"Run CoreGen\" />\n  <check"
723"box evaluate=\"true\" name=\"deprecated_control\" default=\"off\" label=\"Sho"
724"w deprecated controls\" />\n  <hiddenvar evaluate=\"true\" name=\"eval_field"
725"\" default=\"0\" />\n </blockgui>\n</sysgenblock>\n"
726    }
727    Block {
728      BlockType           Reference
729      Name            "Bypass_DecFilt"
730      Ports           [1, 1]
731      Position            [150, 85, 215, 105]
732      NamePlacement       "alternate"
733      SourceBlock         "xbsIndex_r4/Gateway In"
734      SourceType          "Xilinx Gateway In Block"
735      infoedit            "Gateway in block.  Converts inputs of type Simu"
736"link integer, double and fixed point to  Xilinx fixed point type.<P><P>Hardwa"
737"re notes:  In hardware these blocks become top level input ports."
738      arith_type          "Boolean"
739      n_bits              "1"
740      bin_pt              "0"
741      quantization        "Round  (unbiased: +/- Inf)"
742      overflow            "Flag as error"
743      period              "1"
744      dbl_ovrd            off
745      timing_constraint       "None"
746      locs_specified          off
747      LOCs            "{}"
748      xl_use_area         off
749      xl_area             "[0,0,0,0,0,0,0]"
750      has_advanced_control    "0"
751      sggui_pos           "20,20,356,432"
752      block_type          "gatewayin"
753      block_version       "8.2.01"
754      sg_icon_stat        "65,20,1,1,white,yellow,0,bc55d28f,right"
755      sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npa"
756"tch([0 65 65 0 ],[0 0 20 20 ],[0.95 0.93 0.65]);\npatch([27 24 29 24 27 32 33"
757" 34 40 36 32 29 34 29 32 36 40 34 33 32 27 ],[2 5 10 15 18 18 17 18 18 14 18 "
758"15 10 5 2 6 2 2 3 2 2 ],[0.98 0.96 0.92]);\nplot([0 65 65 0 0 ],[0 0 20 20 0 "
759"]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin ic"
760"on text');\ncolor('black');port_label('input',1,'\\fontsize{11pt}\\bf In ','t"
761"exmode','on');\ncolor('black');port_label('output',1,' ');\nfprintf('','COMME"
762"NT: end icon text');\n"
763    }
764    Block {
765      BlockType           Reference
766      Name            "Bypass_InterpFilt"
767      Ports           [1, 1]
768      Position            [150, 105, 215, 125]
769      SourceBlock         "xbsIndex_r4/Gateway In"
770      SourceType          "Xilinx Gateway In Block"
771      infoedit            "Gateway in block.  Converts inputs of type Simu"
772"link integer, double and fixed point to  Xilinx fixed point type.<P><P>Hardwa"
773"re notes:  In hardware these blocks become top level input ports."
774      arith_type          "Boolean"
775      n_bits              "1"
776      bin_pt              "0"
777      quantization        "Round  (unbiased: +/- Inf)"
778      overflow            "Flag as error"
779      period              "1"
780      dbl_ovrd            off
781      timing_constraint       "None"
782      locs_specified          off
783      LOCs            "{}"
784      xl_use_area         off
785      xl_area             "[0,0,0,0,0,0,0]"
786      has_advanced_control    "0"
787      sggui_pos           "20,20,356,432"
788      block_type          "gatewayin"
789      block_version       "8.2.01"
790      sg_icon_stat        "65,20,1,1,white,yellow,0,bc55d28f,right"
791      sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npa"
792"tch([0 65 65 0 ],[0 0 20 20 ],[0.95 0.93 0.65]);\npatch([27 24 29 24 27 32 33"
793" 34 40 36 32 29 34 29 32 36 40 34 33 32 27 ],[2 5 10 15 18 18 17 18 18 14 18 "
794"15 10 5 2 6 2 2 3 2 2 ],[0.98 0.96 0.92]);\nplot([0 65 65 0 0 ],[0 0 20 20 0 "
795"]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin ic"
796"on text');\ncolor('black');port_label('input',1,'\\fontsize{11pt}\\bf In ','t"
797"exmode','on');\ncolor('black');port_label('output',1,' ');\nfprintf('','COMME"
798"NT: end icon text');\n"
799    }
800    Block {
801      BlockType           Constant
802      Name            "Constant"
803      Position            [35, 160, 65, 190]
804    }
805    Block {
806      BlockType           Constant
807      Name            "Constant1"
808      Position            [35, 80, 65, 110]
809      Value           "0"
810    }
811    Block {
812      BlockType           Reference
813      Name            "DAFIR v9_0 "
814      Ports           [1, 1]
815      Position            [305, 133, 385, 177]
816      SourceBlock         "xbsIndex_r4/DAFIR v9_0 "
817      SourceType          "Xilinx Finite Impulse Response Filter Block"
818      infoedit            "Hardware notes: Implemented using distributed a"
819"rithmetic (DA).  The hardware over sampling rate determines the degree of par"
820"allelism.  A rate of one produces a fully parallel DA filter.  A rate of n (r"
821"esp., n+1) for an n-bit input signal produces a fully serial implementation f"
822"or a non-symmetric (resp., symmetric) impulse response.  Intermediate values "
823"produce implementations with intermediate levels of parallelism."
824      coef            "[0 intfilt(4,4,0.5)]*0.99"
825      structure           "Inferred from Coefficients"
826      coef_n_bits         "17"
827      coef_bin_pt         "16"
828      over_sample         "1"
829      reload              off
830      valids              off
831      rst             off
832      latency             "15"
833      num_channels        "1"
834      serial_input        off
835      polyphase_behavior      "Interpolate:  1:4 (samples in:out)"
836      dbl_ovrd            off
837      xl_use_area         off
838      xl_area             "[0,0,0,0,0,0,0]"
839      explicit_period         "off"
840      period              "1"
841      use_isim            "0"
842      has_advanced_control    "0"
843      sggui_pos           "20,20,348,503"
844      block_type          "fir"
845      block_version       "10.1.2"
846      sg_icon_stat        "80,44,1,1,white,blue,0,589438ed,right"
847      sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npa"
848"tch([0 80 80 0 ],[0 0 44 44 ],[0.77 0.82 0.91]);\npatch([28 21 31 21 28 40 43"
849" 46 58 48 39 32 41 32 39 48 58 46 43 40 28 ],[5 12 22 32 39 39 36 39 39 29 38"
850" 31 22 13 6 15 5 5 8 5 5 ],[0.98 0.96 0.92]);\nplot([0 80 80 0 0 ],[0 0 44 44"
851" 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin"
852" icon text');\ncolor('black');port_label('input',1,'x0');\ncolor('black');por"
853"t_label('output',1,'y0');\ncolor('black');disp('32 tap');\nfprintf('','COMMEN"
854"T: end icon text');\n"
855    }
856    Block {
857      BlockType           Reference
858      Name            "DAT Upsampler"
859      Ports           [1, 1]
860      Position            [530, 218, 555, 242]
861      ShowName            off
862      SourceBlock         "xbsIndex_r4/Up Sample"
863      SourceType          "Xilinx Up Sampler Block"
864      infoedit            "Up samples input data.  Inserted values  can be"
865" zeros or copies of the most recent input sample.<P><P>Hardware notes: No har"
866"dware is needed if inserted values are copies of the input sample; otherwise,"
867" a mux and single bit flip-flop are used."
868      sample_ratio        "4"
869      copy_samples        on
870      dbl_ovrd            off
871      xl_use_area         off
872      xl_area             "[0,0,0,0,0,0,0]"
873      has_advanced_control    "0"
874      sggui_pos           "20,20,356,266"
875      block_type          "usamp"
876      block_version       "8.2.01"
877      sg_icon_stat        "25,24,1,1,white,blue,0,b6c489dd,right"
878      sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npa"
879"tch([0 60 60 0 ],[0 0 54 54 ],[0.77 0.82 0.91]);\npatch([16 7 20 7 16 30 34 3"
880"8 53 41 29 21 35 21 29 41 53 38 34 30 16 ],[6 15 28 41 50 50 46 50 50 38 50 4"
881"2 28 14 6 18 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 54 54 "
882"0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin "
883"icon text');\ncolor('black');disp('{\\fontsize{14pt}\\bf\\uparrow}4','texmode"
884"','on');\nfprintf('','COMMENT: end icon text');\n"
885    }
886    Block {
887      BlockType           Reference
888      Name            "DAT Upsampler1"
889      Ports           [1, 1]
890      Position            [530, 358, 555, 382]
891      ShowName            off
892      SourceBlock         "xbsIndex_r4/Up Sample"
893      SourceType          "Xilinx Up Sampler Block"
894      infoedit            "Up samples input data.  Inserted values  can be"
895" zeros or copies of the most recent input sample.<P><P>Hardware notes: No har"
896"dware is needed if inserted values are copies of the input sample; otherwise,"
897" a mux and single bit flip-flop are used."
898      sample_ratio        "4"
899      copy_samples        on
900      dbl_ovrd            off
901      xl_use_area         off
902      xl_area             "[0,0,0,0,0,0,0]"
903      has_advanced_control    "0"
904      sggui_pos           "20,20,356,266"
905      block_type          "usamp"
906      block_version       "8.2.01"
907      sg_icon_stat        "25,24,1,1,white,blue,0,b6c489dd,right"
908      sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npa"
909"tch([0 60 60 0 ],[0 0 54 54 ],[0.77 0.82 0.91]);\npatch([16 7 20 7 16 30 34 3"
910"8 53 41 29 21 35 21 29 41 53 38 34 30 16 ],[6 15 28 41 50 50 46 50 50 38 50 4"
911"2 28 14 6 18 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 54 54 "
912"0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin "
913"icon text');\ncolor('black');disp('{\\fontsize{14pt}\\bf\\uparrow}4','texmode"
914"','on');\nfprintf('','COMMENT: end icon text');\n"
915    }
916    Block {
917      BlockType           Reference
918      Name            "DAT Upsampler2"
919      Ports           [1, 1]
920      Position            [530, 448, 555, 472]
921      ShowName            off
922      SourceBlock         "xbsIndex_r4/Up Sample"
923      SourceType          "Xilinx Up Sampler Block"
924      infoedit            "Up samples input data.  Inserted values  can be"
925" zeros or copies of the most recent input sample.<P><P>Hardware notes: No har"
926"dware is needed if inserted values are copies of the input sample; otherwise,"
927" a mux and single bit flip-flop are used."
928      sample_ratio        "4"
929      copy_samples        on
930      dbl_ovrd            off
931      xl_use_area         off
932      xl_area             "[0,0,0,0,0,0,0]"
933      has_advanced_control    "0"
934      sggui_pos           "20,20,356,266"
935      block_type          "usamp"
936      block_version       "8.2.01"
937      sg_icon_stat        "25,24,1,1,white,blue,0,b6c489dd,right"
938      sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npa"
939"tch([0 60 60 0 ],[0 0 54 54 ],[0.77 0.82 0.91]);\npatch([16 7 20 7 16 30 34 3"
940"8 53 41 29 21 35 21 29 41 53 38 34 30 16 ],[6 15 28 41 50 50 46 50 50 38 50 4"
941"2 28 14 6 18 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 54 54 "
942"0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin "
943"icon text');\ncolor('black');disp('{\\fontsize{14pt}\\bf\\uparrow}4','texmode"
944"','on');\nfprintf('','COMMENT: end icon text');\n"
945    }
946    Block {
947      BlockType           Reference
948      Name            "DAT Upsampler3"
949      Ports           [1, 1]
950      Position            [530, 308, 555, 332]
951      ShowName            off
952      SourceBlock         "xbsIndex_r4/Up Sample"
953      SourceType          "Xilinx Up Sampler Block"
954      infoedit            "Up samples input data.  Inserted values  can be"
955" zeros or copies of the most recent input sample.<P><P>Hardware notes: No har"
956"dware is needed if inserted values are copies of the input sample; otherwise,"
957" a mux and single bit flip-flop are used."
958      sample_ratio        "4"
959      copy_samples        on
960      dbl_ovrd            off
961      xl_use_area         off
962      xl_area             "[0,0,0,0,0,0,0]"
963      has_advanced_control    "0"
964      sggui_pos           "20,20,356,266"
965      block_type          "usamp"
966      block_version       "8.2.01"
967      sg_icon_stat        "25,24,1,1,white,blue,0,b6c489dd,right"
968      sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npa"
969"tch([0 60 60 0 ],[0 0 54 54 ],[0.77 0.82 0.91]);\npatch([16 7 20 7 16 30 34 3"
970"8 53 41 29 21 35 21 29 41 53 38 34 30 16 ],[6 15 28 41 50 50 46 50 50 38 50 4"
971"2 28 14 6 18 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 54 54 "
972"0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin "
973"icon text');\ncolor('black');disp('{\\fontsize{14pt}\\bf\\uparrow}4','texmode"
974"','on');\nfprintf('','COMMENT: end icon text');\n"
975    }
976    Block {
977      BlockType           SubSystem
978      Name            "DualMode_Filter_I"
979      Ports           [3, 2]
980      Position            [270, 209, 395, 281]
981      MinAlgLoopOccurrences   off
982      RTWSystemCode       "Auto"
983      FunctionWithSeparateData off
984      MaskHideContents        off
985      MaskType            "WARP 4X Shared-Resource Interpolator/Decimator"
986      MaskDescription         "This is a description of the subsystem."
987      MaskHelp            "This block implements either a 4X sample rate u"
988"pconverter or a 4X sample rate downconverter, depending upon the state of the"
989" MODE SELECT input.  When MODE SELECT equals 0, the block performs decimation"
990".  When MODE SELECT equals 1, the block performs interpolation.\n\nThis block"
991" receives two input data streams : DECIMATE IN and INTERP IN.  In decimation "
992"mode, the DECIMATE IN stream is downsampled to produce the DECIMATE OUT strea"
993"m.  In interpolation mode, the INTERP IN stream is upsampled to produce the I"
994"NTERP OUT stream. The INTERP OUT data stream is undefined in decimation mode,"
995" while the DECIMATE OUT data stream is undefined in interpolation mode.\n\nA "
996"fixed relationship exists between these four streams' respective sample perio"
997"ds.  Given a sample period T for the DECIMATE IN stream, the INTERP IN data s"
998"tream must  have a sample period of 4T.  Similarly, the DECIMATE OUT and INTE"
999"RP OUT data streams will have sample periods of 4T and T, respectively. The u"
1000"ser must explicitly set the minimum internal sample period for this block equ"
1001"al to the sample period of the DECIMATE IN data stream, even if the the DECIM"
1002"ATE IN stream is not used. The MODE SELECT input must have a sample period eq"
1003"ual to that of the minimum internal sample period (equal to the sample period"
1004" of the DECIMATE IN data stream)."
1005      MaskPromptString        "Interp. In Data Width :  |Interp. In Binary Poi"
1006"nt : |Interp. Out Data Width :  |Interp. Out Binary Point : |Decimate In Data"
1007" Width :  |Decimate In Binary Point : |Decimate Out Data Width :  |Decimate O"
1008"ut Binary Point : |Filter coefficient scaling (prevents output overflow and u"
1009"nderflow)"
1010      MaskStyleString         "popup(2|3|4|5|6|7|8|9|10|11|12|13|14|15|16|17|1"
1011"8),popup(1|2|3|4|5|6|7|8|9|10|11|12|13|14|15|16|17),popup(2|3|4|5|6|7|8|9|10|"
1012"11|12|13|14|15|16|17|18),popup(1|2|3|4|5|6|7|8|9|10|11|12|13|14|15|16|17),pop"
1013"up(2|3|4|5|6|7|8|9|10|11|12|13|14|15|16|17|18),popup(1|2|3|4|5|6|7|8|9|10|11|"
1014"12|13|14|15|16|17),popup(2|3|4|5|6|7|8|9|10|11|12|13|14|15|16|17|18),popup(1|"
1015"2|3|4|5|6|7|8|9|10|11|12|13|14|15|16|17),edit"
1016      MaskTunableValueString  "on,on,on,on,on,on,on,on,on"
1017      MaskCallbackString      "||||||||"
1018      MaskEnableString        "on,on,on,on,on,on,on,on,on"
1019      MaskVisibilityString    "on,on,on,on,on,on,on,on,on"
1020      MaskToolTipString       "on,on,on,on,on,on,on,on,on"
1021      MaskVarAliasString      ",,,,,,,,"
1022      MaskVariables       "interp_in_data_width_raw=@1;interp_in_data_bp_r"
1023"aw=@2;interp_out_data_width_raw=@3;interp_out_data_bp_raw=@4;decimate_in_data"
1024"_width_raw=@5;decimate_in_data_bp_raw=@6;decimate_out_data_width_raw=@7;decim"
1025"ate_out_data_bp_raw=@8;filter_coeff_scaling=@9;"
1026      MaskInitialization      "\ninterp_in_data_width = interp_in_data_width_r"
1027"aw + 1;\ninterp_in_data_bp = interp_in_data_bp_raw;\ninterp_in_data_bp = min("
1028"interp_in_data_width-1,interp_in_data_bp);\n\ninterp_in_ibits = interp_in_dat"
1029"a_width - interp_in_data_bp;\ninterp_in_fbits = interp_in_data_bp;\n\ndecimat"
1030"e_in_data_width = decimate_in_data_width_raw + 1;\ndecimate_in_data_bp = deci"
1031"mate_in_data_bp_raw;\ndecimate_in_data_bp = min(decimate_in_data_width-1,deci"
1032"mate_in_data_bp);\n\ndecimate_in_ibits = decimate_in_data_width - decimate_in"
1033"_data_bp;\ndecimate_in_fbits = decimate_in_data_bp;\n\ninternal_data_ibits = "
1034"max(interp_in_ibits,decimate_in_ibits);\ninternal_data_fbits = min(18-interna"
1035"l_data_ibits,max(interp_in_fbits,decimate_in_fbits));\n\ninterp_out_data_widt"
1036"h = interp_out_data_width_raw + 1;\ninterp_out_data_bp = interp_out_data_bp_r"
1037"aw;\ninterp_out_data_bp = min(interp_out_data_width-1,interp_out_data_bp);\n"
1038"\ninterp_out_ibits = interp_out_data_width - interp_out_data_bp;\ninterp_out_"
1039"fbits = interp_out_data_bp;\n\ndecimate_out_data_width = decimate_out_data_wi"
1040"dth_raw + 1;\ndecimate_out_data_bp = decimate_out_data_bp_raw;\ndecimate_out_"
1041"data_bp = min(decimate_out_data_width-1,decimate_out_data_bp);\n\ndecimate_ou"
1042"t_ibits = decimate_out_data_width - decimate_out_data_bp;\ndecimate_out_fbits"
1043" = decimate_out_data_bp;\n\n\n\n% Generate a 32-tap low-pass filter with cuto"
1044"ff frequency equal to Fs/4.\n% VERY IMPORTANT!... This filter is designed wit"
1045"h a built-in gain of 4X as\n% required by the interpolation function. This wi"
1046"ll saturate the decimator\n% outputs, but I don't want to consume the extra s"
1047"pace required for another\n% set of \"reduced gain\" coefficient values.  I h"
1048"andle this by bit-shifting\n% the decimator output by two bits after the accu"
1049"mulator. Keep this fact in\n% mind if you start playing with these filter val"
1050"ues.\n\ninternal_coeff_ibits = 1;\ninternal_coeff_fbits = 17;\n\n[xxx, h] = i"
1051"nterp(randn(1,64), 4);\n\n% Next, scale the filter coefficients to account fo"
1052"r the fact that a) the\n% largest coeficient value may not fit within the coe"
1053"fficient range [-1,+1);\n% and b) ringing at the filter outputs in response t"
1054"o steps and pulses may\n% saturate the output data buses.\nh = [0 intfilt(4,4"
1055",1)];\nh = h .* filter_coeff_scaling;"
1056      MaskIconFrame       on
1057      MaskIconOpaque          on
1058      MaskIconRotate          "none"
1059      MaskIconUnits       "autoscale"
1060      MaskValueString         "16|15|16|15|14|13|14|13|0.95"
1061      MaskTabNameString       ",,,,,,,,"
1062      System {
1063    Name            "DualMode_Filter_I"
1064    Location        [207, 75, 1897, 1131]
1065    Open            off
1066    ModelBrowserVisibility  on
1067    ModelBrowserWidth   200
1068    ScreenColor     "white"
1069    PaperOrientation    "landscape"
1070    PaperPositionMode   "auto"
1071    PaperType       "usletter"
1072    PaperUnits      "inches"
1073    TiledPaperMargins   [0.500000, 0.500000, 0.500000, 0.500000]
1074    TiledPageScale      1
1075    ShowPageBoundaries  off
1076    ZoomFactor      "100"
1077    Block {
1078      BlockType       Inport
1079      Name            "Mode Select"
1080      Position        [55, 92, 110, 118]
1081      NamePlacement       "alternate"
1082      IconDisplay         "Port number"
1083    }
1084    Block {
1085      BlockType       Inport
1086      Name            "Decimate In"
1087      Position        [55, 142, 110, 168]
1088      Port            "2"
1089      IconDisplay         "Port number"
1090      OutDataType         "sfix(14)"
1091      OutScaling          "2^-13"
1092    }
1093    Block {
1094      BlockType       Inport
1095      Name            "Interp In"
1096      Position        [55, 197, 110, 223]
1097      Port            "3"
1098      IconDisplay         "Port number"
1099    }
1100    Block {
1101      BlockType       Reference
1102      Name            "ADDA0"
1103      Ports           [2, 1]
1104      Position        [731, 570, 789, 630]
1105      Orientation         "down"
1106      NamePlacement       "alternate"
1107      SourceBlock         "xbsIndex_r4/AddSub"
1108      SourceType          "Xilinx Adder/Subtractor Block"
1109      mode            "Addition"
1110      use_carryin         off
1111      use_carryout        off
1112      en              off
1113      latency         "1"
1114      precision       "Full"
1115      arith_type          "Unsigned"
1116      n_bits          "16"
1117      bin_pt          "14"
1118      quantization        "Truncate"
1119      overflow        "Wrap"
1120      dbl_ovrd        off
1121      use_behavioral_HDL      off
1122      pipelined       off
1123      use_rpm         on
1124      xl_use_area         off
1125      xl_area         "[0,0,0,0,0,0,0]"
1126      has_advanced_control    "0"
1127      sggui_pos       "-1,-1,-1,-1"
1128      block_type          "addsub"
1129      block_version       "8.2.01"
1130      sg_icon_stat        "58,60,1,1,white,blue,0,d7118884,down"
1131      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');"
1132"\npatch([0 58 58 0 ],[0 0 60 60 ],[0.77 0.82 0.91]);\npatch([14 4 18 4 14 29 "
1133"33 37 53 40 28 19 33 19 28 40 53 37 33 29 14 ],[7 17 31 45 55 55 51 55 55 42 "
1134"54 45 31 17 8 20 7 7 11 7 7 ],[0.98 0.96 0.92]);\nplot([0 58 58 0 0 ],[0 0 60"
1135" 60 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: be"
1136"gin icon text');\ncolor('black');port_label('input',1,'a');\ncolor('black');p"
1137"ort_label('input',2,'b');\ncolor('black');port_label('output',1,'\\bf{a + b}'"
1138",'texmode','on');\ncolor('black');disp('\\newline\\bf{}\\newlinez^{-1}','texm"
1139"ode','on');\nfprintf('','COMMENT: end icon text');\n"
1140    }
1141    Block {
1142      BlockType       Reference
1143      Name            "ADDA1"
1144      Ports           [2, 1]
1145      Position        [971, 570, 1029, 630]
1146      Orientation         "down"
1147      NamePlacement       "alternate"
1148      SourceBlock         "xbsIndex_r4/AddSub"
1149      SourceType          "Xilinx Adder/Subtractor Block"
1150      mode            "Addition"
1151      use_carryin         off
1152      use_carryout        off
1153      en              off
1154      latency         "1"
1155      precision       "Full"
1156      arith_type          "Unsigned"
1157      n_bits          "16"
1158      bin_pt          "14"
1159      quantization        "Truncate"
1160      overflow        "Wrap"
1161      dbl_ovrd        off
1162      use_behavioral_HDL      off
1163      pipelined       off
1164      use_rpm         on
1165      xl_use_area         off
1166      xl_area         "[0,0,0,0,0,0,0]"
1167      has_advanced_control    "0"
1168      sggui_pos       "-1,-1,-1,-1"
1169      block_type          "addsub"
1170      block_version       "8.2.01"
1171      sg_icon_stat        "58,60,1,1,white,blue,0,d7118884,down"
1172      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');"
1173"\npatch([0 58 58 0 ],[0 0 60 60 ],[0.77 0.82 0.91]);\npatch([14 4 18 4 14 29 "
1174"33 37 53 40 28 19 33 19 28 40 53 37 33 29 14 ],[7 17 31 45 55 55 51 55 55 42 "
1175"54 45 31 17 8 20 7 7 11 7 7 ],[0.98 0.96 0.92]);\nplot([0 58 58 0 0 ],[0 0 60"
1176" 60 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: be"
1177"gin icon text');\ncolor('black');port_label('input',1,'a');\ncolor('black');p"
1178"ort_label('input',2,'b');\ncolor('black');port_label('output',1,'\\bf{a + b}'"
1179",'texmode','on');\ncolor('black');disp('\\newline\\bf{}\\newlinez^{-1}','texm"
1180"ode','on');\nfprintf('','COMMENT: end icon text');\n"
1181    }
1182    Block {
1183      BlockType       Reference
1184      Name            "ADDA2"
1185      Ports           [2, 1]
1186      Position        [1211, 570, 1269, 630]
1187      Orientation         "down"
1188      NamePlacement       "alternate"
1189      SourceBlock         "xbsIndex_r4/AddSub"
1190      SourceType          "Xilinx Adder/Subtractor Block"
1191      mode            "Addition"
1192      use_carryin         off
1193      use_carryout        off
1194      en              off
1195      latency         "1"
1196      precision       "Full"
1197      arith_type          "Unsigned"
1198      n_bits          "16"
1199      bin_pt          "14"
1200      quantization        "Truncate"
1201      overflow        "Wrap"
1202      dbl_ovrd        off
1203      use_behavioral_HDL      off
1204      pipelined       off
1205      use_rpm         on
1206      xl_use_area         off
1207      xl_area         "[0,0,0,0,0,0,0]"
1208      has_advanced_control    "0"
1209      sggui_pos       "-1,-1,-1,-1"
1210      block_type          "addsub"
1211      block_version       "8.2.01"
1212      sg_icon_stat        "58,60,1,1,white,blue,0,d7118884,down"
1213      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');"
1214"\npatch([0 58 58 0 ],[0 0 60 60 ],[0.77 0.82 0.91]);\npatch([14 4 18 4 14 29 "
1215"33 37 53 40 28 19 33 19 28 40 53 37 33 29 14 ],[7 17 31 45 55 55 51 55 55 42 "
1216"54 45 31 17 8 20 7 7 11 7 7 ],[0.98 0.96 0.92]);\nplot([0 58 58 0 0 ],[0 0 60"
1217" 60 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: be"
1218"gin icon text');\ncolor('black');port_label('input',1,'a');\ncolor('black');p"
1219"ort_label('input',2,'b');\ncolor('black');port_label('output',1,'\\bf{a + b}'"
1220",'texmode','on');\ncolor('black');disp('\\newline\\bf{}\\newlinez^{-1}','texm"
1221"ode','on');\nfprintf('','COMMENT: end icon text');\n"
1222    }
1223    Block {
1224      BlockType       Reference
1225      Name            "ADDA3"
1226      Ports           [2, 1]
1227      Position        [1456, 570, 1514, 630]
1228      Orientation         "down"
1229      NamePlacement       "alternate"
1230      SourceBlock         "xbsIndex_r4/AddSub"
1231      SourceType          "Xilinx Adder/Subtractor Block"
1232      mode            "Addition"
1233      use_carryin         off
1234      use_carryout        off
1235      en              off
1236      latency         "1"
1237      precision       "Full"
1238      arith_type          "Unsigned"
1239      n_bits          "16"
1240      bin_pt          "14"
1241      quantization        "Truncate"
1242      overflow        "Wrap"
1243      dbl_ovrd        off
1244      use_behavioral_HDL      off
1245      pipelined       off
1246      use_rpm         on
1247      xl_use_area         off
1248      xl_area         "[0,0,0,0,0,0,0]"
1249      has_advanced_control    "0"
1250      sggui_pos       "-1,-1,-1,-1"
1251      block_type          "addsub"
1252      block_version       "8.2.01"
1253      sg_icon_stat        "58,60,1,1,white,blue,0,d7118884,down"
1254      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');"
1255"\npatch([0 58 58 0 ],[0 0 60 60 ],[0.77 0.82 0.91]);\npatch([14 4 18 4 14 29 "
1256"33 37 53 40 28 19 33 19 28 40 53 37 33 29 14 ],[7 17 31 45 55 55 51 55 55 42 "
1257"54 45 31 17 8 20 7 7 11 7 7 ],[0.98 0.96 0.92]);\nplot([0 58 58 0 0 ],[0 0 60"
1258" 60 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: be"
1259"gin icon text');\ncolor('black');port_label('input',1,'a');\ncolor('black');p"
1260"ort_label('input',2,'b');\ncolor('black');port_label('output',1,'\\bf{a + b}'"
1261",'texmode','on');\ncolor('black');disp('\\newline\\bf{}\\newlinez^{-1}','texm"
1262"ode','on');\nfprintf('','COMMENT: end icon text');\n"
1263    }
1264    Block {
1265      BlockType       Reference
1266      Name            "ADDB0"
1267      Ports           [2, 1]
1268      Position        [851, 695, 909, 755]
1269      Orientation         "down"
1270      NamePlacement       "alternate"
1271      SourceBlock         "xbsIndex_r4/AddSub"
1272      SourceType          "Xilinx Adder/Subtractor Block"
1273      mode            "Addition"
1274      use_carryin         off
1275      use_carryout        off
1276      en              off
1277      latency         "1"
1278      precision       "Full"
1279      arith_type          "Unsigned"
1280      n_bits          "16"
1281      bin_pt          "14"
1282      quantization        "Truncate"
1283      overflow        "Wrap"
1284      dbl_ovrd        off
1285      use_behavioral_HDL      off
1286      pipelined       off
1287      use_rpm         on
1288      xl_use_area         off
1289      xl_area         "[0,0,0,0,0,0,0]"
1290      has_advanced_control    "0"
1291      sggui_pos       "-1,-1,-1,-1"
1292      block_type          "addsub"
1293      block_version       "8.2.01"
1294      sg_icon_stat        "58,60,1,1,white,blue,0,d7118884,down"
1295      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');"
1296"\npatch([0 58 58 0 ],[0 0 60 60 ],[0.77 0.82 0.91]);\npatch([14 4 18 4 14 29 "
1297"33 37 53 40 28 19 33 19 28 40 53 37 33 29 14 ],[7 17 31 45 55 55 51 55 55 42 "
1298"54 45 31 17 8 20 7 7 11 7 7 ],[0.98 0.96 0.92]);\nplot([0 58 58 0 0 ],[0 0 60"
1299" 60 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: be"
1300"gin icon text');\ncolor('black');port_label('input',1,'a');\ncolor('black');p"
1301"ort_label('input',2,'b');\ncolor('black');port_label('output',1,'\\bf{a + b}'"
1302",'texmode','on');\ncolor('black');disp('\\newline\\bf{}\\newlinez^{-1}','texm"
1303"ode','on');\nfprintf('','COMMENT: end icon text');\n"
1304    }
1305    Block {
1306      BlockType       Reference
1307      Name            "ADDB1"
1308      Ports           [2, 1]
1309      Position        [1336, 695, 1394, 755]
1310      Orientation         "down"
1311      NamePlacement       "alternate"
1312      SourceBlock         "xbsIndex_r4/AddSub"
1313      SourceType          "Xilinx Adder/Subtractor Block"
1314      mode            "Addition"
1315      use_carryin         off
1316      use_carryout        off
1317      en              off
1318      latency         "1"
1319      precision       "Full"
1320      arith_type          "Unsigned"
1321      n_bits          "16"
1322      bin_pt          "14"
1323      quantization        "Truncate"
1324      overflow        "Wrap"
1325      dbl_ovrd        off
1326      use_behavioral_HDL      off
1327      pipelined       off
1328      use_rpm         on
1329      xl_use_area         off
1330      xl_area         "[0,0,0,0,0,0,0]"
1331      has_advanced_control    "0"
1332      sggui_pos       "-1,-1,-1,-1"
1333      block_type          "addsub"
1334      block_version       "8.2.01"
1335      sg_icon_stat        "58,60,1,1,white,blue,0,d7118884,down"
1336      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');"
1337"\npatch([0 58 58 0 ],[0 0 60 60 ],[0.77 0.82 0.91]);\npatch([14 4 18 4 14 29 "
1338"33 37 53 40 28 19 33 19 28 40 53 37 33 29 14 ],[7 17 31 45 55 55 51 55 55 42 "
1339"54 45 31 17 8 20 7 7 11 7 7 ],[0.98 0.96 0.92]);\nplot([0 58 58 0 0 ],[0 0 60"
1340" 60 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: be"
1341"gin icon text');\ncolor('black');port_label('input',1,'a');\ncolor('black');p"
1342"ort_label('input',2,'b');\ncolor('black');port_label('output',1,'\\bf{a + b}'"
1343",'texmode','on');\ncolor('black');disp('\\newline\\bf{}\\newlinez^{-1}','texm"
1344"ode','on');\nfprintf('','COMMENT: end icon text');\n"
1345    }
1346    Block {
1347      BlockType       Reference
1348      Name            "ADDC"
1349      Ports           [2, 1]
1350      Position        [1091, 800, 1149, 860]
1351      Orientation         "down"
1352      NamePlacement       "alternate"
1353      SourceBlock         "xbsIndex_r4/AddSub"
1354      SourceType          "Xilinx Adder/Subtractor Block"
1355      mode            "Addition"
1356      use_carryin         off
1357      use_carryout        off
1358      en              off
1359      latency         "1"
1360      precision       "Full"
1361      arith_type          "Signed  (2's comp)"
1362      n_bits          "2"
1363      bin_pt          "1"
1364      quantization        "Round  (unbiased: +/- Inf)"
1365      overflow        "Saturate"
1366      dbl_ovrd        off
1367      use_behavioral_HDL      off
1368      pipelined       off
1369      use_rpm         on
1370      xl_use_area         off
1371      xl_area         "[0,0,0,0,0,0,0]"
1372      has_advanced_control    "0"
1373      sggui_pos       "784,226,356,350"
1374      block_type          "addsub"
1375      block_version       "8.2.01"
1376      sg_icon_stat        "58,60,1,1,white,blue,0,d7118884,down"
1377      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');"
1378"\npatch([0 58 58 0 ],[0 0 60 60 ],[0.77 0.82 0.91]);\npatch([14 4 18 4 14 29 "
1379"33 37 53 40 28 19 33 19 28 40 53 37 33 29 14 ],[7 17 31 45 55 55 51 55 55 42 "
1380"54 45 31 17 8 20 7 7 11 7 7 ],[0.98 0.96 0.92]);\nplot([0 58 58 0 0 ],[0 0 60"
1381" 60 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: be"
1382"gin icon text');\ncolor('black');port_label('input',1,'a');\ncolor('black');p"
1383"ort_label('input',2,'b');\ncolor('black');port_label('output',1,'\\bf{a + b}'"
1384",'texmode','on');\ncolor('black');disp('\\newline\\bf{}\\newlinez^{-1}','texm"
1385"ode','on');\nfprintf('','COMMENT: end icon text');\n"
1386    }
1387    Block {
1388      BlockType       Reference
1389      Name            "ASR0"
1390      Ports           [2, 1]
1391      Position        [730, 151, 790, 209]
1392      SourceBlock         "xbsIndex_r4/Addressable Shift Register"
1393      SourceType          "Xilinx Addressable Shift Register Block"
1394      infoedit        "Delay of  configurable length.  Any element"
1395"  in the delay \n    line   can    be   addressed   and   driven    on"
1396"to   the   output  \n    port.<P><P>Hardware  notes: Implemented  using"
1397" SRL16s.   \n    If Virtex-4, Virtex-II or Spartan-3 devices are used, m"
1398"ultiple SRLC16s are cascaded together."
1399      infer_latency       off
1400      depth           "4"
1401      initVector          "[0]"
1402      en              off
1403      dbl_ovrd        off
1404      use_behavioral_HDL      off
1405      use_rpm         off
1406      xl_use_area         off
1407      xl_area         "[0,0,0,0,0,0,0]"
1408      has_advanced_control    "0"
1409      sggui_pos       "20,20,356,359"
1410      block_type          "addrsr"
1411      block_version       "8.2.01"
1412      sg_icon_stat        "60,58,1,1,white,blue,0,c4974527,right"
1413      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');"
1414"\npatch([0 60 60 0 ],[0 0 58 58 ],[0.77 0.82 0.91]);\npatch([15 5 19 5 15 30 "
1415"34 38 54 41 29 20 34 20 29 41 54 38 34 30 15 ],[6 16 30 44 54 54 50 54 54 41 "
1416"53 44 30 16 7 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 58"
1417" 58 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: be"
1418"gin icon text');\ncolor('black');port_label('input',1,'d');\ncolor('black');p"
1419"ort_label('input',2,'addr');\ncolor('black');port_label('output',1,'q');\nfpr"
1420"intf('','COMMENT: end icon text');\n"
1421    }
1422    Block {
1423      BlockType       Reference
1424      Name            "ASR1"
1425      Ports           [2, 1]
1426      Position        [850, 151, 910, 209]
1427      SourceBlock         "xbsIndex_r4/Addressable Shift Register"
1428      SourceType          "Xilinx Addressable Shift Register Block"
1429      infoedit        "Delay of  configurable length.  Any element"
1430"  in the delay \n    line   can    be   addressed   and   driven    on"
1431"to   the   output  \n    port.<P><P>Hardware  notes: Implemented  using"
1432" SRL16s.   \n    If Virtex-4, Virtex-II or Spartan-3 devices are used, m"
1433"ultiple SRLC16s are cascaded together."
1434      infer_latency       off
1435      depth           "4"
1436      initVector          "[0]"
1437      en              off
1438      dbl_ovrd        off
1439      use_behavioral_HDL      off
1440      use_rpm         off
1441      xl_use_area         off
1442      xl_area         "[0,0,0,0,0,0,0]"
1443      has_advanced_control    "0"
1444      sggui_pos       "50,50,356,359"
1445      block_type          "addrsr"
1446      block_version       "8.2.01"
1447      sg_icon_stat        "60,58,1,1,white,blue,0,c4974527,right"
1448      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');"
1449"\npatch([0 60 60 0 ],[0 0 58 58 ],[0.77 0.82 0.91]);\npatch([15 5 19 5 15 30 "
1450"34 38 54 41 29 20 34 20 29 41 54 38 34 30 15 ],[6 16 30 44 54 54 50 54 54 41 "
1451"53 44 30 16 7 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 58"
1452" 58 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: be"
1453"gin icon text');\ncolor('black');port_label('input',1,'d');\ncolor('black');p"
1454"ort_label('input',2,'addr');\ncolor('black');port_label('output',1,'q');\nfpr"
1455"intf('','COMMENT: end icon text');\n"
1456    }
1457    Block {
1458      BlockType       Reference
1459      Name            "ASR2"
1460      Ports           [2, 1]
1461      Position        [970, 151, 1030, 209]
1462      SourceBlock         "xbsIndex_r4/Addressable Shift Register"
1463      SourceType          "Xilinx Addressable Shift Register Block"
1464      infoedit        "Delay of  configurable length.  Any element"
1465"  in the delay \n    line   can    be   addressed   and   driven    on"
1466"to   the   output  \n    port.<P><P>Hardware  notes: Implemented  using"
1467" SRL16s.   \n    If Virtex-4, Virtex-II or Spartan-3 devices are used, m"
1468"ultiple SRLC16s are cascaded together."
1469      infer_latency       off
1470      depth           "4"
1471      initVector          "[0]"
1472      en              off
1473      dbl_ovrd        off
1474      use_behavioral_HDL      off
1475      use_rpm         off
1476      xl_use_area         off
1477      xl_area         "[0,0,0,0,0,0,0]"
1478      has_advanced_control    "0"
1479      sggui_pos       "50,50,356,359"
1480      block_type          "addrsr"
1481      block_version       "8.2.01"
1482      sg_icon_stat        "60,58,1,1,white,blue,0,c4974527,right"
1483      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');"
1484"\npatch([0 60 60 0 ],[0 0 58 58 ],[0.77 0.82 0.91]);\npatch([15 5 19 5 15 30 "
1485"34 38 54 41 29 20 34 20 29 41 54 38 34 30 15 ],[6 16 30 44 54 54 50 54 54 41 "
1486"53 44 30 16 7 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 58"
1487" 58 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: be"
1488"gin icon text');\ncolor('black');port_label('input',1,'d');\ncolor('black');p"
1489"ort_label('input',2,'addr');\ncolor('black');port_label('output',1,'q');\nfpr"
1490"intf('','COMMENT: end icon text');\n"
1491    }
1492    Block {
1493      BlockType       Reference
1494      Name            "ASR3"
1495      Ports           [2, 1]
1496      Position        [1090, 151, 1150, 209]
1497      SourceBlock         "xbsIndex_r4/Addressable Shift Register"
1498      SourceType          "Xilinx Addressable Shift Register Block"
1499      infoedit        "Delay of  configurable length.  Any element"
1500"  in the delay \n    line   can    be   addressed   and   driven    on"
1501"to   the   output  \n    port.<P><P>Hardware  notes: Implemented  using"
1502" SRL16s.   \n    If Virtex-4, Virtex-II or Spartan-3 devices are used, m"
1503"ultiple SRLC16s are cascaded together."
1504      infer_latency       off
1505      depth           "4"
1506      initVector          "[0]"
1507      en              off
1508      dbl_ovrd        off
1509      use_behavioral_HDL      off
1510      use_rpm         off
1511      xl_use_area         off
1512      xl_area         "[0,0,0,0,0,0,0]"
1513      has_advanced_control    "0"
1514      sggui_pos       "50,50,356,359"
1515      block_type          "addrsr"
1516      block_version       "8.2.01"
1517      sg_icon_stat        "60,58,1,1,white,blue,0,c4974527,right"
1518      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');"
1519"\npatch([0 60 60 0 ],[0 0 58 58 ],[0.77 0.82 0.91]);\npatch([15 5 19 5 15 30 "
1520"34 38 54 41 29 20 34 20 29 41 54 38 34 30 15 ],[6 16 30 44 54 54 50 54 54 41 "
1521"53 44 30 16 7 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 58"
1522" 58 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: be"
1523"gin icon text');\ncolor('black');port_label('input',1,'d');\ncolor('black');p"
1524"ort_label('input',2,'addr');\ncolor('black');port_label('output',1,'q');\nfpr"
1525"intf('','COMMENT: end icon text');\n"
1526    }
1527    Block {
1528      BlockType       Reference
1529      Name            "ASR4"
1530      Ports           [2, 1]
1531      Position        [1210, 151, 1270, 209]
1532      SourceBlock         "xbsIndex_r4/Addressable Shift Register"
1533      SourceType          "Xilinx Addressable Shift Register Block"
1534      infoedit        "Delay of  configurable length.  Any element"
1535"  in the delay \n    line   can    be   addressed   and   driven    on"
1536"to   the   output  \n    port.<P><P>Hardware  notes: Implemented  using"
1537" SRL16s.   \n    If Virtex-4, Virtex-II or Spartan-3 devices are used, m"
1538"ultiple SRLC16s are cascaded together."
1539      infer_latency       off
1540      depth           "4"
1541      initVector          "[0]"
1542      en              off
1543      dbl_ovrd        off
1544      use_behavioral_HDL      off
1545      use_rpm         off
1546      xl_use_area         off
1547      xl_area         "[0,0,0,0,0,0,0]"
1548      has_advanced_control    "0"
1549      sggui_pos       "50,50,356,359"
1550      block_type          "addrsr"
1551      block_version       "8.2.01"
1552      sg_icon_stat        "60,58,1,1,white,blue,0,c4974527,right"
1553      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');"
1554"\npatch([0 60 60 0 ],[0 0 58 58 ],[0.77 0.82 0.91]);\npatch([15 5 19 5 15 30 "
1555"34 38 54 41 29 20 34 20 29 41 54 38 34 30 15 ],[6 16 30 44 54 54 50 54 54 41 "
1556"53 44 30 16 7 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 58"
1557" 58 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: be"
1558"gin icon text');\ncolor('black');port_label('input',1,'d');\ncolor('black');p"
1559"ort_label('input',2,'addr');\ncolor('black');port_label('output',1,'q');\nfpr"
1560"intf('','COMMENT: end icon text');\n"
1561    }
1562    Block {
1563      BlockType       Reference
1564      Name            "ASR5"
1565      Ports           [2, 1]
1566      Position        [1335, 151, 1395, 209]
1567      SourceBlock         "xbsIndex_r4/Addressable Shift Register"
1568      SourceType          "Xilinx Addressable Shift Register Block"
1569      infoedit        "Delay of  configurable length.  Any element"
1570"  in the delay \n    line   can    be   addressed   and   driven    on"
1571"to   the   output  \n    port.<P><P>Hardware  notes: Implemented  using"
1572" SRL16s.   \n    If Virtex-4, Virtex-II or Spartan-3 devices are used, m"
1573"ultiple SRLC16s are cascaded together."
1574      infer_latency       off
1575      depth           "4"
1576      initVector          "[0]"
1577      en              off
1578      dbl_ovrd        off
1579      use_behavioral_HDL      off
1580      use_rpm         off
1581      xl_use_area         off
1582      xl_area         "[0,0,0,0,0,0,0]"
1583      has_advanced_control    "0"
1584      sggui_pos       "50,50,356,359"
1585      block_type          "addrsr"
1586      block_version       "8.2.01"
1587      sg_icon_stat        "60,58,1,1,white,blue,0,c4974527,right"
1588      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');"
1589"\npatch([0 60 60 0 ],[0 0 58 58 ],[0.77 0.82 0.91]);\npatch([15 5 19 5 15 30 "
1590"34 38 54 41 29 20 34 20 29 41 54 38 34 30 15 ],[6 16 30 44 54 54 50 54 54 41 "
1591"53 44 30 16 7 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 58"
1592" 58 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: be"
1593"gin icon text');\ncolor('black');port_label('input',1,'d');\ncolor('black');p"
1594"ort_label('input',2,'addr');\ncolor('black');port_label('output',1,'q');\nfpr"
1595"intf('','COMMENT: end icon text');\n"
1596    }
1597    Block {
1598      BlockType       Reference
1599      Name            "ASR6"
1600      Ports           [2, 1]
1601      Position        [1455, 151, 1515, 209]
1602      SourceBlock         "xbsIndex_r4/Addressable Shift Register"
1603      SourceType          "Xilinx Addressable Shift Register Block"
1604      infoedit        "Delay of  configurable length.  Any element"
1605"  in the delay \n    line   can    be   addressed   and   driven    on"
1606"to   the   output  \n    port.<P><P>Hardware  notes: Implemented  using"
1607" SRL16s.   \n    If Virtex-4, Virtex-II or Spartan-3 devices are used, m"
1608"ultiple SRLC16s are cascaded together."
1609      infer_latency       off
1610      depth           "4"
1611      initVector          "[0]"
1612      en              off
1613      dbl_ovrd        off
1614      use_behavioral_HDL      off
1615      use_rpm         off
1616      xl_use_area         off
1617      xl_area         "[0,0,0,0,0,0,0]"
1618      has_advanced_control    "0"
1619      sggui_pos       "50,50,356,359"
1620      block_type          "addrsr"
1621      block_version       "8.2.01"
1622      sg_icon_stat        "60,58,1,1,white,blue,0,c4974527,right"
1623      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');"
1624"\npatch([0 60 60 0 ],[0 0 58 58 ],[0.77 0.82 0.91]);\npatch([15 5 19 5 15 30 "
1625"34 38 54 41 29 20 34 20 29 41 54 38 34 30 15 ],[6 16 30 44 54 54 50 54 54 41 "
1626"53 44 30 16 7 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 58"
1627" 58 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: be"
1628"gin icon text');\ncolor('black');port_label('input',1,'d');\ncolor('black');p"
1629"ort_label('input',2,'addr');\ncolor('black');port_label('output',1,'q');\nfpr"
1630"intf('','COMMENT: end icon text');\n"
1631    }
1632    Block {
1633      BlockType       Reference
1634      Name            "Accumulator"
1635      Ports           [2, 1]
1636      Position        [1185, 946, 1245, 1004]
1637      SourceBlock         "xbsIndex_r4/Accumulator"
1638      SourceType          "Xilinx Accumulator Block"
1639      infoedit        "Adder or subtractor-based accumulator.   Ou"
1640"tput type and binary point position match the input.<P><P>Hardware notes: Whe"
1641"n \"Reinitialize with input 'b' on reset\" is selected, the accumulator is fo"
1642"rced to run at the system rate even if the input 'b' is running at a slower r"
1643"ate."
1644      operation       "Add"
1645      n_bits          "internal_data_ibits + internal_coeff_ibits "
1646"+ internal_data_fbits + internal_coeff_fbits + 4"
1647      overflow        "Wrap"
1648      scale           "1"
1649      rst             on
1650      hasbypass       on
1651      en              off
1652      dbl_ovrd        off
1653      use_behavioral_HDL      on
1654      xl_use_area         off
1655      xl_area         "[0,0,0,0,0,0,0]"
1656      has_advanced_control    "0"
1657      sggui_pos       "20,20,582,501"
1658      block_type          "accum"
1659      block_version       "8.2.01"
1660      sg_icon_stat        "60,58,1,1,white,blue,0,1b1827f6,right"
1661      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');"
1662"\npatch([0 60 60 0 ],[0 0 58 58 ],[0.77 0.82 0.91]);\npatch([15 5 19 5 15 30 "
1663"34 38 54 41 29 20 34 20 29 41 54 38 34 30 15 ],[6 16 30 44 54 54 50 54 54 41 "
1664"53 44 30 16 7 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 58"
1665" 58 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: be"
1666"gin icon text');\ncolor('black');port_label('input',1,'b');\ncolor('black');p"
1667"ort_label('input',2,'rst');\ncolor('black');port_label('output',1,'q');\nfpri"
1668"ntf('','COMMENT: end icon text');\n"
1669    }
1670    Block {
1671      BlockType       Reference
1672      Name            "Assert"
1673      Ports           [1, 1]
1674      Position        [185, 98, 235, 112]
1675      SourceBlock         "xbsIndex_r4/Assert"
1676      SourceType          "Xilinx Assert Block"
1677      infoedit        "Asserts a user-defined sample rate and/or t"
1678"ype on a signal.<P><P>Hardware notes: In hardware this block costs nothing."
1679      assert_type         on
1680      type_source         "Explicitly"
1681      arith_type          "Boolean"
1682      n_bits          "16"
1683      bin_pt          "14"
1684      assert_rate         off
1685      rate_source         "Explicitly"
1686      period          "1"
1687      output_port         on
1688      has_advanced_control    "0"
1689      sggui_pos       "20,20,336,436"
1690      block_type          "assert"
1691      block_version       "10.1"
1692      sg_icon_stat        "50,14,1,1,white,blue,0,f7732e52,right"
1693      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');"
1694"\npatch([0 60 60 0 ],[0 0 30 30 ],[0.77 0.82 0.91]);\npatch([22 17 24 17 22 3"
1695"0 32 34 42 35 29 24 30 24 29 35 42 34 32 30 22 ],[3 8 15 22 27 27 25 27 27 20"
1696" 26 21 15 9 4 10 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 30 "
1697"30 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: beg"
1698"in icon text');\ncolor('black');disp('Assert');\nfprintf('','COMMENT: end ico"
1699"n text');\n"
1700    }
1701    Block {
1702      BlockType       Reference
1703      Name            "Constant"
1704      Ports           [0, 1]
1705      Position        [585, 217, 640, 243]
1706      SourceBlock         "xbsIndex_r4/Constant"
1707      SourceType          "Xilinx Constant Block Block"
1708      arith_type          "Unsigned"
1709      const           "3"
1710      n_bits          "2"
1711      bin_pt          "0"
1712      explicit_period     off
1713      period          "1"
1714      dsp48_infoedit      "The use of this block for DSP48 instruction"
1715"s is deprecated.  Please use the Opmode block."
1716      equ             "P=C"
1717      opselect        "C"
1718      inp2            "PCIN>>17"
1719      opr             "+"
1720      inp1            "P"
1721      carry           "CIN"
1722      dbl_ovrd        off
1723      has_advanced_control    "0"
1724      sggui_pos       "-1,-1,-1,-1"
1725      block_type          "constant"
1726      block_version       "8.2.01"
1727      sg_icon_stat        "55,26,1,1,white,blue,0,bdb1da60,right"
1728      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');"
1729"\npatch([0 55 55 0 ],[0 0 26 26 ],[0.77 0.82 0.91]);\npatch([20 16 22 16 20 2"
1730"7 29 31 38 32 26 22 28 22 26 32 38 31 29 27 20 ],[3 7 13 19 23 23 21 23 23 17"
1731" 23 19 13 7 3 9 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 55 55 0 0 ],[0 0 26 2"
1732"6 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begi"
1733"n icon text');\ncolor('black');port_label('output',1,'3');\nfprintf('','COMME"
1734"NT: end icon text');\n"
1735    }
1736    Block {
1737      BlockType       Reference
1738      Name            "Constant1"
1739      Ports           [0, 1]
1740      Position        [610, 1032, 665, 1058]
1741      SourceBlock         "xbsIndex_r4/Constant"
1742      SourceType          "Xilinx Constant Block Block"
1743      arith_type          "Unsigned"
1744      const           "0"
1745      n_bits          "2"
1746      bin_pt          "0"
1747      explicit_period     off
1748      period          "1"
1749      dsp48_infoedit      "The use of this block for DSP48 instruction"
1750"s is deprecated.  Please use the Opmode block."
1751      equ             "P=C"
1752      opselect        "C"
1753      inp2            "PCIN>>17"
1754      opr             "+"
1755      inp1            "P"
1756      carry           "CIN"
1757      dbl_ovrd        off
1758      has_advanced_control    "0"
1759      sggui_pos       "-1,-1,-1,-1"
1760      block_type          "constant"
1761      block_version       "8.2.01"
1762      sg_icon_stat        "55,26,1,1,white,blue,0,72d575a1,right"
1763      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');"
1764"\npatch([0 55 55 0 ],[0 0 26 26 ],[0.77 0.82 0.91]);\npatch([20 16 22 16 20 2"
1765"7 29 31 38 32 26 22 28 22 26 32 38 31 29 27 20 ],[3 7 13 19 23 23 21 23 23 17"
1766" 23 19 13 7 3 9 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 55 55 0 0 ],[0 0 26 2"
1767"6 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begi"
1768"n icon text');\ncolor('black');port_label('output',1,'0');\nfprintf('','COMME"
1769"NT: end icon text');\n"
1770    }
1771    Block {
1772      BlockType       Reference
1773      Name            "Constant2"
1774      Ports           [0, 1]
1775      Position        [372, 270, 398, 325]
1776      Orientation         "down"
1777      NamePlacement       "alternate"
1778      SourceBlock         "xbsIndex_r4/Constant"
1779      SourceType          "Xilinx Constant Block Block"
1780      arith_type          "Boolean"
1781      const           "1"
1782      n_bits          "16"
1783      bin_pt          "14"
1784      explicit_period     off
1785      period          "1"
1786      dsp48_infoedit      "The use of this block for DSP48 instruction"
1787"s is deprecated.  Please use the Opmode block."
1788      equ             "P=C"
1789      opselect        "C"
1790      inp2            "PCIN>>17"
1791      opr             "+"
1792      inp1            "P"
1793      carry           "CIN"
1794      dbl_ovrd        off
1795      has_advanced_control    "0"
1796      sggui_pos       "-1,-1,-1,-1"
1797      block_type          "constant"
1798      block_version       "8.2.01"
1799      sg_icon_stat        "26,55,1,1,white,blue,0,06094819,down"
1800      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');"
1801"\npatch([0 26 26 0 ],[0 0 55 55 ],[0.77 0.82 0.91]);\npatch([6 2 8 2 6 13 15 "
1802"17 24 18 12 8 14 8 12 18 24 17 15 13 6 ],[17 21 27 33 37 37 35 37 37 31 37 33"
1803" 27 21 17 23 17 17 19 17 17 ],[0.98 0.96 0.92]);\nplot([0 26 26 0 0 ],[0 0 55"
1804" 55 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: be"
1805"gin icon text');\ncolor('black');port_label('output',1,'1');\nfprintf('','COM"
1806"MENT: end icon text');\n"
1807    }
1808    Block {
1809      BlockType       Reference
1810      Name            "Convert"
1811      Ports           [1, 1]
1812      Position        [385, 890, 415, 935]
1813      Orientation         "down"
1814      NamePlacement       "alternate"
1815      SourceBlock         "xbsIndex_r4/Convert"
1816      SourceType          "Xilinx Type Converter Block"
1817      infoedit        "Hardware notes: rounding and saturating req"
1818"uire hardware resources; truncating and wrapping do not."
1819      arith_type          "Boolean"
1820      n_bits          "16"
1821      bin_pt          "14"
1822      quantization        "Truncate"
1823      overflow        "Wrap"
1824      latency         "0"
1825      dbl_ovrd        off
1826      pipeline        off
1827      xl_use_area         off
1828      xl_area         "[0,0,0,0,0,0,0]"
1829      has_advanced_control    "0"
1830      sggui_pos       "20,20,374,375"
1831      block_type          "convert"
1832      block_version       "8.2.01"
1833      sg_icon_stat        "30,45,1,1,white,blue,0,74901e60,down"
1834      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');"
1835"\npatch([0 30 30 0 ],[0 0 45 45 ],[0.77 0.82 0.91]);\npatch([7 2 9 2 7 15 17 "
1836"19 27 20 14 9 15 9 14 20 27 19 17 15 7 ],[11 16 23 30 35 35 33 35 35 28 34 29"
1837" 23 17 12 18 11 11 13 11 11 ],[0.98 0.96 0.92]);\nplot([0 30 30 0 0 ],[0 0 45"
1838" 45 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: be"
1839"gin icon text');\ncolor('black');port_label('output',1,'cast');\nfprintf('','"
1840"COMMENT: end icon text');\n"
1841    }
1842    Block {
1843      BlockType       Reference
1844      Name            "Counter1"
1845      Ports           [1, 1]
1846      Position        [370, 485, 430, 545]
1847      Orientation         "down"
1848      NamePlacement       "alternate"
1849      SourceBlock         "xbsIndex_r4/Counter"
1850      SourceType          "Xilinx Counter Block"
1851      infoedit        "Hardware notes: Free running counters are t"
1852"he least expensive in hardware.  A count limited counter is implemented by co"
1853"mbining a counter with a comparator."
1854      cnt_type        "Free Running"
1855      cnt_to          "Inf"
1856      operation       "Up"
1857      start_count         "0"
1858      cnt_by_val          "1"
1859      arith_type          "Unsigned"
1860      n_bits          "3"
1861      bin_pt          "0"
1862      load_pin        off
1863      rst             off
1864      en              on
1865      explicit_period     "off"
1866      period          "1"
1867      dbl_ovrd        off
1868      use_behavioral_HDL      off
1869      use_rpm         off
1870      xl_use_area         off
1871      xl_area         "[0,0,0,0,0,0,0]"
1872      has_advanced_control    "0"
1873      sggui_pos       "20,20,356,630"
1874      block_type          "counter"
1875      block_version       "8.2.01"
1876      sg_icon_stat        "60,60,1,1,white,blue,0,1018756c,down"
1877      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');"
1878"\npatch([0 60 60 0 ],[0 0 60 60 ],[0.77 0.82 0.91]);\npatch([14 4 18 4 14 30 "
1879"34 38 55 42 29 20 35 20 29 42 55 38 34 30 14 ],[6 16 30 44 54 54 50 54 54 41 "
1880"54 45 30 15 6 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 60"
1881" 60 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: be"
1882"gin icon text');\ncolor('black');port_label('input',1,'en');\ncolor('black');"
1883"port_label('output',1,'out');\nfprintf('','COMMENT: end icon text');\n"
1884    }
1885    Block {
1886      BlockType       Reference
1887      Name            "Counter2"
1888      Ports           [1, 1]
1889      Position        [465, 985, 525, 1045]
1890      SourceBlock         "xbsIndex_r4/Counter"
1891      SourceType          "Xilinx Counter Block"
1892      infoedit        "Hardware notes: Free running counters are t"
1893"he least expensive in hardware.  A count limited counter is implemented by co"
1894"mbining a counter with a comparator."
1895      cnt_type        "Free Running"
1896      cnt_to          "Inf"
1897      operation       "Up"
1898      start_count         "0"
1899      cnt_by_val          "1"
1900      arith_type          "Unsigned"
1901      n_bits          "2"
1902      bin_pt          "0"
1903      load_pin        off
1904      rst             on
1905      en              off
1906      explicit_period     "off"
1907      period          "1"
1908      dbl_ovrd        off
1909      use_behavioral_HDL      off
1910      use_rpm         off
1911      xl_use_area         off
1912      xl_area         "[0,0,0,0,0,0,0]"
1913      has_advanced_control    "0"
1914      sggui_pos       "20,20,356,630"
1915      block_type          "counter"
1916      block_version       "8.2.01"
1917      sg_icon_stat        "60,60,1,1,white,blue,0,300e9576,right"
1918      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');"
1919"\npatch([0 60 60 0 ],[0 0 60 60 ],[0.77 0.82 0.91]);\npatch([14 4 18 4 14 30 "
1920"34 38 55 42 29 20 35 20 29 42 55 38 34 30 14 ],[6 16 30 44 54 54 50 54 54 41 "
1921"54 45 30 15 6 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 60"
1922" 60 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: be"
1923"gin icon text');\ncolor('black');port_label('input',1,'rst');\ncolor('black')"
1924";port_label('output',1,'out');\nfprintf('','COMMENT: end icon text');\n"
1925    }
1926    Block {
1927      BlockType       Reference
1928      Name            "DAT Upsampler"
1929      Ports           [1, 1]
1930      Position        [175, 183, 235, 237]
1931      SourceBlock         "xbsIndex_r4/Up Sample"
1932      SourceType          "Xilinx Up Sampler Block"
1933      infoedit        "Up samples input data.  Inserted values  ca"
1934"n be zeros or copies of the most recent input sample.<P><P>Hardware notes: No"
1935" hardware is needed if inserted values are copies of the input sample; otherw"
1936"ise, a mux and single bit flip-flop are used."
1937      sample_ratio        "4"
1938      copy_samples        on
1939      dbl_ovrd        off
1940      xl_use_area         off
1941      xl_area         "[0,0,0,0,0,0,0]"
1942      has_advanced_control    "0"
1943      sggui_pos       "20,20,356,266"
1944      block_type          "usamp"
1945      block_version       "8.2.01"
1946      sg_icon_stat        "60,54,1,1,white,blue,0,b6c489dd,right"
1947      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');"
1948"\npatch([0 60 60 0 ],[0 0 54 54 ],[0.77 0.82 0.91]);\npatch([16 7 20 7 16 30 "
1949"34 38 53 41 29 21 35 21 29 41 53 38 34 30 16 ],[6 15 28 41 50 50 46 50 50 38 "
1950"50 42 28 14 6 18 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 54"
1951" 54 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: be"
1952"gin icon text');\ncolor('black');disp('{\\fontsize{14pt}\\bf\\uparrow}4','tex"
1953"mode','on');\nfprintf('','COMMENT: end icon text');\n"
1954    }
1955    Block {
1956      BlockType       Reference
1957      Name            "Decimate In Cnv"
1958      Ports           [1, 1]
1959      Position        [290, 140, 335, 170]
1960      SourceBlock         "xbsIndex_r4/Convert"
1961      SourceType          "Xilinx Type Converter Block"
1962      infoedit        "Hardware notes: rounding and saturating req"
1963"uire hardware resources; truncating and wrapping do not."
1964      arith_type          "Signed  (2's comp)"
1965      n_bits          "internal_data_ibits + internal_data_fbits"
1966      bin_pt          "internal_data_fbits"
1967      quantization        "Truncate"
1968      overflow        "Wrap"
1969      latency         "1"
1970      dbl_ovrd        off
1971      pipeline        off
1972      xl_use_area         off
1973      xl_area         "[0,0,0,0,0,0,0]"
1974      has_advanced_control    "0"
1975      sggui_pos       "223,466,374,375"
1976      block_type          "convert"
1977      block_version       "8.2.01"
1978      sg_icon_stat        "45,30,1,1,white,blue,0,ba4d1298,right"
1979      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');"
1980"\npatch([0 45 45 0 ],[0 0 30 30 ],[0.77 0.82 0.91]);\npatch([15 10 17 10 15 2"
1981"3 25 27 35 28 22 17 23 17 22 28 35 27 25 23 15 ],[3 8 15 22 27 27 25 27 27 20"
1982" 26 21 15 9 4 10 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 45 45 0 0 ],[0 0 30 "
1983"30 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: beg"
1984"in icon text');\ncolor('black');port_label('output',1,'cast');\ncolor('black'"
1985");disp('\\newlinez^{-1}','texmode','on');\nfprintf('','COMMENT: end icon text"
1986"');\n"
1987    }
1988    Block {
1989      BlockType       Reference
1990      Name            "Decimate Out Cnv"
1991      Ports           [1, 1]
1992      Position        [1565, 960, 1610, 990]
1993      SourceBlock         "xbsIndex_r4/Convert"
1994      SourceType          "Xilinx Type Converter Block"
1995      infoedit        "Hardware notes: rounding and saturating req"
1996"uire hardware resources; truncating and wrapping do not."
1997      arith_type          "Signed  (2's comp)"
1998      n_bits          "decimate_out_data_width"
1999      bin_pt          "decimate_out_data_bp"
2000      quantization        "Round  (unbiased: +/- Inf)"
2001      overflow        "Saturate"
2002      latency         "0"
2003      dbl_ovrd        off
2004      pipeline        off
2005      xl_use_area         off
2006      xl_area         "[0,0,0,0,0,0,0]"
2007      has_advanced_control    "0"
2008      sggui_pos       "23,491,374,375"
2009      block_type          "convert"
2010      block_version       "8.2.01"
2011      sg_icon_stat        "45,30,1,1,white,blue,0,74901e60,right"
2012      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');"
2013"\npatch([0 45 45 0 ],[0 0 30 30 ],[0.77 0.82 0.91]);\npatch([15 10 17 10 15 2"
2014"3 25 27 35 28 22 17 23 17 22 28 35 27 25 23 15 ],[3 8 15 22 27 27 25 27 27 20"
2015" 26 21 15 9 4 10 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 45 45 0 0 ],[0 0 30 "
2016"30 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: beg"
2017"in icon text');\ncolor('black');port_label('output',1,'cast');\nfprintf('','C"
2018"OMMENT: end icon text');\n"
2019    }
2020    Block {
2021      BlockType       Reference
2022      Name            "DecimateOutDelay"
2023      Ports           [2, 1]
2024      Position        [1695, 987, 1755, 1043]
2025      SourceBlock         "xbsIndex_r4/Delay"
2026      SourceType          "Xilinx Delay Block"
2027      infoedit        "Hardware notes: A delay line is a chain, ea"
2028"ch link of which is an SRL16 followed by a flip-flop. If register retiming is"
2029" enabled, the delay line is a chain of flip-flops."
2030      en              on
2031      latency         "1"
2032      dbl_ovrd        off
2033      reg_retiming        off
2034      xl_use_area         off
2035      xl_area         "[0,0,0,0,0,0,0]"
2036      has_advanced_control    "0"
2037      sggui_pos       "20,20,356,256"
2038      block_type          "delay"
2039      block_version       "8.2.01"
2040      sg_icon_stat        "60,56,1,1,white,blue,0,9c7d2b66,right"
2041      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');"
2042"\npatch([0 60 60 0 ],[0 0 56 56 ],[0.77 0.82 0.91]);\npatch([15 6 19 6 15 30 "
2043"34 38 54 41 29 20 32 20 29 41 54 38 34 30 15 ],[6 15 28 41 50 50 46 50 50 37 "
2044"49 40 28 16 7 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 56"
2045" 56 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: be"
2046"gin icon text');\ncolor('black');port_label('input',2,'en');\ncolor('black');"
2047"disp('z^{-1}','texmode','on');\nfprintf('','COMMENT: end icon text');\n"
2048    }
2049    Block {
2050      BlockType       Reference
2051      Name            "Down Sample"
2052      Ports           [1, 1]
2053      Position        [1820, 987, 1880, 1043]
2054      SourceBlock         "xbsIndex_r4/Down Sample"
2055      SourceType          "Xilinx Down Sampler Block"
2056      infoedit        "Hardware notes: Sample and Latency controls"
2057" determine the hardware implementation.  The cost in hardware of different im"
2058"plementations varies considerably; press Help for details."
2059      sample_ratio        "4"
2060      sample_phase        "Last Value of Frame  (most efficient)"
2061      en              off
2062      latency         "1"
2063      dbl_ovrd        off
2064      xl_use_area         off
2065      xl_area         "[0,0,0,0,0,0,0]"
2066      has_advanced_control    "0"
2067      sggui_pos       "20,20,356,303"
2068      block_type          "dsamp"
2069      block_version       "8.2.01"
2070      sg_icon_stat        "60,56,1,1,white,blue,0,f354a31c,right"
2071      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');"
2072"\npatch([0 60 60 0 ],[0 0 56 56 ],[0.77 0.82 0.91]);\npatch([15 6 19 6 15 30 "
2073"34 38 54 41 29 20 32 20 29 41 54 38 34 30 15 ],[6 15 28 41 50 50 46 50 50 37 "
2074"49 40 28 16 7 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 56"
2075" 56 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: be"
2076"gin icon text');\ncolor('black');disp('\\newline{\\fontsize{14pt}\\bf\\downar"
2077"row}4\\newlinez^{-1}','texmode','on');\nfprintf('','COMMENT: end icon text');"
2078"\n"
2079    }
2080    Block {
2081      BlockType       Reference
2082      Name            "Down Sample1"
2083      Ports           [1, 1]
2084      Position        [372, 690, 428, 750]
2085      Orientation         "down"
2086      NamePlacement       "alternate"
2087      SourceBlock         "xbsIndex_r4/Down Sample"
2088      SourceType          "Xilinx Down Sampler Block"
2089      infoedit        "Hardware notes: Sample and Latency controls"
2090" determine the hardware implementation.  The cost in hardware of different im"
2091"plementations varies considerably; press Help for details."
2092      sample_ratio        "4"
2093      sample_phase        "Last Value of Frame  (most efficient)"
2094      en              off
2095      latency         "1"
2096      dbl_ovrd        off
2097      xl_use_area         off
2098      xl_area         "[0,0,0,0,0,0,0]"
2099      has_advanced_control    "0"
2100      sggui_pos       "20,20,356,303"
2101      block_type          "dsamp"
2102      block_version       "8.2.01"
2103      sg_icon_stat        "56,60,1,1,white,blue,0,f354a31c,down"
2104      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');"
2105"\npatch([0 56 56 0 ],[0 0 60 60 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13 28 "
2106"32 36 52 39 27 18 30 18 27 39 52 36 32 28 13 ],[8 17 30 43 52 52 48 52 52 39 "
2107"51 42 30 18 9 21 8 8 12 8 8 ],[0.98 0.96 0.92]);\nplot([0 56 56 0 0 ],[0 0 60"
2108" 60 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: be"
2109"gin icon text');\ncolor('black');disp('\\newline{\\fontsize{14pt}\\bf\\downar"
2110"row}4\\newlinez^{-1}','texmode','on');\nfprintf('','COMMENT: end icon text');"
2111"\n"
2112    }
2113    Block {
2114      BlockType       Scope
2115      Name            "Filter Debug"
2116      Ports           [4]
2117      Position        [1495, 712, 1525, 788]
2118      Floating        off
2119      Location        [6, 40, 1323, 728]
2120      Open            off
2121      NumInputPorts       "4"
2122      TickLabels          "on"
2123      ZoomMode        "yonly"
2124      List {
2125        ListType            AxesTitles
2126        axes1           "%<SignalLabel>"
2127        axes2           "%<SignalLabel>"
2128        axes3           "%<SignalLabel>"
2129        axes4           "%<SignalLabel>"
2130      }
2131      TimeRange       "1000"
2132      YMin            "-1~-1~-1~-1"
2133      YMax            "1~1~1~1"
2134      SaveName        "ScopeData2"
2135      DataFormat          "StructureWithTime"
2136      LimitDataPoints     off
2137      SampleTime          "0"
2138    }
2139    Block {
2140      BlockType       Reference
2141      Name            "Interp In Cnv"
2142      Ports           [1, 1]
2143      Position        [290, 195, 335, 225]
2144      SourceBlock         "xbsIndex_r4/Convert"
2145      SourceType          "Xilinx Type Converter Block"
2146      infoedit        "Hardware notes: rounding and saturating req"
2147"uire hardware resources; truncating and wrapping do not."
2148      arith_type          "Signed  (2's comp)"
2149      n_bits          "internal_data_ibits + internal_data_fbits"
2150      bin_pt          "internal_data_fbits"
2151      quantization        "Truncate"
2152      overflow        "Wrap"
2153      latency         "1"
2154      dbl_ovrd        off
2155      pipeline        off
2156      xl_use_area         off
2157      xl_area         "[0,0,0,0,0,0,0]"
2158      has_advanced_control    "0"
2159      sggui_pos       "1128,437,374,375"
2160      block_type          "convert"
2161      block_version       "8.2.01"
2162      sg_icon_stat        "45,30,1,1,white,blue,0,ba4d1298,right"
2163      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');"
2164"\npatch([0 45 45 0 ],[0 0 30 30 ],[0.77 0.82 0.91]);\npatch([15 10 17 10 15 2"
2165"3 25 27 35 28 22 17 23 17 22 28 35 27 25 23 15 ],[3 8 15 22 27 27 25 27 27 20"
2166" 26 21 15 9 4 10 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 45 45 0 0 ],[0 0 30 "
2167"30 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: beg"
2168"in icon text');\ncolor('black');port_label('output',1,'cast');\ncolor('black'"
2169");disp('\\newlinez^{-1}','texmode','on');\nfprintf('','COMMENT: end icon text"
2170"');\n"
2171    }
2172    Block {
2173      BlockType       Reference
2174      Name            "Interp Out Cnv"
2175      Ports           [1, 1]
2176      Position        [1565, 875, 1610, 905]
2177      SourceBlock         "xbsIndex_r4/Convert"
2178      SourceType          "Xilinx Type Converter Block"
2179      infoedit        "Hardware notes: rounding and saturating req"
2180"uire hardware resources; truncating and wrapping do not."
2181      arith_type          "Signed  (2's comp)"
2182      n_bits          "interp_out_data_width"
2183      bin_pt          "interp_out_data_bp"
2184      quantization        "Round  (unbiased: +/- Inf)"
2185      overflow        "Saturate"
2186      latency         "0"
2187      dbl_ovrd        off
2188      pipeline        off
2189      xl_use_area         off
2190      xl_area         "[0,0,0,0,0,0,0]"
2191      has_advanced_control    "0"
2192      sggui_pos       "23,491,374,375"
2193      block_type          "convert"
2194      block_version       "8.2.01"
2195      sg_icon_stat        "45,30,1,1,white,blue,0,74901e60,right"
2196      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');"
2197"\npatch([0 45 45 0 ],[0 0 30 30 ],[0.77 0.82 0.91]);\npatch([15 10 17 10 15 2"
2198"3 25 27 35 28 22 17 23 17 22 28 35 27 25 23 15 ],[3 8 15 22 27 27 25 27 27 20"
2199" 26 21 15 9 4 10 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 45 45 0 0 ],[0 0 30 "
2200"30 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: beg"
2201"in icon text');\ncolor('black');port_label('output',1,'cast');\nfprintf('','C"
2202"OMMENT: end icon text');\n"
2203    }
2204    Block {
2205      BlockType       Reference
2206      Name            "InterpOutDelay"
2207      Ports           [1, 1]
2208      Position        [1820, 862, 1880, 918]
2209      SourceBlock         "xbsIndex_r4/Delay"
2210      SourceType          "Xilinx Delay Block"
2211      infoedit        "Hardware notes: A delay line is a chain, ea"
2212"ch link of which is an SRL16 followed by a flip-flop. If register retiming is"
2213" enabled, the delay line is a chain of flip-flops."
2214      en              off
2215      latency         "1"
2216      dbl_ovrd        off
2217      reg_retiming        off
2218      xl_use_area         off
2219      xl_area         "[0,0,0,0,0,0,0]"
2220      has_advanced_control    "0"
2221      sggui_pos       "20,20,356,256"
2222      block_type          "delay"
2223      block_version       "8.2.01"
2224      sg_icon_stat        "60,56,1,1,white,blue,0,fc531c0e,right"
2225      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');"
2226"\npatch([0 60 60 0 ],[0 0 56 56 ],[0.77 0.82 0.91]);\npatch([15 6 19 6 15 30 "
2227"34 38 54 41 29 20 32 20 29 41 54 38 34 30 15 ],[6 15 28 41 50 50 46 50 50 37 "
2228"49 40 28 16 7 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 56"
2229" 56 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: be"
2230"gin icon text');\ncolor('black');disp('z^{-1}','texmode','on');\nfprintf('','"
2231"COMMENT: end icon text');\n"
2232    }
2233    Block {
2234      BlockType       Reference
2235      Name            "Logical"
2236      Ports           [2, 1]
2237      Position        [370, 375, 430, 430]
2238      Orientation         "down"
2239      NamePlacement       "alternate"
2240      SourceBlock         "xbsIndex_r4/Logical"
2241      SourceType          "Xilinx Logical Block Block"
2242      logical_function    "OR"
2243      inputs          "2"
2244      en              off
2245      latency         "0"
2246      precision       "Full"
2247      arith_type          "Unsigned"
2248      n_bits          "16"
2249      bin_pt          "0"
2250      align_bp        on
2251      dbl_ovrd        off
2252      xl_use_area         off
2253      xl_area         "[0,0,0,0,0,0,0]"
2254      has_advanced_control    "0"
2255      sggui_pos       "-1,-1,-1,-1"
2256      block_type          "logical"
2257      block_version       "8.2.01"
2258      sg_icon_stat        "60,55,1,1,white,blue,0,f4a65842,down"
2259      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');"
2260"\npatch([0 60 60 0 ],[0 0 55 55 ],[0.77 0.82 0.91]);\npatch([15 6 19 6 15 30 "
2261"34 38 54 42 30 21 34 21 30 42 54 38 34 30 15 ],[6 15 28 41 50 50 46 50 50 38 "
2262"50 41 28 15 6 18 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 55"
2263" 55 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: be"
2264"gin icon text');\ncolor('black');disp('\\newlineor\\newlinez^{-0}','texmode',"
2265"'on');\nfprintf('','COMMENT: end icon text');\n"
2266    }
2267    Block {
2268      BlockType       Reference
2269      Name            "MULT0"
2270      Ports           [2, 1]
2271      Position        [670, 460, 725, 515]
2272      Orientation         "down"
2273      NamePlacement       "alternate"
2274      SourceBlock         "xbsIndex_r4/Mult"
2275      SourceType          "Xilinx Multiplier Block"
2276      infoedit        "Hardware notes: To use the internal pipelin"
2277"e stage of the dedicated multiplier you must select 'Pipeline for maximum per"
2278"formance'."
2279      precision       "Full"
2280      arith_type          "Signed  (2's comp)"
2281      n_bits          "16"
2282      bin_pt          "14"
2283      quantization        "Truncate"
2284      overflow        "Wrap"
2285      en              off
2286      latency         "1"
2287      dbl_ovrd        off
2288      use_behavioral_HDL      off
2289      use_embedded        on
2290      opt             "Speed"
2291      optimum_pipeline    off
2292      xl_use_area         off
2293      xl_area         "[0,0,0,0,0,0,0]"
2294      pipeline        "on"
2295      use_rpm         "on"
2296      placement_style     "Rectangular shape"
2297      has_advanced_control    "0"
2298      sggui_pos       "20,20,356,460"
2299      block_type          "mult"
2300      block_version       "8.2.01"
2301      sg_icon_stat        "55,55,1,1,white,blue,0,2b745779,down"
2302      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');"
2303"\npatch([0 55 55 0 ],[0 0 55 55 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13 28 "
2304"32 36 52 40 28 19 32 19 28 40 52 36 32 28 13 ],[6 15 28 41 50 50 46 50 50 38 "
2305"50 41 28 15 6 18 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 55 55 0 0 ],[0 0 55"
2306" 55 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: be"
2307"gin icon text');\ncolor('black');port_label('input',1,'a');\ncolor('black');p"
2308"ort_label('input',2,'b');\ncolor('black');port_label('output',1,'(ab)');\ncol"
2309"or('black');disp('\\newline\\bf{}\\newlinez^{-1}','texmode','on');\nfprintf('"
2310"','COMMENT: end icon text');\n"
2311    }
2312    Block {
2313      BlockType       Reference
2314      Name            "MULT1"
2315      Ports           [2, 1]
2316      Position        [790, 460, 845, 515]
2317      Orientation         "down"
2318      NamePlacement       "alternate"
2319      SourceBlock         "xbsIndex_r4/Mult"
2320      SourceType          "Xilinx Multiplier Block"
2321      infoedit        "Hardware notes: To use the internal pipelin"
2322"e stage of the dedicated multiplier you must select 'Pipeline for maximum per"
2323"formance'."
2324      precision       "Full"
2325      arith_type          "Signed  (2's comp)"
2326      n_bits          "16"
2327      bin_pt          "14"
2328      quantization        "Truncate"
2329      overflow        "Wrap"
2330      en              off
2331      latency         "1"
2332      dbl_ovrd        off
2333      use_behavioral_HDL      off
2334      use_embedded        on
2335      opt             "Speed"
2336      optimum_pipeline    off
2337      xl_use_area         off
2338      xl_area         "[0,0,0,0,0,0,0]"
2339      pipeline        "on"
2340      use_rpm         "on"
2341      placement_style     "Rectangular shape"
2342      has_advanced_control    "0"
2343      sggui_pos       "20,20,356,460"
2344      block_type          "mult"
2345      block_version       "8.2.01"
2346      sg_icon_stat        "55,55,1,1,white,blue,0,2b745779,down"
2347      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');"
2348"\npatch([0 55 55 0 ],[0 0 55 55 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13 28 "
2349"32 36 52 40 28 19 32 19 28 40 52 36 32 28 13 ],[6 15 28 41 50 50 46 50 50 38 "
2350"50 41 28 15 6 18 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 55 55 0 0 ],[0 0 55"
2351" 55 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: be"
2352"gin icon text');\ncolor('black');port_label('input',1,'a');\ncolor('black');p"
2353"ort_label('input',2,'b');\ncolor('black');port_label('output',1,'(ab)');\ncol"
2354"or('black');disp('\\newline\\bf{}\\newlinez^{-1}','texmode','on');\nfprintf('"
2355"','COMMENT: end icon text');\n"
2356    }
2357    Block {
2358      BlockType       Reference
2359      Name            "MULT2"
2360      Ports           [2, 1]
2361      Position        [910, 460, 965, 515]
2362      Orientation         "down"
2363      NamePlacement       "alternate"
2364      SourceBlock         "xbsIndex_r4/Mult"
2365      SourceType          "Xilinx Multiplier Block"
2366      infoedit        "Hardware notes: To use the internal pipelin"
2367"e stage of the dedicated multiplier you must select 'Pipeline for maximum per"
2368"formance'."
2369      precision       "Full"
2370      arith_type          "Signed  (2's comp)"
2371      n_bits          "16"
2372      bin_pt          "14"
2373      quantization        "Truncate"
2374      overflow        "Wrap"
2375      en              off
2376      latency         "1"
2377      dbl_ovrd        off
2378      use_behavioral_HDL      off
2379      use_embedded        on
2380      opt             "Speed"
2381      optimum_pipeline    off
2382      xl_use_area         off
2383      xl_area         "[0,0,0,0,0,0,0]"
2384      pipeline        "on"
2385      use_rpm         "on"
2386      placement_style     "Rectangular shape"
2387      has_advanced_control    "0"
2388      sggui_pos       "20,20,356,460"
2389      block_type          "mult"
2390      block_version       "8.2.01"
2391      sg_icon_stat        "55,55,1,1,white,blue,0,2b745779,down"
2392      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');"
2393"\npatch([0 55 55 0 ],[0 0 55 55 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13 28 "
2394"32 36 52 40 28 19 32 19 28 40 52 36 32 28 13 ],[6 15 28 41 50 50 46 50 50 38 "
2395"50 41 28 15 6 18 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 55 55 0 0 ],[0 0 55"
2396" 55 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: be"
2397"gin icon text');\ncolor('black');port_label('input',1,'a');\ncolor('black');p"
2398"ort_label('input',2,'b');\ncolor('black');port_label('output',1,'(ab)');\ncol"
2399"or('black');disp('\\newline\\bf{}\\newlinez^{-1}','texmode','on');\nfprintf('"
2400"','COMMENT: end icon text');\n"
2401    }
2402    Block {
2403      BlockType       Reference
2404      Name            "MULT3"
2405      Ports           [2, 1]
2406      Position        [1030, 460, 1085, 515]
2407      Orientation         "down"
2408      NamePlacement       "alternate"
2409      SourceBlock         "xbsIndex_r4/Mult"
2410      SourceType          "Xilinx Multiplier Block"
2411      infoedit        "Hardware notes: To use the internal pipelin"
2412"e stage of the dedicated multiplier you must select 'Pipeline for maximum per"
2413"formance'."
2414      precision       "Full"
2415      arith_type          "Signed  (2's comp)"
2416      n_bits          "16"
2417      bin_pt          "14"
2418      quantization        "Truncate"
2419      overflow        "Wrap"
2420      en              off
2421      latency         "1"
2422      dbl_ovrd        off
2423      use_behavioral_HDL      off
2424      use_embedded        on
2425      opt             "Speed"
2426      optimum_pipeline    off
2427      xl_use_area         off
2428      xl_area         "[0,0,0,0,0,0,0]"
2429      pipeline        "on"
2430      use_rpm         "on"
2431      placement_style     "Rectangular shape"
2432      has_advanced_control    "0"
2433      sggui_pos       "20,20,356,460"
2434      block_type          "mult"
2435      block_version       "8.2.01"
2436      sg_icon_stat        "55,55,1,1,white,blue,0,2b745779,down"
2437      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');"
2438"\npatch([0 55 55 0 ],[0 0 55 55 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13 28 "
2439"32 36 52 40 28 19 32 19 28 40 52 36 32 28 13 ],[6 15 28 41 50 50 46 50 50 38 "
2440"50 41 28 15 6 18 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 55 55 0 0 ],[0 0 55"
2441" 55 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: be"
2442"gin icon text');\ncolor('black');port_label('input',1,'a');\ncolor('black');p"
2443"ort_label('input',2,'b');\ncolor('black');port_label('output',1,'(ab)');\ncol"
2444"or('black');disp('\\newline\\bf{}\\newlinez^{-1}','texmode','on');\nfprintf('"
2445"','COMMENT: end icon text');\n"
2446    }
2447    Block {
2448      BlockType       Reference
2449      Name            "MULT4"
2450      Ports           [2, 1]
2451      Position        [1150, 460, 1205, 515]
2452      Orientation         "down"
2453      NamePlacement       "alternate"
2454      SourceBlock         "xbsIndex_r4/Mult"
2455      SourceType          "Xilinx Multiplier Block"
2456      infoedit        "Hardware notes: To use the internal pipelin"
2457"e stage of the dedicated multiplier you must select 'Pipeline for maximum per"
2458"formance'."
2459      precision       "Full"
2460      arith_type          "Signed  (2's comp)"
2461      n_bits          "16"
2462      bin_pt          "14"
2463      quantization        "Truncate"
2464      overflow        "Wrap"
2465      en              off
2466      latency         "1"
2467      dbl_ovrd        off
2468      use_behavioral_HDL      off
2469      use_embedded        on
2470      opt             "Speed"
2471      optimum_pipeline    off
2472      xl_use_area         off
2473      xl_area         "[0,0,0,0,0,0,0]"
2474      pipeline        "on"
2475      use_rpm         "on"
2476      placement_style     "Rectangular shape"
2477      has_advanced_control    "0"
2478      sggui_pos       "20,20,356,460"
2479      block_type          "mult"
2480      block_version       "8.2.01"
2481      sg_icon_stat        "55,55,1,1,white,blue,0,2b745779,down"
2482      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');"
2483"\npatch([0 55 55 0 ],[0 0 55 55 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13 28 "
2484"32 36 52 40 28 19 32 19 28 40 52 36 32 28 13 ],[6 15 28 41 50 50 46 50 50 38 "
2485"50 41 28 15 6 18 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 55 55 0 0 ],[0 0 55"
2486" 55 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: be"
2487"gin icon text');\ncolor('black');port_label('input',1,'a');\ncolor('black');p"
2488"ort_label('input',2,'b');\ncolor('black');port_label('output',1,'(ab)');\ncol"
2489"or('black');disp('\\newline\\bf{}\\newlinez^{-1}','texmode','on');\nfprintf('"
2490"','COMMENT: end icon text');\n"
2491    }
2492    Block {
2493      BlockType       Reference
2494      Name            "MULT5"
2495      Ports           [2, 1]
2496      Position        [1275, 460, 1330, 515]
2497      Orientation         "down"
2498      NamePlacement       "alternate"
2499      SourceBlock         "xbsIndex_r4/Mult"
2500      SourceType          "Xilinx Multiplier Block"
2501      infoedit        "Hardware notes: To use the internal pipelin"
2502"e stage of the dedicated multiplier you must select 'Pipeline for maximum per"
2503"formance'."
2504      precision       "Full"
2505      arith_type          "Signed  (2's comp)"
2506      n_bits          "16"
2507      bin_pt          "14"
2508      quantization        "Truncate"
2509      overflow        "Wrap"
2510      en              off
2511      latency         "1"
2512      dbl_ovrd        off
2513      use_behavioral_HDL      off
2514      use_embedded        on
2515      opt             "Speed"
2516      optimum_pipeline    off
2517      xl_use_area         off
2518      xl_area         "[0,0,0,0,0,0,0]"
2519      pipeline        "on"
2520      use_rpm         "on"
2521      placement_style     "Rectangular shape"
2522      has_advanced_control    "0"
2523      sggui_pos       "20,20,356,460"
2524      block_type          "mult"
2525      block_version       "8.2.01"
2526      sg_icon_stat        "55,55,1,1,white,blue,0,2b745779,down"
2527      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');"
2528"\npatch([0 55 55 0 ],[0 0 55 55 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13 28 "
2529"32 36 52 40 28 19 32 19 28 40 52 36 32 28 13 ],[6 15 28 41 50 50 46 50 50 38 "
2530"50 41 28 15 6 18 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 55 55 0 0 ],[0 0 55"
2531" 55 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: be"
2532"gin icon text');\ncolor('black');port_label('input',1,'a');\ncolor('black');p"
2533"ort_label('input',2,'b');\ncolor('black');port_label('output',1,'(ab)');\ncol"
2534"or('black');disp('\\newline\\bf{}\\newlinez^{-1}','texmode','on');\nfprintf('"
2535"','COMMENT: end icon text');\n"
2536    }
2537    Block {
2538      BlockType       Reference
2539      Name            "MULT6"
2540      Ports           [2, 1]
2541      Position        [1395, 460, 1450, 515]
2542      Orientation         "down"
2543      NamePlacement       "alternate"
2544      SourceBlock         "xbsIndex_r4/Mult"
2545      SourceType          "Xilinx Multiplier Block"
2546      infoedit        "Hardware notes: To use the internal pipelin"
2547"e stage of the dedicated multiplier you must select 'Pipeline for maximum per"
2548"formance'."
2549      precision       "Full"
2550      arith_type          "Signed  (2's comp)"
2551      n_bits          "16"
2552      bin_pt          "14"
2553      quantization        "Truncate"
2554      overflow        "Wrap"
2555      en              off
2556      latency         "1"
2557      dbl_ovrd        off
2558      use_behavioral_HDL      off
2559      use_embedded        on
2560      opt             "Speed"
2561      optimum_pipeline    off
2562      xl_use_area         off
2563      xl_area         "[0,0,0,0,0,0,0]"
2564      pipeline        "on"
2565      use_rpm         "on"
2566      placement_style     "Rectangular shape"
2567      has_advanced_control    "0"
2568      sggui_pos       "20,20,356,460"
2569      block_type          "mult"
2570      block_version       "8.2.01"
2571      sg_icon_stat        "55,55,1,1,white,blue,0,2b745779,down"
2572      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');"
2573"\npatch([0 55 55 0 ],[0 0 55 55 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13 28 "
2574"32 36 52 40 28 19 32 19 28 40 52 36 32 28 13 ],[6 15 28 41 50 50 46 50 50 38 "
2575"50 41 28 15 6 18 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 55 55 0 0 ],[0 0 55"
2576" 55 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: be"
2577"gin icon text');\ncolor('black');port_label('input',1,'a');\ncolor('black');p"
2578"ort_label('input',2,'b');\ncolor('black');port_label('output',1,'(ab)');\ncol"
2579"or('black');disp('\\newline\\bf{}\\newlinez^{-1}','texmode','on');\nfprintf('"
2580"','COMMENT: end icon text');\n"
2581    }
2582    Block {
2583      BlockType       Reference
2584      Name            "MULT7"
2585      Ports           [2, 1]
2586      Position        [1515, 460, 1570, 515]
2587      Orientation         "down"
2588      NamePlacement       "alternate"
2589      SourceBlock         "xbsIndex_r4/Mult"
2590      SourceType          "Xilinx Multiplier Block"
2591      infoedit        "Hardware notes: To use the internal pipelin"
2592"e stage of the dedicated multiplier you must select 'Pipeline for maximum per"
2593"formance'."
2594      precision       "Full"
2595      arith_type          "Signed  (2's comp)"
2596      n_bits          "16"
2597      bin_pt          "14"
2598      quantization        "Truncate"
2599      overflow        "Wrap"
2600      en              off
2601      latency         "1"
2602      dbl_ovrd        off
2603      use_behavioral_HDL      off
2604      use_embedded        on
2605      opt             "Speed"
2606      optimum_pipeline    off
2607      xl_use_area         off
2608      xl_area         "[0,0,0,0,0,0,0]"
2609      pipeline        "on"
2610      use_rpm         "on"
2611      placement_style     "Rectangular shape"
2612      has_advanced_control    "0"
2613      sggui_pos       "20,20,356,460"
2614      block_type          "mult"
2615      block_version       "8.2.01"
2616      sg_icon_stat        "55,55,1,1,white,blue,0,2b745779,down"
2617      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');"
2618"\npatch([0 55 55 0 ],[0 0 55 55 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13 28 "
2619"32 36 52 40 28 19 32 19 28 40 52 36 32 28 13 ],[6 15 28 41 50 50 46 50 50 38 "
2620"50 41 28 15 6 18 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 55 55 0 0 ],[0 0 55"
2621" 55 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: be"
2622"gin icon text');\ncolor('black');port_label('input',1,'a');\ncolor('black');p"
2623"ort_label('input',2,'b');\ncolor('black');port_label('output',1,'(ab)');\ncol"
2624"or('black');disp('\\newline\\bf{}\\newlinez^{-1}','texmode','on');\nfprintf('"
2625"','COMMENT: end icon text');\n"
2626    }
2627    Block {
2628      BlockType       Reference
2629      Name            "Mux"
2630      Ports           [3, 1]
2631      Position        [450, 113, 495, 217]
2632      SourceBlock         "xbsIndex_r4/Mux"
2633      SourceType          "Xilinx Bus Multiplexer Block"
2634      inputs          "2"
2635      en              off
2636      latency         "1"
2637      precision       "Full"
2638      arith_type          "Unsigned"
2639      n_bits          "16"
2640      bin_pt          "14"
2641      quantization        "Truncate"
2642      overflow        "Wrap"
2643      dbl_ovrd        off
2644      xl_use_area         off
2645      xl_area         "[0,0,0,0,0,0,0]"
2646      has_advanced_control    "0"
2647      sggui_pos       "-1,-1,-1,-1"
2648      block_type          "mux"
2649      block_version       "8.2.01"
2650      sg_icon_stat        "45,104,1,1,white,blue,3,03f9c9b9,right"
2651      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');"
2652"\npatch([0 45 45 0 ],[0 14.8571 89.1429 104 ],[0.77 0.82 0.91]);\npatch([10 3"
2653" 14 3 10 22 25 28 41 31 21 14 25 14 21 31 41 28 25 22 10 ],[34 41 52 63 70 70"
2654" 67 70 70 60 70 63 52 41 34 44 34 34 37 34 34 ],[0.98 0.96 0.92]);\nplot([0 4"
2655"5 45 0 0 ],[0 14.8571 89.1429 104 0 ]);\nfprintf('','COMMENT: end icon graphi"
2656"cs');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('in"
2657"put',1,'sel');\ncolor('black');port_label('input',2,'d0');\ncolor('black');po"
2658"rt_label('input',3,'d1');\ncolor('black');disp('\\bf{  z^{-1}}','texmode','on"
2659"');\nfprintf('','COMMENT: end icon text');\n"
2660    }
2661    Block {
2662      BlockType       Reference
2663      Name            "ROM 0"
2664      Ports           [1, 1]
2665      Position        [610, 307, 670, 363]
2666      SourceBlock         "xbsIndex_r4/ROM"
2667      SourceType          "Xilinx Single Port Read-Only Memory Block"
2668      depth           "4"
2669      initVector          "[h(1:4)]"
2670      distributed_mem     "Distributed memory"
2671      rst             off
2672      init_reg        "0"
2673      en              off
2674      latency         "1"
2675      arith_type          "Signed  (2's comp)"
2676      n_bits          "internal_coeff_ibits + internal_coeff_fbits"
2677      bin_pt          "internal_coeff_fbits"
2678      dbl_ovrd        off
2679      optimize        "Area"
2680      use_rpm         on
2681      xl_use_area         off
2682      xl_area         "[0,0,0,0,0,0,0]"
2683      has_advanced_control    "0"
2684      sggui_pos       "197,357,356,317"
2685      block_type          "sprom"
2686      block_version       "8.2.01"
2687      sg_icon_stat        "60,56,1,1,white,blue,0,a8b86474,right"
2688      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');"
2689"\npatch([0 60 60 0 ],[0 0 56 56 ],[0.77 0.82 0.91]);\npatch([15 6 19 6 15 30 "
2690"34 38 54 41 29 20 32 20 29 41 54 38 34 30 15 ],[6 15 28 41 50 50 46 50 50 37 "
2691"49 40 28 16 7 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 56"
2692" 56 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: be"
2693"gin icon text');\ncolor('black');port_label('input',1,'addr');\ncolor('black'"
2694");disp('z^{-1}','texmode','on');\nfprintf('','COMMENT: end icon text');\n"
2695    }
2696    Block {
2697      BlockType       Reference
2698      Name            "ROM 1"
2699      Ports           [1, 1]
2700      Position        [730, 307, 790, 363]
2701      SourceBlock         "xbsIndex_r4/ROM"
2702      SourceType          "Xilinx Single Port Read-Only Memory Block"
2703      depth           "4"
2704      initVector          "[h(5:8)]"
2705      distributed_mem     "Distributed memory"
2706      rst             off
2707      init_reg        "0"
2708      en              off
2709      latency         "1"
2710      arith_type          "Signed  (2's comp)"
2711      n_bits          "internal_coeff_ibits + internal_coeff_fbits"
2712      bin_pt          "internal_coeff_fbits"
2713      dbl_ovrd        off
2714      optimize        "Area"
2715      use_rpm         on
2716      xl_use_area         off
2717      xl_area         "[0,0,0,0,0,0,0]"
2718      has_advanced_control    "0"
2719      sggui_pos       "230,571,356,317"
2720      block_type          "sprom"
2721      block_version       "8.2.01"
2722      sg_icon_stat        "60,56,1,1,white,blue,0,a8b86474,right"
2723      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');"
2724"\npatch([0 60 60 0 ],[0 0 56 56 ],[0.77 0.82 0.91]);\npatch([15 6 19 6 15 30 "
2725"34 38 54 41 29 20 32 20 29 41 54 38 34 30 15 ],[6 15 28 41 50 50 46 50 50 37 "
2726"49 40 28 16 7 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 56"
2727" 56 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: be"
2728"gin icon text');\ncolor('black');port_label('input',1,'addr');\ncolor('black'"
2729");disp('z^{-1}','texmode','on');\nfprintf('','COMMENT: end icon text');\n"
2730    }
2731    Block {
2732      BlockType       Reference
2733      Name            "ROM 2"
2734      Ports           [1, 1]
2735      Position        [850, 307, 910, 363]
2736      SourceBlock         "xbsIndex_r4/ROM"
2737      SourceType          "Xilinx Single Port Read-Only Memory Block"
2738      depth           "4"
2739      initVector          "[h(9:12)]"
2740      distributed_mem     "Distributed memory"
2741      rst             off
2742      init_reg        "0"
2743      en              off
2744      latency         "1"
2745      arith_type          "Signed  (2's comp)"
2746      n_bits          "internal_coeff_ibits + internal_coeff_fbits"
2747      bin_pt          "internal_coeff_fbits"
2748      dbl_ovrd        off
2749      optimize        "Area"
2750      use_rpm         on
2751      xl_use_area         off
2752      xl_area         "[0,0,0,0,0,0,0]"
2753      has_advanced_control    "0"
2754      sggui_pos       "342,657,356,317"
2755      block_type          "sprom"
2756      block_version       "8.2.01"
2757      sg_icon_stat        "60,56,1,1,white,blue,0,a8b86474,right"
2758      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');"
2759"\npatch([0 60 60 0 ],[0 0 56 56 ],[0.77 0.82 0.91]);\npatch([15 6 19 6 15 30 "
2760"34 38 54 41 29 20 32 20 29 41 54 38 34 30 15 ],[6 15 28 41 50 50 46 50 50 37 "
2761"49 40 28 16 7 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 56"
2762" 56 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: be"
2763"gin icon text');\ncolor('black');port_label('input',1,'addr');\ncolor('black'"
2764");disp('z^{-1}','texmode','on');\nfprintf('','COMMENT: end icon text');\n"
2765    }
2766    Block {
2767      BlockType       Reference
2768      Name            "ROM 3"
2769      Ports           [1, 1]
2770      Position        [970, 307, 1030, 363]
2771      SourceBlock         "xbsIndex_r4/ROM"
2772      SourceType          "Xilinx Single Port Read-Only Memory Block"
2773      depth           "4"
2774      initVector          "[h(13:16)]"
2775      distributed_mem     "Distributed memory"
2776      rst             off
2777      init_reg        "0"
2778      en              off
2779      latency         "1"
2780      arith_type          "Signed  (2's comp)"
2781      n_bits          "internal_coeff_ibits + internal_coeff_fbits"
2782      bin_pt          "internal_coeff_fbits"
2783      dbl_ovrd        off
2784      optimize        "Area"
2785      use_rpm         on
2786      xl_use_area         off
2787      xl_area         "[0,0,0,0,0,0,0]"
2788      has_advanced_control    "0"
2789      sggui_pos       "293,599,356,317"
2790      block_type          "sprom"
2791      block_version       "8.2.01"
2792      sg_icon_stat        "60,56,1,1,white,blue,0,a8b86474,right"
2793      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');"
2794"\npatch([0 60 60 0 ],[0 0 56 56 ],[0.77 0.82 0.91]);\npatch([15 6 19 6 15 30 "
2795"34 38 54 41 29 20 32 20 29 41 54 38 34 30 15 ],[6 15 28 41 50 50 46 50 50 37 "
2796"49 40 28 16 7 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 56"
2797" 56 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: be"
2798"gin icon text');\ncolor('black');port_label('input',1,'addr');\ncolor('black'"
2799");disp('z^{-1}','texmode','on');\nfprintf('','COMMENT: end icon text');\n"
2800    }
2801    Block {
2802      BlockType       Reference
2803      Name            "ROM 4"
2804      Ports           [1, 1]
2805      Position        [1095, 307, 1155, 363]
2806      SourceBlock         "xbsIndex_r4/ROM"
2807      SourceType          "Xilinx Single Port Read-Only Memory Block"
2808      depth           "4"
2809      initVector          "[h(17:20)]"
2810      distributed_mem     "Distributed memory"
2811      rst             off
2812      init_reg        "0"
2813      en              off
2814      latency         "1"
2815      arith_type          "Signed  (2's comp)"
2816      n_bits          "internal_coeff_ibits + internal_coeff_fbits"
2817      bin_pt          "internal_coeff_fbits"
2818      dbl_ovrd        off
2819      optimize        "Area"
2820      use_rpm         on
2821      xl_use_area         off
2822      xl_area         "[0,0,0,0,0,0,0]"
2823      has_advanced_control    "0"
2824      sggui_pos       "376,520,356,317"
2825      block_type          "sprom"
2826      block_version       "8.2.01"
2827      sg_icon_stat        "60,56,1,1,white,blue,0,a8b86474,right"
2828      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');"
2829"\npatch([0 60 60 0 ],[0 0 56 56 ],[0.77 0.82 0.91]);\npatch([15 6 19 6 15 30 "
2830"34 38 54 41 29 20 32 20 29 41 54 38 34 30 15 ],[6 15 28 41 50 50 46 50 50 37 "
2831"49 40 28 16 7 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 56"
2832" 56 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: be"
2833"gin icon text');\ncolor('black');port_label('input',1,'addr');\ncolor('black'"
2834");disp('z^{-1}','texmode','on');\nfprintf('','COMMENT: end icon text');\n"
2835    }
2836    Block {
2837      BlockType       Reference
2838      Name            "ROM 5"
2839      Ports           [1, 1]
2840      Position        [1215, 307, 1275, 363]
2841      SourceBlock         "xbsIndex_r4/ROM"
2842      SourceType          "Xilinx Single Port Read-Only Memory Block"
2843      depth           "4"
2844      initVector          "[h(21:24)]"
2845      distributed_mem     "Distributed memory"
2846      rst             off
2847      init_reg        "0"
2848      en              off
2849      latency         "1"
2850      arith_type          "Signed  (2's comp)"
2851      n_bits          "internal_coeff_ibits + internal_coeff_fbits"
2852      bin_pt          "internal_coeff_fbits"
2853      dbl_ovrd        off
2854      optimize        "Area"
2855      use_rpm         on
2856      xl_use_area         off
2857      xl_area         "[0,0,0,0,0,0,0]"
2858      has_advanced_control    "0"
2859      sggui_pos       "434,585,356,317"
2860      block_type          "sprom"
2861      block_version       "8.2.01"
2862      sg_icon_stat        "60,56,1,1,white,blue,0,a8b86474,right"
2863      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');"
2864"\npatch([0 60 60 0 ],[0 0 56 56 ],[0.77 0.82 0.91]);\npatch([15 6 19 6 15 30 "
2865"34 38 54 41 29 20 32 20 29 41 54 38 34 30 15 ],[6 15 28 41 50 50 46 50 50 37 "
2866"49 40 28 16 7 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 56"
2867" 56 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: be"
2868"gin icon text');\ncolor('black');port_label('input',1,'addr');\ncolor('black'"
2869");disp('z^{-1}','texmode','on');\nfprintf('','COMMENT: end icon text');\n"
2870    }
2871    Block {
2872      BlockType       Reference
2873      Name            "ROM 6"
2874      Ports           [1, 1]
2875      Position        [1335, 302, 1395, 358]
2876      SourceBlock         "xbsIndex_r4/ROM"
2877      SourceType          "Xilinx Single Port Read-Only Memory Block"
2878      depth           "4"
2879      initVector          "[h(25:28)]"
2880      distributed_mem     "Distributed memory"
2881      rst             off
2882      init_reg        "0"
2883      en              off
2884      latency         "1"
2885      arith_type          "Signed  (2's comp)"
2886      n_bits          "internal_coeff_ibits + internal_coeff_fbits"
2887      bin_pt          "internal_coeff_fbits"
2888      dbl_ovrd        off
2889      optimize        "Area"
2890      use_rpm         on
2891      xl_use_area         off
2892      xl_area         "[0,0,0,0,0,0,0]"
2893      has_advanced_control    "0"
2894      sggui_pos       "454,646,356,317"
2895      block_type          "sprom"
2896      block_version       "8.2.01"
2897      sg_icon_stat        "60,56,1,1,white,blue,0,a8b86474,right"
2898      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');"
2899"\npatch([0 60 60 0 ],[0 0 56 56 ],[0.77 0.82 0.91]);\npatch([15 6 19 6 15 30 "
2900"34 38 54 41 29 20 32 20 29 41 54 38 34 30 15 ],[6 15 28 41 50 50 46 50 50 37 "
2901"49 40 28 16 7 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 56"
2902" 56 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: be"
2903"gin icon text');\ncolor('black');port_label('input',1,'addr');\ncolor('black'"
2904");disp('z^{-1}','texmode','on');\nfprintf('','COMMENT: end icon text');\n"
2905    }
2906    Block {
2907      BlockType       Reference
2908      Name            "ROM 7"
2909      Ports           [1, 1]
2910      Position        [1455, 302, 1515, 358]
2911      SourceBlock         "xbsIndex_r4/ROM"
2912      SourceType          "Xilinx Single Port Read-Only Memory Block"
2913      depth           "4"
2914      initVector          "[h(29:32)]"
2915      distributed_mem     "Distributed memory"
2916      rst             off
2917      init_reg        "0"
2918      en              off
2919      latency         "1"
2920      arith_type          "Signed  (2's comp)"
2921      n_bits          "internal_coeff_ibits + internal_coeff_fbits"
2922      bin_pt          "internal_coeff_fbits"
2923      dbl_ovrd        off
2924      optimize        "Area"
2925      use_rpm         on
2926      xl_use_area         off
2927      xl_area         "[0,0,0,0,0,0,0]"
2928      has_advanced_control    "0"
2929      sggui_pos       "197,479,356,317"
2930      block_type          "sprom"
2931      block_version       "8.2.01"
2932      sg_icon_stat        "60,56,1,1,white,blue,0,a8b86474,right"
2933      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');"
2934"\npatch([0 60 60 0 ],[0 0 56 56 ],[0.77 0.82 0.91]);\npatch([15 6 19 6 15 30 "
2935"34 38 54 41 29 20 32 20 29 41 54 38 34 30 15 ],[6 15 28 41 50 50 46 50 50 37 "
2936"49 40 28 16 7 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 56"
2937" 56 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: be"
2938"gin icon text');\ncolor('black');port_label('input',1,'addr');\ncolor('black'"
2939");disp('z^{-1}','texmode','on');\nfprintf('','COMMENT: end icon text');\n"
2940    }
2941    Block {
2942      BlockType       Reference
2943      Name            "Reinterpret"
2944      Ports           [1, 1]
2945      Position        [1440, 959, 1490, 991]
2946      SourceBlock         "xbsIndex_r4/Reinterpret"
2947      SourceType          "Xilinx Type Reinterpreter Block"
2948      infoedit        "Changes signal type without altering the bi"
2949"nary representation.   You can changed the signal between signed and unsigned"
2950", and relocate the binary point.<P><P>Hardware notes: In hardware this block "
2951"costs nothing.<P><P>Example:  Suppose the input is 6 bits wide, signed, with "
2952"2 fractional bits, and the output is forced to unsigned with 0 fractional bit"
2953"s.  Then an input of -2.0 (1110.00 in binary 2's complement) becomes an outpu"
2954"t of 56 (111000 in binary)."
2955      force_arith_type    on
2956      arith_type          "Signed  (2's comp)"
2957      force_bin_pt        on
2958      bin_pt          "decimate_out_fbits+4"
2959      has_advanced_control    "0"
2960      sggui_pos       "1155,19,538,502"
2961      block_type          "reinterpret"
2962      block_version       "8.2.01"
2963      sg_icon_stat        "50,32,1,1,white,blue,0,8982c1db,right"
2964      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');"
2965"\npatch([0 50 50 0 ],[0 0 32 32 ],[0.77 0.82 0.91]);\npatch([16 11 18 11 16 2"
2966"4 26 28 37 30 23 18 25 18 23 30 37 28 26 24 16 ],[3 8 15 22 27 27 25 27 27 20"
2967" 27 22 15 8 3 10 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 50 50 0 0 ],[0 0 32 "
2968"32 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: beg"
2969"in icon text');\ncolor('black');disp('reinterpret');\nfprintf('','COMMENT: en"
2970"d icon text');\n"
2971    }
2972    Block {
2973      BlockType       Reference
2974      Name            "Reinterpret1"
2975      Ports           [1, 1]
2976      Position        [1440, 874, 1490, 906]
2977      SourceBlock         "xbsIndex_r4/Reinterpret"
2978      SourceType          "Xilinx Type Reinterpreter Block"
2979      infoedit        "Changes signal type without altering the bi"
2980"nary representation.   You can changed the signal between signed and unsigned"
2981", and relocate the binary point.<P><P>Hardware notes: In hardware this block "
2982"costs nothing.<P><P>Example:  Suppose the input is 6 bits wide, signed, with "
2983"2 fractional bits, and the output is forced to unsigned with 0 fractional bit"
2984"s.  Then an input of -2.0 (1110.00 in binary 2's complement) becomes an outpu"
2985"t of 56 (111000 in binary)."
2986      force_arith_type    on
2987      arith_type          "Signed  (2's comp)"
2988      force_bin_pt        on
2989      bin_pt          "interp_out_fbits+4"
2990      has_advanced_control    "0"
2991      sggui_pos       "716,25,538,502"
2992      block_type          "reinterpret"
2993      block_version       "8.2.01"
2994      sg_icon_stat        "50,32,1,1,white,blue,0,8982c1db,right"
2995      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');"
2996"\npatch([0 50 50 0 ],[0 0 32 32 ],[0.77 0.82 0.91]);\npatch([16 11 18 11 16 2"
2997"4 26 28 37 30 23 18 25 18 23 30 37 28 26 24 16 ],[3 8 15 22 27 27 25 27 27 20"
2998" 27 22 15 8 3 10 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 50 50 0 0 ],[0 0 32 "
2999"32 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: beg"
3000"in icon text');\ncolor('black');disp('reinterpret');\nfprintf('','COMMENT: en"
3001"d icon text');\n"
3002    }
3003    Block {
3004      BlockType       Reference
3005      Name            "Relational"
3006      Ports           [2, 1]
3007      Position        [720, 1002, 775, 1058]
3008      SourceBlock         "xbsIndex_r4/Relational"
3009      SourceType          "Xilinx Arithmetic Relational Operator Block"
3010      mode            "a=b"
3011      en              off
3012      latency         "1"
3013      dbl_ovrd        off
3014      xl_use_area         off
3015      xl_area         "[0,0,0,0,0,0,0]"
3016      has_advanced_control    "0"
3017      sggui_pos       "-1,-1,-1,-1"
3018      block_type          "relational"
3019      block_version       "8.2.01"
3020      sg_icon_stat        "55,56,1,1,white,blue,0,1cf02e61,right"
3021      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');"
3022"\npatch([0 55 55 0 ],[0 0 56 56 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13 28 "
3023"32 36 52 40 28 19 32 19 28 40 52 36 32 28 13 ],[6 15 28 41 50 50 46 50 50 38 "
3024"50 41 28 15 6 18 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 55 55 0 0 ],[0 0 56"
3025" 56 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: be"
3026"gin icon text');\ncolor('black');port_label('input',1,'a');\ncolor('black');p"
3027"ort_label('input',2,'b');\ncolor('black');disp('\\newline\\bf{a=b}\\newlinez^"
3028"{-1}','texmode','on');\nfprintf('','COMMENT: end icon text');\n"
3029    }
3030    Block {
3031      BlockType       Reference
3032      Name            "Slice"
3033      Ports           [1, 1]
3034      Position        [1305, 960, 1365, 990]
3035      SourceBlock         "xbsIndex_r4/Slice"
3036      SourceType          "Xilinx Bit Slice Extractor Block"
3037      infoedit        "Extracts a given range of bits from each in"
3038"put sample and presents it at the output.  The output type is ordinarily unsi"
3039"gned with binary point at zero, but can be Boolean when the slice is one bit "
3040"wide.<P><P>Hardware notes: In hardware this block costs nothing."
3041      nbits           "(1 + decimate_out_ibits) + (decimate_out_fb"
3042"its + 4)"
3043      boolean_output      off
3044      mode            "Lower Bit Location + Width"
3045      bit1            "0"
3046      base1           "MSB of Input"
3047      bit0            "internal_data_fbits + internal_coeff_fbits "
3048"- (decimate_out_fbits + 4) + 2"
3049      base0           "LSB of Input"
3050      dbl_ovrd        off
3051      has_advanced_control    "0"
3052      sggui_pos       "611,20,536,501"
3053      block_type          "slice"
3054      block_version       "8.2.01"
3055      sg_icon_stat        "60,30,1,1,white,blue,0,b1026674,right"
3056      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');"
3057"\npatch([0 60 60 0 ],[0 0 30 30 ],[0.77 0.82 0.91]);\npatch([22 17 24 17 22 3"
3058"0 32 34 42 35 29 24 30 24 29 35 42 34 32 30 22 ],[3 8 15 22 27 27 25 27 27 20"
3059" 26 21 15 9 4 10 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 30 "
3060"30 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: beg"
3061"in icon text');\ncolor('black');port_label('output',1,'[a:b]');\nfprintf('','"
3062"COMMENT: end icon text');\n"
3063    }
3064    Block {
3065      BlockType       Reference
3066      Name            "Slice1"
3067      Ports           [1, 1]
3068      Position        [1305, 875, 1365, 905]
3069      SourceBlock         "xbsIndex_r4/Slice"
3070      SourceType          "Xilinx Bit Slice Extractor Block"
3071      infoedit        "Extracts a given range of bits from each in"
3072"put sample and presents it at the output.  The output type is ordinarily unsi"
3073"gned with binary point at zero, but can be Boolean when the slice is one bit "
3074"wide.<P><P>Hardware notes: In hardware this block costs nothing."
3075      nbits           "(1 + interp_out_ibits) + (interp_out_fbits "
3076"+ 4)"
3077      boolean_output      off
3078      mode            "Lower Bit Location + Width"
3079      bit1            "0"
3080      base1           "MSB of Input"
3081      bit0            "internal_data_fbits + internal_coeff_fbits "
3082"- (interp_out_fbits + 4)"
3083      base0           "LSB of Input"
3084      dbl_ovrd        off
3085      has_advanced_control    "0"
3086      sggui_pos       "171,25,536,501"
3087      block_type          "slice"
3088      block_version       "8.2.01"
3089      sg_icon_stat        "60,30,1,1,white,blue,0,b1026674,right"
3090      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');"
3091"\npatch([0 60 60 0 ],[0 0 30 30 ],[0.77 0.82 0.91]);\npatch([22 17 24 17 22 3"
3092"0 32 34 42 35 29 24 30 24 29 35 42 34 32 30 22 ],[3 8 15 22 27 27 25 27 27 20"
3093" 26 21 15 9 4 10 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 30 "
3094"30 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: beg"
3095"in icon text');\ncolor('black');port_label('output',1,'[a:b]');\nfprintf('','"
3096"COMMENT: end icon text');\n"
3097    }
3098    Block {
3099      BlockType       Reference
3100      Name            "Slice3"
3101      Ports           [1, 1]
3102      Position        [385, 590, 415, 650]
3103      Orientation         "down"
3104      NamePlacement       "alternate"
3105      SourceBlock         "xbsIndex_r4/Slice"
3106      SourceType          "Xilinx Bit Slice Extractor Block"
3107      infoedit        "Extracts a given range of bits from each in"
3108"put sample and presents it at the output.  The output type is ordinarily unsi"
3109"gned with binary point at zero, but can be Boolean when the slice is one bit "
3110"wide.<P><P>Hardware notes: In hardware this block costs nothing."
3111      nbits           "1"
3112      boolean_output      off
3113      mode            "Upper Bit Location + Width"
3114      bit1            "0"
3115      base1           "MSB of Input"
3116      bit0            "0"
3117      base0           "LSB of Input"
3118      dbl_ovrd        off
3119      has_advanced_control    "0"
3120      sggui_pos       "20,20,442,407"
3121      block_type          "slice"
3122      block_version       "8.2.01"
3123      sg_icon_stat        "30,60,1,1,white,blue,0,b1026674,down"
3124      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');"
3125"\npatch([0 30 30 0 ],[0 0 60 60 ],[0.77 0.82 0.91]);\npatch([7 2 9 2 7 15 17 "
3126"19 27 20 14 9 15 9 14 20 27 19 17 15 7 ],[18 23 30 37 42 42 40 42 42 35 41 36"
3127" 30 24 19 25 18 18 20 18 18 ],[0.98 0.96 0.92]);\nplot([0 30 30 0 0 ],[0 0 60"
3128" 60 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: be"
3129"gin icon text');\ncolor('black');port_label('output',1,'[a:b]');\nfprintf('',"
3130"'COMMENT: end icon text');\n"
3131    }
3132    Block {
3133      BlockType       Reference
3134      Name            "Up Sample"
3135      Ports           [1, 1]
3136      Position        [373, 790, 427, 850]
3137      Orientation         "down"
3138      NamePlacement       "alternate"
3139      SourceBlock         "xbsIndex_r4/Up Sample"
3140      SourceType          "Xilinx Up Sampler Block"
3141      infoedit        "Up samples input data.  Inserted values  ca"
3142"n be zeros or copies of the most recent input sample.<P><P>Hardware notes: No"
3143" hardware is needed if inserted values are copies of the input sample; otherw"
3144"ise, a mux and single bit flip-flop are used."
3145      sample_ratio        "4"
3146      copy_samples        off
3147      dbl_ovrd        off
3148      xl_use_area         off
3149      xl_area         "[0,0,0,0,0,0,0]"
3150      has_advanced_control    "0"
3151      sggui_pos       "20,20,356,266"
3152      block_type          "usamp"
3153      block_version       "8.2.01"
3154      sg_icon_stat        "54,60,1,1,white,blue,0,b6c489dd,down"
3155      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');"
3156"\npatch([0 54 54 0 ],[0 0 60 60 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13 27 "
3157"31 35 50 38 26 18 32 18 26 38 50 35 31 27 13 ],[9 18 31 44 53 53 49 53 53 41 "
3158"53 45 31 17 9 21 9 9 13 9 9 ],[0.98 0.96 0.92]);\nplot([0 54 54 0 0 ],[0 0 60"
3159" 60 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: be"
3160"gin icon text');\ncolor('black');disp('{\\fontsize{14pt}\\bf\\uparrow}4','tex"
3161"mode','on');\nfprintf('','COMMENT: end icon text');\n"
3162    }
3163    Block {
3164      BlockType       Outport
3165      Name            "Decimate Out"
3166      Position        [1950, 1000, 2005, 1030]
3167      IconDisplay         "Port number"
3168      BusOutputAsStruct   off
3169    }
3170    Block {
3171      BlockType       Outport
3172      Name            "Interp Out"
3173      Position        [1950, 876, 2005, 904]
3174      Port            "2"
3175      IconDisplay         "Port number"
3176      BusOutputAsStruct   off
3177    }
3178    Line {
3179      SrcBlock        "Assert"
3180      SrcPort         1
3181      Points          [175, 0; 0, 25]
3182      Branch {
3183        DstBlock            "Mux"
3184        DstPort         1
3185      }
3186      Branch {
3187        DstBlock            "Logical"
3188        DstPort         2
3189      }
3190    }
3191    Line {
3192      SrcBlock        "DecimateOutDelay"
3193      SrcPort         1
3194      DstBlock        "Down Sample"
3195      DstPort         1
3196    }
3197    Line {
3198      SrcBlock        "ASR0"
3199      SrcPort         1
3200      Points          [0, 0; 35, 0]
3201      Branch {
3202        Points          [0, -15]
3203        DstBlock            "ASR1"
3204        DstPort         1
3205      }
3206      Branch {
3207        DstBlock            "MULT1"
3208        DstPort         2
3209      }
3210    }
3211    Line {
3212      SrcBlock        "Constant"
3213      SrcPort         1
3214      Points          [0, 0; 50, 0]
3215      Branch {
3216        Points          [120, 0]
3217        Branch {
3218          Points              [0, -35]
3219          DstBlock            "ASR1"
3220          DstPort             2
3221        }
3222        Branch {
3223          Points              [120, 0]
3224          Branch {
3225        Points          [0, -35]
3226        DstBlock        "ASR2"
3227        DstPort         2
3228          }
3229          Branch {
3230        Points          [120, 0]
3231        Branch {
3232          Points          [0, -35]
3233          DstBlock        "ASR3"
3234          DstPort         2
3235        }
3236        Branch {
3237          Points          [120, 0]
3238          Branch {
3239            Points          [0, -35]
3240            DstBlock            "ASR4"
3241            DstPort         2
3242          }
3243          Branch {
3244            Points          [125, 0]
3245            Branch {
3246            Points          [0, -35]
3247            DstBlock            "ASR5"
3248            DstPort         2
3249            }
3250            Branch {
3251            Points          [120, 0; 0, -35]
3252            DstBlock            "ASR6"
3253            DstPort         2
3254            }
3255          }
3256        }
3257          }
3258        }
3259      }
3260      Branch {
3261        Points          [0, -35]
3262        DstBlock            "ASR0"
3263        DstPort         2
3264      }
3265    }
3266    Line {
3267      SrcBlock        "ASR1"
3268      SrcPort         1
3269      Points          [0, 0; 35, 0]
3270      Branch {
3271        Points          [0, -15]
3272        DstBlock            "ASR2"
3273        DstPort         1
3274      }
3275      Branch {
3276        DstBlock            "MULT2"
3277        DstPort         2
3278      }
3279    }
3280    Line {
3281      SrcBlock        "ASR2"
3282      SrcPort         1
3283      Points          [0, 0; 35, 0]
3284      Branch {
3285        Points          [0, -15]
3286        DstBlock            "ASR3"
3287        DstPort         1
3288      }
3289      Branch {
3290        DstBlock            "MULT3"
3291        DstPort         2
3292      }
3293    }
3294    Line {
3295      SrcBlock        "ASR3"
3296      SrcPort         1
3297      Points          [0, 0; 35, 0]
3298      Branch {
3299        Points          [0, -15]
3300        DstBlock            "ASR4"
3301        DstPort         1
3302      }
3303      Branch {
3304        DstBlock            "MULT4"
3305        DstPort         2
3306      }
3307    }
3308    Line {
3309      SrcBlock        "ASR4"
3310      SrcPort         1
3311      Points          [0, 0; 40, 0]
3312      Branch {
3313        Points          [0, -15]
3314        DstBlock            "ASR5"
3315        DstPort         1
3316      }
3317      Branch {
3318        DstBlock            "MULT5"
3319        DstPort         2
3320      }
3321    }
3322    Line {
3323      SrcBlock        "ASR5"
3324      SrcPort         1
3325      Points          [0, 0; 35, 0]
3326      Branch {
3327        Points          [0, -15]
3328        DstBlock            "ASR6"
3329        DstPort         1
3330      }
3331      Branch {
3332        DstBlock            "MULT6"
3333        DstPort         2
3334      }
3335    }
3336    Line {
3337      SrcBlock        "ROM 0"
3338      SrcPort         1
3339      Points          [10, 0]
3340      DstBlock        "MULT0"
3341      DstPort         1
3342    }
3343    Line {
3344      SrcBlock        "ROM 1"
3345      SrcPort         1
3346      Points          [10, 0]
3347      DstBlock        "MULT1"
3348      DstPort         1
3349    }
3350    Line {
3351      SrcBlock        "ASR6"
3352      SrcPort         1
3353      Points          [35, 0]
3354      DstBlock        "MULT7"
3355      DstPort         2
3356    }
3357    Line {
3358      SrcBlock        "ROM 7"
3359      SrcPort         1
3360      Points          [10, 0]
3361      DstBlock        "MULT7"
3362      DstPort         1
3363    }
3364    Line {
3365      SrcBlock        "ROM 2"
3366      SrcPort         1
3367      Points          [10, 0]
3368      DstBlock        "MULT2"
3369      DstPort         1
3370    }
3371    Line {
3372      SrcBlock        "ROM 3"
3373      SrcPort         1
3374      Points          [10, 0]
3375      DstBlock        "MULT3"
3376      DstPort         1
3377    }
3378    Line {
3379      SrcBlock        "ROM 4"
3380      SrcPort         1
3381      Points          [5, 0]
3382      DstBlock        "MULT4"
3383      DstPort         1
3384    }
3385    Line {
3386      SrcBlock        "ROM 5"
3387      SrcPort         1
3388      Points          [10, 0]
3389      DstBlock        "MULT5"
3390      DstPort         1
3391    }
3392    Line {
3393      SrcBlock        "ROM 6"
3394      SrcPort         1
3395      Points          [10, 0]
3396      DstBlock        "MULT6"
3397      DstPort         1
3398    }
3399    Line {
3400      SrcBlock        "MULT0"
3401      SrcPort         1
3402      Points          [0, 15; 45, 0]
3403      DstBlock        "ADDA0"
3404      DstPort         1
3405    }
3406    Line {
3407      SrcBlock        "MULT1"
3408      SrcPort         1
3409      Points          [0, 15; -45, 0]
3410      DstBlock        "ADDA0"
3411      DstPort         2
3412    }
3413    Line {
3414      SrcBlock        "MULT2"
3415      SrcPort         1
3416      Points          [0, 15; 45, 0]
3417      DstBlock        "ADDA1"
3418      DstPort         1
3419    }
3420    Line {
3421      SrcBlock        "MULT3"
3422      SrcPort         1
3423      Points          [0, 15; -45, 0]
3424      DstBlock        "ADDA1"
3425      DstPort         2
3426    }
3427    Line {
3428      SrcBlock        "MULT4"
3429      SrcPort         1
3430      Points          [0, 15; 45, 0]
3431      DstBlock        "ADDA2"
3432      DstPort         1
3433    }
3434    Line {
3435      SrcBlock        "MULT5"
3436      SrcPort         1
3437      Points          [0, 15; -50, 0]
3438      DstBlock        "ADDA2"
3439      DstPort         2
3440    }
3441    Line {
3442      SrcBlock        "MULT6"
3443      SrcPort         1
3444      Points          [0, 15; 45, 0]
3445      DstBlock        "ADDA3"
3446      DstPort         1
3447    }
3448    Line {
3449      SrcBlock        "MULT7"
3450      SrcPort         1
3451      Points          [0, 15; -45, 0]
3452      DstBlock        "ADDA3"
3453      DstPort         2
3454    }
3455    Line {
3456      SrcBlock        "ADDA0"
3457      SrcPort         1
3458      Points          [0, 20; 105, 0]
3459      DstBlock        "ADDB0"
3460      DstPort         1
3461    }
3462    Line {
3463      SrcBlock        "ADDA1"
3464      SrcPort         1
3465      Points          [0, 20; -105, 0]
3466      DstBlock        "ADDB0"
3467      DstPort         2
3468    }
3469    Line {
3470      SrcBlock        "ADDA2"
3471      SrcPort         1
3472      Points          [0, 20; 110, 0]
3473      DstBlock        "ADDB1"
3474      DstPort         1
3475    }
3476    Line {
3477      SrcBlock        "ADDA3"
3478      SrcPort         1
3479      Points          [0, 20; -105, 0]
3480      DstBlock        "ADDB1"
3481      DstPort         2
3482    }
3483    Line {
3484      SrcBlock        "ADDB0"
3485      SrcPort         1
3486      Points          [0, 10; 205, 0]
3487      Branch {
3488        Points          [0, 10]
3489        DstBlock            "Filter Debug"
3490        DstPort         4
3491      }
3492      Branch {
3493        Points          [20, 0]
3494        DstBlock            "ADDC"
3495        DstPort         1
3496      }
3497    }
3498    Line {
3499      SrcBlock        "ADDB1"
3500      SrcPort         1
3501      Points          [0, 10; -120, 0]
3502      Branch {
3503        Points          [0, -10]
3504        DstBlock            "Filter Debug"
3505        DstPort         3
3506      }
3507      Branch {
3508        Points          [-110, 0]
3509        DstBlock            "ADDC"
3510        DstPort         2
3511      }
3512    }
3513    Line {
3514      SrcBlock        "Down Sample"
3515      SrcPort         1
3516      DstBlock        "Decimate Out"
3517      DstPort         1
3518    }
3519    Line {
3520      SrcBlock        "Interp In"
3521      SrcPort         1
3522      Points          [0, 0]
3523      DstBlock        "DAT Upsampler"
3524      DstPort         1
3525    }
3526    Line {
3527      SrcBlock        "ADDC"
3528      SrcPort         1
3529      Points          [0, 0; 0, 25]
3530      Branch {
3531        Points          [0, 70]
3532        DstBlock            "Accumulator"
3533        DstPort         1
3534      }
3535      Branch {
3536        DstBlock            "Slice1"
3537        DstPort         1
3538      }
3539    }
3540    Line {
3541      SrcBlock        "Interp Out Cnv"
3542      SrcPort         1
3543      DstBlock        "InterpOutDelay"
3544      DstPort         1
3545    }
3546    Line {
3547      SrcBlock        "Decimate Out Cnv"
3548      SrcPort         1
3549      Points          [40, 0; 0, 25]
3550      DstBlock        "DecimateOutDelay"
3551      DstPort         1
3552    }
3553    Line {
3554      SrcBlock        "Decimate In"
3555      SrcPort         1
3556      DstBlock        "Decimate In Cnv"
3557      DstPort         1
3558    }
3559    Line {
3560      SrcBlock        "DAT Upsampler"
3561      SrcPort         1
3562      DstBlock        "Interp In Cnv"
3563      DstPort         1
3564    }
3565    Line {
3566      SrcBlock        "Decimate In Cnv"
3567      SrcPort         1
3568      Points          [45, 0; 0, 10]
3569      DstBlock        "Mux"
3570      DstPort         2
3571    }
3572    Line {
3573      SrcBlock        "Interp In Cnv"
3574      SrcPort         1
3575      Points          [45, 0; 0, -10]
3576      DstBlock        "Mux"
3577      DstPort         3
3578    }
3579    Line {
3580      SrcBlock        "Mux"
3581      SrcPort         1
3582      Points          [210, 0]
3583      Branch {
3584        DstBlock            "ASR0"
3585        DstPort         1
3586      }
3587      Branch {
3588        DstBlock            "MULT0"
3589        DstPort         2
3590      }
3591    }
3592    Line {
3593      SrcBlock        "Mode Select"
3594      SrcPort         1
3595      DstBlock        "Assert"
3596      DstPort         1
3597    }
3598    Line {
3599      SrcBlock        "InterpOutDelay"
3600      SrcPort         1
3601      DstBlock        "Interp Out"
3602      DstPort         1
3603    }
3604    Line {
3605      SrcBlock        "Accumulator"
3606      SrcPort         1
3607      DstBlock        "Slice"
3608      DstPort         1
3609    }
3610    Line {
3611      SrcBlock        "Slice"
3612      SrcPort         1
3613      DstBlock        "Reinterpret"
3614      DstPort         1
3615    }
3616    Line {
3617      SrcBlock        "Reinterpret"
3618      SrcPort         1
3619      DstBlock        "Decimate Out Cnv"
3620      DstPort         1
3621    }
3622    Line {
3623      SrcBlock        "Slice1"
3624      SrcPort         1
3625      DstBlock        "Reinterpret1"
3626      DstPort         1
3627    }
3628    Line {
3629      SrcBlock        "Reinterpret1"
3630      SrcPort         1
3631      DstBlock        "Interp Out Cnv"
3632      DstPort         1
3633    }
3634    Line {
3635      SrcBlock        "Constant2"
3636      SrcPort         1
3637      Points          [0, 0]
3638      DstBlock        "Logical"
3639      DstPort         1
3640    }
3641    Line {
3642      SrcBlock        "Logical"
3643      SrcPort         1
3644      DstBlock        "Counter1"
3645      DstPort         1
3646    }
3647    Line {
3648      SrcBlock        "Counter1"
3649      SrcPort         1
3650      Points          [0, 0]
3651      DstBlock        "Slice3"
3652      DstPort         1
3653    }
3654    Line {
3655      SrcBlock        "Slice3"
3656      SrcPort         1
3657      DstBlock        "Down Sample1"
3658      DstPort         1
3659    }
3660    Line {
3661      SrcBlock        "Down Sample1"
3662      SrcPort         1
3663      Points          [0, 0]
3664      DstBlock        "Up Sample"
3665      DstPort         1
3666    }
3667    Line {
3668      SrcBlock        "Up Sample"
3669      SrcPort         1
3670      DstBlock        "Convert"
3671      DstPort         1
3672    }
3673    Line {
3674      SrcBlock        "Constant1"
3675      SrcPort         1
3676      Points          [0, 0]
3677      DstBlock        "Relational"
3678      DstPort         2
3679    }
3680    Line {
3681      SrcBlock        "Relational"
3682      SrcPort         1
3683      Points          [0, 0; 340, 0]
3684      Branch {
3685        Points          [0, -40]
3686        DstBlock            "Accumulator"
3687        DstPort         2
3688      }
3689      Branch {
3690        DstBlock            "DecimateOutDelay"
3691        DstPort         2
3692      }
3693    }
3694    Line {
3695      SrcBlock        "Counter2"
3696      SrcPort         1
3697      Points          [0, 0; 45, 0]
3698      Branch {
3699        DstBlock            "Relational"
3700        DstPort         1
3701      }
3702      Branch {
3703        Points          [0, -680]
3704        Branch {
3705          Points              [0, -40; 120, 0]
3706          Branch {
3707        Points          [0, 40]
3708        DstBlock        "ROM 1"
3709        DstPort         1
3710          }
3711          Branch {
3712        Points          [120, 0]
3713        Branch {
3714          Points          [0, 40]
3715          DstBlock        "ROM 2"
3716          DstPort         1
3717        }
3718        Branch {
3719          Points          [120, 0]
3720          Branch {
3721            Points          [0, 40]
3722            DstBlock            "ROM 3"
3723            DstPort         1
3724          }
3725          Branch {
3726            Points          [120, 0]
3727            Branch {
3728            Points          [0, 40]
3729            DstBlock            "ROM 4"
3730            DstPort         1
3731            }
3732            Branch {
3733            Points          [120, 0]
3734            Branch {
3735            Points          [0, 40]
3736            DstBlock            "ROM 5"
3737            DstPort         1
3738            }
3739            Branch {
3740            Points          [125, 0]
3741            Branch {
3742            Points          [0, 35]
3743            DstBlock            "ROM 6"
3744            DstPort         1
3745            }
3746            Branch {
3747            Points          [120, 0; 0, 35]
3748            DstBlock            "ROM 7"
3749            DstPort         1
3750            }
3751            }
3752            }
3753          }
3754        }
3755          }
3756        }
3757        Branch {
3758          DstBlock            "ROM 0"
3759          DstPort             1
3760        }
3761      }
3762    }
3763    Line {
3764      SrcBlock        "Convert"
3765      SrcPort         1
3766      Points          [0, 75]
3767      DstBlock        "Counter2"
3768      DstPort         1
3769    }
3770    Annotation {
3771      Position        [59, 162]
3772    }
3773      }
3774    }
3775    Block {
3776      BlockType           SubSystem
3777      Name            "DualMode_Filter_Q"
3778      Ports           [3, 2]
3779      Position            [270, 349, 395, 421]
3780      MinAlgLoopOccurrences   off
3781      RTWSystemCode       "Auto"
3782      FunctionWithSeparateData off
3783      MaskHideContents        off
3784      MaskType            "WARP 4X Shared-Resource Interpolator/Decimator"
3785      MaskDescription         "This is a description of the subsystem."
3786      MaskHelp            "This block implements either a 4X sample rate u"
3787"pconverter or a 4X sample rate downconverter, depending upon the state of the"
3788" MODE SELECT input.  When MODE SELECT equals 0, the block performs decimation"
3789".  When MODE SELECT equals 1, the block performs interpolation.\n\nThis block"
3790" receives two input data streams : DECIMATE IN and INTERP IN.  In decimation "
3791"mode, the DECIMATE IN stream is downsampled to produce the DECIMATE OUT strea"
3792"m.  In interpolation mode, the INTERP IN stream is upsampled to produce the I"
3793"NTERP OUT stream. The INTERP OUT data stream is undefined in decimation mode,"
3794" while the DECIMATE OUT data stream is undefined in interpolation mode.\n\nA "
3795"fixed relationship exists between these four streams' respective sample perio"
3796"ds.  Given a sample period T for the DECIMATE IN stream, the INTERP IN data s"
3797"tream must  have a sample period of 4T.  Similarly, the DECIMATE OUT and INTE"
3798"RP OUT data streams will have sample periods of 4T and T, respectively. The u"
3799"ser must explicitly set the minimum internal sample period for this block equ"
3800"al to the sample period of the DECIMATE IN data stream, even if the the DECIM"
3801"ATE IN stream is not used. The MODE SELECT input must have a sample period eq"
3802"ual to that of the minimum internal sample period (equal to the sample period"
3803" of the DECIMATE IN data stream)."
3804      MaskPromptString        "Interp. In Data Width :  |Interp. In Binary Poi"
3805"nt : |Interp. Out Data Width :  |Interp. Out Binary Point : |Decimate In Data"
3806" Width :  |Decimate In Binary Point : |Decimate Out Data Width :  |Decimate O"
3807"ut Binary Point : |Filter coefficient scaling (prevents output overflow and u"
3808"nderflow)"
3809      MaskStyleString         "popup(2|3|4|5|6|7|8|9|10|11|12|13|14|15|16|17|1"
3810"8),popup(1|2|3|4|5|6|7|8|9|10|11|12|13|14|15|16|17),popup(2|3|4|5|6|7|8|9|10|"
3811"11|12|13|14|15|16|17|18),popup(1|2|3|4|5|6|7|8|9|10|11|12|13|14|15|16|17),pop"
3812"up(2|3|4|5|6|7|8|9|10|11|12|13|14|15|16|17|18),popup(1|2|3|4|5|6|7|8|9|10|11|"
3813"12|13|14|15|16|17),popup(2|3|4|5|6|7|8|9|10|11|12|13|14|15|16|17|18),popup(1|"
3814"2|3|4|5|6|7|8|9|10|11|12|13|14|15|16|17),edit"
3815      MaskTunableValueString  "on,on,on,on,on,on,on,on,on"
3816      MaskCallbackString      "||||||||"
3817      MaskEnableString        "on,on,on,on,on,on,on,on,on"
3818      MaskVisibilityString    "on,on,on,on,on,on,on,on,on"
3819      MaskToolTipString       "on,on,on,on,on,on,on,on,on"
3820      MaskVarAliasString      ",,,,,,,,"
3821      MaskVariables       "interp_in_data_width_raw=@1;interp_in_data_bp_r"
3822"aw=@2;interp_out_data_width_raw=@3;interp_out_data_bp_raw=@4;decimate_in_data"
3823"_width_raw=@5;decimate_in_data_bp_raw=@6;decimate_out_data_width_raw=@7;decim"
3824"ate_out_data_bp_raw=@8;filter_coeff_scaling=@9;"
3825      MaskInitialization      "\ninterp_in_data_width = interp_in_data_width_r"
3826"aw + 1;\ninterp_in_data_bp = interp_in_data_bp_raw;\ninterp_in_data_bp = min("
3827"interp_in_data_width-1,interp_in_data_bp);\n\ninterp_in_ibits = interp_in_dat"
3828"a_width - interp_in_data_bp;\ninterp_in_fbits = interp_in_data_bp;\n\ndecimat"
3829"e_in_data_width = decimate_in_data_width_raw + 1;\ndecimate_in_data_bp = deci"
3830"mate_in_data_bp_raw;\ndecimate_in_data_bp = min(decimate_in_data_width-1,deci"
3831"mate_in_data_bp);\n\ndecimate_in_ibits = decimate_in_data_width - decimate_in"
3832"_data_bp;\ndecimate_in_fbits = decimate_in_data_bp;\n\ninternal_data_ibits = "
3833"max(interp_in_ibits,decimate_in_ibits);\ninternal_data_fbits = min(18-interna"
3834"l_data_ibits,max(interp_in_fbits,decimate_in_fbits));\n\ninterp_out_data_widt"
3835"h = interp_out_data_width_raw + 1;\ninterp_out_data_bp = interp_out_data_bp_r"
3836"aw;\ninterp_out_data_bp = min(interp_out_data_width-1,interp_out_data_bp);\n"
3837"\ninterp_out_ibits = interp_out_data_width - interp_out_data_bp;\ninterp_out_"
3838"fbits = interp_out_data_bp;\n\ndecimate_out_data_width = decimate_out_data_wi"
3839"dth_raw + 1;\ndecimate_out_data_bp = decimate_out_data_bp_raw;\ndecimate_out_"
3840"data_bp = min(decimate_out_data_width-1,decimate_out_data_bp);\n\ndecimate_ou"
3841"t_ibits = decimate_out_data_width - decimate_out_data_bp;\ndecimate_out_fbits"
3842" = decimate_out_data_bp;\n\n\n\n% Generate a 32-tap low-pass filter with cuto"
3843"ff frequency equal to Fs/4.\n% VERY IMPORTANT!... This filter is designed wit"
3844"h a built-in gain of 4X as\n% required by the interpolation function. This wi"
3845"ll saturate the decimator\n% outputs, but I don't want to consume the extra s"
3846"pace required for another\n% set of \"reduced gain\" coefficient values.  I h"
3847"andle this by bit-shifting\n% the decimator output by two bits after the accu"
3848"mulator. Keep this fact in\n% mind if you start playing with these filter val"
3849"ues.\n\ninternal_coeff_ibits = 1;\ninternal_coeff_fbits = 17;\n\n[xxx, h] = i"
3850"nterp(randn(1,64), 4);\n\n% Next, scale the filter coefficients to account fo"
3851"r the fact that a) the\n% largest coeficient value may not fit within the coe"
3852"fficient range [-1,+1);\n% and b) ringing at the filter outputs in response t"
3853"o steps and pulses may\n% saturate the output data buses.\n\nh = h * filter_c"
3854"oeff_scaling;"
3855      MaskIconFrame       on
3856      MaskIconOpaque          on
3857      MaskIconRotate          "none"
3858      MaskIconUnits       "autoscale"
3859      MaskValueString         "16|15|16|15|14|13|14|13|0.99"
3860      MaskTabNameString       ",,,,,,,,"
3861      System {
3862    Name            "DualMode_Filter_Q"
3863    Location        [202, 70, 1315, 714]
3864    Open            off
3865    ModelBrowserVisibility  on
3866    ModelBrowserWidth   200
3867    ScreenColor     "white"
3868    PaperOrientation    "landscape"
3869    PaperPositionMode   "auto"
3870    PaperType       "usletter"
3871    PaperUnits      "inches"
3872    TiledPaperMargins   [0.500000, 0.500000, 0.500000, 0.500000]
3873    TiledPageScale      1
3874    ShowPageBoundaries  off
3875    ZoomFactor      "84"
3876    Block {
3877      BlockType       Inport
3878      Name            "Mode Select"
3879      Position        [55, 92, 110, 118]
3880      NamePlacement       "alternate"
3881      IconDisplay         "Port number"
3882    }
3883    Block {
3884      BlockType       Inport
3885      Name            "Decimate In"
3886      Position        [55, 142, 110, 168]
3887      Port            "2"
3888      IconDisplay         "Port number"
3889      OutDataType         "sfix(14)"
3890      OutScaling          "2^-13"
3891    }
3892    Block {
3893      BlockType       Inport
3894      Name            "Interp In"
3895      Position        [55, 197, 110, 223]
3896      Port            "3"
3897      IconDisplay         "Port number"
3898    }
3899    Block {
3900      BlockType       Reference
3901      Name            "ADDA0"
3902      Ports           [2, 1]
3903      Position        [731, 570, 789, 630]
3904      Orientation         "down"
3905      NamePlacement       "alternate"
3906      SourceBlock         "xbsIndex_r4/AddSub"
3907      SourceType          "Xilinx Adder/Subtractor Block"
3908      mode            "Addition"
3909      use_carryin         off
3910      use_carryout        off
3911      en              off
3912      latency         "1"
3913      precision       "Full"
3914      arith_type          "Unsigned"
3915      n_bits          "16"
3916      bin_pt          "14"
3917      quantization        "Truncate"
3918      overflow        "Wrap"
3919      dbl_ovrd        off
3920      use_behavioral_HDL      off
3921      pipelined       off
3922      use_rpm         on
3923      xl_use_area         off
3924      xl_area         "[0,0,0,0,0,0,0]"
3925      has_advanced_control    "0"
3926      sggui_pos       "-1,-1,-1,-1"
3927      block_type          "addsub"
3928      block_version       "8.2.01"
3929      sg_icon_stat        "58,60,1,1,white,blue,0,d7118884,right"
3930      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');"
3931"\npatch([0 60 60 0 ],[0 0 58 58 ],[0.77 0.82 0.91]);\npatch([15 5 19 5 15 30 "
3932"34 38 54 41 29 20 34 20 29 41 54 38 34 30 15 ],[6 16 30 44 54 54 50 54 54 41 "
3933"53 44 30 16 7 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 58"
3934" 58 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: be"
3935"gin icon text');\ncolor('black');port_label('input',1,'a');\ncolor('black');p"
3936"ort_label('input',2,'b');\ncolor('black');port_label('output',1,'\\bf{a + b}'"
3937",'texmode','on');\ncolor('black');disp('\\newline\\bf{}\\newlinez^{-1}','texm"
3938"ode','on');\nfprintf('','COMMENT: end icon text');\n"
3939    }
3940    Block {
3941      BlockType       Reference
3942      Name            "ADDA1"
3943      Ports           [2, 1]
3944      Position        [971, 570, 1029, 630]
3945      Orientation         "down"
3946      NamePlacement       "alternate"
3947      SourceBlock         "xbsIndex_r4/AddSub"
3948      SourceType          "Xilinx Adder/Subtractor Block"
3949      mode            "Addition"
3950      use_carryin         off
3951      use_carryout        off
3952      en              off
3953      latency         "1"
3954      precision       "Full"
3955      arith_type          "Unsigned"
3956      n_bits          "16"
3957      bin_pt          "14"
3958      quantization        "Truncate"
3959      overflow        "Wrap"
3960      dbl_ovrd        off
3961      use_behavioral_HDL      off
3962      pipelined       off
3963      use_rpm         on
3964      xl_use_area         off
3965      xl_area         "[0,0,0,0,0,0,0]"
3966      has_advanced_control    "0"
3967      sggui_pos       "-1,-1,-1,-1"
3968      block_type          "addsub"
3969      block_version       "8.2.01"
3970      sg_icon_stat        "58,60,1,1,white,blue,0,d7118884,right"
3971      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');"
3972"\npatch([0 60 60 0 ],[0 0 58 58 ],[0.77 0.82 0.91]);\npatch([15 5 19 5 15 30 "
3973"34 38 54 41 29 20 34 20 29 41 54 38 34 30 15 ],[6 16 30 44 54 54 50 54 54 41 "
3974"53 44 30 16 7 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 58"
3975" 58 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: be"
3976"gin icon text');\ncolor('black');port_label('input',1,'a');\ncolor('black');p"
3977"ort_label('input',2,'b');\ncolor('black');port_label('output',1,'\\bf{a + b}'"
3978",'texmode','on');\ncolor('black');disp('\\newline\\bf{}\\newlinez^{-1}','texm"
3979"ode','on');\nfprintf('','COMMENT: end icon text');\n"
3980    }
3981    Block {
3982      BlockType       Reference
3983      Name            "ADDA2"
3984      Ports           [2, 1]
3985      Position        [1211, 570, 1269, 630]
3986      Orientation         "down"
3987      NamePlacement       "alternate"
3988      SourceBlock         "xbsIndex_r4/AddSub"
3989      SourceType          "Xilinx Adder/Subtractor Block"
3990      mode            "Addition"
3991      use_carryin         off
3992      use_carryout        off
3993      en              off
3994      latency         "1"
3995      precision       "Full"
3996      arith_type          "Unsigned"
3997      n_bits          "16"
3998      bin_pt          "14"
3999      quantization        "Truncate"
4000      overflow        "Wrap"
4001      dbl_ovrd        off
4002      use_behavioral_HDL      off
4003      pipelined       off
4004      use_rpm         on
4005      xl_use_area         off
4006      xl_area         "[0,0,0,0,0,0,0]"
4007      has_advanced_control    "0"
4008      sggui_pos       "-1,-1,-1,-1"
4009      block_type          "addsub"
4010      block_version       "8.2.01"
4011      sg_icon_stat        "58,60,1,1,white,blue,0,d7118884,right"
4012      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');"
4013"\npatch([0 60 60 0 ],[0 0 58 58 ],[0.77 0.82 0.91]);\npatch([15 5 19 5 15 30 "
4014"34 38 54 41 29 20 34 20 29 41 54 38 34 30 15 ],[6 16 30 44 54 54 50 54 54 41 "
4015"53 44 30 16 7 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 58"
4016" 58 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: be"
4017"gin icon text');\ncolor('black');port_label('input',1,'a');\ncolor('black');p"
4018"ort_label('input',2,'b');\ncolor('black');port_label('output',1,'\\bf{a + b}'"
4019",'texmode','on');\ncolor('black');disp('\\newline\\bf{}\\newlinez^{-1}','texm"
4020"ode','on');\nfprintf('','COMMENT: end icon text');\n"
4021    }
4022    Block {
4023      BlockType       Reference
4024      Name            "ADDA3"
4025      Ports           [2, 1]
4026      Position        [1456, 570, 1514, 630]
4027      Orientation         "down"
4028      NamePlacement       "alternate"
4029      SourceBlock         "xbsIndex_r4/AddSub"
4030      SourceType          "Xilinx Adder/Subtractor Block"
4031      mode            "Addition"
4032      use_carryin         off
4033      use_carryout        off
4034      en              off
4035      latency         "1"
4036      precision       "Full"
4037      arith_type          "Unsigned"
4038      n_bits          "16"
4039      bin_pt          "14"
4040      quantization        "Truncate"
4041      overflow        "Wrap"
4042      dbl_ovrd        off
4043      use_behavioral_HDL      off
4044      pipelined       off
4045      use_rpm         on
4046      xl_use_area         off
4047      xl_area         "[0,0,0,0,0,0,0]"
4048      has_advanced_control    "0"
4049      sggui_pos       "-1,-1,-1,-1"
4050      block_type          "addsub"
4051      block_version       "8.2.01"
4052      sg_icon_stat        "58,60,1,1,white,blue,0,d7118884,right"
4053      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');"
4054"\npatch([0 60 60 0 ],[0 0 58 58 ],[0.77 0.82 0.91]);\npatch([15 5 19 5 15 30 "
4055"34 38 54 41 29 20 34 20 29 41 54 38 34 30 15 ],[6 16 30 44 54 54 50 54 54 41 "
4056"53 44 30 16 7 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 58"
4057" 58 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: be"
4058"gin icon text');\ncolor('black');port_label('input',1,'a');\ncolor('black');p"
4059"ort_label('input',2,'b');\ncolor('black');port_label('output',1,'\\bf{a + b}'"
4060",'texmode','on');\ncolor('black');disp('\\newline\\bf{}\\newlinez^{-1}','texm"
4061"ode','on');\nfprintf('','COMMENT: end icon text');\n"
4062    }
4063    Block {
4064      BlockType       Reference
4065      Name            "ADDB0"
4066      Ports           [2, 1]
4067      Position        [851, 695, 909, 755]
4068      Orientation         "down"
4069      NamePlacement       "alternate"
4070      SourceBlock         "xbsIndex_r4/AddSub"
4071      SourceType          "Xilinx Adder/Subtractor Block"
4072      mode            "Addition"
4073      use_carryin         off
4074      use_carryout        off
4075      en              off
4076      latency         "1"
4077      precision       "Full"
4078      arith_type          "Unsigned"
4079      n_bits          "16"
4080      bin_pt          "14"
4081      quantization        "Truncate"
4082      overflow        "Wrap"
4083      dbl_ovrd        off
4084      use_behavioral_HDL      off
4085      pipelined       off
4086      use_rpm         on
4087      xl_use_area         off
4088      xl_area         "[0,0,0,0,0,0,0]"
4089      has_advanced_control    "0"
4090      sggui_pos       "-1,-1,-1,-1"
4091      block_type          "addsub"
4092      block_version       "8.2.01"
4093      sg_icon_stat        "58,60,1,1,white,blue,0,d7118884,right"
4094      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');"
4095"\npatch([0 60 60 0 ],[0 0 58 58 ],[0.77 0.82 0.91]);\npatch([15 5 19 5 15 30 "
4096"34 38 54 41 29 20 34 20 29 41 54 38 34 30 15 ],[6 16 30 44 54 54 50 54 54 41 "
4097"53 44 30 16 7 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 58"
4098" 58 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: be"
4099"gin icon text');\ncolor('black');port_label('input',1,'a');\ncolor('black');p"
4100"ort_label('input',2,'b');\ncolor('black');port_label('output',1,'\\bf{a + b}'"
4101",'texmode','on');\ncolor('black');disp('\\newline\\bf{}\\newlinez^{-1}','texm"
4102"ode','on');\nfprintf('','COMMENT: end icon text');\n"
4103    }
4104    Block {
4105      BlockType       Reference
4106      Name            "ADDB1"
4107      Ports           [2, 1]
4108      Position        [1336, 695, 1394, 755]
4109      Orientation         "down"
4110      NamePlacement       "alternate"
4111      SourceBlock         "xbsIndex_r4/AddSub"
4112      SourceType          "Xilinx Adder/Subtractor Block"
4113      mode            "Addition"
4114      use_carryin         off
4115      use_carryout        off
4116      en              off
4117      latency         "1"
4118      precision       "Full"
4119      arith_type          "Unsigned"
4120      n_bits          "16"
4121      bin_pt          "14"
4122      quantization        "Truncate"
4123      overflow        "Wrap"
4124      dbl_ovrd        off
4125      use_behavioral_HDL      off
4126      pipelined       off
4127      use_rpm         on
4128      xl_use_area         off
4129      xl_area         "[0,0,0,0,0,0,0]"
4130      has_advanced_control    "0"
4131      sggui_pos       "-1,-1,-1,-1"
4132      block_type          "addsub"
4133      block_version       "8.2.01"
4134      sg_icon_stat        "58,60,1,1,white,blue,0,d7118884,right"
4135      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');"
4136"\npatch([0 60 60 0 ],[0 0 58 58 ],[0.77 0.82 0.91]);\npatch([15 5 19 5 15 30 "
4137"34 38 54 41 29 20 34 20 29 41 54 38 34 30 15 ],[6 16 30 44 54 54 50 54 54 41 "
4138"53 44 30 16 7 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 58"
4139" 58 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: be"
4140"gin icon text');\ncolor('black');port_label('input',1,'a');\ncolor('black');p"
4141"ort_label('input',2,'b');\ncolor('black');port_label('output',1,'\\bf{a + b}'"
4142",'texmode','on');\ncolor('black');disp('\\newline\\bf{}\\newlinez^{-1}','texm"
4143"ode','on');\nfprintf('','COMMENT: end icon text');\n"
4144    }
4145    Block {
4146      BlockType       Reference
4147      Name            "ADDC"
4148      Ports           [2, 1]
4149      Position        [1091, 800, 1149, 860]
4150      Orientation         "down"
4151      NamePlacement       "alternate"
4152      SourceBlock         "xbsIndex_r4/AddSub"
4153      SourceType          "Xilinx Adder/Subtractor Block"
4154      mode            "Addition"
4155      use_carryin         off
4156      use_carryout        off
4157      en              off
4158      latency         "1"
4159      precision       "Full"
4160      arith_type          "Signed  (2's comp)"
4161      n_bits          "2"
4162      bin_pt          "1"
4163      quantization        "Round  (unbiased: +/- Inf)"
4164      overflow        "Saturate"
4165      dbl_ovrd        off
4166      use_behavioral_HDL      off
4167      pipelined       off
4168      use_rpm         on
4169      xl_use_area         off
4170      xl_area         "[0,0,0,0,0,0,0]"
4171      has_advanced_control    "0"
4172      sggui_pos       "784,226,356,350"
4173      block_type          "addsub"
4174      block_version       "8.2.01"
4175      sg_icon_stat        "58,60,1,1,white,blue,0,d7118884,right"
4176      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');"
4177"\npatch([0 60 60 0 ],[0 0 58 58 ],[0.77 0.82 0.91]);\npatch([15 5 19 5 15 30 "
4178"34 38 54 41 29 20 34 20 29 41 54 38 34 30 15 ],[6 16 30 44 54 54 50 54 54 41 "
4179"53 44 30 16 7 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 58"
4180" 58 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: be"
4181"gin icon text');\ncolor('black');port_label('input',1,'a');\ncolor('black');p"
4182"ort_label('input',2,'b');\ncolor('black');port_label('output',1,'\\bf{a + b}'"
4183",'texmode','on');\ncolor('black');disp('\\newline\\bf{}\\newlinez^{-1}','texm"
4184"ode','on');\nfprintf('','COMMENT: end icon text');\n"
4185    }
4186    Block {
4187      BlockType       Reference
4188      Name            "ASR0"
4189      Ports           [2, 1]
4190      Position        [730, 151, 790, 209]
4191      SourceBlock         "xbsIndex_r4/Addressable Shift Register"
4192      SourceType          "Xilinx Addressable Shift Register Block"
4193      infoedit        "Delay of  configurable length.  Any element"
4194"  in the delay \n    line   can    be   addressed   and   driven    on"
4195"to   the   output  \n    port.<P><P>Hardware  notes: Implemented  using"
4196" SRL16s.   \n    If Virtex-4, Virtex-II or Spartan-3 devices are used, m"
4197"ultiple SRLC16s are cascaded together."
4198      infer_latency       off
4199      depth           "4"
4200      initVector          "[0]"
4201      en              off
4202      dbl_ovrd        off
4203      use_behavioral_HDL      off
4204      use_rpm         off
4205      xl_use_area         off
4206      xl_area         "[0,0,0,0,0,0,0]"
4207      has_advanced_control    "0"
4208      sggui_pos       "20,20,356,359"
4209      block_type          "addrsr"
4210      block_version       "8.2.01"
4211      sg_icon_stat        "60,58,1,1,white,blue,0,c4974527,right"
4212      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');"
4213"\npatch([0 60 60 0 ],[0 0 58 58 ],[0.77 0.82 0.91]);\npatch([15 5 19 5 15 30 "
4214"34 38 54 41 29 20 34 20 29 41 54 38 34 30 15 ],[6 16 30 44 54 54 50 54 54 41 "
4215"53 44 30 16 7 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 58"
4216" 58 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: be"
4217"gin icon text');\ncolor('black');port_label('input',1,'d');\ncolor('black');p"
4218"ort_label('input',2,'addr');\ncolor('black');port_label('output',1,'q');\nfpr"
4219"intf('','COMMENT: end icon text');\n"
4220    }
4221    Block {
4222      BlockType       Reference
4223      Name            "ASR1"
4224      Ports           [2, 1]
4225      Position        [850, 151, 910, 209]
4226      SourceBlock         "xbsIndex_r4/Addressable Shift Register"
4227      SourceType          "Xilinx Addressable Shift Register Block"
4228      infoedit        "Delay of  configurable length.  Any element"
4229"  in the delay \n    line   can    be   addressed   and   driven    on"
4230"to   the   output  \n    port.<P><P>Hardware  notes: Implemented  using"
4231" SRL16s.   \n    If Virtex-4, Virtex-II or Spartan-3 devices are used, m"
4232"ultiple SRLC16s are cascaded together."
4233      infer_latency       off
4234      depth           "4"
4235      initVector          "[0]"
4236      en              off
4237      dbl_ovrd        off
4238      use_behavioral_HDL      off
4239      use_rpm         off
4240      xl_use_area         off
4241      xl_area         "[0,0,0,0,0,0,0]"
4242      has_advanced_control    "0"
4243      sggui_pos       "50,50,356,359"
4244      block_type          "addrsr"
4245      block_version       "8.2.01"
4246      sg_icon_stat        "60,58,1,1,white,blue,0,c4974527,right"
4247      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');"
4248"\npatch([0 60 60 0 ],[0 0 58 58 ],[0.77 0.82 0.91]);\npatch([15 5 19 5 15 30 "
4249"34 38 54 41 29 20 34 20 29 41 54 38 34 30 15 ],[6 16 30 44 54 54 50 54 54 41 "
4250"53 44 30 16 7 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 58"
4251" 58 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: be"
4252"gin icon text');\ncolor('black');port_label('input',1,'d');\ncolor('black');p"
4253"ort_label('input',2,'addr');\ncolor('black');port_label('output',1,'q');\nfpr"
4254"intf('','COMMENT: end icon text');\n"
4255    }
4256    Block {
4257      BlockType       Reference
4258      Name            "ASR2"
4259      Ports           [2, 1]
4260      Position        [970, 151, 1030, 209]
4261      SourceBlock         "xbsIndex_r4/Addressable Shift Register"
4262      SourceType          "Xilinx Addressable Shift Register Block"
4263      infoedit        "Delay of  configurable length.  Any element"
4264"  in the delay \n    line   can    be   addressed   and   driven    on"
4265"to   the   output  \n    port.<P><P>Hardware  notes: Implemented  using"
4266" SRL16s.   \n    If Virtex-4, Virtex-II or Spartan-3 devices are used, m"
4267"ultiple SRLC16s are cascaded together."
4268      infer_latency       off
4269      depth           "4"
4270      initVector          "[0]"
4271      en              off
4272      dbl_ovrd        off
4273      use_behavioral_HDL      off
4274      use_rpm         off
4275      xl_use_area         off
4276      xl_area         "[0,0,0,0,0,0,0]"
4277      has_advanced_control    "0"
4278      sggui_pos       "50,50,356,359"
4279      block_type          "addrsr"
4280      block_version       "8.2.01"
4281      sg_icon_stat        "60,58,1,1,white,blue,0,c4974527,right"
4282      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');"
4283"\npatch([0 60 60 0 ],[0 0 58 58 ],[0.77 0.82 0.91]);\npatch([15 5 19 5 15 30 "
4284"34 38 54 41 29 20 34 20 29 41 54 38 34 30 15 ],[6 16 30 44 54 54 50 54 54 41 "
4285"53 44 30 16 7 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 58"
4286" 58 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: be"
4287"gin icon text');\ncolor('black');port_label('input',1,'d');\ncolor('black');p"
4288"ort_label('input',2,'addr');\ncolor('black');port_label('output',1,'q');\nfpr"
4289"intf('','COMMENT: end icon text');\n"
4290    }
4291    Block {
4292      BlockType       Reference
4293      Name            "ASR3"
4294      Ports           [2, 1]
4295      Position        [1090, 151, 1150, 209]
4296      SourceBlock         "xbsIndex_r4/Addressable Shift Register"
4297      SourceType          "Xilinx Addressable Shift Register Block"
4298      infoedit        "Delay of  configurable length.  Any element"
4299"  in the delay \n    line   can    be   addressed   and   driven    on"
4300"to   the   output  \n    port.<P><P>Hardware  notes: Implemented  using"
4301" SRL16s.   \n    If Virtex-4, Virtex-II or Spartan-3 devices are used, m"
4302"ultiple SRLC16s are cascaded together."
4303      infer_latency       off
4304      depth           "4"
4305      initVector          "[0]"
4306      en              off
4307      dbl_ovrd        off
4308      use_behavioral_HDL      off
4309      use_rpm         off
4310      xl_use_area         off
4311      xl_area         "[0,0,0,0,0,0,0]"
4312      has_advanced_control    "0"
4313      sggui_pos       "50,50,356,359"
4314      block_type          "addrsr"
4315      block_version       "8.2.01"
4316      sg_icon_stat        "60,58,1,1,white,blue,0,c4974527,right"
4317      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');"
4318"\npatch([0 60 60 0 ],[0 0 58 58 ],[0.77 0.82 0.91]);\npatch([15 5 19 5 15 30 "
4319"34 38 54 41 29 20 34 20 29 41 54 38 34 30 15 ],[6 16 30 44 54 54 50 54 54 41 "
4320"53 44 30 16 7 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 58"
4321" 58 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: be"
4322"gin icon text');\ncolor('black');port_label('input',1,'d');\ncolor('black');p"
4323"ort_label('input',2,'addr');\ncolor('black');port_label('output',1,'q');\nfpr"
4324"intf('','COMMENT: end icon text');\n"
4325    }
4326    Block {
4327      BlockType       Reference
4328      Name            "ASR4"
4329      Ports           [2, 1]
4330      Position        [1210, 151, 1270, 209]
4331      SourceBlock         "xbsIndex_r4/Addressable Shift Register"
4332      SourceType          "Xilinx Addressable Shift Register Block"
4333      infoedit        "Delay of  configurable length.  Any element"
4334"  in the delay \n    line   can    be   addressed   and   driven    on"
4335"to   the   output  \n    port.<P><P>Hardware  notes: Implemented  using"
4336" SRL16s.   \n    If Virtex-4, Virtex-II or Spartan-3 devices are used, m"
4337"ultiple SRLC16s are cascaded together."
4338      infer_latency       off
4339      depth           "4"
4340      initVector          "[0]"
4341      en              off
4342      dbl_ovrd        off
4343      use_behavioral_HDL      off
4344      use_rpm         off
4345      xl_use_area         off
4346      xl_area         "[0,0,0,0,0,0,0]"
4347      has_advanced_control    "0"
4348      sggui_pos       "50,50,356,359"
4349      block_type          "addrsr"
4350      block_version       "8.2.01"
4351      sg_icon_stat        "60,58,1,1,white,blue,0,c4974527,right"
4352      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');"
4353"\npatch([0 60 60 0 ],[0 0 58 58 ],[0.77 0.82 0.91]);\npatch([15 5 19 5 15 30 "
4354"34 38 54 41 29 20 34 20 29 41 54 38 34 30 15 ],[6 16 30 44 54 54 50 54 54 41 "
4355"53 44 30 16 7 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 58"
4356" 58 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: be"
4357"gin icon text');\ncolor('black');port_label('input',1,'d');\ncolor('black');p"
4358"ort_label('input',2,'addr');\ncolor('black');port_label('output',1,'q');\nfpr"
4359"intf('','COMMENT: end icon text');\n"
4360    }
4361    Block {
4362      BlockType       Reference
4363      Name            "ASR5"
4364      Ports           [2, 1]
4365      Position        [1335, 151, 1395, 209]
4366      SourceBlock         "xbsIndex_r4/Addressable Shift Register"
4367      SourceType          "Xilinx Addressable Shift Register Block"
4368      infoedit        "Delay of  configurable length.  Any element"
4369"  in the delay \n    line   can    be   addressed   and   driven    on"
4370"to   the   output  \n    port.<P><P>Hardware  notes: Implemented  using"
4371" SRL16s.   \n    If Virtex-4, Virtex-II or Spartan-3 devices are used, m"
4372"ultiple SRLC16s are cascaded together."
4373      infer_latency       off
4374      depth           "4"
4375      initVector          "[0]"
4376      en              off
4377      dbl_ovrd        off
4378      use_behavioral_HDL      off
4379      use_rpm         off
4380      xl_use_area         off
4381      xl_area         "[0,0,0,0,0,0,0]"
4382      has_advanced_control    "0"
4383      sggui_pos       "50,50,356,359"
4384      block_type          "addrsr"
4385      block_version       "8.2.01"
4386      sg_icon_stat        "60,58,1,1,white,blue,0,c4974527,right"
4387      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');"
4388"\npatch([0 60 60 0 ],[0 0 58 58 ],[0.77 0.82 0.91]);\npatch([15 5 19 5 15 30 "
4389"34 38 54 41 29 20 34 20 29 41 54 38 34 30 15 ],[6 16 30 44 54 54 50 54 54 41 "
4390"53 44 30 16 7 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 58"
4391" 58 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: be"
4392"gin icon text');\ncolor('black');port_label('input',1,'d');\ncolor('black');p"
4393"ort_label('input',2,'addr');\ncolor('black');port_label('output',1,'q');\nfpr"
4394"intf('','COMMENT: end icon text');\n"
4395    }
4396    Block {
4397      BlockType       Reference
4398      Name            "ASR6"
4399      Ports           [2, 1]
4400      Position        [1455, 151, 1515, 209]
4401      SourceBlock         "xbsIndex_r4/Addressable Shift Register"
4402      SourceType          "Xilinx Addressable Shift Register Block"
4403      infoedit        "Delay of  configurable length.  Any element"
4404"  in the delay \n    line   can    be   addressed   and   driven    on"
4405"to   the   output  \n    port.<P><P>Hardware  notes: Implemented  using"
4406" SRL16s.   \n    If Virtex-4, Virtex-II or Spartan-3 devices are used, m"
4407"ultiple SRLC16s are cascaded together."
4408      infer_latency       off
4409      depth           "4"
4410      initVector          "[0]"
4411      en              off
4412      dbl_ovrd        off
4413      use_behavioral_HDL      off
4414      use_rpm         off
4415      xl_use_area         off
4416      xl_area         "[0,0,0,0,0,0,0]"
4417      has_advanced_control    "0"
4418      sggui_pos       "50,50,356,359"
4419      block_type          "addrsr"
4420      block_version       "8.2.01"
4421      sg_icon_stat        "60,58,1,1,white,blue,0,c4974527,right"
4422      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');"
4423"\npatch([0 60 60 0 ],[0 0 58 58 ],[0.77 0.82 0.91]);\npatch([15 5 19 5 15 30 "
4424"34 38 54 41 29 20 34 20 29 41 54 38 34 30 15 ],[6 16 30 44 54 54 50 54 54 41 "
4425"53 44 30 16 7 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 58"
4426" 58 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: be"
4427"gin icon text');\ncolor('black');port_label('input',1,'d');\ncolor('black');p"
4428"ort_label('input',2,'addr');\ncolor('black');port_label('output',1,'q');\nfpr"
4429"intf('','COMMENT: end icon text');\n"
4430    }
4431    Block {
4432      BlockType       Reference
4433      Name            "Accumulator"
4434      Ports           [2, 1]
4435      Position        [1185, 946, 1245, 1004]
4436      SourceBlock         "xbsIndex_r4/Accumulator"
4437      SourceType          "Xilinx Accumulator Block"
4438      infoedit        "Adder or subtractor-based accumulator.   Ou"
4439"tput type and binary point position match the input.<P><P>Hardware notes: Whe"
4440"n \"Reinitialize with input 'b' on reset\" is selected, the accumulator is fo"
4441"rced to run at the system rate even if the input 'b' is running at a slower r"
4442"ate."
4443      operation       "Add"
4444      n_bits          "internal_data_ibits + internal_coeff_ibits "
4445"+ internal_data_fbits + internal_coeff_fbits + 4"
4446      overflow        "Wrap"
4447      scale           "1"
4448      rst             on
4449      hasbypass       on
4450      en              off
4451      dbl_ovrd        off
4452      use_behavioral_HDL      on
4453      xl_use_area         off
4454      xl_area         "[0,0,0,0,0,0,0]"
4455      has_advanced_control    "0"
4456      sggui_pos       "20,20,582,501"
4457      block_type          "accum"
4458      block_version       "8.2.01"
4459      sg_icon_stat        "60,58,1,1,white,blue,0,1b1827f6,right"
4460      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');"
4461"\npatch([0 60 60 0 ],[0 0 58 58 ],[0.77 0.82 0.91]);\npatch([15 5 19 5 15 30 "
4462"34 38 54 41 29 20 34 20 29 41 54 38 34 30 15 ],[6 16 30 44 54 54 50 54 54 41 "
4463"53 44 30 16 7 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 58"
4464" 58 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: be"
4465"gin icon text');\ncolor('black');port_label('input',1,'b');\ncolor('black');p"
4466"ort_label('input',2,'rst');\ncolor('black');port_label('output',1,'q');\nfpri"
4467"ntf('','COMMENT: end icon text');\n"
4468    }
4469    Block {
4470      BlockType       Reference
4471      Name            "Assert"
4472      Ports           [1, 1]
4473      Position        [185, 98, 235, 112]
4474      SourceBlock         "xbsIndex_r4/Assert"
4475      SourceType          "Xilinx Assert Block"
4476      infoedit        "Asserts a user-defined sample rate and/or t"
4477"ype on a signal.<P><P>Hardware notes: In hardware this block costs nothing."
4478      assert_type         on
4479      type_source         "Explicitly"
4480      arith_type          "Boolean"
4481      n_bits          "16"
4482      bin_pt          "14"
4483      assert_rate         off
4484      rate_source         "Explicitly"
4485      period          "1"
4486      output_port         on
4487      has_advanced_control    "0"
4488      sggui_pos       "20,20,336,436"
4489      block_type          "assert"
4490      block_version       "10.1"
4491      sg_icon_stat        "50,14,1,1,white,blue,0,f7732e52,right"
4492      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');"
4493"\npatch([0 60 60 0 ],[0 0 30 30 ],[0.77 0.82 0.91]);\npatch([22 17 24 17 22 3"
4494"0 32 34 42 35 29 24 30 24 29 35 42 34 32 30 22 ],[3 8 15 22 27 27 25 27 27 20"
4495" 26 21 15 9 4 10 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 30 "
4496"30 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: beg"
4497"in icon text');\ncolor('black');disp('Assert');\nfprintf('','COMMENT: end ico"
4498"n text');\n"
4499    }
4500    Block {
4501      BlockType       Reference
4502      Name            "Constant"
4503      Ports           [0, 1]
4504      Position        [585, 217, 640, 243]
4505      SourceBlock         "xbsIndex_r4/Constant"
4506      SourceType          "Xilinx Constant Block Block"
4507      arith_type          "Unsigned"
4508      const           "3"
4509      n_bits          "2"
4510      bin_pt          "0"
4511      explicit_period     off
4512      period          "1"
4513      dsp48_infoedit      "The use of this block for DSP48 instruction"
4514"s is deprecated.  Please use the Opmode block."
4515      equ             "P=C"
4516      opselect        "C"
4517      inp2            "PCIN>>17"
4518      opr             "+"
4519      inp1            "P"
4520      carry           "CIN"
4521      dbl_ovrd        off
4522      has_advanced_control    "0"
4523      sggui_pos       "-1,-1,-1,-1"
4524      block_type          "constant"
4525      block_version       "8.2.01"
4526      sg_icon_stat        "55,26,1,1,white,blue,0,bdb1da60,right"
4527      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');"
4528"\npatch([0 55 55 0 ],[0 0 26 26 ],[0.77 0.82 0.91]);\npatch([20 16 22 16 20 2"
4529"7 29 31 38 32 26 22 28 22 26 32 38 31 29 27 20 ],[3 7 13 19 23 23 21 23 23 17"
4530" 23 19 13 7 3 9 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 55 55 0 0 ],[0 0 26 2"
4531"6 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begi"
4532"n icon text');\ncolor('black');port_label('output',1,'3');\nfprintf('','COMME"
4533"NT: end icon text');\n"
4534    }
4535    Block {
4536      BlockType       Reference
4537      Name            "Constant1"
4538      Ports           [0, 1]
4539      Position        [610, 1032, 665, 1058]
4540      SourceBlock         "xbsIndex_r4/Constant"
4541      SourceType          "Xilinx Constant Block Block"
4542      arith_type          "Unsigned"
4543      const           "0"
4544      n_bits          "2"
4545      bin_pt          "0"
4546      explicit_period     off
4547      period          "1"
4548      dsp48_infoedit      "The use of this block for DSP48 instruction"
4549"s is deprecated.  Please use the Opmode block."
4550      equ             "P=C"
4551      opselect        "C"
4552      inp2            "PCIN>>17"
4553      opr             "+"
4554      inp1            "P"
4555      carry           "CIN"
4556      dbl_ovrd        off
4557      has_advanced_control    "0"
4558      sggui_pos       "-1,-1,-1,-1"
4559      block_type          "constant"
4560      block_version       "8.2.01"
4561      sg_icon_stat        "55,26,1,1,white,blue,0,72d575a1,right"
4562      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');"
4563"\npatch([0 55 55 0 ],[0 0 26 26 ],[0.77 0.82 0.91]);\npatch([20 16 22 16 20 2"
4564"7 29 31 38 32 26 22 28 22 26 32 38 31 29 27 20 ],[3 7 13 19 23 23 21 23 23 17"
4565" 23 19 13 7 3 9 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 55 55 0 0 ],[0 0 26 2"
4566"6 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begi"
4567"n icon text');\ncolor('black');port_label('output',1,'0');\nfprintf('','COMME"
4568"NT: end icon text');\n"
4569    }
4570    Block {
4571      BlockType       Reference
4572      Name            "Constant2"
4573      Ports           [0, 1]
4574      Position        [372, 270, 398, 325]
4575      Orientation         "down"
4576      NamePlacement       "alternate"
4577      SourceBlock         "xbsIndex_r4/Constant"
4578      SourceType          "Xilinx Constant Block Block"
4579      arith_type          "Boolean"
4580      const           "1"
4581      n_bits          "16"
4582      bin_pt          "14"
4583      explicit_period     off
4584      period          "1"
4585      dsp48_infoedit      "The use of this block for DSP48 instruction"
4586"s is deprecated.  Please use the Opmode block."
4587      equ             "P=C"
4588      opselect        "C"
4589      inp2            "PCIN>>17"
4590      opr             "+"
4591      inp1            "P"
4592      carry           "CIN"
4593      dbl_ovrd        off
4594      has_advanced_control    "0"
4595      sggui_pos       "-1,-1,-1,-1"
4596      block_type          "constant"
4597      block_version       "8.2.01"
4598      sg_icon_stat        "26,55,1,1,white,blue,0,06094819,right"
4599      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');"
4600"\npatch([0 55 55 0 ],[0 0 26 26 ],[0.77 0.82 0.91]);\npatch([20 16 22 16 20 2"
4601"7 29 31 38 32 26 22 28 22 26 32 38 31 29 27 20 ],[3 7 13 19 23 23 21 23 23 17"
4602" 23 19 13 7 3 9 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 55 55 0 0 ],[0 0 26 2"
4603"6 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begi"
4604"n icon text');\ncolor('black');port_label('output',1,'1');\nfprintf('','COMME"
4605"NT: end icon text');\n"
4606    }
4607    Block {
4608      BlockType       Reference
4609      Name            "Convert"
4610      Ports           [1, 1]
4611      Position        [385, 890, 415, 935]
4612      Orientation         "down"
4613      NamePlacement       "alternate"
4614      SourceBlock         "xbsIndex_r4/Convert"
4615      SourceType          "Xilinx Type Converter Block"
4616      infoedit        "Hardware notes: rounding and saturating req"
4617"uire hardware resources; truncating and wrapping do not."
4618      arith_type          "Boolean"
4619      n_bits          "16"
4620      bin_pt          "14"
4621      quantization        "Truncate"
4622      overflow        "Wrap"
4623      latency         "0"
4624      dbl_ovrd        off
4625      pipeline        off
4626      xl_use_area         off
4627      xl_area         "[0,0,0,0,0,0,0]"
4628      has_advanced_control    "0"
4629      sggui_pos       "20,20,374,375"
4630      block_type          "convert"
4631      block_version       "8.2.01"
4632      sg_icon_stat        "30,45,1,1,white,blue,0,74901e60,right"
4633      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');"
4634"\npatch([0 45 45 0 ],[0 0 30 30 ],[0.77 0.82 0.91]);\npatch([15 10 17 10 15 2"
4635"3 25 27 35 28 22 17 23 17 22 28 35 27 25 23 15 ],[3 8 15 22 27 27 25 27 27 20"
4636" 26 21 15 9 4 10 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 45 45 0 0 ],[0 0 30 "
4637"30 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: beg"
4638"in icon text');\ncolor('black');port_label('output',1,'cast');\nfprintf('','C"
4639"OMMENT: end icon text');\n"
4640    }
4641    Block {
4642      BlockType       Reference
4643      Name            "Counter1"
4644      Ports           [1, 1]
4645      Position        [370, 485, 430, 545]
4646      Orientation         "down"
4647      NamePlacement       "alternate"
4648      SourceBlock         "xbsIndex_r4/Counter"
4649      SourceType          "Xilinx Counter Block"
4650      infoedit        "Hardware notes: Free running counters are t"
4651"he least expensive in hardware.  A count limited counter is implemented by co"
4652"mbining a counter with a comparator."
4653      cnt_type        "Free Running"
4654      cnt_to          "Inf"
4655      operation       "Up"
4656      start_count         "0"
4657      cnt_by_val          "1"
4658      arith_type          "Unsigned"
4659      n_bits          "3"
4660      bin_pt          "0"
4661      load_pin        off
4662      rst             off
4663      en              on
4664      explicit_period     "off"
4665      period          "1"
4666      dbl_ovrd        off
4667      use_behavioral_HDL      off
4668      use_rpm         off
4669      xl_use_area         off
4670      xl_area         "[0,0,0,0,0,0,0]"
4671      has_advanced_control    "0"
4672      sggui_pos       "20,20,356,630"
4673      block_type          "counter"
4674      block_version       "8.2.01"
4675      sg_icon_stat        "60,60,1,1,white,blue,0,1018756c,right"
4676      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');"
4677"\npatch([0 60 60 0 ],[0 0 60 60 ],[0.77 0.82 0.91]);\npatch([14 4 18 4 14 30 "
4678"34 38 55 42 29 20 35 20 29 42 55 38 34 30 14 ],[6 16 30 44 54 54 50 54 54 41 "
4679"54 45 30 15 6 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 60"
4680" 60 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: be"
4681"gin icon text');\ncolor('black');port_label('input',1,'en');\ncolor('black');"
4682"port_label('output',1,'out');\nfprintf('','COMMENT: end icon text');\n"
4683    }
4684    Block {
4685      BlockType       Reference
4686      Name            "Counter2"
4687      Ports           [1, 1]
4688      Position        [465, 985, 525, 1045]
4689      SourceBlock         "xbsIndex_r4/Counter"
4690      SourceType          "Xilinx Counter Block"
4691      infoedit        "Hardware notes: Free running counters are t"
4692"he least expensive in hardware.  A count limited counter is implemented by co"
4693"mbining a counter with a comparator."
4694      cnt_type        "Free Running"
4695      cnt_to          "Inf"
4696      operation       "Up"
4697      start_count         "0"
4698      cnt_by_val          "1"
4699      arith_type          "Unsigned"
4700      n_bits          "2"
4701      bin_pt          "0"
4702      load_pin        off
4703      rst             on
4704      en              off
4705      explicit_period     "off"
4706      period          "1"
4707      dbl_ovrd        off
4708      use_behavioral_HDL      off
4709      use_rpm         off
4710      xl_use_area         off
4711      xl_area         "[0,0,0,0,0,0,0]"
4712      has_advanced_control    "0"
4713      sggui_pos       "20,20,356,630"
4714      block_type          "counter"
4715      block_version       "8.2.01"
4716      sg_icon_stat        "60,60,1,1,white,blue,0,300e9576,right"
4717      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');"
4718"\npatch([0 60 60 0 ],[0 0 60 60 ],[0.77 0.82 0.91]);\npatch([14 4 18 4 14 30 "
4719"34 38 55 42 29 20 35 20 29 42 55 38 34 30 14 ],[6 16 30 44 54 54 50 54 54 41 "
4720"54 45 30 15 6 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 60"
4721" 60 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: be"
4722"gin icon text');\ncolor('black');port_label('input',1,'rst');\ncolor('black')"
4723";port_label('output',1,'out');\nfprintf('','COMMENT: end icon text');\n"
4724    }
4725    Block {
4726      BlockType       Reference
4727      Name            "DAT Upsampler"
4728      Ports           [1, 1]
4729      Position        [175, 183, 235, 237]
4730      SourceBlock         "xbsIndex_r4/Up Sample"
4731      SourceType          "Xilinx Up Sampler Block"
4732      infoedit        "Up samples input data.  Inserted values  ca"
4733"n be zeros or copies of the most recent input sample.<P><P>Hardware notes: No"
4734" hardware is needed if inserted values are copies of the input sample; otherw"
4735"ise, a mux and single bit flip-flop are used."
4736      sample_ratio        "4"
4737      copy_samples        on
4738      dbl_ovrd        off
4739      xl_use_area         off
4740      xl_area         "[0,0,0,0,0,0,0]"
4741      has_advanced_control    "0"
4742      sggui_pos       "20,20,356,266"
4743      block_type          "usamp"
4744      block_version       "8.2.01"
4745      sg_icon_stat        "60,54,1,1,white,blue,0,b6c489dd,right"
4746      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');"
4747"\npatch([0 60 60 0 ],[0 0 54 54 ],[0.77 0.82 0.91]);\npatch([16 7 20 7 16 30 "
4748"34 38 53 41 29 21 35 21 29 41 53 38 34 30 16 ],[6 15 28 41 50 50 46 50 50 38 "
4749"50 42 28 14 6 18 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 54"
4750" 54 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: be"
4751"gin icon text');\ncolor('black');disp('{\\fontsize{14pt}\\bf\\uparrow}4','tex"
4752"mode','on');\nfprintf('','COMMENT: end icon text');\n"
4753    }
4754    Block {
4755      BlockType       Reference
4756      Name            "Decimate In Cnv"
4757      Ports           [1, 1]
4758      Position        [290, 140, 335, 170]
4759      SourceBlock         "xbsIndex_r4/Convert"
4760      SourceType          "Xilinx Type Converter Block"
4761      infoedit        "Hardware notes: rounding and saturating req"
4762"uire hardware resources; truncating and wrapping do not."
4763      arith_type          "Signed  (2's comp)"
4764      n_bits          "internal_data_ibits + internal_data_fbits"
4765      bin_pt          "internal_data_fbits"
4766      quantization        "Truncate"
4767      overflow        "Wrap"
4768      latency         "1"
4769      dbl_ovrd        off
4770      pipeline        off
4771      xl_use_area         off
4772      xl_area         "[0,0,0,0,0,0,0]"
4773      has_advanced_control    "0"
4774      sggui_pos       "223,466,374,375"
4775      block_type          "convert"
4776      block_version       "8.2.01"
4777      sg_icon_stat        "45,30,1,1,white,blue,0,ba4d1298,right"
4778      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');"
4779"\npatch([0 45 45 0 ],[0 0 30 30 ],[0.77 0.82 0.91]);\npatch([15 10 17 10 15 2"
4780"3 25 27 35 28 22 17 23 17 22 28 35 27 25 23 15 ],[3 8 15 22 27 27 25 27 27 20"
4781" 26 21 15 9 4 10 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 45 45 0 0 ],[0 0 30 "
4782"30 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: beg"
4783"in icon text');\ncolor('black');port_label('output',1,'cast');\ncolor('black'"
4784");disp('\\newlinez^{-1}','texmode','on');\nfprintf('','COMMENT: end icon text"
4785"');\n"
4786    }
4787    Block {
4788      BlockType       Reference
4789      Name            "Decimate Out Cnv"
4790      Ports           [1, 1]
4791      Position        [1565, 960, 1610, 990]
4792      SourceBlock         "xbsIndex_r4/Convert"
4793      SourceType          "Xilinx Type Converter Block"
4794      infoedit        "Hardware notes: rounding and saturating req"
4795"uire hardware resources; truncating and wrapping do not."
4796      arith_type          "Signed  (2's comp)"
4797      n_bits          "decimate_out_data_width"
4798      bin_pt          "decimate_out_data_bp"
4799      quantization        "Round  (unbiased: +/- Inf)"
4800      overflow        "Saturate"
4801      latency         "0"
4802      dbl_ovrd        off
4803      pipeline        off
4804      xl_use_area         off
4805      xl_area         "[0,0,0,0,0,0,0]"
4806      has_advanced_control    "0"
4807      sggui_pos       "23,491,374,375"
4808      block_type          "convert"
4809      block_version       "8.2.01"
4810      sg_icon_stat        "45,30,1,1,white,blue,0,74901e60,right"
4811      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');"
4812"\npatch([0 45 45 0 ],[0 0 30 30 ],[0.77 0.82 0.91]);\npatch([15 10 17 10 15 2"
4813"3 25 27 35 28 22 17 23 17 22 28 35 27 25 23 15 ],[3 8 15 22 27 27 25 27 27 20"
4814" 26 21 15 9 4 10 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 45 45 0 0 ],[0 0 30 "
4815"30 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: beg"
4816"in icon text');\ncolor('black');port_label('output',1,'cast');\nfprintf('','C"
4817"OMMENT: end icon text');\n"
4818    }
4819    Block {
4820      BlockType       Reference
4821      Name            "DecimateOutDelay"
4822      Ports           [2, 1]
4823      Position        [1695, 987, 1755, 1043]
4824      SourceBlock         "xbsIndex_r4/Delay"
4825      SourceType          "Xilinx Delay Block"
4826      infoedit        "Hardware notes: A delay line is a chain, ea"
4827"ch link of which is an SRL16 followed by a flip-flop. If register retiming is"
4828" enabled, the delay line is a chain of flip-flops."
4829      en              on
4830      latency         "1"
4831      dbl_ovrd        off
4832      reg_retiming        off
4833      xl_use_area         off
4834      xl_area         "[0,0,0,0,0,0,0]"
4835      has_advanced_control    "0"
4836      sggui_pos       "20,20,356,256"
4837      block_type          "delay"
4838      block_version       "8.2.01"
4839      sg_icon_stat        "60,56,1,1,white,blue,0,9c7d2b66,right"
4840      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');"
4841"\npatch([0 60 60 0 ],[0 0 56 56 ],[0.77 0.82 0.91]);\npatch([15 6 19 6 15 30 "
4842"34 38 54 41 29 20 32 20 29 41 54 38 34 30 15 ],[6 15 28 41 50 50 46 50 50 37 "
4843"49 40 28 16 7 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 56"
4844" 56 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: be"
4845"gin icon text');\ncolor('black');port_label('input',2,'en');\ncolor('black');"
4846"disp('z^{-1}','texmode','on');\nfprintf('','COMMENT: end icon text');\n"
4847    }
4848    Block {
4849      BlockType       Reference
4850      Name            "Down Sample"
4851      Ports           [1, 1]
4852      Position        [1820, 987, 1880, 1043]
4853      SourceBlock         "xbsIndex_r4/Down Sample"
4854      SourceType          "Xilinx Down Sampler Block"
4855      infoedit        "Hardware notes: Sample and Latency controls"
4856" determine the hardware implementation.  The cost in hardware of different im"
4857"plementations varies considerably; press Help for details."
4858      sample_ratio        "4"
4859      sample_phase        "Last Value of Frame  (most efficient)"
4860      en              off
4861      latency         "1"
4862      dbl_ovrd        off
4863      xl_use_area         off
4864      xl_area         "[0,0,0,0,0,0,0]"
4865      has_advanced_control    "0"
4866      sggui_pos       "20,20,356,303"
4867      block_type          "dsamp"
4868      block_version       "8.2.01"
4869      sg_icon_stat        "60,56,1,1,white,blue,0,f354a31c,right"
4870      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');"
4871"\npatch([0 60 60 0 ],[0 0 56 56 ],[0.77 0.82 0.91]);\npatch([15 6 19 6 15 30 "
4872"34 38 54 41 29 20 32 20 29 41 54 38 34 30 15 ],[6 15 28 41 50 50 46 50 50 37 "
4873"49 40 28 16 7 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 56"
4874" 56 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: be"
4875"gin icon text');\ncolor('black');disp('\\newline{\\fontsize{14pt}\\bf\\downar"
4876"row}4\\newlinez^{-1}','texmode','on');\nfprintf('','COMMENT: end icon text');"
4877"\n"
4878    }
4879    Block {
4880      BlockType       Reference
4881      Name            "Down Sample1"
4882      Ports           [1, 1]
4883      Position        [372, 690, 428, 750]
4884      Orientation         "down"
4885      NamePlacement       "alternate"
4886      SourceBlock         "xbsIndex_r4/Down Sample"
4887      SourceType          "Xilinx Down Sampler Block"
4888      infoedit        "Hardware notes: Sample and Latency controls"
4889" determine the hardware implementation.  The cost in hardware of different im"
4890"plementations varies considerably; press Help for details."
4891      sample_ratio        "4"
4892      sample_phase        "Last Value of Frame  (most efficient)"
4893      en              off
4894      latency         "1"
4895      dbl_ovrd        off
4896      xl_use_area         off
4897      xl_area         "[0,0,0,0,0,0,0]"
4898      has_advanced_control    "0"
4899      sggui_pos       "20,20,356,303"
4900      block_type          "dsamp"
4901      block_version       "8.2.01"
4902      sg_icon_stat        "56,60,1,1,white,blue,0,f354a31c,right"
4903      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');"
4904"\npatch([0 60 60 0 ],[0 0 56 56 ],[0.77 0.82 0.91]);\npatch([15 6 19 6 15 30 "
4905"34 38 54 41 29 20 32 20 29 41 54 38 34 30 15 ],[6 15 28 41 50 50 46 50 50 37 "
4906"49 40 28 16 7 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 56"
4907" 56 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: be"
4908"gin icon text');\ncolor('black');disp('\\newline{\\fontsize{14pt}\\bf\\downar"
4909"row}4\\newlinez^{-1}','texmode','on');\nfprintf('','COMMENT: end icon text');"
4910"\n"
4911    }
4912    Block {
4913      BlockType       Scope
4914      Name            "Filter Debug"
4915      Ports           [4]
4916      Position        [1495, 712, 1525, 788]
4917      Floating        off
4918      Location        [82, 479, 1399, 1167]
4919      Open            on
4920      NumInputPorts       "4"
4921      TickLabels          "on"
4922      ZoomMode        "yonly"
4923      List {
4924        ListType            AxesTitles
4925        axes1           "%<SignalLabel>"
4926        axes2           "%<SignalLabel>"
4927        axes3           "%<SignalLabel>"
4928        axes4           "%<SignalLabel>"
4929      }
4930      TimeRange       "1000"
4931      YMin            "-1~-1~-1~-1"
4932      YMax            "1~1~1~1"
4933      SaveName        "ScopeData2"
4934      DataFormat          "StructureWithTime"
4935      LimitDataPoints     off
4936      SampleTime          "0"
4937    }
4938    Block {
4939      BlockType       Reference
4940      Name            "Interp In Cnv"
4941      Ports           [1, 1]
4942      Position        [290, 195, 335, 225]
4943      SourceBlock         "xbsIndex_r4/Convert"
4944      SourceType          "Xilinx Type Converter Block"
4945      infoedit        "Hardware notes: rounding and saturating req"
4946"uire hardware resources; truncating and wrapping do not."
4947      arith_type          "Signed  (2's comp)"
4948      n_bits          "internal_data_ibits + internal_data_fbits"
4949      bin_pt          "internal_data_fbits"
4950      quantization        "Truncate"
4951      overflow        "Wrap"
4952      latency         "1"
4953      dbl_ovrd        off
4954      pipeline        off
4955      xl_use_area         off
4956      xl_area         "[0,0,0,0,0,0,0]"
4957      has_advanced_control    "0"
4958      sggui_pos       "1128,437,374,375"
4959      block_type          "convert"
4960      block_version       "8.2.01"
4961      sg_icon_stat        "45,30,1,1,white,blue,0,ba4d1298,right"
4962      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');"
4963"\npatch([0 45 45 0 ],[0 0 30 30 ],[0.77 0.82 0.91]);\npatch([15 10 17 10 15 2"
4964"3 25 27 35 28 22 17 23 17 22 28 35 27 25 23 15 ],[3 8 15 22 27 27 25 27 27 20"
4965" 26 21 15 9 4 10 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 45 45 0 0 ],[0 0 30 "
4966"30 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: beg"
4967"in icon text');\ncolor('black');port_label('output',1,'cast');\ncolor('black'"
4968");disp('\\newlinez^{-1}','texmode','on');\nfprintf('','COMMENT: end icon text"
4969"');\n"
4970    }
4971    Block {
4972      BlockType       Reference
4973      Name            "Interp Out Cnv"
4974      Ports           [1, 1]
4975      Position        [1565, 875, 1610, 905]
4976      SourceBlock         "xbsIndex_r4/Convert"
4977      SourceType          "Xilinx Type Converter Block"
4978      infoedit        "Hardware notes: rounding and saturating req"
4979"uire hardware resources; truncating and wrapping do not."
4980      arith_type          "Signed  (2's comp)"
4981      n_bits          "interp_out_data_width"
4982      bin_pt          "interp_out_data_bp"
4983      quantization        "Round  (unbiased: +/- Inf)"
4984      overflow        "Saturate"
4985      latency         "0"
4986      dbl_ovrd        off
4987      pipeline        off
4988      xl_use_area         off
4989      xl_area         "[0,0,0,0,0,0,0]"
4990      has_advanced_control    "0"
4991      sggui_pos       "23,491,374,375"
4992      block_type          "convert"
4993      block_version       "8.2.01"
4994      sg_icon_stat        "45,30,1,1,white,blue,0,74901e60,right"
4995      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');"
4996"\npatch([0 45 45 0 ],[0 0 30 30 ],[0.77 0.82 0.91]);\npatch([15 10 17 10 15 2"
4997"3 25 27 35 28 22 17 23 17 22 28 35 27 25 23 15 ],[3 8 15 22 27 27 25 27 27 20"
4998" 26 21 15 9 4 10 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 45 45 0 0 ],[0 0 30 "
4999"30 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: beg"
5000"in icon text');\ncolor('black');port_label('output',1,'cast');\nfprintf('','C"
5001"OMMENT: end icon text');\n"
5002    }
5003    Block {
5004      BlockType       Reference
5005      Name            "InterpOutDelay"
5006      Ports           [1, 1]
5007      Position        [1820, 862, 1880, 918]
5008      SourceBlock         "xbsIndex_r4/Delay"
5009      SourceType          "Xilinx Delay Block"
5010      infoedit        "Hardware notes: A delay line is a chain, ea"
5011"ch link of which is an SRL16 followed by a flip-flop. If register retiming is"
5012" enabled, the delay line is a chain of flip-flops."
5013      en              off
5014      latency         "1"
5015      dbl_ovrd        off
5016      reg_retiming        off
5017      xl_use_area         off
5018      xl_area         "[0,0,0,0,0,0,0]"
5019      has_advanced_control    "0"
5020      sggui_pos       "20,20,356,256"
5021      block_type          "delay"
5022      block_version       "8.2.01"
5023      sg_icon_stat        "60,56,1,1,white,blue,0,fc531c0e,right"
5024      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');"
5025"\npatch([0 60 60 0 ],[0 0 56 56 ],[0.77 0.82 0.91]);\npatch([15 6 19 6 15 30 "
5026"34 38 54 41 29 20 32 20 29 41 54 38 34 30 15 ],[6 15 28 41 50 50 46 50 50 37 "
5027"49 40 28 16 7 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 56"
5028" 56 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: be"
5029"gin icon text');\ncolor('black');disp('z^{-1}','texmode','on');\nfprintf('','"
5030"COMMENT: end icon text');\n"
5031    }
5032    Block {
5033      BlockType       Reference
5034      Name            "Logical"
5035      Ports           [2, 1]
5036      Position        [370, 375, 430, 430]
5037      Orientation         "down"
5038      NamePlacement       "alternate"
5039      SourceBlock         "xbsIndex_r4/Logical"
5040      SourceType          "Xilinx Logical Block Block"
5041      logical_function    "OR"
5042      inputs          "2"
5043      en              off
5044      latency         "0"
5045      precision       "Full"
5046      arith_type          "Unsigned"
5047      n_bits          "16"
5048      bin_pt          "0"
5049      align_bp        on
5050      dbl_ovrd        off
5051      xl_use_area         off
5052      xl_area         "[0,0,0,0,0,0,0]"
5053      has_advanced_control    "0"
5054      sggui_pos       "-1,-1,-1,-1"
5055      block_type          "logical"
5056      block_version       "8.2.01"
5057      sg_icon_stat        "60,55,1,1,white,blue,0,f4a65842,right"
5058      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');"
5059"\npatch([0 55 55 0 ],[0 0 60 60 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13 28 "
5060"32 36 52 40 28 19 32 19 28 40 52 36 32 28 13 ],[8 17 30 43 52 52 48 52 52 40 "
5061"52 43 30 17 8 20 8 8 12 8 8 ],[0.98 0.96 0.92]);\nplot([0 55 55 0 0 ],[0 0 60"
5062" 60 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: be"
5063"gin icon text');\ncolor('black');disp('\\newlineor\\newlinez^{-0}','texmode',"
5064"'on');\nfprintf('','COMMENT: end icon text');\n"
5065    }
5066    Block {
5067      BlockType       Reference
5068      Name            "MULT0"
5069      Ports           [2, 1]
5070      Position        [670, 460, 725, 515]
5071      Orientation         "down"
5072      NamePlacement       "alternate"
5073      SourceBlock         "xbsIndex_r4/Mult"
5074      SourceType          "Xilinx Multiplier Block"
5075      infoedit        "Hardware notes: To use the internal pipelin"
5076"e stage of the dedicated multiplier you must select 'Pipeline for maximum per"
5077"formance'."
5078      precision       "Full"
5079      arith_type          "Signed  (2's comp)"
5080      n_bits          "16"
5081      bin_pt          "14"
5082      quantization        "Truncate"
5083      overflow        "Wrap"
5084      en              off
5085      latency         "1"
5086      dbl_ovrd        off
5087      use_behavioral_HDL      off
5088      use_embedded        on
5089      opt             "Speed"
5090      optimum_pipeline    off
5091      xl_use_area         off
5092      xl_area         "[0,0,0,0,0,0,0]"
5093      pipeline        "on"
5094      use_rpm         "on"
5095      placement_style     "Rectangular shape"
5096      has_advanced_control    "0"
5097      sggui_pos       "20,20,356,460"
5098      block_type          "mult"
5099      block_version       "8.2.01"
5100      sg_icon_stat        "55,55,1,1,white,blue,0,2b745779,right"
5101      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');"
5102"\npatch([0 55 55 0 ],[0 0 55 55 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13 28 "
5103"32 36 52 40 28 19 32 19 28 40 52 36 32 28 13 ],[6 15 28 41 50 50 46 50 50 38 "
5104"50 41 28 15 6 18 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 55 55 0 0 ],[0 0 55"
5105" 55 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: be"
5106"gin icon text');\ncolor('black');port_label('input',1,'a');\ncolor('black');p"
5107"ort_label('input',2,'b');\ncolor('black');port_label('output',1,'(ab)');\ncol"
5108"or('black');disp('\\newline\\bf{}\\newlinez^{-1}','texmode','on');\nfprintf('"
5109"','COMMENT: end icon text');\n"
5110    }
5111    Block {
5112      BlockType       Reference
5113      Name            "MULT1"
5114      Ports           [2, 1]
5115      Position        [790, 460, 845, 515]
5116      Orientation         "down"
5117      NamePlacement       "alternate"
5118      SourceBlock         "xbsIndex_r4/Mult"
5119      SourceType          "Xilinx Multiplier Block"
5120      infoedit        "Hardware notes: To use the internal pipelin"
5121"e stage of the dedicated multiplier you must select 'Pipeline for maximum per"
5122"formance'."
5123      precision       "Full"
5124      arith_type          "Signed  (2's comp)"
5125      n_bits          "16"
5126      bin_pt          "14"
5127      quantization        "Truncate"
5128      overflow        "Wrap"
5129      en              off
5130      latency         "1"
5131      dbl_ovrd        off
5132      use_behavioral_HDL      off
5133      use_embedded        on
5134      opt             "Speed"
5135      optimum_pipeline    off
5136      xl_use_area         off
5137      xl_area         "[0,0,0,0,0,0,0]"
5138      pipeline        "on"
5139      use_rpm         "on"
5140      placement_style     "Rectangular shape"
5141      has_advanced_control    "0"
5142      sggui_pos       "20,20,356,460"
5143      block_type          "mult"
5144      block_version       "8.2.01"
5145      sg_icon_stat        "55,55,1,1,white,blue,0,2b745779,right"
5146      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');"
5147"\npatch([0 55 55 0 ],[0 0 55 55 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13 28 "
5148"32 36 52 40 28 19 32 19 28 40 52 36 32 28 13 ],[6 15 28 41 50 50 46 50 50 38 "
5149"50 41 28 15 6 18 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 55 55 0 0 ],[0 0 55"
5150" 55 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: be"
5151"gin icon text');\ncolor('black');port_label('input',1,'a');\ncolor('black');p"
5152"ort_label('input',2,'b');\ncolor('black');port_label('output',1,'(ab)');\ncol"
5153"or('black');disp('\\newline\\bf{}\\newlinez^{-1}','texmode','on');\nfprintf('"
5154"','COMMENT: end icon text');\n"
5155    }
5156    Block {
5157      BlockType       Reference
5158      Name            "MULT2"
5159      Ports           [2, 1]
5160      Position        [910, 460, 965, 515]
5161      Orientation         "down"
5162      NamePlacement       "alternate"
5163      SourceBlock         "xbsIndex_r4/Mult"
5164      SourceType          "Xilinx Multiplier Block"
5165      infoedit        "Hardware notes: To use the internal pipelin"
5166"e stage of the dedicated multiplier you must select 'Pipeline for maximum per"
5167"formance'."
5168      precision       "Full"
5169      arith_type          "Signed  (2's comp)"
5170      n_bits          "16"
5171      bin_pt          "14"
5172      quantization        "Truncate"
5173      overflow        "Wrap"
5174      en              off
5175      latency         "1"
5176      dbl_ovrd        off
5177      use_behavioral_HDL      off
5178      use_embedded        on
5179      opt             "Speed"
5180      optimum_pipeline    off
5181      xl_use_area         off
5182      xl_area         "[0,0,0,0,0,0,0]"
5183      pipeline        "on"
5184      use_rpm         "on"
5185      placement_style     "Rectangular shape"
5186      has_advanced_control    "0"
5187      sggui_pos       "20,20,356,460"
5188      block_type          "mult"
5189      block_version       "8.2.01"
5190      sg_icon_stat        "55,55,1,1,white,blue,0,2b745779,right"
5191      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');"
5192"\npatch([0 55 55 0 ],[0 0 55 55 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13 28 "
5193"32 36 52 40 28 19 32 19 28 40 52 36 32 28 13 ],[6 15 28 41 50 50 46 50 50 38 "
5194"50 41 28 15 6 18 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 55 55 0 0 ],[0 0 55"
5195" 55 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: be"
5196"gin icon text');\ncolor('black');port_label('input',1,'a');\ncolor('black');p"
5197"ort_label('input',2,'b');\ncolor('black');port_label('output',1,'(ab)');\ncol"
5198"or('black');disp('\\newline\\bf{}\\newlinez^{-1}','texmode','on');\nfprintf('"
5199"','COMMENT: end icon text');\n"
5200    }
5201    Block {
5202      BlockType       Reference
5203      Name            "MULT3"
5204      Ports           [2, 1]
5205      Position        [1030, 460, 1085, 515]
5206      Orientation         "down"
5207      NamePlacement       "alternate"
5208      SourceBlock         "xbsIndex_r4/Mult"
5209      SourceType          "Xilinx Multiplier Block"
5210      infoedit        "Hardware notes: To use the internal pipelin"
5211"e stage of the dedicated multiplier you must select 'Pipeline for maximum per"
5212"formance'."
5213      precision       "Full"
5214      arith_type          "Signed  (2's comp)"
5215      n_bits          "16"
5216      bin_pt          "14"
5217      quantization        "Truncate"
5218      overflow        "Wrap"
5219      en              off
5220      latency         "1"
5221      dbl_ovrd        off
5222      use_behavioral_HDL      off
5223      use_embedded        on
5224      opt             "Speed"
5225      optimum_pipeline    off
5226      xl_use_area         off
5227      xl_area         "[0,0,0,0,0,0,0]"
5228      pipeline        "on"
5229      use_rpm         "on"
5230      placement_style     "Rectangular shape"
5231      has_advanced_control    "0"
5232      sggui_pos       "20,20,356,460"
5233      block_type          "mult"
5234      block_version       "8.2.01"
5235      sg_icon_stat        "55,55,1,1,white,blue,0,2b745779,right"
5236      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');"
5237"\npatch([0 55 55 0 ],[0 0 55 55 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13 28 "
5238"32 36 52 40 28 19 32 19 28 40 52 36 32 28 13 ],[6 15 28 41 50 50 46 50 50 38 "
5239"50 41 28 15 6 18 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 55 55 0 0 ],[0 0 55"
5240" 55 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: be"
5241"gin icon text');\ncolor('black');port_label('input',1,'a');\ncolor('black');p"
5242"ort_label('input',2,'b');\ncolor('black');port_label('output',1,'(ab)');\ncol"
5243"or('black');disp('\\newline\\bf{}\\newlinez^{-1}','texmode','on');\nfprintf('"
5244"','COMMENT: end icon text');\n"
5245    }
5246    Block {
5247      BlockType       Reference
5248      Name            "MULT4"
5249      Ports           [2, 1]
5250      Position        [1150, 460, 1205, 515]
5251      Orientation         "down"
5252      NamePlacement       "alternate"
5253      SourceBlock         "xbsIndex_r4/Mult"
5254      SourceType          "Xilinx Multiplier Block"
5255      infoedit        "Hardware notes: To use the internal pipelin"
5256"e stage of the dedicated multiplier you must select 'Pipeline for maximum per"
5257"formance'."
5258      precision       "Full"
5259      arith_type          "Signed  (2's comp)"
5260      n_bits          "16"
5261      bin_pt          "14"
5262      quantization        "Truncate"
5263      overflow        "Wrap"
5264      en              off
5265      latency         "1"
5266      dbl_ovrd        off
5267      use_behavioral_HDL      off
5268      use_embedded        on
5269      opt             "Speed"
5270      optimum_pipeline    off
5271      xl_use_area         off
5272      xl_area         "[0,0,0,0,0,0,0]"
5273      pipeline        "on"
5274      use_rpm         "on"
5275      placement_style     "Rectangular shape"
5276      has_advanced_control    "0"
5277      sggui_pos       "20,20,356,460"
5278      block_type          "mult"
5279      block_version       "8.2.01"
5280      sg_icon_stat        "55,55,1,1,white,blue,0,2b745779,right"
5281      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');"
5282"\npatch([0 55 55 0 ],[0 0 55 55 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13 28 "
5283"32 36 52 40 28 19 32 19 28 40 52 36 32 28 13 ],[6 15 28 41 50 50 46 50 50 38 "
5284"50 41 28 15 6 18 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 55 55 0 0 ],[0 0 55"
5285" 55 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: be"
5286"gin icon text');\ncolor('black');port_label('input',1,'a');\ncolor('black');p"
5287"ort_label('input',2,'b');\ncolor('black');port_label('output',1,'(ab)');\ncol"
5288"or('black');disp('\\newline\\bf{}\\newlinez^{-1}','texmode','on');\nfprintf('"
5289"','COMMENT: end icon text');\n"
5290    }
5291    Block {
5292      BlockType       Reference
5293      Name            "MULT5"
5294      Ports           [2, 1]
5295      Position        [1275, 460, 1330, 515]
5296      Orientation         "down"
5297      NamePlacement       "alternate"
5298      SourceBlock         "xbsIndex_r4/Mult"
5299      SourceType          "Xilinx Multiplier Block"
5300      infoedit        "Hardware notes: To use the internal pipelin"
5301"e stage of the dedicated multiplier you must select 'Pipeline for maximum per"
5302"formance'."
5303      precision       "Full"
5304      arith_type          "Signed  (2's comp)"
5305      n_bits          "16"
5306      bin_pt          "14"
5307      quantization        "Truncate"
5308      overflow        "Wrap"
5309      en              off
5310      latency         "1"
5311      dbl_ovrd        off
5312      use_behavioral_HDL      off
5313      use_embedded        on
5314      opt             "Speed"
5315      optimum_pipeline    off
5316      xl_use_area         off
5317      xl_area         "[0,0,0,0,0,0,0]"
5318      pipeline        "on"
5319      use_rpm         "on"
5320      placement_style     "Rectangular shape"
5321      has_advanced_control    "0"
5322      sggui_pos       "20,20,356,460"
5323      block_type          "mult"
5324      block_version       "8.2.01"
5325      sg_icon_stat        "55,55,1,1,white,blue,0,2b745779,right"
5326      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');"
5327"\npatch([0 55 55 0 ],[0 0 55 55 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13 28 "
5328"32 36 52 40 28 19 32 19 28 40 52 36 32 28 13 ],[6 15 28 41 50 50 46 50 50 38 "
5329"50 41 28 15 6 18 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 55 55 0 0 ],[0 0 55"
5330" 55 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: be"
5331"gin icon text');\ncolor('black');port_label('input',1,'a');\ncolor('black');p"
5332"ort_label('input',2,'b');\ncolor('black');port_label('output',1,'(ab)');\ncol"
5333"or('black');disp('\\newline\\bf{}\\newlinez^{-1}','texmode','on');\nfprintf('"
5334"','COMMENT: end icon text');\n"
5335    }
5336    Block {
5337      BlockType       Reference
5338      Name            "MULT6"
5339      Ports           [2, 1]
5340      Position        [1395, 460, 1450, 515]
5341      Orientation         "down"
5342      NamePlacement       "alternate"
5343      SourceBlock         "xbsIndex_r4/Mult"
5344      SourceType          "Xilinx Multiplier Block"
5345      infoedit        "Hardware notes: To use the internal pipelin"
5346"e stage of the dedicated multiplier you must select 'Pipeline for maximum per"
5347"formance'."
5348      precision       "Full"
5349      arith_type          "Signed  (2's comp)"
5350      n_bits          "16"
5351      bin_pt          "14"
5352      quantization        "Truncate"
5353      overflow        "Wrap"
5354      en              off
5355      latency         "1"
5356      dbl_ovrd        off
5357      use_behavioral_HDL      off
5358      use_embedded        on
5359      opt             "Speed"
5360      optimum_pipeline    off
5361      xl_use_area         off
5362      xl_area         "[0,0,0,0,0,0,0]"
5363      pipeline        "on"
5364      use_rpm         "on"
5365      placement_style     "Rectangular shape"
5366      has_advanced_control    "0"
5367      sggui_pos       "20,20,356,460"
5368      block_type          "mult"
5369      block_version       "8.2.01"
5370      sg_icon_stat        "55,55,1,1,white,blue,0,2b745779,right"
5371      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');"
5372"\npatch([0 55 55 0 ],[0 0 55 55 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13 28 "
5373"32 36 52 40 28 19 32 19 28 40 52 36 32 28 13 ],[6 15 28 41 50 50 46 50 50 38 "
5374"50 41 28 15 6 18 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 55 55 0 0 ],[0 0 55"
5375" 55 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: be"
5376"gin icon text');\ncolor('black');port_label('input',1,'a');\ncolor('black');p"
5377"ort_label('input',2,'b');\ncolor('black');port_label('output',1,'(ab)');\ncol"
5378"or('black');disp('\\newline\\bf{}\\newlinez^{-1}','texmode','on');\nfprintf('"
5379"','COMMENT: end icon text');\n"
5380    }
5381    Block {
5382      BlockType       Reference
5383      Name            "MULT7"
5384      Ports           [2, 1]
5385      Position        [1515, 460, 1570, 515]
5386      Orientation         "down"
5387      NamePlacement       "alternate"
5388      SourceBlock         "xbsIndex_r4/Mult"
5389      SourceType          "Xilinx Multiplier Block"
5390      infoedit        "Hardware notes: To use the internal pipelin"
5391"e stage of the dedicated multiplier you must select 'Pipeline for maximum per"
5392"formance'."
5393      precision       "Full"
5394      arith_type          "Signed  (2's comp)"
5395      n_bits          "16"
5396      bin_pt          "14"
5397      quantization        "Truncate"
5398      overflow        "Wrap"
5399      en              off
5400      latency         "1"
5401      dbl_ovrd        off
5402      use_behavioral_HDL      off
5403      use_embedded        on
5404      opt             "Speed"
5405      optimum_pipeline    off
5406      xl_use_area         off
5407      xl_area         "[0,0,0,0,0,0,0]"
5408      pipeline        "on"
5409      use_rpm         "on"
5410      placement_style     "Rectangular shape"
5411      has_advanced_control    "0"
5412      sggui_pos       "20,20,356,460"
5413      block_type          "mult"
5414      block_version       "8.2.01"
5415      sg_icon_stat        "55,55,1,1,white,blue,0,2b745779,right"
5416      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');"
5417"\npatch([0 55 55 0 ],[0 0 55 55 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13 28 "
5418"32 36 52 40 28 19 32 19 28 40 52 36 32 28 13 ],[6 15 28 41 50 50 46 50 50 38 "
5419"50 41 28 15 6 18 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 55 55 0 0 ],[0 0 55"
5420" 55 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: be"
5421"gin icon text');\ncolor('black');port_label('input',1,'a');\ncolor('black');p"
5422"ort_label('input',2,'b');\ncolor('black');port_label('output',1,'(ab)');\ncol"
5423"or('black');disp('\\newline\\bf{}\\newlinez^{-1}','texmode','on');\nfprintf('"
5424"','COMMENT: end icon text');\n"
5425    }
5426    Block {
5427      BlockType       Reference
5428      Name            "Mux"
5429      Ports           [3, 1]
5430      Position        [450, 113, 495, 217]
5431      SourceBlock         "xbsIndex_r4/Mux"
5432      SourceType          "Xilinx Bus Multiplexer Block"
5433      inputs          "2"
5434      en              off
5435      latency         "1"
5436      precision       "Full"
5437      arith_type          "Unsigned"
5438      n_bits          "16"
5439      bin_pt          "14"
5440      quantization        "Truncate"
5441      overflow        "Wrap"
5442      dbl_ovrd        off
5443      xl_use_area         off
5444      xl_area         "[0,0,0,0,0,0,0]"
5445      has_advanced_control    "0"
5446      sggui_pos       "-1,-1,-1,-1"
5447      block_type          "mux"
5448      block_version       "8.2.01"
5449      sg_icon_stat        "45,104,1,1,white,blue,3,03f9c9b9,right"
5450      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');"
5451"\npatch([0 45 45 0 ],[0 14.8571 89.1429 104 ],[0.77 0.82 0.91]);\npatch([10 3"
5452" 14 3 10 22 25 28 41 31 21 14 25 14 21 31 41 28 25 22 10 ],[34 41 52 63 70 70"
5453" 67 70 70 60 70 63 52 41 34 44 34 34 37 34 34 ],[0.98 0.96 0.92]);\nplot([0 4"
5454"5 45 0 0 ],[0 14.8571 89.1429 104 0 ]);\nfprintf('','COMMENT: end icon graphi"
5455"cs');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('in"
5456"put',1,'sel');\ncolor('black');port_label('input',2,'d0');\ncolor('black');po"
5457"rt_label('input',3,'d1');\ncolor('black');disp('\\bf{  z^{-1}}','texmode','on"
5458"');\nfprintf('','COMMENT: end icon text');\n"
5459    }
5460    Block {
5461      BlockType       Reference
5462      Name            "ROM 0"
5463      Ports           [1, 1]
5464      Position        [610, 307, 670, 363]
5465      SourceBlock         "xbsIndex_r4/ROM"
5466      SourceType          "Xilinx Single Port Read-Only Memory Block"
5467      depth           "4"
5468      initVector          "[h(1:4)]"
5469      distributed_mem     "Distributed memory"
5470      rst             off
5471      init_reg        "0"
5472      en              off
5473      latency         "1"
5474      arith_type          "Signed  (2's comp)"
5475      n_bits          "internal_coeff_ibits + internal_coeff_fbits"
5476      bin_pt          "internal_coeff_fbits"
5477      dbl_ovrd        off
5478      optimize        "Area"
5479      use_rpm         on
5480      xl_use_area         off
5481      xl_area         "[0,0,0,0,0,0,0]"
5482      has_advanced_control    "0"
5483      sggui_pos       "197,357,356,317"
5484      block_type          "sprom"
5485      block_version       "8.2.01"
5486      sg_icon_stat        "60,56,1,1,white,blue,0,a8b86474,right"
5487      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');"
5488"\npatch([0 60 60 0 ],[0 0 56 56 ],[0.77 0.82 0.91]);\npatch([15 6 19 6 15 30 "
5489"34 38 54 41 29 20 32 20 29 41 54 38 34 30 15 ],[6 15 28 41 50 50 46 50 50 37 "
5490"49 40 28 16 7 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 56"
5491" 56 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: be"
5492"gin icon text');\ncolor('black');port_label('input',1,'addr');\ncolor('black'"
5493");disp('z^{-1}','texmode','on');\nfprintf('','COMMENT: end icon text');\n"
5494    }
5495    Block {
5496      BlockType       Reference
5497      Name            "ROM 1"
5498      Ports           [1, 1]
5499      Position        [730, 307, 790, 363]
5500      SourceBlock         "xbsIndex_r4/ROM"
5501      SourceType          "Xilinx Single Port Read-Only Memory Block"
5502      depth           "4"
5503      initVector          "[h(5:8)]"
5504      distributed_mem     "Distributed memory"
5505      rst             off
5506      init_reg        "0"
5507      en              off
5508      latency         "1"
5509      arith_type          "Signed  (2's comp)"
5510      n_bits          "internal_coeff_ibits + internal_coeff_fbits"
5511      bin_pt          "internal_coeff_fbits"
5512      dbl_ovrd        off
5513      optimize        "Area"
5514      use_rpm         on
5515      xl_use_area         off
5516      xl_area         "[0,0,0,0,0,0,0]"
5517      has_advanced_control    "0"
5518      sggui_pos       "230,571,356,317"
5519      block_type          "sprom"
5520      block_version       "8.2.01"
5521      sg_icon_stat        "60,56,1,1,white,blue,0,a8b86474,right"
5522      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');"
5523"\npatch([0 60 60 0 ],[0 0 56 56 ],[0.77 0.82 0.91]);\npatch([15 6 19 6 15 30 "
5524"34 38 54 41 29 20 32 20 29 41 54 38 34 30 15 ],[6 15 28 41 50 50 46 50 50 37 "
5525"49 40 28 16 7 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 56"
5526" 56 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: be"
5527"gin icon text');\ncolor('black');port_label('input',1,'addr');\ncolor('black'"
5528");disp('z^{-1}','texmode','on');\nfprintf('','COMMENT: end icon text');\n"
5529    }
5530    Block {
5531      BlockType       Reference
5532      Name            "ROM 2"
5533      Ports           [1, 1]
5534      Position        [850, 307, 910, 363]
5535      SourceBlock         "xbsIndex_r4/ROM"
5536      SourceType          "Xilinx Single Port Read-Only Memory Block"
5537      depth           "4"
5538      initVector          "[h(9:12)]"
5539      distributed_mem     "Distributed memory"
5540      rst             off
5541      init_reg        "0"
5542      en              off
5543      latency         "1"
5544      arith_type          "Signed  (2's comp)"
5545      n_bits          "internal_coeff_ibits + internal_coeff_fbits"
5546      bin_pt          "internal_coeff_fbits"
5547      dbl_ovrd        off
5548      optimize        "Area"
5549      use_rpm         on
5550      xl_use_area         off
5551      xl_area         "[0,0,0,0,0,0,0]"
5552      has_advanced_control    "0"
5553      sggui_pos       "342,657,356,317"
5554      block_type          "sprom"
5555      block_version       "8.2.01"
5556      sg_icon_stat        "60,56,1,1,white,blue,0,a8b86474,right"
5557      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');"
5558"\npatch([0 60 60 0 ],[0 0 56 56 ],[0.77 0.82 0.91]);\npatch([15 6 19 6 15 30 "
5559"34 38 54 41 29 20 32 20 29 41 54 38 34 30 15 ],[6 15 28 41 50 50 46 50 50 37 "
5560"49 40 28 16 7 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 56"
5561" 56 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: be"
5562"gin icon text');\ncolor('black');port_label('input',1,'addr');\ncolor('black'"
5563");disp('z^{-1}','texmode','on');\nfprintf('','COMMENT: end icon text');\n"
5564    }
5565    Block {
5566      BlockType       Reference
5567      Name            "ROM 3"
5568      Ports           [1, 1]
5569      Position        [970, 307, 1030, 363]
5570      SourceBlock         "xbsIndex_r4/ROM"
5571      SourceType          "Xilinx Single Port Read-Only Memory Block"
5572      depth           "4"
5573      initVector          "[h(13:16)]"
5574      distributed_mem     "Distributed memory"
5575      rst             off
5576      init_reg        "0"
5577      en              off
5578      latency         "1"
5579      arith_type          "Signed  (2's comp)"
5580      n_bits          "internal_coeff_ibits + internal_coeff_fbits"
5581      bin_pt          "internal_coeff_fbits"
5582      dbl_ovrd        off
5583      optimize        "Area"
5584      use_rpm         on
5585      xl_use_area         off
5586      xl_area         "[0,0,0,0,0,0,0]"
5587      has_advanced_control    "0"
5588      sggui_pos       "293,599,356,317"
5589      block_type          "sprom"
5590      block_version       "8.2.01"
5591      sg_icon_stat        "60,56,1,1,white,blue,0,a8b86474,right"
5592      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');"
5593"\npatch([0 60 60 0 ],[0 0 56 56 ],[0.77 0.82 0.91]);\npatch([15 6 19 6 15 30 "
5594"34 38 54 41 29 20 32 20 29 41 54 38 34 30 15 ],[6 15 28 41 50 50 46 50 50 37 "
5595"49 40 28 16 7 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 56"
5596" 56 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: be"
5597"gin icon text');\ncolor('black');port_label('input',1,'addr');\ncolor('black'"
5598");disp('z^{-1}','texmode','on');\nfprintf('','COMMENT: end icon text');\n"
5599    }
5600    Block {
5601      BlockType       Reference
5602      Name            "ROM 4"
5603      Ports           [1, 1]
5604      Position        [1095, 307, 1155, 363]
5605      SourceBlock         "xbsIndex_r4/ROM"
5606      SourceType          "Xilinx Single Port Read-Only Memory Block"
5607      depth           "4"
5608      initVector          "[h(17:20)]"
5609      distributed_mem     "Distributed memory"
5610      rst             off
5611      init_reg        "0"
5612      en              off
5613      latency         "1"
5614      arith_type          "Signed  (2's comp)"
5615      n_bits          "internal_coeff_ibits + internal_coeff_fbits"
5616      bin_pt          "internal_coeff_fbits"
5617      dbl_ovrd        off
5618      optimize        "Area"
5619      use_rpm         on
5620      xl_use_area         off
5621      xl_area         "[0,0,0,0,0,0,0]"
5622      has_advanced_control    "0"
5623      sggui_pos       "376,520,356,317"
5624      block_type          "sprom"
5625      block_version       "8.2.01"
5626      sg_icon_stat        "60,56,1,1,white,blue,0,a8b86474,right"
5627      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');"
5628"\npatch([0 60 60 0 ],[0 0 56 56 ],[0.77 0.82 0.91]);\npatch([15 6 19 6 15 30 "
5629"34 38 54 41 29 20 32 20 29 41 54 38 34 30 15 ],[6 15 28 41 50 50 46 50 50 37 "
5630"49 40 28 16 7 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 56"
5631" 56 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: be"
5632"gin icon text');\ncolor('black');port_label('input',1,'addr');\ncolor('black'"
5633");disp('z^{-1}','texmode','on');\nfprintf('','COMMENT: end icon text');\n"
5634    }
5635    Block {
5636      BlockType       Reference
5637      Name            "ROM 5"
5638      Ports           [1, 1]
5639      Position        [1215, 307, 1275, 363]
5640      SourceBlock         "xbsIndex_r4/ROM"
5641      SourceType          "Xilinx Single Port Read-Only Memory Block"
5642      depth           "4"
5643      initVector          "[h(21:24)]"
5644      distributed_mem     "Distributed memory"
5645      rst             off
5646      init_reg        "0"
5647      en              off
5648      latency         "1"
5649      arith_type          "Signed  (2's comp)"
5650      n_bits          "internal_coeff_ibits + internal_coeff_fbits"
5651      bin_pt          "internal_coeff_fbits"
5652      dbl_ovrd        off
5653      optimize        "Area"
5654      use_rpm         on
5655      xl_use_area         off
5656      xl_area         "[0,0,0,0,0,0,0]"
5657      has_advanced_control    "0"
5658      sggui_pos       "434,585,356,317"
5659      block_type          "sprom"
5660      block_version       "8.2.01"
5661      sg_icon_stat        "60,56,1,1,white,blue,0,a8b86474,right"
5662      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');"
5663"\npatch([0 60 60 0 ],[0 0 56 56 ],[0.77 0.82 0.91]);\npatch([15 6 19 6 15 30 "
5664"34 38 54 41 29 20 32 20 29 41 54 38 34 30 15 ],[6 15 28 41 50 50 46 50 50 37 "
5665"49 40 28 16 7 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 56"
5666" 56 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: be"
5667"gin icon text');\ncolor('black');port_label('input',1,'addr');\ncolor('black'"
5668");disp('z^{-1}','texmode','on');\nfprintf('','COMMENT: end icon text');\n"
5669    }
5670    Block {
5671      BlockType       Reference
5672      Name            "ROM 6"
5673      Ports           [1, 1]
5674      Position        [1335, 302, 1395, 358]
5675      SourceBlock         "xbsIndex_r4/ROM"
5676      SourceType          "Xilinx Single Port Read-Only Memory Block"
5677      depth           "4"
5678      initVector          "[h(25:28)]"
5679      distributed_mem     "Distributed memory"
5680      rst             off
5681      init_reg        "0"
5682      en              off
5683      latency         "1"
5684      arith_type          "Signed  (2's comp)"
5685      n_bits          "internal_coeff_ibits + internal_coeff_fbits"
5686      bin_pt          "internal_coeff_fbits"
5687      dbl_ovrd        off
5688      optimize        "Area"
5689      use_rpm         on
5690      xl_use_area         off
5691      xl_area         "[0,0,0,0,0,0,0]"
5692      has_advanced_control    "0"
5693      sggui_pos       "454,646,356,317"
5694      block_type          "sprom"
5695      block_version       "8.2.01"
5696      sg_icon_stat        "60,56,1,1,white,blue,0,a8b86474,right"
5697      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');"
5698"\npatch([0 60 60 0 ],[0 0 56 56 ],[0.77 0.82 0.91]);\npatch([15 6 19 6 15 30 "
5699"34 38 54 41 29 20 32 20 29 41 54 38 34 30 15 ],[6 15 28 41 50 50 46 50 50 37 "
5700"49 40 28 16 7 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 56"
5701" 56 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: be"
5702"gin icon text');\ncolor('black');port_label('input',1,'addr');\ncolor('black'"
5703");disp('z^{-1}','texmode','on');\nfprintf('','COMMENT: end icon text');\n"
5704    }
5705    Block {
5706      BlockType       Reference
5707      Name            "ROM 7"
5708      Ports           [1, 1]
5709      Position        [1455, 302, 1515, 358]
5710      SourceBlock         "xbsIndex_r4/ROM"
5711      SourceType          "Xilinx Single Port Read-Only Memory Block"
5712      depth           "4"
5713      initVector          "[h(29:32)]"
5714      distributed_mem     "Distributed memory"
5715      rst             off
5716      init_reg        "0"
5717      en              off
5718      latency         "1"
5719      arith_type          "Signed  (2's comp)"
5720      n_bits          "internal_coeff_ibits + internal_coeff_fbits"
5721      bin_pt          "internal_coeff_fbits"
5722      dbl_ovrd        off
5723      optimize        "Area"
5724      use_rpm         on
5725      xl_use_area         off
5726      xl_area         "[0,0,0,0,0,0,0]"
5727      has_advanced_control    "0"
5728      sggui_pos       "197,479,356,317"
5729      block_type          "sprom"
5730      block_version       "8.2.01"
5731      sg_icon_stat        "60,56,1,1,white,blue,0,a8b86474,right"
5732      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');"
5733"\npatch([0 60 60 0 ],[0 0 56 56 ],[0.77 0.82 0.91]);\npatch([15 6 19 6 15 30 "
5734"34 38 54 41 29 20 32 20 29 41 54 38 34 30 15 ],[6 15 28 41 50 50 46 50 50 37 "
5735"49 40 28 16 7 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 56"
5736" 56 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: be"
5737"gin icon text');\ncolor('black');port_label('input',1,'addr');\ncolor('black'"
5738");disp('z^{-1}','texmode','on');\nfprintf('','COMMENT: end icon text');\n"
5739    }
5740    Block {
5741      BlockType       Reference
5742      Name            "Reinterpret"
5743      Ports           [1, 1]
5744      Position        [1440, 959, 1490, 991]
5745      SourceBlock         "xbsIndex_r4/Reinterpret"
5746      SourceType          "Xilinx Type Reinterpreter Block"
5747      infoedit        "Changes signal type without altering the bi"
5748"nary representation.   You can changed the signal between signed and unsigned"
5749", and relocate the binary point.<P><P>Hardware notes: In hardware this block "
5750"costs nothing.<P><P>Example:  Suppose the input is 6 bits wide, signed, with "
5751"2 fractional bits, and the output is forced to unsigned with 0 fractional bit"
5752"s.  Then an input of -2.0 (1110.00 in binary 2's complement) becomes an outpu"
5753"t of 56 (111000 in binary)."
5754      force_arith_type    on
5755      arith_type          "Signed  (2's comp)"
5756      force_bin_pt        on
5757      bin_pt          "decimate_out_fbits+4"
5758      has_advanced_control    "0"
5759      sggui_pos       "1155,19,538,502"
5760      block_type          "reinterpret"
5761      block_version       "8.2.01"
5762      sg_icon_stat        "50,32,1,1,white,blue,0,8982c1db,right"
5763      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');"
5764"\npatch([0 50 50 0 ],[0 0 32 32 ],[0.77 0.82 0.91]);\npatch([16 11 18 11 16 2"
5765"4 26 28 37 30 23 18 25 18 23 30 37 28 26 24 16 ],[3 8 15 22 27 27 25 27 27 20"
5766" 27 22 15 8 3 10 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 50 50 0 0 ],[0 0 32 "
5767"32 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: beg"
5768"in icon text');\ncolor('black');disp('reinterpret');\nfprintf('','COMMENT: en"
5769"d icon text');\n"
5770    }
5771    Block {
5772      BlockType       Reference
5773      Name            "Reinterpret1"
5774      Ports           [1, 1]
5775      Position        [1440, 874, 1490, 906]
5776      SourceBlock         "xbsIndex_r4/Reinterpret"
5777      SourceType          "Xilinx Type Reinterpreter Block"
5778      infoedit        "Changes signal type without altering the bi"
5779"nary representation.   You can changed the signal between signed and unsigned"
5780", and relocate the binary point.<P><P>Hardware notes: In hardware this block "
5781"costs nothing.<P><P>Example:  Suppose the input is 6 bits wide, signed, with "
5782"2 fractional bits, and the output is forced to unsigned with 0 fractional bit"
5783"s.  Then an input of -2.0 (1110.00 in binary 2's complement) becomes an outpu"
5784"t of 56 (111000 in binary)."
5785      force_arith_type    on
5786      arith_type          "Signed  (2's comp)"
5787      force_bin_pt        on
5788      bin_pt          "interp_out_fbits+4"
5789      has_advanced_control    "0"
5790      sggui_pos       "716,25,538,502"
5791      block_type          "reinterpret"
5792      block_version       "8.2.01"
5793      sg_icon_stat        "50,32,1,1,white,blue,0,8982c1db,right"
5794      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');"
5795"\npatch([0 50 50 0 ],[0 0 32 32 ],[0.77 0.82 0.91]);\npatch([16 11 18 11 16 2"
5796"4 26 28 37 30 23 18 25 18 23 30 37 28 26 24 16 ],[3 8 15 22 27 27 25 27 27 20"
5797" 27 22 15 8 3 10 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 50 50 0 0 ],[0 0 32 "
5798"32 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: beg"
5799"in icon text');\ncolor('black');disp('reinterpret');\nfprintf('','COMMENT: en"
5800"d icon text');\n"
5801    }
5802    Block {
5803      BlockType       Reference
5804      Name            "Relational"
5805      Ports           [2, 1]
5806      Position        [720, 1002, 775, 1058]
5807      SourceBlock         "xbsIndex_r4/Relational"
5808      SourceType          "Xilinx Arithmetic Relational Operator Block"
5809      mode            "a=b"
5810      en              off
5811      latency         "1"
5812      dbl_ovrd        off
5813      xl_use_area         off
5814      xl_area         "[0,0,0,0,0,0,0]"
5815      has_advanced_control    "0"
5816      sggui_pos       "-1,-1,-1,-1"
5817      block_type          "relational"
5818      block_version       "8.2.01"
5819      sg_icon_stat        "55,56,1,1,white,blue,0,1cf02e61,right"
5820      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');"
5821"\npatch([0 55 55 0 ],[0 0 56 56 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13 28 "
5822"32 36 52 40 28 19 32 19 28 40 52 36 32 28 13 ],[6 15 28 41 50 50 46 50 50 38 "
5823"50 41 28 15 6 18 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 55 55 0 0 ],[0 0 56"
5824" 56 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: be"
5825"gin icon text');\ncolor('black');port_label('input',1,'a');\ncolor('black');p"
5826"ort_label('input',2,'b');\ncolor('black');disp('\\newline\\bf{a=b}\\newlinez^"
5827"{-1}','texmode','on');\nfprintf('','COMMENT: end icon text');\n"
5828    }
5829    Block {
5830      BlockType       Reference
5831      Name            "Slice"
5832      Ports           [1, 1]
5833      Position        [1305, 960, 1365, 990]
5834      SourceBlock         "xbsIndex_r4/Slice"
5835      SourceType          "Xilinx Bit Slice Extractor Block"
5836      infoedit        "Extracts a given range of bits from each in"
5837"put sample and presents it at the output.  The output type is ordinarily unsi"
5838"gned with binary point at zero, but can be Boolean when the slice is one bit "
5839"wide.<P><P>Hardware notes: In hardware this block costs nothing."
5840      nbits           "(1 + decimate_out_ibits) + (decimate_out_fb"
5841"its + 4)"
5842      boolean_output      off
5843      mode            "Lower Bit Location + Width"
5844      bit1            "0"
5845      base1           "MSB of Input"
5846      bit0            "internal_data_fbits + internal_coeff_fbits "
5847"- (decimate_out_fbits + 4) + 2"
5848      base0           "LSB of Input"
5849      dbl_ovrd        off
5850      has_advanced_control    "0"
5851      sggui_pos       "611,20,536,501"
5852      block_type          "slice"
5853      block_version       "8.2.01"
5854      sg_icon_stat        "60,30,1,1,white,blue,0,b1026674,right"
5855      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');"
5856"\npatch([0 60 60 0 ],[0 0 30 30 ],[0.77 0.82 0.91]);\npatch([22 17 24 17 22 3"
5857"0 32 34 42 35 29 24 30 24 29 35 42 34 32 30 22 ],[3 8 15 22 27 27 25 27 27 20"
5858" 26 21 15 9 4 10 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 30 "
5859"30 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: beg"
5860"in icon text');\ncolor('black');port_label('output',1,'[a:b]');\nfprintf('','"
5861"COMMENT: end icon text');\n"
5862    }
5863    Block {
5864      BlockType       Reference
5865      Name            "Slice1"
5866      Ports           [1, 1]
5867      Position        [1305, 875, 1365, 905]
5868      SourceBlock         "xbsIndex_r4/Slice"
5869      SourceType          "Xilinx Bit Slice Extractor Block"
5870      infoedit        "Extracts a given range of bits from each in"
5871"put sample and presents it at the output.  The output type is ordinarily unsi"
5872"gned with binary point at zero, but can be Boolean when the slice is one bit "
5873"wide.<P><P>Hardware notes: In hardware this block costs nothing."
5874      nbits           "(1 + interp_out_ibits) + (interp_out_fbits "
5875"+ 4)"
5876      boolean_output      off
5877      mode            "Lower Bit Location + Width"
5878      bit1            "0"
5879      base1           "MSB of Input"
5880      bit0            "internal_data_fbits + internal_coeff_fbits "
5881"- (interp_out_fbits + 4)"
5882      base0           "LSB of Input"
5883      dbl_ovrd        off
5884      has_advanced_control    "0"
5885      sggui_pos       "171,25,536,501"
5886      block_type          "slice"
5887      block_version       "8.2.01"
5888      sg_icon_stat        "60,30,1,1,white,blue,0,b1026674,right"
5889      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');"
5890"\npatch([0 60 60 0 ],[0 0 30 30 ],[0.77 0.82 0.91]);\npatch([22 17 24 17 22 3"
5891"0 32 34 42 35 29 24 30 24 29 35 42 34 32 30 22 ],[3 8 15 22 27 27 25 27 27 20"
5892" 26 21 15 9 4 10 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 30 "
5893"30 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: beg"
5894"in icon text');\ncolor('black');port_label('output',1,'[a:b]');\nfprintf('','"
5895"COMMENT: end icon text');\n"
5896    }
5897    Block {
5898      BlockType       Reference
5899      Name            "Slice3"
5900      Ports           [1, 1]
5901      Position        [385, 590, 415, 650]
5902      Orientation         "down"
5903      NamePlacement       "alternate"
5904      SourceBlock         "xbsIndex_r4/Slice"
5905      SourceType          "Xilinx Bit Slice Extractor Block"
5906      infoedit        "Extracts a given range of bits from each in"
5907"put sample and presents it at the output.  The output type is ordinarily unsi"
5908"gned with binary point at zero, but can be Boolean when the slice is one bit "
5909"wide.<P><P>Hardware notes: In hardware this block costs nothing."
5910      nbits           "1"
5911      boolean_output      off
5912      mode            "Upper Bit Location + Width"
5913      bit1            "0"
5914      base1           "MSB of Input"
5915      bit0            "0"
5916      base0           "LSB of Input"
5917      dbl_ovrd        off
5918      has_advanced_control    "0"
5919      sggui_pos       "20,20,442,407"
5920      block_type          "slice"
5921      block_version       "8.2.01"
5922      sg_icon_stat        "30,60,1,1,white,blue,0,b1026674,right"
5923      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');"
5924"\npatch([0 60 60 0 ],[0 0 30 30 ],[0.77 0.82 0.91]);\npatch([22 17 24 17 22 3"
5925"0 32 34 42 35 29 24 30 24 29 35 42 34 32 30 22 ],[3 8 15 22 27 27 25 27 27 20"
5926" 26 21 15 9 4 10 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 30 "
5927"30 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: beg"
5928"in icon text');\ncolor('black');port_label('output',1,'[a:b]');\nfprintf('','"
5929"COMMENT: end icon text');\n"
5930    }
5931    Block {
5932      BlockType       Reference
5933      Name            "Up Sample"
5934      Ports           [1, 1]
5935      Position        [373, 790, 427, 850]
5936      Orientation         "down"
5937      NamePlacement       "alternate"
5938      SourceBlock         "xbsIndex_r4/Up Sample"
5939      SourceType          "Xilinx Up Sampler Block"
5940      infoedit        "Up samples input data.  Inserted values  ca"
5941"n be zeros or copies of the most recent input sample.<P><P>Hardware notes: No"
5942" hardware is needed if inserted values are copies of the input sample; otherw"
5943"ise, a mux and single bit flip-flop are used."
5944      sample_ratio        "4"
5945      copy_samples        off
5946      dbl_ovrd        off
5947      xl_use_area         off
5948      xl_area         "[0,0,0,0,0,0,0]"
5949      has_advanced_control    "0"
5950      sggui_pos       "20,20,356,266"
5951      block_type          "usamp"
5952      block_version       "8.2.01"
5953      sg_icon_stat        "54,60,1,1,white,blue,0,b6c489dd,right"
5954      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');"
5955"\npatch([0 60 60 0 ],[0 0 54 54 ],[0.77 0.82 0.91]);\npatch([16 7 20 7 16 30 "
5956"34 38 53 41 29 21 35 21 29 41 53 38 34 30 16 ],[6 15 28 41 50 50 46 50 50 38 "
5957"50 42 28 14 6 18 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 54"
5958" 54 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: be"
5959"gin icon text');\ncolor('black');disp('{\\fontsize{14pt}\\bf\\uparrow}4','tex"
5960"mode','on');\nfprintf('','COMMENT: end icon text');\n"
5961    }
5962    Block {
5963      BlockType       Outport
5964      Name            "Decimate Out"
5965      Position        [1950, 1000, 2005, 1030]
5966      IconDisplay         "Port number"
5967      BusOutputAsStruct   off
5968    }
5969    Block {
5970      BlockType       Outport
5971      Name            "Interp Out"
5972      Position        [1950, 876, 2005, 904]
5973      Port            "2"
5974      IconDisplay         "Port number"
5975      BusOutputAsStruct   off
5976    }
5977    Line {
5978      SrcBlock        "Convert"
5979      SrcPort         1
5980      Points          [0, 75]
5981      DstBlock        "Counter2"
5982      DstPort         1
5983    }
5984    Line {
5985      SrcBlock        "Counter2"
5986      SrcPort         1
5987      Points          [0, 0; 45, 0]
5988      Branch {
5989        Points          [0, -680]
5990        Branch {
5991          DstBlock            "ROM 0"
5992          DstPort             1
5993        }
5994        Branch {
5995          Points              [0, -40; 120, 0]
5996          Branch {
5997        Points          [120, 0]
5998        Branch {
5999          Points          [120, 0]
6000          Branch {
6001            Points          [120, 0]
6002            Branch {
6003            Points          [120, 0]
6004            Branch {
6005            Points          [125, 0]
6006            Branch {
6007            Points          [120, 0; 0, 35]
6008            DstBlock            "ROM 7"
6009            DstPort         1
6010            }
6011            Branch {
6012            Points          [0, 35]
6013            DstBlock            "ROM 6"
6014            DstPort         1
6015            }
6016            }
6017            Branch {
6018            Points          [0, 40]
6019            DstBlock            "ROM 5"
6020            DstPort         1
6021            }
6022            }
6023            Branch {
6024            Points          [0, 40]
6025            DstBlock            "ROM 4"
6026            DstPort         1
6027            }
6028          }
6029          Branch {
6030            Points          [0, 40]
6031            DstBlock            "ROM 3"
6032            DstPort         1
6033          }
6034        }
6035        Branch {
6036          Points          [0, 40]
6037          DstBlock        "ROM 2"
6038          DstPort         1
6039        }
6040          }
6041          Branch {
6042        Points          [0, 40]
6043        DstBlock        "ROM 1"
6044        DstPort         1
6045          }
6046        }
6047      }
6048      Branch {
6049        DstBlock            "Relational"
6050        DstPort         1
6051      }
6052    }
6053    Line {
6054      SrcBlock        "Relational"
6055      SrcPort         1
6056      Points          [0, 0; 340, 0]
6057      Branch {
6058        DstBlock            "DecimateOutDelay"
6059        DstPort         2
6060      }
6061      Branch {
6062        Points          [0, -40]
6063        DstBlock            "Accumulator"
6064        DstPort         2
6065      }
6066    }
6067    Line {
6068      SrcBlock        "Constant1"
6069      SrcPort         1
6070      Points          [0, 0]
6071      DstBlock        "Relational"
6072      DstPort         2
6073    }
6074    Line {
6075      SrcBlock        "Up Sample"
6076      SrcPort         1
6077      DstBlock        "Convert"
6078      DstPort         1
6079    }
6080    Line {
6081      SrcBlock        "Down Sample1"
6082      SrcPort         1
6083      Points          [0, 0]
6084      DstBlock        "Up Sample"
6085      DstPort         1
6086    }
6087    Line {
6088      SrcBlock        "Slice3"
6089      SrcPort         1
6090      DstBlock        "Down Sample1"
6091      DstPort         1
6092    }
6093    Line {
6094      SrcBlock        "Counter1"
6095      SrcPort         1
6096      Points          [0, 0]
6097      DstBlock        "Slice3"
6098      DstPort         1
6099    }
6100    Line {
6101      SrcBlock        "Logical"
6102      SrcPort         1
6103      DstBlock        "Counter1"
6104      DstPort         1
6105    }
6106    Line {
6107      SrcBlock        "Constant2"
6108      SrcPort         1
6109      Points          [0, 0]
6110      DstBlock        "Logical"
6111      DstPort         1
6112    }
6113    Line {
6114      SrcBlock        "Reinterpret1"
6115      SrcPort         1
6116      DstBlock        "Interp Out Cnv"
6117      DstPort         1
6118    }
6119    Line {
6120      SrcBlock        "Slice1"
6121      SrcPort         1
6122      DstBlock        "Reinterpret1"
6123      DstPort         1
6124    }
6125    Line {
6126      SrcBlock        "Reinterpret"
6127      SrcPort         1
6128      DstBlock        "Decimate Out Cnv"
6129      DstPort         1
6130    }
6131    Line {
6132      SrcBlock        "Slice"
6133      SrcPort         1
6134      DstBlock        "Reinterpret"
6135      DstPort         1
6136    }
6137    Line {
6138      SrcBlock        "Accumulator"
6139      SrcPort         1
6140      DstBlock        "Slice"
6141      DstPort         1
6142    }
6143    Line {
6144      SrcBlock        "InterpOutDelay"
6145      SrcPort         1
6146      DstBlock        "Interp Out"
6147      DstPort         1
6148    }
6149    Line {
6150      SrcBlock        "Mode Select"
6151      SrcPort         1
6152      DstBlock        "Assert"
6153      DstPort         1
6154    }
6155    Line {
6156      SrcBlock        "Mux"
6157      SrcPort         1
6158      Points          [210, 0]
6159      Branch {
6160        DstBlock            "MULT0"
6161        DstPort         2
6162      }
6163      Branch {
6164        DstBlock            "ASR0"
6165        DstPort         1
6166      }
6167    }
6168    Line {
6169      SrcBlock        "Interp In Cnv"
6170      SrcPort         1
6171      Points          [45, 0; 0, -10]
6172      DstBlock        "Mux"
6173      DstPort         3
6174    }
6175    Line {
6176      SrcBlock        "Decimate In Cnv"
6177      SrcPort         1
6178      Points          [45, 0; 0, 10]
6179      DstBlock        "Mux"
6180      DstPort         2
6181    }
6182    Line {
6183      SrcBlock        "DAT Upsampler"
6184      SrcPort         1
6185      DstBlock        "Interp In Cnv"
6186      DstPort         1
6187    }
6188    Line {
6189      SrcBlock        "Decimate In"
6190      SrcPort         1
6191      DstBlock        "Decimate In Cnv"
6192      DstPort         1
6193    }
6194    Line {
6195      SrcBlock        "Decimate Out Cnv"
6196      SrcPort         1
6197      Points          [40, 0; 0, 25]
6198      DstBlock        "DecimateOutDelay"
6199      DstPort         1
6200    }
6201    Line {
6202      SrcBlock        "Interp Out Cnv"
6203      SrcPort         1
6204      DstBlock        "InterpOutDelay"
6205      DstPort         1
6206    }
6207    Line {
6208      SrcBlock        "ADDC"
6209      SrcPort         1
6210      Points          [0, 0; 0, 25]
6211      Branch {
6212        DstBlock            "Slice1"
6213        DstPort         1
6214      }
6215      Branch {
6216        Points          [0, 70]
6217        DstBlock            "Accumulator"
6218        DstPort         1
6219      }
6220    }
6221    Line {
6222      SrcBlock        "Interp In"
6223      SrcPort         1
6224      Points          [0, 0]
6225      DstBlock        "DAT Upsampler"
6226      DstPort         1
6227    }
6228    Line {
6229      SrcBlock        "Down Sample"
6230      SrcPort         1
6231      DstBlock        "Decimate Out"
6232      DstPort         1
6233    }
6234    Line {
6235      SrcBlock        "ADDB1"
6236      SrcPort         1
6237      Points          [0, 10; -120, 0]
6238      Branch {
6239        Points          [-110, 0]
6240        DstBlock            "ADDC"
6241        DstPort         2
6242      }
6243      Branch {
6244        Points          [0, -10]
6245        DstBlock            "Filter Debug"
6246        DstPort         3
6247      }
6248    }
6249    Line {
6250      SrcBlock        "ADDB0"
6251      SrcPort         1
6252      Points          [0, 10; 205, 0]
6253      Branch {
6254        Points          [20, 0]
6255        DstBlock            "ADDC"
6256        DstPort         1
6257      }
6258      Branch {
6259        Points          [0, 10]
6260        DstBlock            "Filter Debug"
6261        DstPort         4
6262      }
6263    }
6264    Line {
6265      SrcBlock        "ADDA3"
6266      SrcPort         1
6267      Points          [0, 20; -105, 0]
6268      DstBlock        "ADDB1"
6269      DstPort         2
6270    }
6271    Line {
6272      SrcBlock        "ADDA2"
6273      SrcPort         1
6274      Points          [0, 20; 110, 0]
6275      DstBlock        "ADDB1"
6276      DstPort         1
6277    }
6278    Line {
6279      SrcBlock        "ADDA1"
6280      SrcPort         1
6281      Points          [0, 20; -105, 0]
6282      DstBlock        "ADDB0"
6283      DstPort         2
6284    }
6285    Line {
6286      SrcBlock        "ADDA0"
6287      SrcPort         1
6288      Points          [0, 20; 105, 0]
6289      DstBlock        "ADDB0"
6290      DstPort         1
6291    }
6292    Line {
6293      SrcBlock        "MULT7"
6294      SrcPort         1
6295      Points          [0, 15; -45, 0]
6296      DstBlock        "ADDA3"
6297      DstPort         2
6298    }
6299    Line {
6300      SrcBlock        "MULT6"
6301      SrcPort         1
6302      Points          [0, 15; 45, 0]
6303      DstBlock        "ADDA3"
6304      DstPort         1
6305    }
6306    Line {
6307      SrcBlock        "MULT5"
6308      SrcPort         1
6309      Points          [0, 15; -50, 0]
6310      DstBlock        "ADDA2"
6311      DstPort         2
6312    }
6313    Line {
6314      SrcBlock        "MULT4"
6315      SrcPort         1
6316      Points          [0, 15; 45, 0]
6317      DstBlock        "ADDA2"
6318      DstPort         1
6319    }
6320    Line {
6321      SrcBlock        "MULT3"
6322      SrcPort         1
6323      Points          [0, 15; -45, 0]
6324      DstBlock        "ADDA1"
6325      DstPort         2
6326    }
6327    Line {
6328      SrcBlock        "MULT2"
6329      SrcPort         1
6330      Points          [0, 15; 45, 0]
6331      DstBlock        "ADDA1"
6332      DstPort         1
6333    }
6334    Line {
6335      SrcBlock        "MULT1"
6336      SrcPort         1
6337      Points          [0, 15; -45, 0]
6338      DstBlock        "ADDA0"
6339      DstPort         2
6340    }
6341    Line {
6342      SrcBlock        "MULT0"
6343      SrcPort         1
6344      Points          [0, 15; 45, 0]
6345      DstBlock        "ADDA0"
6346      DstPort         1
6347    }
6348    Line {
6349      SrcBlock        "ROM 6"
6350      SrcPort         1
6351      Points          [10, 0]
6352      DstBlock        "MULT6"
6353      DstPort         1
6354    }
6355    Line {
6356      SrcBlock        "ROM 5"
6357      SrcPort         1
6358      Points          [10, 0]
6359      DstBlock        "MULT5"
6360      DstPort         1
6361    }
6362    Line {
6363      SrcBlock        "ROM 4"
6364      SrcPort         1
6365      Points          [5, 0]
6366      DstBlock        "MULT4"
6367      DstPort         1
6368    }
6369    Line {
6370      SrcBlock        "ROM 3"
6371      SrcPort         1
6372      Points          [10, 0]
6373      DstBlock        "MULT3"
6374      DstPort         1
6375    }
6376    Line {
6377      SrcBlock        "ROM 2"
6378      SrcPort         1
6379      Points          [10, 0]
6380      DstBlock        "MULT2"
6381      DstPort         1
6382    }
6383    Line {
6384      SrcBlock        "ROM 7"
6385      SrcPort         1
6386      Points          [10, 0]
6387      DstBlock        "MULT7"
6388      DstPort         1
6389    }
6390    Line {
6391      SrcBlock        "ASR6"
6392      SrcPort         1
6393      Points          [35, 0]
6394      DstBlock        "MULT7"
6395      DstPort         2
6396    }
6397    Line {
6398      SrcBlock        "ROM 1"
6399      SrcPort         1
6400      Points          [10, 0]
6401      DstBlock        "MULT1"
6402      DstPort         1
6403    }
6404    Line {
6405      SrcBlock        "ROM 0"
6406      SrcPort         1
6407      Points          [10, 0]
6408      DstBlock        "MULT0"
6409      DstPort         1
6410    }
6411    Line {
6412      SrcBlock        "ASR5"
6413      SrcPort         1
6414      Points          [0, 0; 35, 0]
6415      Branch {
6416        DstBlock            "MULT6"
6417        DstPort         2
6418      }
6419      Branch {
6420        Points          [0, -15]
6421        DstBlock            "ASR6"
6422        DstPort         1
6423      }
6424    }
6425    Line {
6426      SrcBlock        "ASR4"
6427      SrcPort         1
6428      Points          [0, 0; 40, 0]
6429      Branch {
6430        DstBlock            "MULT5"
6431        DstPort         2
6432      }
6433      Branch {
6434        Points          [0, -15]
6435        DstBlock            "ASR5"
6436        DstPort         1
6437      }
6438    }
6439    Line {
6440      SrcBlock        "ASR3"
6441      SrcPort         1
6442      Points          [0, 0; 35, 0]
6443      Branch {
6444        DstBlock            "MULT4"
6445        DstPort         2
6446      }
6447      Branch {
6448        Points          [0, -15]
6449        DstBlock            "ASR4"
6450        DstPort         1
6451      }
6452    }
6453    Line {
6454      SrcBlock        "ASR2"
6455      SrcPort         1
6456      Points          [0, 0; 35, 0]
6457      Branch {
6458        DstBlock            "MULT3"
6459        DstPort         2
6460      }
6461      Branch {
6462        Points          [0, -15]
6463        DstBlock            "ASR3"
6464        DstPort         1
6465      }
6466    }
6467    Line {
6468      SrcBlock        "ASR1"
6469      SrcPort         1
6470      Points          [0, 0; 35, 0]
6471      Branch {
6472        DstBlock            "MULT2"
6473        DstPort         2
6474      }
6475      Branch {
6476        Points          [0, -15]
6477        DstBlock            "ASR2"
6478        DstPort         1
6479      }
6480    }
6481    Line {
6482      SrcBlock        "Constant"
6483      SrcPort         1
6484      Points          [0, 0; 50, 0]
6485      Branch {
6486        Points          [0, -35]
6487        DstBlock            "ASR0"
6488        DstPort         2
6489      }
6490      Branch {
6491        Points          [120, 0]
6492        Branch {
6493          Points              [120, 0]
6494          Branch {
6495        Points          [120, 0]
6496        Branch {
6497          Points          [120, 0]
6498          Branch {
6499            Points          [125, 0]
6500            Branch {
6501            Points          [120, 0; 0, -35]
6502            DstBlock            "ASR6"
6503            DstPort         2
6504            }
6505            Branch {
6506            Points          [0, -35]
6507            DstBlock            "ASR5"
6508            DstPort         2
6509            }
6510          }
6511          Branch {
6512            Points          [0, -35]
6513            DstBlock            "ASR4"
6514            DstPort         2
6515          }
6516        }
6517        Branch {
6518          Points          [0, -35]
6519          DstBlock        "ASR3"
6520          DstPort         2
6521        }
6522          }
6523          Branch {
6524        Points          [0, -35]
6525        DstBlock        "ASR2"
6526        DstPort         2
6527          }
6528        }
6529        Branch {
6530          Points              [0, -35]
6531          DstBlock            "ASR1"
6532          DstPort             2
6533        }
6534      }
6535    }
6536    Line {
6537      SrcBlock        "ASR0"
6538      SrcPort         1
6539      Points          [0, 0; 35, 0]
6540      Branch {
6541        DstBlock            "MULT1"
6542        DstPort         2
6543      }
6544      Branch {
6545        Points          [0, -15]
6546        DstBlock            "ASR1"
6547        DstPort         1
6548      }
6549    }
6550    Line {
6551      SrcBlock        "DecimateOutDelay"
6552      SrcPort         1
6553      DstBlock        "Down Sample"
6554      DstPort         1
6555    }
6556    Line {
6557      SrcBlock        "Assert"
6558      SrcPort         1
6559      Points          [175, 0; 0, 25]
6560      Branch {
6561        DstBlock            "Logical"
6562        DstPort         2
6563      }
6564      Branch {
6565        DstBlock            "Mux"
6566        DstPort         1
6567      }
6568    }
6569    Annotation {
6570      Position        [59, 162]
6571    }
6572      }
6573    }
6574    Block {
6575      BlockType           Scope
6576      Name            "Filter Scope"
6577      Ports           [4]
6578      Position            [960, 194, 990, 476]
6579      Floating            off
6580      Location            [1933, 115, 3320, 875]
6581      Open            on
6582      NumInputPorts       "4"
6583      TickLabels          "on"
6584      ZoomMode            "xonly"
6585      List {
6586    ListType        AxesTitles
6587    axes1           "%<SignalLabel>"
6588    axes2           "%<SignalLabel>"
6589    axes3           "%<SignalLabel>"
6590    axes4           "%<SignalLabel>"
6591      }
6592      TimeRange           "200"
6593      YMin            "-0.8~-0.8~-1~-1"
6594      YMax            "0.8~0.8~1~1"
6595      DataFormat          "StructureWithTime"
6596      LimitDataPoints         off
6597      SampleTime          "0"
6598    }
6599    Block {
6600      BlockType           Reference
6601      Name            "Interp_En"
6602      Ports           [1, 1]
6603      Position            [150, 165, 215, 185]
6604      NamePlacement       "alternate"
6605      SourceBlock         "xbsIndex_r4/Gateway In"
6606      SourceType          "Xilinx Gateway In Block"
6607      infoedit            "Gateway in block.  Converts inputs of type Simu"
6608"link integer, double and fixed point to  Xilinx fixed point type.<P><P>Hardwa"
6609"re notes:  In hardware these blocks become top level input ports."
6610      arith_type          "Boolean"
6611      n_bits              "1"
6612      bin_pt              "0"
6613      quantization        "Round  (unbiased: +/- Inf)"
6614      overflow            "Flag as error"
6615      period              "1"
6616      dbl_ovrd            off
6617      timing_constraint       "None"
6618      locs_specified          off
6619      LOCs            "{}"
6620      xl_use_area         off
6621      xl_area             "[0,0,0,0,0,0,0]"
6622      has_advanced_control    "0"
6623      sggui_pos           "20,20,356,432"
6624      block_type          "gatewayin"
6625      block_version       "8.2.01"
6626      sg_icon_stat        "65,20,1,1,white,yellow,0,bc55d28f,right"
6627      sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npa"
6628"tch([0 65 65 0 ],[0 0 20 20 ],[0.95 0.93 0.65]);\npatch([27 24 29 24 27 32 33"
6629" 34 40 36 32 29 34 29 32 36 40 34 33 32 27 ],[2 5 10 15 18 18 17 18 18 14 18 "
6630"15 10 5 2 6 2 2 3 2 2 ],[0.98 0.96 0.92]);\nplot([0 65 65 0 0 ],[0 0 20 20 0 "
6631"]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin ic"
6632"on text');\ncolor('black');port_label('input',1,'\\fontsize{11pt}\\bf In ','t"
6633"exmode','on');\ncolor('black');port_label('output',1,' ');\nfprintf('','COMME"
6634"NT: end icon text');\n"
6635    }
6636    Block {
6637      BlockType           Reference
6638      Name            "Mux"
6639      Ports           [3, 1]
6640      Position            [655, 201, 675, 259]
6641      ShowName            off
6642      SourceBlock         "xbsIndex_r4/Mux"
6643      SourceType          "Xilinx Bus Multiplexer Block"
6644      inputs              "2"
6645      en              off
6646      latency             "1"
6647      precision           "Full"
6648      arith_type          "Unsigned"
6649      n_bits              "16"
6650      bin_pt              "14"
6651      quantization        "Truncate"
6652      overflow            "Wrap"
6653      dbl_ovrd            off
6654      xl_use_area         off
6655      xl_area             "[0,0,0,0,0,0,0]"
6656      has_advanced_control    "0"
6657      sggui_pos           "20,20,348,303"
6658      block_type          "mux"
6659      block_version       "10.1"
6660      sg_icon_stat        "20,58,1,1,white,blue,3,03f9c9b9,right"
6661      sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npa"
6662"tch([0 45 45 0 ],[0 14.8571 89.1429 104 ],[0.77 0.82 0.91]);\npatch([10 3 14 "
6663"3 10 22 25 28 41 31 21 14 25 14 21 31 41 28 25 22 10 ],[34 41 52 63 70 70 67 "
6664"70 70 60 70 63 52 41 34 44 34 34 37 34 34 ],[0.98 0.96 0.92]);\nplot([0 45 45"
6665" 0 0 ],[0 14.8571 89.1429 104 0 ]);\nfprintf('','COMMENT: end icon graphics')"
6666";\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input'"
6667",1,'sel');\ncolor('black');port_label('input',2,'d0');\ncolor('black');port_l"
6668"abel('input',3,'d1');\ncolor('black');disp('\\bf{  z^{-1}}','texmode','on');"
6669"\nfprintf('','COMMENT: end icon text');\n"
6670    }
6671    Block {
6672      BlockType           Reference
6673      Name            "Mux1"
6674      Ports           [3, 1]
6675      Position            [655, 341, 675, 399]
6676      ShowName            off
6677      SourceBlock         "xbsIndex_r4/Mux"
6678      SourceType          "Xilinx Bus Multiplexer Block"
6679      inputs              "2"
6680      en              off
6681      latency             "1"
6682      precision           "Full"
6683      arith_type          "Unsigned"
6684      n_bits              "16"
6685      bin_pt              "14"
6686      quantization        "Truncate"
6687      overflow            "Wrap"
6688      dbl_ovrd            off
6689      xl_use_area         off
6690      xl_area             "[0,0,0,0,0,0,0]"
6691      has_advanced_control    "0"
6692      sggui_pos           "20,20,348,303"
6693      block_type          "mux"
6694      block_version       "10.1"
6695      sg_icon_stat        "20,58,1,1,white,blue,3,03f9c9b9,right"
6696      sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npa"
6697"tch([0 45 45 0 ],[0 14.8571 89.1429 104 ],[0.77 0.82 0.91]);\npatch([10 3 14 "
6698"3 10 22 25 28 41 31 21 14 25 14 21 31 41 28 25 22 10 ],[34 41 52 63 70 70 67 "
6699"70 70 60 70 63 52 41 34 44 34 34 37 34 34 ],[0.98 0.96 0.92]);\nplot([0 45 45"
6700" 0 0 ],[0 14.8571 89.1429 104 0 ]);\nfprintf('','COMMENT: end icon graphics')"
6701";\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input'"
6702",1,'sel');\ncolor('black');port_label('input',2,'d0');\ncolor('black');port_l"
6703"abel('input',3,'d1');\ncolor('black');disp('\\bf{  z^{-1}}','texmode','on');"
6704"\nfprintf('','COMMENT: end icon text');\n"
6705    }
6706    Block {
6707      BlockType           Reference
6708      Name            "Mux2"
6709      Ports           [3, 1]
6710      Position            [655, 271, 675, 329]
6711      ShowName            off
6712      SourceBlock         "xbsIndex_r4/Mux"
6713      SourceType          "Xilinx Bus Multiplexer Block"
6714      inputs              "2"
6715      en              off
6716      latency             "1"
6717      precision           "Full"
6718      arith_type          "Unsigned"
6719      n_bits              "16"
6720      bin_pt              "14"
6721      quantization        "Truncate"
6722      overflow            "Wrap"
6723      dbl_ovrd            off
6724      xl_use_area         off
6725      xl_area             "[0,0,0,0,0,0,0]"
6726      has_advanced_control    "0"
6727      sggui_pos           "20,20,348,303"
6728      block_type          "mux"
6729      block_version       "10.1"
6730      sg_icon_stat        "20,58,1,1,white,blue,3,03f9c9b9,right"
6731      sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npa"
6732"tch([0 45 45 0 ],[0 14.8571 89.1429 104 ],[0.77 0.82 0.91]);\npatch([10 3 14 "
6733"3 10 22 25 28 41 31 21 14 25 14 21 31 41 28 25 22 10 ],[34 41 52 63 70 70 67 "
6734"70 70 60 70 63 52 41 34 44 34 34 37 34 34 ],[0.98 0.96 0.92]);\nplot([0 45 45"
6735" 0 0 ],[0 14.8571 89.1429 104 0 ]);\nfprintf('','COMMENT: end icon graphics')"
6736";\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input'"
6737",1,'sel');\ncolor('black');port_label('input',2,'d0');\ncolor('black');port_l"
6738"abel('input',3,'d1');\ncolor('black');disp('\\bf{  z^{-1}}','texmode','on');"
6739"\nfprintf('','COMMENT: end icon text');\n"
6740    }
6741    Block {
6742      BlockType           Reference
6743      Name            "Mux3"
6744      Ports           [3, 1]
6745      Position            [655, 411, 675, 469]
6746      ShowName            off
6747      SourceBlock         "xbsIndex_r4/Mux"
6748      SourceType          "Xilinx Bus Multiplexer Block"
6749      inputs              "2"
6750      en              off
6751      latency             "1"
6752      precision           "Full"
6753      arith_type          "Unsigned"
6754      n_bits              "16"
6755      bin_pt              "14"
6756      quantization        "Truncate"
6757      overflow            "Wrap"
6758      dbl_ovrd            off
6759      xl_use_area         off
6760      xl_area             "[0,0,0,0,0,0,0]"
6761      has_advanced_control    "0"
6762      sggui_pos           "20,20,348,303"
6763      block_type          "mux"
6764      block_version       "10.1"
6765      sg_icon_stat        "20,58,1,1,white,blue,3,03f9c9b9,right"
6766      sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npa"
6767"tch([0 45 45 0 ],[0 14.8571 89.1429 104 ],[0.77 0.82 0.91]);\npatch([10 3 14 "
6768"3 10 22 25 28 41 31 21 14 25 14 21 31 41 28 25 22 10 ],[34 41 52 63 70 70 67 "
6769"70 70 60 70 63 52 41 34 44 34 34 37 34 34 ],[0.98 0.96 0.92]);\nplot([0 45 45"
6770" 0 0 ],[0 14.8571 89.1429 104 0 ]);\nfprintf('','COMMENT: end icon graphics')"
6771";\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input'"
6772",1,'sel');\ncolor('black');port_label('input',2,'d0');\ncolor('black');port_l"
6773"abel('input',3,'d1');\ncolor('black');disp('\\bf{  z^{-1}}','texmode','on');"
6774"\nfprintf('','COMMENT: end icon text');\n"
6775    }
6776    Block {
6777      BlockType           Reference
6778      Name            "Rx_I"
6779      Ports           [1, 1]
6780      Position            [795, 220, 855, 240]
6781      NamePlacement       "alternate"
6782      SourceBlock         "xbsIndex_r4/Gateway Out"
6783      SourceType          "Xilinx Gateway Out Block"
6784      infoedit            "Gateway out block.  Converts Xilinx fixed point"
6785" inputs into ouputs of type Simulink integer, double, or fixed point.<P><P>Ha"
6786"rdware notes:  In hardware these blocks become top level output ports or are "
6787"discarded, depending on how they are configured."
6788      hdl_port            on
6789      timing_constraint       "None"
6790      locs_specified          off
6791      LOCs            "{}"
6792      xl_use_area         off
6793      xl_area             "[0,0,0,0,0,0,0]"
6794      has_advanced_control    "0"
6795      sggui_pos           "-1,-1,-1,-1"
6796      block_type          "gatewayout"
6797      block_version       "8.2.01"
6798      sg_icon_stat        "60,20,1,1,white,yellow,0,38220381,right"
6799      sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npa"
6800"tch([0 60 60 0 ],[0 0 20 20 ],[0.95 0.93 0.65]);\npatch([24 21 26 21 24 29 30"
6801" 31 37 33 29 26 31 26 29 33 37 31 30 29 24 ],[2 5 10 15 18 18 17 18 18 14 18 "
6802"15 10 5 2 6 2 2 3 2 2 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 20 20 0 "
6803"]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin ic"
6804"on text');\ncolor('black');port_label('input',1,' ');\ncolor('black');port_la"
6805"bel('output',1,'\\fontsize{11pt}\\bf Out ','texmode','on');\nfprintf('','COMM"
6806"ENT: end icon text');\n"
6807    }
6808    Block {
6809      BlockType           Reference
6810      Name            "Rx_I_fullrate"
6811      Ports           [1, 1]
6812      Position            [150, 235, 215, 255]
6813      NamePlacement       "alternate"
6814      SourceBlock         "xbsIndex_r4/Gateway In"
6815      SourceType          "Xilinx Gateway In Block"
6816      infoedit            "Gateway in block.  Converts inputs of type Simu"
6817"link integer, double and fixed point to  Xilinx fixed point type.<P><P>Hardwa"
6818"re notes:  In hardware these blocks become top level input ports."
6819      arith_type          "Signed  (2's comp)"
6820      n_bits              "14"
6821      bin_pt              "13"
6822      quantization        "Round  (unbiased: +/- Inf)"
6823      overflow            "Flag as error"
6824      period              "1"
6825      dbl_ovrd            off
6826      timing_constraint       "None"
6827      locs_specified          off
6828      LOCs            "{}"
6829      xl_use_area         off
6830      xl_area             "[0,0,0,0,0,0,0]"
6831      has_advanced_control    "0"
6832      sggui_pos           "20,20,356,432"
6833      block_type          "gatewayin"
6834      block_version       "8.2.01"
6835      sg_icon_stat        "65,20,1,1,white,yellow,0,bc55d28f,right"
6836      sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npa"
6837"tch([0 65 65 0 ],[0 0 20 20 ],[0.95 0.93 0.65]);\npatch([27 24 29 24 27 32 33"
6838" 34 40 36 32 29 34 29 32 36 40 34 33 32 27 ],[2 5 10 15 18 18 17 18 18 14 18 "
6839"15 10 5 2 6 2 2 3 2 2 ],[0.98 0.96 0.92]);\nplot([0 65 65 0 0 ],[0 0 20 20 0 "
6840"]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin ic"
6841"on text');\ncolor('black');port_label('input',1,'\\fontsize{11pt}\\bf In ','t"
6842"exmode','on');\ncolor('black');port_label('output',1,' ');\nfprintf('','COMME"
6843"NT: end icon text');\n"
6844    }
6845    Block {
6846      BlockType           Reference
6847      Name            "Rx_Q"
6848      Ports           [1, 1]
6849      Position            [795, 360, 855, 380]
6850      NamePlacement       "alternate"
6851      SourceBlock         "xbsIndex_r4/Gateway Out"
6852      SourceType          "Xilinx Gateway Out Block"
6853      infoedit            "Gateway out block.  Converts Xilinx fixed point"
6854" inputs into ouputs of type Simulink integer, double, or fixed point.<P><P>Ha"
6855"rdware notes:  In hardware these blocks become top level output ports or are "
6856"discarded, depending on how they are configured."
6857      hdl_port            on
6858      timing_constraint       "None"
6859      locs_specified          off
6860      LOCs            "{}"
6861      xl_use_area         off
6862      xl_area             "[0,0,0,0,0,0,0]"
6863      has_advanced_control    "0"
6864      sggui_pos           "-1,-1,-1,-1"
6865      block_type          "gatewayout"
6866      block_version       "8.2.01"
6867      sg_icon_stat        "60,20,1,1,white,yellow,0,38220381,right"
6868      sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npa"
6869"tch([0 60 60 0 ],[0 0 20 20 ],[0.95 0.93 0.65]);\npatch([24 21 26 21 24 29 30"
6870" 31 37 33 29 26 31 26 29 33 37 31 30 29 24 ],[2 5 10 15 18 18 17 18 18 14 18 "
6871"15 10 5 2 6 2 2 3 2 2 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 20 20 0 "
6872"]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin ic"
6873"on text');\ncolor('black');port_label('input',1,' ');\ncolor('black');port_la"
6874"bel('output',1,'\\fontsize{11pt}\\bf Out ','texmode','on');\nfprintf('','COMM"
6875"ENT: end icon text');\n"
6876    }
6877    Block {
6878      BlockType           Reference
6879      Name            "Rx_Q_fullrate"
6880      Ports           [1, 1]
6881      Position            [150, 375, 215, 395]
6882      NamePlacement       "alternate"
6883      SourceBlock         "xbsIndex_r4/Gateway In"
6884      SourceType          "Xilinx Gateway In Block"
6885      infoedit            "Gateway in block.  Converts inputs of type Simu"
6886"link integer, double and fixed point to  Xilinx fixed point type.<P><P>Hardwa"
6887"re notes:  In hardware these blocks become top level input ports."
6888      arith_type          "Signed  (2's comp)"
6889      n_bits              "14"
6890      bin_pt              "13"
6891      quantization        "Round  (unbiased: +/- Inf)"
6892      overflow            "Flag as error"
6893      period              "1"
6894      dbl_ovrd            off
6895      timing_constraint       "None"
6896      locs_specified          off
6897      LOCs            "{}"
6898      xl_use_area         off
6899      xl_area             "[0,0,0,0,0,0,0]"
6900      has_advanced_control    "0"
6901      sggui_pos           "20,20,356,432"
6902      block_type          "gatewayin"
6903      block_version       "8.2.01"
6904      sg_icon_stat        "65,20,1,1,white,yellow,0,bc55d28f,right"
6905      sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npa"
6906"tch([0 65 65 0 ],[0 0 20 20 ],[0.95 0.93 0.65]);\npatch([27 24 29 24 27 32 33"
6907" 34 40 36 32 29 34 29 32 36 40 34 33 32 27 ],[2 5 10 15 18 18 17 18 18 14 18 "
6908"15 10 5 2 6 2 2 3 2 2 ],[0.98 0.96 0.92]);\nplot([0 65 65 0 0 ],[0 0 20 20 0 "
6909"]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin ic"
6910"on text');\ncolor('black');port_label('input',1,'\\fontsize{11pt}\\bf In ','t"
6911"exmode','on');\ncolor('black');port_label('output',1,' ');\nfprintf('','COMME"
6912"NT: end icon text');\n"
6913    }
6914    Block {
6915      BlockType           Sin
6916      Name            "Sine Wave1"
6917      Ports           [0, 1]
6918      Position            [35, 230, 65, 260]
6919      SineType            "Sample based"
6920      Amplitude           "0.98"
6921      Samples             "256"
6922      SampleTime          "1"
6923    }
6924    Block {
6925      BlockType           Sin
6926      Name            "Sine Wave2"
6927      Ports           [0, 1]
6928      Position            [35, 370, 65, 400]
6929      SineType            "Sample based"
6930      Amplitude           "0.98"
6931      Samples             "256"
6932      Offset              "64"
6933      SampleTime          "4"
6934    }
6935    Block {
6936      BlockType           ToWorkspace
6937      Name            "To Workspace"
6938      Position            [500, 565, 560, 595]
6939      VariableName        "simsrc"
6940      MaxDataPoints       "inf"
6941      SampleTime          "-1"
6942      SaveFormat          "Array"
6943    }
6944    Block {
6945      BlockType           Reference
6946      Name            "Tx_I"
6947      Ports           [1, 1]
6948      Position            [150, 260, 215, 280]
6949      SourceBlock         "xbsIndex_r4/Gateway In"
6950      SourceType          "Xilinx Gateway In Block"
6951      infoedit            "Gateway in block.  Converts inputs of type Simu"
6952"link integer, double and fixed point to  Xilinx fixed point type.<P><P>Hardwa"
6953"re notes:  In hardware these blocks become top level input ports."
6954      arith_type          "Signed  (2's comp)"
6955      n_bits              "16"
6956      bin_pt              "15"
6957      quantization        "Round  (unbiased: +/- Inf)"
6958      overflow            "Flag as error"
6959      period              "4"
6960      dbl_ovrd            off
6961      timing_constraint       "None"
6962      locs_specified          off
6963      LOCs            "{}"
6964      xl_use_area         off
6965      xl_area             "[0,0,0,0,0,0,0]"
6966      has_advanced_control    "0"
6967      sggui_pos           "20,20,356,432"
6968      block_type          "gatewayin"
6969      block_version       "8.2.01"
6970      sg_icon_stat        "65,20,1,1,white,yellow,0,bc55d28f,right"
6971      sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npa"
6972"tch([0 65 65 0 ],[0 0 20 20 ],[0.95 0.93 0.65]);\npatch([27 24 29 24 27 32 33"
6973" 34 40 36 32 29 34 29 32 36 40 34 33 32 27 ],[2 5 10 15 18 18 17 18 18 14 18 "
6974"15 10 5 2 6 2 2 3 2 2 ],[0.98 0.96 0.92]);\nplot([0 65 65 0 0 ],[0 0 20 20 0 "
6975"]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin ic"
6976"on text');\ncolor('black');port_label('input',1,'\\fontsize{11pt}\\bf In ','t"
6977"exmode','on');\ncolor('black');port_label('output',1,' ');\nfprintf('','COMME"
6978"NT: end icon text');\n"
6979    }
6980    Block {
6981      BlockType           Reference
6982      Name            "Tx_I_fullrate"
6983      Ports           [1, 1]
6984      Position            [800, 290, 860, 310]
6985      SourceBlock         "xbsIndex_r4/Gateway Out"
6986      SourceType          "Xilinx Gateway Out Block"
6987      infoedit            "Gateway out block.  Converts Xilinx fixed point"
6988" inputs into ouputs of type Simulink integer, double, or fixed point.<P><P>Ha"
6989"rdware notes:  In hardware these blocks become top level output ports or are "
6990"discarded, depending on how they are configured."
6991      hdl_port            on
6992      timing_constraint       "None"
6993      locs_specified          off
6994      LOCs            "{}"
6995      xl_use_area         off
6996      xl_area             "[0,0,0,0,0,0,0]"
6997      has_advanced_control    "0"
6998      sggui_pos           "-1,-1,-1,-1"
6999      block_type          "gatewayout"
7000      block_version       "8.2.01"
7001      sg_icon_stat        "60,20,1,1,white,yellow,0,38220381,right"
7002      sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npa"
7003"tch([0 60 60 0 ],[0 0 20 20 ],[0.95 0.93 0.65]);\npatch([24 21 26 21 24 29 30"
7004" 31 37 33 29 26 31 26 29 33 37 31 30 29 24 ],[2 5 10 15 18 18 17 18 18 14 18 "
7005"15 10 5 2 6 2 2 3 2 2 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 20 20 0 "
7006"]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin ic"
7007"on text');\ncolor('black');port_label('input',1,' ');\ncolor('black');port_la"
7008"bel('output',1,'\\fontsize{11pt}\\bf Out ','texmode','on');\nfprintf('','COMM"
7009"ENT: end icon text');\n"
7010    }
7011    Block {
7012      BlockType           Reference
7013      Name            "Tx_Q"
7014      Ports           [1, 1]
7015      Position            [150, 400, 215, 420]
7016      SourceBlock         "xbsIndex_r4/Gateway In"
7017      SourceType          "Xilinx Gateway In Block"
7018      infoedit            "Gateway in block.  Converts inputs of type Simu"
7019"link integer, double and fixed point to  Xilinx fixed point type.<P><P>Hardwa"
7020"re notes:  In hardware these blocks become top level input ports."
7021      arith_type          "Signed  (2's comp)"
7022      n_bits              "16"
7023      bin_pt              "15"
7024      quantization        "Round  (unbiased: +/- Inf)"
7025      overflow            "Flag as error"
7026      period              "4"
7027      dbl_ovrd            off
7028      timing_constraint       "None"
7029      locs_specified          off
7030      LOCs            "{}"
7031      xl_use_area         off
7032      xl_area             "[0,0,0,0,0,0,0]"
7033      has_advanced_control    "0"
7034      sggui_pos           "20,20,356,432"
7035      block_type          "gatewayin"
7036      block_version       "8.2.01"
7037      sg_icon_stat        "65,20,1,1,white,yellow,0,bc55d28f,right"
7038      sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npa"
7039"tch([0 65 65 0 ],[0 0 20 20 ],[0.95 0.93 0.65]);\npatch([27 24 29 24 27 32 33"
7040" 34 40 36 32 29 34 29 32 36 40 34 33 32 27 ],[2 5 10 15 18 18 17 18 18 14 18 "
7041"15 10 5 2 6 2 2 3 2 2 ],[0.98 0.96 0.92]);\nplot([0 65 65 0 0 ],[0 0 20 20 0 "
7042"]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin ic"
7043"on text');\ncolor('black');port_label('input',1,'\\fontsize{11pt}\\bf In ','t"
7044"exmode','on');\ncolor('black');port_label('output',1,' ');\nfprintf('','COMME"
7045"NT: end icon text');\n"
7046    }
7047    Block {
7048      BlockType           Reference
7049      Name            "Tx_Q_fullrate"
7050      Ports           [1, 1]
7051      Position            [795, 430, 855, 450]
7052      SourceBlock         "xbsIndex_r4/Gateway Out"
7053      SourceType          "Xilinx Gateway Out Block"
7054      infoedit            "Gateway out block.  Converts Xilinx fixed point"
7055" inputs into ouputs of type Simulink integer, double, or fixed point.<P><P>Ha"
7056"rdware notes:  In hardware these blocks become top level output ports or are "
7057"discarded, depending on how they are configured."
7058      hdl_port            on
7059      timing_constraint       "None"
7060      locs_specified          off
7061      LOCs            "{}"
7062      xl_use_area         off
7063      xl_area             "[0,0,0,0,0,0,0]"
7064      has_advanced_control    "0"
7065      sggui_pos           "-1,-1,-1,-1"
7066      block_type          "gatewayout"
7067      block_version       "8.2.01"
7068      sg_icon_stat        "60,20,1,1,white,yellow,0,38220381,right"
7069      sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npa"
7070"tch([0 60 60 0 ],[0 0 20 20 ],[0.95 0.93 0.65]);\npatch([24 21 26 21 24 29 30"
7071" 31 37 33 29 26 31 26 29 33 37 31 30 29 24 ],[2 5 10 15 18 18 17 18 18 14 18 "
7072"15 10 5 2 6 2 2 3 2 2 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 20 20 0 "
7073"]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin ic"
7074"on text');\ncolor('black');port_label('input',1,' ');\ncolor('black');port_la"
7075"bel('output',1,'\\fontsize{11pt}\\bf Out ','texmode','on');\nfprintf('','COMM"
7076"ENT: end icon text');\n"
7077    }
7078    Block {
7079      BlockType           Reference
7080      Name            "Tx_Q_fullrate1"
7081      Ports           [1, 1]
7082      Position            [350, 570, 410, 590]
7083      ShowName            off
7084      SourceBlock         "xbsIndex_r4/Gateway Out"
7085      SourceType          "Xilinx Gateway Out Block"
7086      infoedit            "Gateway out block.  Converts Xilinx fixed point"
7087" inputs into ouputs of type Simulink integer, double, or fixed point.<P><P>Ha"
7088"rdware notes:  In hardware these blocks become top level output ports or are "
7089"discarded, depending on how they are configured."
7090      hdl_port            on
7091      timing_constraint       "None"
7092      locs_specified          off
7093      LOCs            "{}"
7094      xl_use_area         off
7095      xl_area             "[0,0,0,0,0,0,0]"
7096      has_advanced_control    "0"
7097      sggui_pos           "-1,-1,-1,-1"
7098      block_type          "gatewayout"
7099      block_version       "8.2.01"
7100      sg_icon_stat        "60,20,1,1,white,yellow,0,38220381,right"
7101      sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npa"
7102"tch([0 60 60 0 ],[0 0 20 20 ],[0.95 0.93 0.65]);\npatch([24 21 26 21 24 29 30"
7103" 31 37 33 29 26 31 26 29 33 37 31 30 29 24 ],[2 5 10 15 18 18 17 18 18 14 18 "
7104"15 10 5 2 6 2 2 3 2 2 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 20 20 0 "
7105"]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin ic"
7106"on text');\ncolor('black');port_label('input',1,' ');\ncolor('black');port_la"
7107"bel('output',1,'\\fontsize{11pt}\\bf Out ','texmode','on');\nfprintf('','COMM"
7108"ENT: end icon text');\n"
7109    }
7110    Line {
7111      SrcBlock            "Tx_I"
7112      SrcPort             1
7113      Points              [20, 0]
7114      Branch {
7115    DstBlock        "DualMode_Filter_I"
7116    DstPort         3
7117      }
7118      Branch {
7119    Points          [0, -115]
7120    DstBlock        "DAFIR v9_0 "
7121    DstPort         1
7122      }
7123      Branch {
7124    Points          [0, 50]
7125    Branch {
7126      DstBlock        "DAT Upsampler3"
7127      DstPort         1
7128    }
7129    Branch {
7130      Points          [0, 190]
7131      Branch {
7132        Points          [680, 0; 0, -140]
7133        DstBlock            "Filter Scope"
7134        DstPort         3
7135      }
7136      Branch {
7137        Points          [0, 70]
7138        DstBlock            "Tx_Q_fullrate1"
7139        DstPort         1
7140      }
7141    }
7142      }
7143    }
7144    Line {
7145      SrcBlock            "Tx_Q"
7146      SrcPort             1
7147      Points              [20, 0]
7148      Branch {
7149    DstBlock        "DualMode_Filter_Q"
7150    DstPort         3
7151      }
7152      Branch {
7153    Points          [0, 50]
7154    DstBlock        "DAT Upsampler2"
7155    DstPort         1
7156      }
7157    }
7158    Line {
7159      SrcBlock            "Interp_En"
7160      SrcPort             1
7161      Points              [15, 0; 0, 45]
7162      Branch {
7163    Points          [0, 140]
7164    DstBlock        "DualMode_Filter_Q"
7165    DstPort         1
7166      }
7167      Branch {
7168    DstBlock        "DualMode_Filter_I"
7169    DstPort         1
7170      }
7171    }
7172    Line {
7173      SrcBlock            "Rx_I_fullrate"
7174      SrcPort             1
7175      Points              [25, 0]
7176      Branch {
7177    Points          [0, 60; 195, 0; 0, -55]
7178    DstBlock        "Mux"
7179    DstPort         3
7180      }
7181      Branch {
7182    DstBlock        "DualMode_Filter_I"
7183    DstPort         2
7184      }
7185    }
7186    Line {
7187      SrcBlock            "Rx_Q_fullrate"
7188      SrcPort             1
7189      Points              [25, 0]
7190      Branch {
7191    DstBlock        "DualMode_Filter_Q"
7192    DstPort         2
7193      }
7194      Branch {
7195    Points          [0, 70; 190, 0; 0, -65]
7196    DstBlock        "Mux1"
7197    DstPort         3
7198      }
7199    }
7200    Line {
7201      SrcBlock            "DualMode_Filter_I"
7202      SrcPort             1
7203      DstBlock            "DAT Upsampler"
7204      DstPort             1
7205    }
7206    Line {
7207      SrcBlock            "DualMode_Filter_I"
7208      SrcPort             2
7209      Points              [60, 0; 0, 35]
7210      DstBlock            "Mux2"
7211      DstPort             2
7212    }
7213    Line {
7214      SrcBlock            "DualMode_Filter_Q"
7215      SrcPort             1
7216      DstBlock            "DAT Upsampler1"
7217      DstPort             1
7218    }
7219    Line {
7220      SrcBlock            "DualMode_Filter_Q"
7221      SrcPort             2
7222      Points              [60, 0; 0, 35]
7223      DstBlock            "Mux3"
7224      DstPort             2
7225    }
7226    Line {
7227      SrcBlock            "Sine Wave2"
7228      SrcPort             1
7229      Points              [45, 0]
7230      Branch {
7231    DstBlock        "Rx_Q_fullrate"
7232    DstPort         1
7233      }
7234      Branch {
7235    Points          [0, 25]
7236    DstBlock        "Tx_Q"
7237    DstPort         1
7238      }
7239      Branch {
7240    Points          [0, -115]
7241    Branch {
7242      Points          [0, -25]
7243      DstBlock        "Rx_I_fullrate"
7244      DstPort         1
7245    }
7246    Branch {
7247      DstBlock        "Tx_I"
7248      DstPort         1
7249    }
7250      }
7251    }
7252    Line {
7253      SrcBlock            "Constant"
7254      SrcPort             1
7255      DstBlock            "Interp_En"
7256      DstPort             1
7257    }
7258    Line {
7259      SrcBlock            "Tx_I_fullrate"
7260      SrcPort             1
7261      DstBlock            "Filter Scope"
7262      DstPort             2
7263    }
7264    Line {
7265      SrcBlock            "Mux"
7266      SrcPort             1
7267      DstBlock            "Rx_I"
7268      DstPort             1
7269    }
7270    Line {
7271      SrcBlock            "Bypass_DecFilt"
7272      SrcPort             1
7273      Points              [400, 0; 0, 115]
7274      Branch {
7275    DstBlock        "Mux"
7276    DstPort         1
7277      }
7278      Branch {
7279    Points          [0, 140]
7280    DstBlock        "Mux1"
7281    DstPort         1
7282      }
7283    }
7284    Line {
7285      SrcBlock            "Mux1"
7286      SrcPort             1
7287      DstBlock            "Rx_Q"
7288      DstPort             1
7289    }
7290    Line {
7291      SrcBlock            "DAT Upsampler"
7292      SrcPort             1
7293      DstBlock            "Mux"
7294      DstPort             2
7295    }
7296    Line {
7297      SrcBlock            "DAT Upsampler1"
7298      SrcPort             1
7299      DstBlock            "Mux1"
7300      DstPort             2
7301    }
7302    Line {
7303      SrcBlock            "Mux2"
7304      SrcPort             1
7305      DstBlock            "Tx_I_fullrate"
7306      DstPort             1
7307    }
7308    Line {
7309      SrcBlock            "Mux3"
7310      SrcPort             1
7311      DstBlock            "Tx_Q_fullrate"
7312      DstPort             1
7313    }
7314    Line {
7315      SrcBlock            "Bypass_InterpFilt"
7316      SrcPort             1
7317      Points              [390, 0; 0, 165]
7318      Branch {
7319    Points          [0, 140]
7320    DstBlock        "Mux3"
7321    DstPort         1
7322      }
7323      Branch {
7324    Points          [0, 0]
7325    DstBlock        "Mux2"
7326    DstPort         1
7327      }
7328    }
7329    Line {
7330      SrcBlock            "Constant1"
7331      SrcPort             1
7332      Points              [50, 0]
7333      Branch {
7334    DstBlock        "Bypass_DecFilt"
7335    DstPort         1
7336      }
7337      Branch {
7338    Points          [0, 20]
7339    DstBlock        "Bypass_InterpFilt"
7340    DstPort         1
7341      }
7342    }
7343    Line {
7344      SrcBlock            "DAT Upsampler2"
7345      SrcPort             1
7346      DstBlock            "Mux3"
7347      DstPort             3
7348    }
7349    Line {
7350      SrcBlock            "DAT Upsampler3"
7351      SrcPort             1
7352      DstBlock            "Mux2"
7353      DstPort             3
7354    }
7355    Line {
7356      SrcBlock            "DAFIR v9_0 "
7357      SrcPort             1
7358      Points              [555, 0]
7359      DstBlock            "Filter Scope"
7360      DstPort             1
7361    }
7362    Line {
7363      SrcBlock            "Tx_Q_fullrate1"
7364      SrcPort             1
7365      DstBlock            "To Workspace"
7366      DstPort             1
7367    }
7368  }
7369}
7370MatData {
7371  NumRecords          1
7372  DataRecord {
7373    Tag             DataTag0
7374    Data            "  %)30     .    B#D   8    (     @         %    "
7375"\"     $    !     0         %  0 !@    $    &    <V%V960    .    0#D   8    ("
7376"     @         %    \"     $    !     0         %  0 #     $    8    <VAA<F5D"
7377"        8V]M<&EL871I;VX #@   .@$   &    \"     (         !0    @    !     0  "
7378"  $         !0 $ !,    !    F    &-O;7!I;&%T:6]N          !C;VUP:6QA=&EO;E]L="
7379"70     <VEM=6QI;FM?<&5R:6]D     &EN8W)?;F5T;&ES=         !T<FEM7W9B:71S      "
7380"      9&)L7V]V<F0              &1E<')E8V%T961?8V]N=')O; !B;&]C:U]I8V]N7V1I<W!"
7381"L87D #@   #@    &    \"     0         !0    @    !    !P    $         $     <"
7382"   !T87)G970Q  X   !( @  !@    @    \"          4    (     0    $    !       "
7383"   4 !  '     0    X   !K97ES    =F%L=65S    #@    @!   &    \"     $        "
7384" !0    @    !     P    $         #@   $     &    \"     0         !0    @    "
7385"!    \"P    $         $     L   !(1$P@3F5T;&ES=       #@   $     &    \"     "
7386"0         !0    @    !    \"P    $         $     L   !.1T,@3F5T;&ES=       #@"
7387"   $@    &    \"     0         !0    @    !    &     $         $    !@   !%>'"
7388"!O<G0@87,@82!P8V]R92!T;R!%1$L.    Z     8    (     0         %    \"     $   "
7389" #     0         .    .     8    (    !          %    \"     $    '     0    "
7390"     0    !P   '1A<F=E=#$ #@   #@    &    \"     0         !0    @    !    !P"
7391"    $         $     <   !T87)G970R  X    X    !@    @    $          4    (   "
7392"  0    <    !         !     '    =&%R9V5T,P .    ,     8    (    !          %"
7393"    \"     $    !     0         0  $ ,0    X    P    !@    @    $          4 "
7394"   (     0    ,    !         !   P!O9F8 #@   $@    &    \"     0         !0  "
7395"  @    !    %P    $         $    !<   !%=F5R>7=H97)E(&EN(%-U8E-Y<W1E;0 .    2"
7396"     8    (    !          %    \"     $    8     0         0    &    $%C8V]R9"
7397"&EN9R!T;R!\";&]C:R!-87-K<PX    P    !@    @    $          4    (     0    ,  "
7398"  !         !   P!O9F8 #@   #@    &    \"     0         !0    @    !    !P   "
7399" $         $     <   !$969A=6QT  X   #X,P  !@    @    \"          4    (     "
7400"0    $    !          4 !  (     0   !@   !T87)G970Q '1A<F=E=#( =&%R9V5T,P .  "
7401"  6 X   8    (     @         %    \"     $    !     0         %  0 '@    $   "
7402" :!   :6YF;V5D:70                             >&EL:6YX9F%M:6QY               "
7403"         <&%R=                                   <W!E960                     "
7404"            <&%C:V%G90                              <WEN=&AE<VES7W1O;VQ?<V=A9"
7405"'9A;F-E9       <WEN=&AE<VES7W1O;VP                     8VQO8VM?=W)A<'!E<E]S9V"
7406"%D=F%N8V5D        8VQO8VM?=W)A<'!E<@                      9&ER96-T;W)Y       "
7407"                     =&5S=&)E;F-H7W-G861V86YC960             =&5S=&)E;F-H    "
7408"                        <WES8VQK7W!E<FEO9                       9&-M7VEN<'5T7"
7409"V-L;V-K7W!E<FEO9           :6YC<E]N971L:7-T7W-G861V86YC960         =')I;5]V8F"
7410"ET<U]S9V%D=F%N8V5D            9&)L7V]V<F1?<V=A9'9A;F-E9               8V]R95]"
7411"G96YE<F%T:6]N7W-G861V86YC960     8V]R95]G96YE<F%T:6]N                    <G5N"
7412"7V-O<F5G96Y?<V=A9'9A;F-E9           <G5N7V-O<F5G96X                         9"
7413"&5P<F5C871E9%]C;VYT<F]L7W-G861V86YC960 979A;%]F:65L9                         "
7414"  :&%S7V%D=F%N8V5D7V-O;G1R;VP             <V=G=6E?<&]S                       "
7415"     8FQO8VM?='EP90                          8FQO8VM?=F5R<VEO;@              "
7416"        <V=?:6-O;E]S=&%T                        <V=?;6%S:U]D:7-P;&%Y         "
7417"           <V=?;&ES=%]C;VYT96YT<P                  <V=?8FQO8VMG=6E?>&UL      "
7418"              8VQO8VM?;&]C                            <WEN=&AE<VES7VQA;F=U86="
7419"E                8V5?8VQR                                <')E<V5R=F5?:&EE<F%R"
7420"8VAY                        #@   $@    &    \"     0         !0    @    !    "
7421"$0    $         $    !$    @4WES=&5M($=E;F5R871O<@         .    .     8    ( "
7422"   !          %    \"     $    (     0         0    \"    '9I<G1E>#)P#@   #@ "
7423"   &    \"     0         !0    @    !    !P    $         $     <   !X8S)V<#<P"
7424"  X    P    !@    @    $          4    (     0    (    !         !   @ M-@  #"
7425"@   #@    &    \"     0         !0    @    !    !@    $         $     8   !F9"
7426"C$U,3<   X    P    !@    @    $          4    (               !         !    "
7427"      #@   #     &    \"     0         !0    @    !     P    $         $  # %"
7428"A35  .    ,     8    (    !          %    \"                0         0      "
7429"    X   !     !@    @    $          4    (     0    T    !         !     -   "
7430" 0VQO8VL@16YA8FQE<P    X   !0    !@    @    $          4    (     0   !\\    "
7431"!         !     ?    +B]N971L:7-T7TA$3%]6,E!?=C U7V1E8T)Y<&%S<P .    ,     8 "
7432"   (    !          %    \"                0         0          X    P    !@  "
7433"  @    $          4    (     0    ,    !         !   P!O9F8 #@   #     &    "
7434"\"     0         !0    @    !     @    $         $  \" #(U   .    ,     8    "
7435"(    !          %    \"     $    #     0         0  , ,3 P  X    P    !@    @"
7436"    $          4    (               !         !          #@   #     &    \"  "
7437"   0         !0    @               $         $          .    ,     8    (    "
7438"!          %    \"                0         0          X    P    !@    @    $"
7439"          4    (               !         !          #@   $@    &    \"     0 "
7440"        !0    @    !    &     $         $    !@   !!8V-O<F1I;F<@=&\\@0FQO8VL@"
7441"36%S:W,.    ,     8    (    !          %    \"                0         0    "
7442"      X    P    !@    @    $          4    (     0    ,    !         !   P!O9"
7443"F8 #@   #     &    \"     0         !0    @               $         $        "
7444"  .    ,     8    (    !          %    \"     $    !     0         0  $ ,    "
7445" X    P    !@    @    $          4    (     0    $    !         !   0 P    #@"
7446"   $     &    \"     0         !0    @    !    \"P    $         $     L    M,"
7447"2PM,2PM,2PM,0      #@   #@    &    \"     0         !0    @    !    !@    $  "
7448"       $     8   !S>7-G96X   X    P    !@    @    $          4    (     0    "
7449"0    !         !  !  Q,\"XQ#@   %@    &    \"     0         !0    @    !    ("
7450"P    $         $    \",    U,2PU,\"PM,2PM,2QR960L8F5I9V4L,\"PP-S<S-\"QR:6=H= "
7451"      #@   , !   &    \"     0         !0    @    !    C@$   $         $    ("
7452"X!  !F<')I;G1F*\"<G+\"=#3TU-14Y4.B!B96=I;B!I8V]N(&=R87!H:6-S)RD[\"G!A=&-H*%LP"
7453"(#4Q(#4Q(# @72Q;,\" P(#4P(#4P(%TL6S N.3,@,\"XY,B P+C@V72D[\"G!A=&-H*%LQ,B T(#"
7454"$V(#0@,3(@,C4@,CD@,S,@-#<@,S8@,C4@,3<@,CD@,3<@,C4@,S8@-#<@,S,@,CD@,C4@,3(@72Q"
7455";-2 Q,R R-2 S-R T-2 T-2 T,2 T-2 T-2 S-\" T-2 S-R R-2 Q,R U(#$V(#4@-2 Y(#4@-2!"
7456"=+%LP+C8@,\"XR(# N,C5=*3L*<&QO=\"A;,\" U,2 U,2 P(# @72Q;,\" P(#4P(#4P(# @72D["
7457"\"F9P<FEN=&8H)R<L)T-/34U%3E0Z(&5N9\"!I8V]N(&=R87!H:6-S)RD[\"F9P<FEN=&8H)R<L)T"
7458"-/34U%3E0Z(&)E9VEN(&EC;VX@=&5X=\"<I.PIF<')I;G1F*\"<G+\"=#3TU-14Y4.B!E;F0@:6-O"
7459";B!T97AT)RD[\"@  #@   #     &    \"     0         !0    @               $    "
7460"     $          .    ,     8    (    !          %    \"                0     "
7461"    0          X    P    !@    @    $          4    (               !        "
7462" !          #@   #     &    \"     0         !0    @    !    !     $         "
7463"$  $ %9(1$P.    .     8    (    !@         %    \"     $    !     0         )"
7464"    \"               #@   #@    &    \"     8         !0    @    !     0    $"
7465"         \"0    @               X    P$   !@    @    \"          4    (     0"
7466"    $    !          4 !  >     0   '0$  !I;F9O961I=                          "
7467"   !X:6QI;GAF86UI;'D                       !P87)T                            "
7468"      !S<&5E9                                 !P86-K86=E                     "
7469"         !S>6YT:&5S:7-?=&]O;%]S9V%D=F%N8V5D      !S>6YT:&5S:7-?=&]O;         "
7470"            !C;&]C:U]W<F%P<&5R7W-G861V86YC960       !C;&]C:U]W<F%P<&5R       "
7471"               !D:7)E8W1O<GD                           !T97-T8F5N8VA?<V=A9'9A"
7472";F-E9             !T97-T8F5N8V@                           !S>7-C;&M?<&5R:6]D "
7473"                     !D8VU?:6YP=71?8VQO8VM?<&5R:6]D          !I;F-R7VYE=&QI<W"
7474"1?<V=A9'9A;F-E9         !T<FEM7W9B:71S7W-G861V86YC960           !D8FQ?;W9R9%]"
7475"S9V%D=F%N8V5D              !C;W)E7V=E;F5R871I;VY?<V=A9'9A;F-E9     !C;W)E7V=E"
7476";F5R871I;VX                   !R=6Y?8V]R96=E;E]S9V%D=F%N8V5D          !R=6Y?8"
7477"V]R96=E;@                        !D97!R96-A=&5D7V-O;G1R;VQ?<V=A9'9A;F-E9 !E=F"
7478"%L7V9I96QD                          !H87-?861V86YC961?8V]N=')O;             !"
7479"S9V=U:5]P;W,                           !B;&]C:U]T>7!E                        "
7480"  !B;&]C:U]V97)S:6]N                      !S9U]I8V]N7W-T870                  "
7481"     !S9U]M87-K7V1I<W!L87D                   !S9U]L:7-T7V-O;G1E;G1S          "
7482"        !S9U]B;&]C:V=U:5]X;6P                   !C;&]C:U]L;V,                "
7483"           !S>6YT:&5S:7-?;&%N9W5A9V4               !C95]C;'(                 "
7484"              !P<F5S97)V95]H:65R87)C:'D               !P;W-T9V5N97)A=&EO;E]F8"
7485"VX               !S971T:6YG<U]F8VX                       !N9V-?8V]N9FEG      "
7486"                          #@   $@    &    \"     0         !0    @    !    $0"
7487"    $         $    !$    @4WES=&5M($=E;F5R871O<@         .    .     8    (   "
7488" !          %    \"     $    (     0         0    \"    '9I<G1E>#)P#@   #@   "
7489" &    \"     0         !0    @    !    !P    $         $     <   !X8S)V<#<P  "
7490"X    P    !@    @    $          4    (     0    (    !         !   @ M-@  #@ "
7491"  #@    &    \"     0         !0    @    !    !@    $         $     8   !F9C$"
7492"U,3<   X    P    !@    @    $          4    (               !         !      "
7493"    #@   #     &    \"     0         !0    @    !     P    $         $  # %A3"
7494"5  .    ,     8    (    !          %    \"                0         0        "
7495"  X   !     !@    @    $          4    (     0    T    !         !     -    0"
7496"VQO8VL@16YA8FQE<P    X   !(    !@    @    $          4    (     0   !4    !  "
7497"       !     5    +B]N971L:7-T7TY'0U]6,E!?=C T    #@   #     &    \"     0   "
7498"      !0    @               $         $          .    ,     8    (    !      "
7499"    %    \"     $    #     0         0  , ;V9F  X    P    !@    @    $       "
7500"   4    (     0    (    !         !   @ R-0  #@   #     &    \"     0        "
7501" !0    @    !     P    $         $  # #$P,  .    ,     8    (    !          %"
7502"    \"                0         0          X    P    !@    @    $          4 "
7503"   (               !         !          #@   #     &    \"     0         !0  "
7504"  @               $         $          .    ,     8    (    !          %    "
7505"\"                0         0          X   !(    !@    @    $          4    ("
7506"     0   !@    !         !     8    06-C;W)D:6YG('1O($)L;V-K($UA<VMS#@   #   "
7507"  &    \"     0         !0    @               $         $          .    ,    "
7508" 8    (    !          %    \"     $    #     0         0  , ;V9F  X    P    !"
7509"@    @    $          4    (               !         !          #@   #     &  "
7510"  \"     0         !0    @    !     0    $         $  ! #     .    ,     8   "
7511" (    !          %    \"     $    !     0         0  $ ,     X   !     !@    "
7512"@    $          4    (     0    L    !         !     +    +3$L+3$L+3$L+3$    "
7513"   X    X    !@    @    $          4    (     0    8    !         !     &    "
7514"<WES9V5N   .    ,     8    (    !          %    \"     $    $     0         0"
7515"  0 ,3 N,0X   !8    !@    @    $          4    (     0   \",    !         !  "
7516"   C    -3$L-3 L+3$L+3$L<F5D+&)E:6=E+# L,#<W,S0L<FEG:'0       X   #  0  !@   "
7517" @    $          4    (     0   (X!   !         !    \". 0  9G!R:6YT9B@G)RPG0"
7518"T]-345.5#H@8F5G:6X@:6-O;B!G<F%P:&EC<R<I.PIP871C:\"A;,\" U,2 U,2 P(%TL6S @,\" "
7519"U,\" U,\"!=+%LP+CDS(# N.3(@,\"XX-ETI.PIP871C:\"A;,3(@-\" Q-B T(#$R(#(U(#(Y(#,"
7520"S(#0W(#,V(#(U(#$W(#(Y(#$W(#(U(#,V(#0W(#,S(#(Y(#(U(#$R(%TL6S4@,3,@,C4@,S<@-#4@"
7521"-#4@-#$@-#4@-#4@,S0@-#4@,S<@,C4@,3,@-2 Q-B U(#4@.2 U(#4@72Q;,\"XV(# N,B P+C(U"
7522"72D[\"G!L;W0H6S @-3$@-3$@,\" P(%TL6S @,\" U,\" U,\" P(%TI.PIF<')I;G1F*\"<G+\""
7523"=#3TU-14Y4.B!E;F0@:6-O;B!G<F%P:&EC<R<I.PIF<')I;G1F*\"<G+\"=#3TU-14Y4.B!B96=I;"
7524"B!I8V]N('1E>'0G*3L*9G!R:6YT9B@G)RPG0T]-345.5#H@96YD(&EC;VX@=&5X=\"<I.PH   X  "
7525"  P    !@    @    $          4    (               !         !          #@   #"
7526"     &    \"     0         !0    @               $         $          .    , "
7527"    8    (    !          %    \"                0         0          X    P  "
7528"  !@    @    $          4    (     0    0    !         !  ! !62$1,#@   #@    "
7529"&    \"     8         !0    @    !     0    $         \"0    @               "
7530"X    X    !@    @    &          4    (     0    $    !          D    (       "
7531"        .    2     8    (    !          %    \"     $    3     0         0   "
7532" $P   'AL3D=#4&]S=$=E;F5R871I;VX       X   !     !@    @    $          4    ("
7533"     0    T    !         !     -    >&QN9V-S971T:6YG<P    X   #H    !@    @  "
7534"  \"          4    (     0    $    !          4 !  5     0   \"H   !I;F-L=61E"
7535"7V-L;V-K=W)A<'!E<@!I;F-L=61E7V-F                       .    .     8    (    !"
7536"@         %    \"     $    !     0         )    \"            / _#@   #@    &"
7537"    \"     8         !0    @    !     0    $         \"0    @               X"
7538"    (%0  !@    @    \"          4    (     0    $    !          4 !  >     0 "
7539"  ,X$  !I;F9O961I=                             !X:6QI;GAF86UI;'D             "
7540"          !P87)T                                  !S<&5E9                    "
7541"             !P86-K86=E                              !S>6YT:&5S:7-?=&]O;%]S9V"
7542"%D=F%N8V5D      !S>6YT:&5S:7-?=&]O;                     !C;&]C:U]W<F%P<&5R7W-"
7543"G861V86YC960       !C;&]C:U]W<F%P<&5R                      !D:7)E8W1O<GD     "
7544"                      !T97-T8F5N8VA?<V=A9'9A;F-E9             !T97-T8F5N8V@  "
7545"                         !S>7-C;&M?<&5R:6]D                      !D8VU?:6YP=7"
7546"1?8VQO8VM?<&5R:6]D          !I;F-R7VYE=&QI<W1?<V=A9'9A;F-E9         !T<FEM7W9"
7547"B:71S7W-G861V86YC960           !D8FQ?;W9R9%]S9V%D=F%N8V5D              !C;W)E"
7548"7V=E;F5R871I;VY?<V=A9'9A;F-E9     !C;W)E7V=E;F5R871I;VX                   !R="
7549"6Y?8V]R96=E;E]S9V%D=F%N8V5D          !R=6Y?8V]R96=E;@                        "
7550"!D97!R96-A=&5D7V-O;G1R;VQ?<V=A9'9A;F-E9 !E=F%L7V9I96QD                       "
7551"   !H87-?861V86YC961?8V]N=')O;             !S9V=U:5]P;W,                     "
7552"      !B;&]C:U]T>7!E                          !B;&]C:U]V97)S:6]N             "
7553"         !S9U]I8V]N7W-T870                       !S9U]M87-K7V1I<W!L87D       "
7554"            !S9U]L:7-T7V-O;G1E;G1S                  !S9U]B;&]C:V=U:5]X;6P    "
7555"               !C;&]C:U]L;V,                           !S>6YT:&5S:7-?;&%N9W5A"
7556"9V4               !C95]C;'(                               !P<F5S97)V95]H:65R8"
7557"7)C:'D               !V97)S:6]N                              !P;W-T9V5N97)A=&"
7558"EO;E]F8VX               !S971T:6YG<U]F8VX                       !P<F5C;VUP:6Q"
7559"E7V9C;@                    !U<&1A=&5?9F-N                          !X;&5D:W-E"
7560"='1I;F=S9&%T80                    X   !(    !@    @    $          4    (     "
7561"0   !$    !         !     1    (%-Y<W1E;2!'96YE<F%T;W(         #@   #@    &  "
7562"  \"     0         !0    @    !    \"     $         $     @   !V:7)T97@R< X  "
7563"  X    !@    @    $          4    (     0    <    !         !     '    >&,R=G"
7564" W,  .    ,     8    (    !          %    \"     $    \"     0         0  ( +"
7565"38   X    X    !@    @    $          4    (     0    8    !         !     &  "
7566"  9F8Q-3$W   .    ,     8    (    !          %    \"                0        "
7567" 0          X    P    !@    @    $          4    (     0    ,    !         ! "
7568"  P!84U0 #@   #     &    \"     0         !0    @               $         $  "
7569"        .    0     8    (    !          %    \"     $    -     0         0   "
7570" #0   $-L;V-K($5N86)L97,    .    2     8    (    !          %    \"     $    "
7571"5     0         0    %0   \"XO;F5T;&ES=%](1$Q?5C)07W8P,     X    P    !@    @"
7572"    $          4    (               !         !          #@   #     &    \"  "
7573"   0         !0    @    !     P    $         $  # &]F9@ .    ,     8    (    "
7574"!          %    \"     $    \"     0         0  ( ,3    X    P    !@    @    "
7575"$          4    (     0    ,    !         !   P Q,#  #@   #     &    \"     0"
7576"         !0    @               $         $          .    ,     8    (    !   "
7577"       %    \"                0         0          X    P    !@    @    $    "
7578"      4    (               !         !          #@   #     &    \"     0     "
7579"    !0    @               $         $          .    2     8    (    !        "
7580"  %    \"     $    8     0         0    &    $%C8V]R9&EN9R!T;R!\";&]C:R!-87-K"
7581"<PX    P    !@    @    $          4    (               !         !          #"
7582"@   #     &    \"     0         !0    @    !     P    $         $  # &]F9@ . "
7583"   ,     8    (    !          %    \"                0         0          X  "
7584"  P    !@    @    $          4    (     0    $    !         !   0 P    #@   #"
7585"     &    \"     0         !0    @    !     0    $         $  ! #     .    0 "
7586"    8    (    !          %    \"     $    +     0         0    \"P   \"TQ+\"T"
7587"Q+\"TQ+\"TQ       .    .     8    (    !          %    \"     $    &     0   "
7588"      0    !@   '-Y<V=E;@  #@   #     &    \"     0         !0    @    !    !"
7589"     $         $  $ #$P+C$.    6     8    (    !          %    \"     $    C "
7590"    0         0    (P   #4Q+#4P+\"TQ+\"TQ+')E9\"QB96EG92PP+# W-S,T+')I9VAT   "
7591"    .    P $   8    (    !          %    \"     $   \". 0   0         0    C@"
7592"$  &9P<FEN=&8H)R<L)T-/34U%3E0Z(&)E9VEN(&EC;VX@9W)A<&AI8W,G*3L*<&%T8V@H6S @-3$"
7593"@-3$@,\"!=+%LP(# @-3 @-3 @72Q;,\"XY,R P+CDR(# N.#9=*3L*<&%T8V@H6S$R(#0@,38@-"
7594"\" Q,B R-2 R.2 S,R T-R S-B R-2 Q-R R.2 Q-R R-2 S-B T-R S,R R.2 R-2 Q,B!=+%LU("
7595"#$S(#(U(#,W(#0U(#0U(#0Q(#0U(#0U(#,T(#0U(#,W(#(U(#$S(#4@,38@-2 U(#D@-2 U(%TL6S"
7596" N-B P+C(@,\"XR-5TI.PIP;&]T*%LP(#4Q(#4Q(# @,\"!=+%LP(# @-3 @-3 @,\"!=*3L*9G!R"
7597":6YT9B@G)RPG0T]-345.5#H@96YD(&EC;VX@9W)A<&AI8W,G*3L*9G!R:6YT9B@G)RPG0T]-345.5"
7598"#H@8F5G:6X@:6-O;B!T97AT)RD[\"F9P<FEN=&8H)R<L)T-/34U%3E0Z(&5N9\"!I8V]N('1E>'0G"
7599"*3L*   .    ,     8    (    !          %    \"                0         0    "
7600"      X    P    !@    @    $          4    (               !         !       "
7601"   #@   #     &    \"     0         !0    @               $         $        "
7602"  .    ,     8    (    !          %    \"     $    $     0         0  0 5DA$3"
7603" X    X    !@    @    &          4    (     0    $    !          D    (      "
7604"         .    .     8    (    !@         %    \"     $    !     0         )  "
7605"  \"               #@   #@    &    \"     0         !0    @    !    !@    $  "
7606"       $     8    Y+C(N,#$   X   !     !@    @    $          4    (     0    "
7607"P    !         !     ,    >&QE9&MP;W-T9V5N      X   !     !@    @    $       "
7608"   4    (     0    T    !         !     -    >&QE9&MS971T:6YG<P    X   !     "
7609"!@    @    $          4    (     0    \\    !         !     /    >&QE9&MP<F5C"
7610";VUP:6QE  X   !     !@    @    $          4    (     0    T    !         !   "
7611"  -    >&QE9&MU<&1A=&5F;@    X   \"@!   !@    @    \"          4    (     0  "
7612"  $    !          4 !  8     0   #@!  !E>'!O<G0                       !E>'!O<"
7613"G1D:7(                   !S96QE8W1I;VYT86<               !E>'!O<G1D:7)P871H  "
7614"            !M86IO<@                        !M:6YO<@                        !"
7615"H=U]C;VUP871I8FEL:71Y          !M86I?<VQI9&5R                  !M:6YO<E]S;&ED"
7616"97(               !H=U]C;VUP871I8FEL:71Y7W-L:61E<@!I<T1E=F5L;W!M96YT         "
7617"     !U<V5#=7-T;VU\"=7-);G1E<F9A8V4   !C=7-T;VU\"=7-);G1E<F9A8V5686QU90 .    "
7618".     8    (    !@         %    \"     $    !     0         )    \"          "
7619"     #@   #     &    \"     0         !0    @               $         $      "
7620"    .    0     8    (    !          %    \"     $    0     0         0    $  "
7621"  '1A<F=E=%]D:7)E8W1O<GD.    2     8    (    !          %    \"     $    5   "
7622"  0         0    %0   \"XO;F5T;&ES=%](1$Q?5C)07W8P,     X    P    !@    @    "
7623"$          4    (     0    $    !         !   0 Q    #@   #     &    \"     0"
7624"         !0    @    !     @    $         $  \" # P   .    ,     8    (    !  "
7625"        %    \"     $    !     0         0  $ 80    X    X    !@    @    &   "
7626"       4    (     0    $    !          D    (            \\#\\.    .     8   "
7627" (    !@         %    \"     $    !     0         )    \"               #@   "
7628"#@    &    \"     8         !0    @    !     0    $         \"0    @         "
7629" $!80 X    X    !@    @    &          4    (     0    $    !          D    ( "
7630"              .    .     8    (    !@         %    \"     $    !     0       "
7631"  )    \"               #@   #     &    \"     8         !0    @             "
7632"  $         \"0         "
7633  }
7634}
Note: See TracBrowser for help on using the repository browser.