source: PlatformSupport/Deprecated/pcores/user_io_board_controller_plbw_v1_01_a/mdlsrc/user_io_board_controller.mdl

Last change on this file was 1051, checked in by murphpo, 16 years ago

Updated LCD controller with line/character offsets

File size: 688.4 KB
Line 
1Model {
2  Name            "user_io_board_controller"
3  Version         6.6
4  MdlSubVersion       0
5  GraphicalInterface {
6    NumRootInports      0
7    NumRootOutports     0
8    ParameterArgumentNames  ""
9    ComputedModelVersion    "1.192"
10    NumModelReferences      0
11    NumTestPointedSignals   0
12  }
13  SavedCharacterEncoding  "windows-1252"
14  SaveDefaultBlockParams  on
15  SampleTimeColors    on
16  LibraryLinkDisplay      "none"
17  WideLines       off
18  ShowLineDimensions      off
19  ShowPortDataTypes   on
20  ShowLoopsOnError    on
21  IgnoreBidirectionalLines off
22  ShowStorageClass    off
23  ShowTestPointIcons      on
24  ShowViewerIcons     on
25  SortedOrder         off
26  ExecutionContextIcon    off
27  ShowLinearizationAnnotations on
28  ScopeRefreshTime    0.035000
29  OverrideScopeRefreshTime on
30  DisableAllScopes    off
31  DataTypeOverride    "UseLocalSettings"
32  MinMaxOverflowLogging   "UseLocalSettings"
33  MinMaxOverflowArchiveMode "Overwrite"
34  BlockNameDataTip    off
35  BlockParametersDataTip  off
36  BlockDescriptionStringDataTip off
37  ToolBar         on
38  StatusBar       on
39  BrowserShowLibraryLinks off
40  BrowserLookUnderMasks   off
41  InitFcn         "user_io_board_controller_init"
42  StartFcn        "user_io_board_controller_init"
43  Created         "Thu Aug 09 22:27:48 2007"
44  Creator         "CMC"
45  UpdateHistory       "UpdateHistoryNever"
46  ModifiedByFormat    "%<Auto>"
47  LastModifiedBy      "murphpo"
48  ModifiedDateFormat      "%<Auto>"
49  LastModifiedDate    "Wed Aug 06 17:21:54 2008"
50  ModelVersionFormat      "1.%<AutoIncrement:192>"
51  ConfigurationManager    "None"
52  SimulationMode      "normal"
53  LinearizationMsg    "none"
54  Profile         off
55  ParamWorkspaceSource    "MATLABWorkspace"
56  AccelSystemTargetFile   "accel.tlc"
57  AccelTemplateMakefile   "accel_default_tmf"
58  AccelMakeCommand    "make_rtw"
59  AccelVerboseBuild   off
60  TryForcingSFcnDF    off
61  RecordCoverage      off
62  CovPath         "/"
63  CovSaveName         "covdata"
64  CovMetricSettings   "dw"
65  CovNameIncrementing     off
66  CovHtmlReporting    on
67  covSaveCumulativeToWorkspaceVar on
68  CovSaveSingleToWorkspaceVar on
69  CovCumulativeVarName    "covCumulativeData"
70  CovCumulativeReport     off
71  CovReportOnPause    on
72  ExtModeBatchMode    off
73  ExtModeEnableFloating   on
74  ExtModeTrigType     "manual"
75  ExtModeTrigMode     "normal"
76  ExtModeTrigPort     "1"
77  ExtModeTrigElement      "any"
78  ExtModeTrigDuration     1000
79  ExtModeTrigDurationFloating "auto"
80  ExtModeTrigHoldOff      0
81  ExtModeTrigDelay    0
82  ExtModeTrigDirection    "rising"
83  ExtModeTrigLevel    0
84  ExtModeArchiveMode      "off"
85  ExtModeAutoIncOneShot   off
86  ExtModeIncDirWhenArm    off
87  ExtModeAddSuffixToVar   off
88  ExtModeWriteAllDataToWs off
89  ExtModeArmWhenConnect   on
90  ExtModeSkipDownloadWhenConnect off
91  ExtModeLogAll       on
92  ExtModeAutoUpdateStatusClock on
93  BufferReuse         on
94  ProdHWDeviceType    "32-bit Generic"
95  ShowModelReferenceBlockVersion off
96  ShowModelReferenceBlockIO off
97  Array {
98    Type            "Handle"
99    Dimension           1
100    Simulink.ConfigSet {
101      $ObjectID           1
102      Version             "1.2.0"
103      Array {
104    Type            "Handle"
105    Dimension       7
106    Simulink.SolverCC {
107      $ObjectID       2
108      Version         "1.2.0"
109      StartTime       "0.0"
110      StopTime        "500"
111      AbsTol          "auto"
112      FixedStep       "auto"
113      InitialStep         "auto"
114      MaxNumMinSteps      "-1"
115      MaxOrder        5
116      ConsecutiveZCsStepRelTol "10*128*eps"
117      MaxConsecutiveZCs   "1000"
118      ExtrapolationOrder      4
119      NumberNewtonIterations  1
120      MaxStep         "auto"
121      MinStep         "auto"
122      MaxConsecutiveMinStep   "1"
123      RelTol          "1e-3"
124      SolverMode          "Auto"
125      Solver          "ode45"
126      SolverName          "ode45"
127      ZeroCrossControl    "UseLocalSettings"
128      AlgebraicLoopSolver     "TrustRegion"
129      SolverResetMethod   "Fast"
130      PositivePriorityOrder   off
131      AutoInsertRateTranBlk   off
132      SampleTimeConstraint    "Unconstrained"
133      RateTranMode        "Deterministic"
134    }
135    Simulink.DataIOCC {
136      $ObjectID       3
137      Version         "1.2.0"
138      Decimation          "1"
139      ExternalInput       "[t, u]"
140      FinalStateName      "xFinal"
141      InitialState        "xInitial"
142      LimitDataPoints     on
143      MaxDataPoints       "1000"
144      LoadExternalInput   off
145      LoadInitialState    off
146      SaveFinalState      off
147      SaveFormat          "Array"
148      SaveOutput          on
149      SaveState       off
150      SignalLogging       on
151      InspectSignalLogs   off
152      SaveTime        on
153      StateSaveName       "xout"
154      TimeSaveName        "tout"
155      OutputSaveName      "yout"
156      SignalLoggingName   "logsout"
157      OutputOption        "RefineOutputTimes"
158      OutputTimes         "[]"
159      Refine          "1"
160    }
161    Simulink.OptimizationCC {
162      $ObjectID       4
163      Array {
164        Type            "Cell"
165        Dimension           5
166        Cell            "ZeroExternalMemoryAtStartup"
167        Cell            "ZeroInternalMemoryAtStartup"
168        Cell            "InitFltsAndDblsToZero"
169        Cell            "OptimizeModelRefInitCode"
170        Cell            "NoFixptDivByZeroProtection"
171        PropName            "DisabledProps"
172      }
173      Version         "1.2.0"
174      BlockReduction      on
175      BooleanDataType     on
176      ConditionallyExecuteInputs on
177      InlineParams        off
178      InlineInvariantSignals  off
179      OptimizeBlockIOStorage  on
180      BufferReuse         on
181      EnforceIntegerDowncast  on
182      ExpressionFolding   on
183      ExpressionDepthLimit    2147483647
184      FoldNonRolledExpr   on
185      LocalBlockOutputs   on
186      RollThreshold       5
187      SystemCodeInlineAuto    off
188      StateBitsets        off
189      DataBitsets         off
190      UseTempVars         off
191      ZeroExternalMemoryAtStartup on
192      ZeroInternalMemoryAtStartup on
193      InitFltsAndDblsToZero   on
194      NoFixptDivByZeroProtection off
195      EfficientFloat2IntCast  off
196      OptimizeModelRefInitCode off
197      LifeSpan        "inf"
198      BufferReusableBoundary  on
199    }
200    Simulink.DebuggingCC {
201      $ObjectID       5
202      Version         "1.2.0"
203      RTPrefix        "error"
204      ConsistencyChecking     "none"
205      ArrayBoundsChecking     "none"
206      SignalInfNanChecking    "none"
207      ReadBeforeWriteMsg      "UseLocalSettings"
208      WriteAfterWriteMsg      "UseLocalSettings"
209      WriteAfterReadMsg   "UseLocalSettings"
210      AlgebraicLoopMsg    "warning"
211      ArtificialAlgebraicLoopMsg "warning"
212      CheckSSInitialOutputMsg on
213      CheckExecutionContextPreStartOutputMsg off
214      CheckExecutionContextRuntimeOutputMsg off
215      SignalResolutionControl "TryResolveAllWithWarning"
216      BlockPriorityViolationMsg "warning"
217      MinStepSizeMsg      "warning"
218      TimeAdjustmentMsg   "none"
219      MaxConsecutiveZCsMsg    "error"
220      SolverPrmCheckMsg   "warning"
221      InheritedTsInSrcMsg     "warning"
222      DiscreteInheritContinuousMsg "warning"
223      MultiTaskDSMMsg     "warning"
224      MultiTaskCondExecSysMsg "none"
225      MultiTaskRateTransMsg   "error"
226      SingleTaskRateTransMsg  "none"
227      TasksWithSamePriorityMsg "warning"
228      SigSpecEnsureSampleTimeMsg "warning"
229      CheckMatrixSingularityMsg "none"
230      IntegerOverflowMsg      "warning"
231      Int32ToFloatConvMsg     "warning"
232      ParameterDowncastMsg    "error"
233      ParameterOverflowMsg    "error"
234      ParameterUnderflowMsg   "none"
235      ParameterPrecisionLossMsg "warning"
236      ParameterTunabilityLossMsg "warning"
237      UnderSpecifiedDataTypeMsg "none"
238      UnnecessaryDatatypeConvMsg "none"
239      VectorMatrixConversionMsg "none"
240      InvalidFcnCallConnMsg   "error"
241      FcnCallInpInsideContextMsg "Use local settings"
242      SignalLabelMismatchMsg  "none"
243      UnconnectedInputMsg     "warning"
244      UnconnectedOutputMsg    "warning"
245      UnconnectedLineMsg      "warning"
246      SFcnCompatibilityMsg    "none"
247      UniqueDataStoreMsg      "none"
248      BusObjectLabelMismatch  "warning"
249      RootOutportRequireBusObject "warning"
250      AssertControl       "UseLocalSettings"
251      EnableOverflowDetection off
252      ModelReferenceIOMsg     "none"
253      ModelReferenceVersionMismatchMessage "none"
254      ModelReferenceIOMismatchMessage "none"
255      ModelReferenceCSMismatchMessage "none"
256      ModelReferenceSimTargetVerbose off
257      UnknownTsInhSupMsg      "warning"
258      ModelReferenceDataLoggingMessage "warning"
259      ModelReferenceSymbolNameMessage "warning"
260      ModelReferenceExtraNoncontSigs "error"
261      StateNameClashWarn      "warning"
262      StrictBusMsg        "Warning"
263    }
264    Simulink.HardwareCC {
265      $ObjectID       6
266      Version         "1.2.0"
267      ProdBitPerChar      8
268      ProdBitPerShort     16
269      ProdBitPerInt       32
270      ProdBitPerLong      32
271      ProdIntDivRoundTo   "Undefined"
272      ProdEndianess       "Unspecified"
273      ProdWordSize        32
274      ProdShiftRightIntArith  on
275      ProdHWDeviceType    "32-bit Generic"
276      TargetBitPerChar    8
277      TargetBitPerShort   16
278      TargetBitPerInt     32
279      TargetBitPerLong    32
280      TargetShiftRightIntArith on
281      TargetIntDivRoundTo     "Undefined"
282      TargetEndianess     "Unspecified"
283      TargetWordSize      32
284      TargetTypeEmulationWarnSuppressLevel 0
285      TargetPreprocMaxBitsSint 32
286      TargetPreprocMaxBitsUint 32
287      TargetHWDeviceType      "Specified"
288      TargetUnknown       off
289      ProdEqTarget        on
290    }
291    Simulink.ModelReferenceCC {
292      $ObjectID       7
293      Version         "1.2.0"
294      UpdateModelReferenceTargets "IfOutOfDateOrStructuralChange"
295      CheckModelReferenceTargetMessage "error"
296      ModelReferenceNumInstancesAllowed "Multi"
297      ModelReferencePassRootInputsByReference on
298      ModelReferenceMinAlgLoopOccurrences off
299    }
300    Simulink.RTWCC {
301      $BackupClass        "Simulink.RTWCC"
302      $ObjectID       8
303      Array {
304        Type            "Cell"
305        Dimension           1
306        Cell            "IncludeHyperlinkInReport"
307        PropName            "DisabledProps"
308      }
309      Version         "1.2.0"
310      SystemTargetFile    "grt.tlc"
311      GenCodeOnly         off
312      MakeCommand         "make_rtw"
313      GenerateMakefile    on
314      TemplateMakefile    "grt_default_tmf"
315      GenerateReport      off
316      SaveLog         off
317      RTWVerbose          on
318      RetainRTWFile       off
319      ProfileTLC          off
320      TLCDebug        off
321      TLCCoverage         off
322      TLCAssert       off
323      ProcessScriptMode   "Default"
324      ConfigurationMode   "Optimized"
325      ConfigAtBuild       off
326      IncludeHyperlinkInReport off
327      LaunchReport        off
328      TargetLang          "C"
329      IncludeBusHierarchyInRTWFileBlockHierarchyMap off
330      IncludeERTFirstTime     on
331      Array {
332        Type            "Handle"
333        Dimension           2
334        Simulink.CodeAppCC {
335          $ObjectID           9
336          Array {
337        Type            "Cell"
338        Dimension       9
339        Cell            "IgnoreCustomStorageClasses"
340        Cell            "InsertBlockDesc"
341        Cell            "SFDataObjDesc"
342        Cell            "SimulinkDataObjDesc"
343        Cell            "DefineNamingRule"
344        Cell            "SignalNamingRule"
345        Cell            "ParamNamingRule"
346        Cell            "InlinedPrmAccess"
347        Cell            "CustomSymbolStr"
348        PropName        "DisabledProps"
349          }
350          Version             "1.2.0"
351          ForceParamTrailComments off
352          GenerateComments        on
353          IgnoreCustomStorageClasses on
354          IncHierarchyInIds       off
355          MaxIdLength         31
356          PreserveName        off
357          PreserveNameWithParent  off
358          ShowEliminatedStatement off
359          IncAutoGenComments      off
360          SimulinkDataObjDesc     off
361          SFDataObjDesc       off
362          IncDataTypeInIds        off
363          PrefixModelToSubsysFcnNames on
364          MangleLength        1
365          CustomSymbolStrGlobalVar "$R$N$M"
366          CustomSymbolStrType     "$N$R$M"
367          CustomSymbolStrField    "$N$M"
368          CustomSymbolStrFcn      "$R$N$M$F"
369          CustomSymbolStrBlkIO    "rtb_$N$M"
370          CustomSymbolStrTmpVar   "$N$M"
371          CustomSymbolStrMacro    "$R$N$M"
372          DefineNamingRule        "None"
373          ParamNamingRule         "None"
374          SignalNamingRule        "None"
375          InsertBlockDesc         off
376          SimulinkBlockComments   on
377          EnableCustomComments    off
378          InlinedPrmAccess        "Literals"
379          ReqsInCode          off
380        }
381        Simulink.GRTTargetCC {
382          $BackupClass        "Simulink.TargetCC"
383          $ObjectID           10
384          Array {
385        Type            "Cell"
386        Dimension       12
387        Cell            "IncludeMdlTerminateFcn"
388        Cell            "CombineOutputUpdateFcns"
389        Cell            "SuppressErrorStatus"
390        Cell            "ERTCustomFileBanners"
391        Cell            "GenerateSampleERTMain"
392        Cell            "MultiInstanceERTCode"
393        Cell            "PurelyIntegerCode"
394        Cell            "SupportNonFinite"
395        Cell            "SupportComplex"
396        Cell            "SupportAbsoluteTime"
397        Cell            "SupportContinuousTime"
398        Cell            "SupportNonInlinedSFcns"
399        PropName        "DisabledProps"
400          }
401          Version             "1.2.0"
402          TargetFcnLib        "ansi_tfl_tmw.mat"
403          TargetLibSuffix         ""
404          TargetPreCompLibLocation ""
405          GenFloatMathFcnCalls    "ANSI_C"
406          UtilityFuncGeneration   "Auto"
407          GenerateFullHeader      on
408          GenerateSampleERTMain   off
409          GenerateTestInterfaces  off
410          IsPILTarget         off
411          ModelReferenceCompliant on
412          IncludeMdlTerminateFcn  on
413          CombineOutputUpdateFcns off
414          SuppressErrorStatus     off
415          IncludeFileDelimiter    "Auto"
416          ERTCustomFileBanners    off
417          SupportAbsoluteTime     on
418          LogVarNameModifier      "rt_"
419          MatFileLogging          on
420          MultiInstanceERTCode    off
421          SupportNonFinite        on
422          SupportComplex          on
423          PurelyIntegerCode       off
424          SupportContinuousTime   on
425          SupportNonInlinedSFcns  on
426          EnableShiftOperators    on
427          ParenthesesLevel        "Nominal"
428          PortableWordSizes       off
429          ModelStepFunctionPrototypeControlCompliant off
430          ExtMode             off
431          ExtModeStaticAlloc      off
432          ExtModeTesting          off
433          ExtModeStaticAllocSize  1000000
434          ExtModeTransport        0
435          ExtModeMexFile          "ext_comm"
436          RTWCAPISignals          off
437          RTWCAPIParams       off
438          RTWCAPIStates       off
439          GenerateASAP2       off
440        }
441        PropName            "Components"
442      }
443    }
444    PropName        "Components"
445      }
446      Name            "Configuration"
447      CurrentDlgPage          "Solver"
448    }
449    PropName            "ConfigurationSets"
450  }
451  Simulink.ConfigSet {
452    $PropName           "ActiveConfigurationSet"
453    $ObjectID           1
454  }
455  BlockDefaults {
456    Orientation         "right"
457    ForegroundColor     "black"
458    BackgroundColor     "white"
459    DropShadow          off
460    NamePlacement       "normal"
461    FontName            "Arial"
462    FontSize            10
463    FontWeight          "normal"
464    FontAngle           "normal"
465    ShowName            on
466  }
467  BlockParameterDefaults {
468    Block {
469      BlockType           Constant
470      Value           "1"
471      VectorParams1D          on
472      SamplingMode        "Sample based"
473      OutDataTypeMode         "Inherit from 'Constant value'"
474      OutDataType         "sfix(16)"
475      ConRadixGroup       "Use specified scaling"
476      OutScaling          "2^0"
477      SampleTime          "inf"
478      FramePeriod         "inf"
479    }
480    Block {
481      BlockType           DiscretePulseGenerator
482      PulseType           "Sample based"
483      TimeSource          "Use simulation time"
484      Amplitude           "1"
485      Period              "2"
486      PulseWidth          "1"
487      PhaseDelay          "0"
488      SampleTime          "1"
489      VectorParams1D          on
490    }
491    Block {
492      BlockType           Inport
493      Port            "1"
494      UseBusObject        off
495      BusObject           "BusObject"
496      BusOutputAsStruct       off
497      PortDimensions          "-1"
498      SampleTime          "-1"
499      DataType            "auto"
500      OutDataType         "sfix(16)"
501      OutScaling          "2^0"
502      SignalType          "auto"
503      SamplingMode        "auto"
504      LatchByDelayingOutsideSignal off
505      LatchByCopyingInsideSignal off
506      Interpolate         on
507    }
508    Block {
509      BlockType           InportShadow
510      UseBusObject        off
511      BusObject           "BusObject"
512      BusOutputAsStruct       off
513      PortDimensions          "-1"
514      SampleTime          "-1"
515      DataType            "auto"
516      OutDataType         "sfix(16)"
517      OutScaling          "2^0"
518      SignalType          "auto"
519      SamplingMode        "auto"
520      Interpolate         on
521    }
522    Block {
523      BlockType           Outport
524      Port            "1"
525      UseBusObject        off
526      BusObject           "BusObject"
527      BusOutputAsStruct       off
528      PortDimensions          "-1"
529      SampleTime          "-1"
530      DataType            "auto"
531      OutDataType         "sfix(16)"
532      OutScaling          "2^0"
533      SignalType          "auto"
534      SamplingMode        "auto"
535      OutputWhenDisabled      "held"
536      InitialOutput       "[]"
537    }
538    Block {
539      BlockType           Scope
540      ModelBased          off
541      TickLabels          "OneTimeTick"
542      ZoomMode            "on"
543      Grid            "on"
544      TimeRange           "auto"
545      YMin            "-5"
546      YMax            "5"
547      SaveToWorkspace         off
548      SaveName            "ScopeData"
549      LimitDataPoints         on
550      MaxDataPoints       "5000"
551      Decimation          "1"
552      SampleInput         off
553      SampleTime          "-1"
554    }
555    Block {
556      BlockType           "S-Function"
557      FunctionName        "system"
558      SFunctionModules        "''"
559      PortCounts          "[]"
560    }
561    Block {
562      BlockType           Step
563      Time            "1"
564      Before              "0"
565      After           "1"
566      SampleTime          "-1"
567      VectorParams1D          on
568      ZeroCross           on
569    }
570    Block {
571      BlockType           SubSystem
572      ShowPortLabels          "FromPortIcon"
573      Permissions         "ReadWrite"
574      PermitHierarchicalResolution "All"
575      TreatAsAtomicUnit       off
576      SystemSampleTime        "-1"
577      RTWFcnNameOpts          "Auto"
578      RTWFileNameOpts         "Auto"
579      RTWMemSecFuncInitTerm   "Inherit from model"
580      RTWMemSecFuncExecute    "Inherit from model"
581      RTWMemSecDataConstants  "Inherit from model"
582      RTWMemSecDataInternal   "Inherit from model"
583      RTWMemSecDataParameters "Inherit from model"
584      SimViewingDevice        off
585      DataTypeOverride        "UseLocalSettings"
586      MinMaxOverflowLogging   "UseLocalSettings"
587    }
588    Block {
589      BlockType           Terminator
590    }
591  }
592  AnnotationDefaults {
593    HorizontalAlignment     "center"
594    VerticalAlignment       "middle"
595    ForegroundColor     "black"
596    BackgroundColor     "white"
597    DropShadow          off
598    FontName            "Arial"
599    FontSize            10
600    FontWeight          "normal"
601    FontAngle           "normal"
602    UseDisplayTextAsClickCallback off
603  }
604  LineDefaults {
605    FontName            "Arial"
606    FontSize            9
607    FontWeight          "normal"
608    FontAngle           "normal"
609  }
610  System {
611    Name            "user_io_board_controller"
612    Location            [2, 74, 1678, 961]
613    Open            on
614    ModelBrowserVisibility  off
615    ModelBrowserWidth       200
616    ScreenColor         "white"
617    PaperOrientation        "landscape"
618    PaperPositionMode       "auto"
619    PaperType           "usletter"
620    PaperUnits          "inches"
621    TiledPaperMargins       [0.500000, 0.500000, 0.500000, 0.500000]
622    TiledPageScale      1
623    ShowPageBoundaries      off
624    ZoomFactor          "395"
625    ReportName          "simulink-default.rpt"
626    Block {
627      BlockType           Reference
628      Name            " System Generator"
629      Tag             "genX"
630      Ports           []
631      Position            [14, 17, 65, 67]
632      ShowName            off
633      AttributesFormatString  "System\\nGenerator"
634      UserDataPersistent      on
635      UserData            "DataTag0"
636      SourceBlock         "xbsIndex_r4/ System Generator"
637      SourceType          "Xilinx System Generator Block"
638      ShowPortLabels          "FromPortIcon"
639      SystemSampleTime        "-1"
640      FunctionWithSeparateData off
641      RTWMemSecFuncInitTerm   "Inherit from model"
642      RTWMemSecFuncExecute    "Inherit from model"
643      RTWMemSecDataConstants  "Inherit from model"
644      RTWMemSecDataInternal   "Inherit from model"
645      RTWMemSecDataParameters "Inherit from model"
646      infoedit            " System Generator"
647      xilinxfamily        "virtex2p"
648      part            "xc2vp70"
649      speed           "-6"
650      package             "ff1517"
651      synthesis_tool          "XST"
652      clock_wrapper       "Clock Enables"
653      directory           "./plb46_userio_v09"
654      testbench           off
655      simulink_period         "1"
656      sysclk_period       "10"
657      dcm_input_clock_period  "100"
658      incr_netlist        off
659      trim_vbits          "Everywhere in SubSystem"
660      dbl_ovrd            "According to Block Masks"
661      core_generation         "According to Block Masks"
662      run_coregen         off
663      deprecated_control      off
664      eval_field          "0"
665      has_advanced_control    "0"
666      sggui_pos           "-1,-1,-1,-1"
667      block_type          "sysgen"
668      block_version       "8.2.02"
669      sg_icon_stat        "51,50,-1,-1,red,beige,0,07734"
670      sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npa"
671"tch([0 51 51 0 ],[0 0 50 50 ],[0.93 0.92 0.86]);\npatch([12 4 16 4 12 25 29 3"
672"3 47 36 25 17 29 17 25 36 47 33 29 25 12 ],[5 13 25 37 45 45 41 45 45 34 45 3"
673"7 25 13 5 16 5 5 9 5 5 ],[0.6 0.2 0.25]);\nplot([0 0 51 51 0 ],[0 50 50 0 0 ]"
674");\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin ico"
675"n text');\nfprintf('','COMMENT: end icon text');\n"
676      sg_blockgui_xml         "<!--  *  Copyright (c) 2005, Xilinx, Inc.  All "
677"Rights Reserved.            --><!--  *  Reproduction or reuse, in any form, w"
678"ithout the explicit written  --><!--  *  consent of Xilinx, Inc., is strictly"
679" prohibited.                  --><sysgenblock has_userdata=\"true\" tag=\"gen"
680"X\" block_type=\"sysgen\" simulinkname=\" System Generator\" >\n <icon width="
681"\"51\" bg_color=\"beige\" height=\"50\" caption_format=\"System\\nGenerator\""
682" wmark_color=\"red\" />\n <callbacks DeleteFcn=\"xlSysgenGUI('delete', gcs, g"
683"cbh);\" OpenFcn=\"xlSysgenGUI('startup',gcs,gcbh)\" ModelCloseFcn=\"xlSysgenG"
684"UI('Close',gcs,gcbh)\" PostSaveFcn=\"xlSysgenGUI('Save')\" />\n <libraries>\n"
685"  <library name=\"xbsIndex\" />\n  <library name=\"xbsBasic\" />\n  <library "
686"name=\"xbsTools\" />\n </libraries>\n <subsystem_model file=\"system_generato"
687"r_subsystem.mdl\" />\n <blockgui label=\"Xilinx System Generator\" >\n  <edit"
688"box evaluate=\"false\" multi_line=\"true\" name=\"infoedit\" read_only=\"true"
689"\" default=\" System Generator\" />\n  <editbox evaluate=\"false\" name=\"xil"
690"inxfamily\" default=\"Virtex4\" label=\"Xilinx family\" />\n  <editbox evalua"
691"te=\"false\" name=\"part\" default=\"xc4vsx35\" label=\"Part\" />\n  <editbox"
692" evaluate=\"false\" name=\"speed\" default=\"-10\" label=\"Speed\" />\n  <edi"
693"tbox evaluate=\"false\" name=\"package\" default=\"ff668\" label=\"Package\" "
694"/>\n  <listbox evaluate=\"true\" name=\"synthesis_tool\" default=\"XST\" labe"
695"l=\"Synthesis tool\" >\n   <item value=\"Spectrum\" />\n   <item value=\"Synp"
696"lify\" />\n   <item value=\"Synplify Pro\" />\n   <item value=\"XST\" />\n   "
697"<item value=\"Precision\" />\n  </listbox>\n  <editbox evaluate=\"false\" nam"
698"e=\"directory\" default=\"./netlist\" label=\"Target directory\" />\n  <check"
699"box evaluate=\"true\" name=\"testbench\" default=\"off\" label=\"Testbench\" "
700"/>\n  <editbox evaluate=\"true\" name=\"simulink_period\" default=\"1\" label"
701"=\"Simulink period\" />\n  <editbox evaluate=\"true\" name=\"sysclk_period\" "
702"default=\"10\" label=\"System clock period\" />\n  <checkbox evaluate=\"true"
703"\" name=\"incr_netlist\" default=\"off\" label=\"Incremental netlisting\" />"
704"\n  <listbox evaluate=\"true\" name=\"trim_vbits\" default=\"Everywhere in Su"
705"bSystem\" label=\"Trim valid bits\" >\n   <item value=\"According to Block Ma"
706"sks\" />\n   <item value=\"Everywhere in SubSystem\" />\n   <item value=\"No "
707"Where in SubSystem\" />\n  </listbox>\n  <listbox evaluate=\"true\" name=\"db"
708"l_ovrd\" default=\"According to Block Masks\" label=\"Override with doubles\""
709" >\n   <item value=\"According to Block Masks\" />\n   <item value=\"Everywhe"
710"re in SubSystem\" />\n   <item value=\"No Where in SubSystem\" />\n  </listbo"
711"x>\n  <listbox evaluate=\"true\" name=\"core_generation\" default=\"According"
712" to Block Masks\" label=\"Generate cores\" >\n   <item value=\"According to B"
713"lock Masks\" />\n   <item value=\"Everywhere Available\" />\n   <item value="
714"\"Not Needed - Already Generated\" />\n  </listbox>\n  <checkbox evaluate=\"t"
715"rue\" name=\"run_coregen\" default=\"off\" label=\"Run CoreGen\" />\n  <check"
716"box evaluate=\"true\" name=\"deprecated_control\" default=\"off\" label=\"Sho"
717"w deprecated controls\" />\n  <hiddenvar evaluate=\"true\" name=\"eval_field"
718"\" default=\"0\" />\n </blockgui>\n</sysgenblock>\n"
719    }
720    Block {
721      BlockType           SubSystem
722      Name            "Buzzer Controller"
723      Ports           []
724      Position            [125, 105, 175, 133]
725      MinAlgLoopOccurrences   off
726      RTWSystemCode       "Auto"
727      FunctionWithSeparateData off
728      MaskHideContents        off
729      System {
730    Name            "Buzzer Controller"
731    Location        [2, 74, 1670, 981]
732    Open            off
733    ModelBrowserVisibility  off
734    ModelBrowserWidth   200
735    ScreenColor     "white"
736    PaperOrientation    "landscape"
737    PaperPositionMode   "auto"
738    PaperType       "usletter"
739    PaperUnits      "inches"
740    TiledPaperMargins   [0.500000, 0.500000, 0.500000, 0.500000]
741    TiledPageScale      1
742    ShowPageBoundaries  off
743    ZoomFactor      "100"
744    Block {
745      BlockType       Reference
746      Name            "Buzzer"
747      Ports           [1, 1]
748      Position        [975, 260, 1035, 280]
749      SourceBlock         "xbsIndex_r4/Gateway Out"
750      SourceType          "Xilinx Gateway Out Block"
751      infoedit        "Gateway out block.  Converts Xilinx fixed p"
752"oint inputs into ouputs of type Simulink integer, double, or fixed point.<P><"
753"P>Hardware notes:  In hardware these blocks become top level output ports or "
754"are discarded, depending on how they are configured."
755      hdl_port        on
756      timing_constraint   "None"
757      locs_specified      off
758      LOCs            "{}"
759      xl_use_area         off
760      xl_area         "[0,0,0,0,0,0,0]"
761      has_advanced_control    "0"
762      sggui_pos       "-1,-1,-1,-1"
763      block_type          "gatewayout"
764      block_version       "10.1.2"
765      sg_icon_stat        "60,20,1,1,white,yellow,0,38220381,right"
766      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');"
767"\npatch([0 60 60 0 ],[0 0 20 20 ],[0.95 0.93 0.65]);\npatch([24 21 26 21 24 2"
768"9 30 31 37 33 29 26 31 26 29 33 37 31 30 29 24 ],[2 5 10 15 18 18 17 18 18 14"
769" 18 15 10 5 2 6 2 2 3 2 2 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 20 2"
770"0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begi"
771"n icon text');\ncolor('black');port_label('input',1,' ');\ncolor('black');por"
772"t_label('output',1,'\\fontsize{11pt}\\bf Out ','texmode','on');\nfprintf('','"
773"COMMENT: end icon text');\n"
774    }
775    Block {
776      BlockType       Reference
777      Name            "Convert"
778      Ports           [1, 1]
779      Position        [665, 374, 705, 396]
780      ShowName        off
781      SourceBlock         "xbsIndex_r4/Convert"
782      SourceType          "Xilinx Type Converter Block"
783      infoedit        "Hardware notes: rounding and saturating req"
784"uire hardware resources; truncating and wrapping do not."
785      arith_type          "Boolean"
786      n_bits          "16"
787      bin_pt          "14"
788      quantization        "Truncate"
789      overflow        "Wrap"
790      latency         "0"
791      dbl_ovrd        off
792      pipeline        off
793      xl_use_area         off
794      xl_area         "[0,0,0,0,0,0,0]"
795      has_advanced_control    "0"
796      sggui_pos       "20,20,461,334"
797      block_type          "convert"
798      block_version       "10.1.2"
799      sg_icon_stat        "40,22,1,1,white,blue,0,74901e60,right"
800      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');"
801"\npatch([0 45 45 0 ],[0 0 30 30 ],[0.77 0.82 0.91]);\npatch([15 10 17 10 15 2"
802"3 25 27 35 28 22 17 23 17 22 28 35 27 25 23 15 ],[3 8 15 22 27 27 25 27 27 20"
803" 26 21 15 9 4 10 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 45 45 0 0 ],[0 0 30 "
804"30 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: beg"
805"in icon text');\ncolor('black');port_label('output',1,'cast');\nfprintf('','C"
806"OMMENT: end icon text');\n"
807    }
808    Block {
809      BlockType       Reference
810      Name            "Counter"
811      Ports           [1, 1]
812      Position        [385, 205, 445, 265]
813      SourceBlock         "xbsIndex_r4/Counter"
814      SourceType          "Xilinx Counter Block"
815      infoedit        "Hardware notes: Free running counters are t"
816"he least expensive in hardware.  A count limited counter is implemented by co"
817"mbining a counter with a comparator."
818      cnt_type        "Free Running"
819      cnt_to          "Inf"
820      operation       "Up"
821      start_count         "0"
822      cnt_by_val          "1"
823      arith_type          "Unsigned"
824      n_bits          "18"
825      bin_pt          "0"
826      load_pin        off
827      rst             on
828      en              off
829      explicit_period     "on"
830      period          "1"
831      dbl_ovrd        off
832      use_behavioral_HDL      off
833      use_rpm         off
834      xl_use_area         off
835      xl_area         "[0,0,0,0,0,0,0]"
836      has_advanced_control    "0"
837      sggui_pos       "20,20,348,619"
838      block_type          "counter"
839      block_version       "10.1.2"
840      sg_icon_stat        "60,60,1,1,white,blue,0,300e9576,right"
841      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');"
842"\npatch([0 60 60 0 ],[0 0 60 60 ],[0.77 0.82 0.91]);\npatch([14 4 18 4 14 30 "
843"34 38 55 42 29 20 35 20 29 42 55 38 34 30 14 ],[6 16 30 44 54 54 50 54 54 41 "
844"54 45 30 15 6 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 60"
845" 60 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: be"
846"gin icon text');\ncolor('black');port_label('input',1,'rst');\ncolor('black')"
847";port_label('output',1,'out');\nfprintf('','COMMENT: end icon text');\n"
848    }
849    Block {
850      BlockType       Reference
851      Name            "From Register"
852      Ports           [0, 1]
853      Position        [80, 222, 140, 278]
854      NamePlacement       "alternate"
855      AttributesFormatString  "<< %<shared_memory_name> >>"
856      SourceBlock         "xbsIndex_r4/From Register"
857      SourceType          "Xilinx Shared Memory Based From Register Bl"
858"ock"
859      infoedit        "Register block that reads data to a shared "
860"memory register.  Delay of one sample period."
861      shared_memory_name      "'Buzzer_Period'"
862      init            "0"
863      period          "1"
864      ownership       "Locally owned and initialized"
865      arith_type          "Unsigned"
866      n_bits          "18"
867      bin_pt          "0"
868      dbl_ovrd        off
869      xl_use_area         off
870      xl_area         "[0,0,0,0,0,0,0]"
871      has_advanced_control    "0"
872      sggui_pos       "20,20,381,234"
873      block_type          "fromreg"
874      block_version       "10.1.2"
875      sg_icon_stat        "60,56,1,1,white,blue,0,4b212927,right"
876      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');"
877"\npatch([0 60 60 0 ],[0 0 56 56 ],[0.77 0.82 0.91]);\npatch([15 6 19 6 15 30 "
878"34 38 54 41 29 20 32 20 29 41 54 38 34 30 15 ],[6 15 28 41 50 50 46 50 50 37 "
879"49 40 28 16 7 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 56"
880" 56 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: be"
881"gin icon text');\ncolor('black');port_label('output',1,'dout');\nfprintf('','"
882"COMMENT: end icon text');\n"
883    }
884    Block {
885      BlockType       Reference
886      Name            "From Register1"
887      Ports           [0, 1]
888      Position        [80, 312, 140, 368]
889      AttributesFormatString  "<< %<shared_memory_name> >>"
890      SourceBlock         "xbsIndex_r4/From Register"
891      SourceType          "Xilinx Shared Memory Based From Register Bl"
892"ock"
893      infoedit        "Register block that reads data to a shared "
894"memory register.  Delay of one sample period."
895      shared_memory_name      "'Buzzer_DutyCycle'"
896      init            "0"
897      period          "1"
898      ownership       "Locally owned and initialized"
899      arith_type          "Unsigned"
900      n_bits          "18"
901      bin_pt          "18"
902      dbl_ovrd        off
903      xl_use_area         off
904      xl_area         "[0,0,0,0,0,0,0]"
905      has_advanced_control    "0"
906      sggui_pos       "20,20,381,234"
907      block_type          "fromreg"
908      block_version       "10.1.2"
909      sg_icon_stat        "60,56,1,1,white,blue,0,4b212927,right"
910      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');"
911"\npatch([0 60 60 0 ],[0 0 56 56 ],[0.77 0.82 0.91]);\npatch([15 6 19 6 15 30 "
912"34 38 54 41 29 20 32 20 29 41 54 38 34 30 15 ],[6 15 28 41 50 50 46 50 50 37 "
913"49 40 28 16 7 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 56"
914" 56 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: be"
915"gin icon text');\ncolor('black');port_label('output',1,'dout');\nfprintf('','"
916"COMMENT: end icon text');\n"
917    }
918    Block {
919      BlockType       Reference
920      Name            "From Register2"
921      Ports           [0, 1]
922      Position        [450, 357, 510, 413]
923      AttributesFormatString  "<< %<shared_memory_name> >>"
924      SourceBlock         "xbsIndex_r4/From Register"
925      SourceType          "Xilinx Shared Memory Based From Register Bl"
926"ock"
927      infoedit        "Register block that reads data to a shared "
928"memory register.  Delay of one sample period."
929      shared_memory_name      "'Buzzer_Enable'"
930      init            "0"
931      period          "1"
932      ownership       "Locally owned and initialized"
933      arith_type          "Unsigned"
934      n_bits          "1"
935      bin_pt          "0"
936      dbl_ovrd        off
937      xl_use_area         off
938      xl_area         "[0,0,0,0,0,0,0]"
939      has_advanced_control    "0"
940      sggui_pos       "20,20,381,234"
941      block_type          "fromreg"
942      block_version       "10.1.2"
943      sg_icon_stat        "60,56,1,1,white,blue,0,4b212927,right"
944      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');"
945"\npatch([0 60 60 0 ],[0 0 56 56 ],[0.77 0.82 0.91]);\npatch([15 6 19 6 15 30 "
946"34 38 54 41 29 20 32 20 29 41 54 38 34 30 15 ],[6 15 28 41 50 50 46 50 50 37 "
947"49 40 28 16 7 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 56"
948" 56 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: be"
949"gin icon text');\ncolor('black');port_label('output',1,'dout');\nfprintf('','"
950"COMMENT: end icon text');\n"
951    }
952    Block {
953      BlockType       Reference
954      Name            "Inverter"
955      Ports           [1, 1]
956      Position        [570, 374, 605, 396]
957      ShowName        off
958      SourceBlock         "xbsIndex_r4/Inverter"
959      SourceType          "Xilinx Inverter Block"
960      infoedit        "Bitwise logical negation (one's complement)"
961" operator."
962      en              off
963      latency         "0"
964      dbl_ovrd        off
965      xl_use_area         off
966      xl_area         "[0,0,0,0,0,0,0]"
967      has_advanced_control    "0"
968      sggui_pos       "-1,-1,-1,-1"
969      block_type          "inv"
970      block_version       "10.1.2"
971      sg_icon_stat        "35,22,1,1,white,blue,0,1ab4a85f,right"
972      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');"
973"\npatch([0 55 55 0 ],[0 0 58 58 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13 28 "
974"32 36 52 40 28 19 32 19 28 40 52 36 32 28 13 ],[7 16 29 42 51 51 47 51 51 39 "
975"51 42 29 16 7 19 7 7 11 7 7 ],[0.98 0.96 0.92]);\nplot([0 55 55 0 0 ],[0 0 58"
976" 58 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: be"
977"gin icon text');\ncolor('black');disp('not');\nfprintf('','COMMENT: end icon "
978"text');\n"
979    }
980    Block {
981      BlockType       Reference
982      Name            "Mult"
983      Ports           [2, 1]
984      Position        [270, 300, 325, 355]
985      SourceBlock         "xbsIndex_r4/Mult"
986      SourceType          "Xilinx Multiplier Block"
987      infoedit        "Hardware notes: To use the internal pipelin"
988"e stage of the dedicated multiplier you must select 'Pipeline for maximum per"
989"formance'."
990      precision       "User Defined"
991      arith_type          "Unsigned"
992      n_bits          "18"
993      bin_pt          "0"
994      quantization        "Truncate"
995      overflow        "Wrap"
996      en              off
997      latency         "1"
998      dbl_ovrd        off
999      use_behavioral_HDL      off
1000      use_embedded        on
1001      opt             "Speed"
1002      optimum_pipeline    on
1003      xl_use_area         off
1004      xl_area         "[0,0,0,0,0,0,0]"
1005      pipeline        "on"
1006      use_rpm         "on"
1007      placement_style     "Triangular"
1008      has_advanced_control    "0"
1009      sggui_pos       "20,20,367,433"
1010      block_type          "mult"
1011      block_version       "10.1.2"
1012      sg_icon_stat        "55,55,1,1,white,blue,0,2b745779,right"
1013      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');"
1014"\npatch([0 55 55 0 ],[0 0 55 55 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13 28 "
1015"32 36 52 40 28 19 32 19 28 40 52 36 32 28 13 ],[6 15 28 41 50 50 46 50 50 38 "
1016"50 41 28 15 6 18 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 55 55 0 0 ],[0 0 55"
1017" 55 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: be"
1018"gin icon text');\ncolor('black');port_label('input',1,'a');\ncolor('black');p"
1019"ort_label('input',2,'b');\ncolor('black');port_label('output',1,'(ab)');\ncol"
1020"or('black');disp('\\newline\\bf{}\\newlinez^{-1}','texmode','on');\nfprintf('"
1021"','COMMENT: end icon text');\n"
1022    }
1023    Block {
1024      BlockType       Reference
1025      Name            "Register8"
1026      Ports           [2, 1]
1027      Position        [795, 252, 830, 288]
1028      NamePlacement       "alternate"
1029      ShowName        off
1030      SourceBlock         "xbsIndex_r4/Register"
1031      SourceType          "Xilinx Register Block"
1032      init            "0"
1033      rst             on
1034      en              off
1035      dbl_ovrd        off
1036      xl_use_area         off
1037      xl_area         "[0,0,0,0,0,0,0]"
1038      has_advanced_control    "0"
1039      sggui_pos       "20,20,348,193"
1040      block_type          "register"
1041      block_version       "10.1.2"
1042      sg_icon_stat        "35,36,1,1,white,blue,0,b6caf0d3,right"
1043      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');"
1044"\npatch([0 60 60 0 ],[0 0 56 56 ],[0.77 0.82 0.91]);\npatch([15 6 19 6 15 30 "
1045"34 38 54 41 29 20 32 20 29 41 54 38 34 30 15 ],[6 15 28 41 50 50 46 50 50 37 "
1046"49 40 28 16 7 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 56"
1047" 56 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: be"
1048"gin icon text');\ncolor('black');port_label('input',1,'d');\ncolor('black');p"
1049"ort_label('input',2,'rst');\ncolor('black');port_label('output',1,'q');\ncolo"
1050"r('black');disp('\\bf{z^{-1}}','texmode','on');\nfprintf('','COMMENT: end ico"
1051"n text');\n"
1052    }
1053    Block {
1054      BlockType       Reference
1055      Name            "Register9"
1056      Ports           [1, 1]
1057      Position        [870, 252, 905, 288]
1058      NamePlacement       "alternate"
1059      ShowName        off
1060      SourceBlock         "xbsIndex_r4/Register"
1061      SourceType          "Xilinx Register Block"
1062      init            "0"
1063      rst             off
1064      en              off
1065      dbl_ovrd        off
1066      xl_use_area         off
1067      xl_area         "[0,0,0,0,0,0,0]"
1068      has_advanced_control    "0"
1069      sggui_pos       "20,20,348,193"
1070      block_type          "register"
1071      block_version       "10.1.2"
1072      sg_icon_stat        "35,36,1,1,white,blue,0,ac6b57db,right"
1073      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');"
1074"\npatch([0 60 60 0 ],[0 0 56 56 ],[0.77 0.82 0.91]);\npatch([15 6 19 6 15 30 "
1075"34 38 54 41 29 20 32 20 29 41 54 38 34 30 15 ],[6 15 28 41 50 50 46 50 50 37 "
1076"49 40 28 16 7 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 56"
1077" 56 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: be"
1078"gin icon text');\ncolor('black');port_label('input',1,'d');\ncolor('black');p"
1079"ort_label('output',1,'q');\ncolor('black');disp('\\bf{z^{-1}}','texmode','on'"
1080");\nfprintf('','COMMENT: end icon text');\n"
1081    }
1082    Block {
1083      BlockType       Reference
1084      Name            "Relational"
1085      Ports           [2, 1]
1086      Position        [270, 207, 325, 263]
1087      SourceBlock         "xbsIndex_r4/Relational"
1088      SourceType          "Xilinx Arithmetic Relational Operator Block"
1089      mode            "a=b"
1090      en              off
1091      latency         "1"
1092      dbl_ovrd        off
1093      xl_use_area         off
1094      xl_area         "[0,0,0,0,0,0,0]"
1095      has_advanced_control    "0"
1096      sggui_pos       "20,20,348,193"
1097      block_type          "relational"
1098      block_version       "10.1.2"
1099      sg_icon_stat        "55,56,1,1,white,blue,0,1cf02e61,right"
1100      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');"
1101"\npatch([0 55 55 0 ],[0 0 56 56 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13 28 "
1102"32 36 52 40 28 19 32 19 28 40 52 36 32 28 13 ],[6 15 28 41 50 50 46 50 50 38 "
1103"50 41 28 15 6 18 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 55 55 0 0 ],[0 0 56"
1104" 56 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: be"
1105"gin icon text');\ncolor('black');port_label('input',1,'a');\ncolor('black');p"
1106"ort_label('input',2,'b');\ncolor('black');disp('\\newline\\bf{a=b}\\newlinez^"
1107"{-1}','texmode','on');\nfprintf('','COMMENT: end icon text');\n"
1108    }
1109    Block {
1110      BlockType       Reference
1111      Name            "Relational1"
1112      Ports           [2, 1]
1113      Position        [655, 211, 710, 309]
1114      SourceBlock         "xbsIndex_r4/Relational"
1115      SourceType          "Xilinx Arithmetic Relational Operator Block"
1116      mode            "a>=b"
1117      en              off
1118      latency         "1"
1119      dbl_ovrd        off
1120      xl_use_area         off
1121      xl_area         "[0,0,0,0,0,0,0]"
1122      has_advanced_control    "0"
1123      sggui_pos       "20,20,348,193"
1124      block_type          "relational"
1125      block_version       "10.1.2"
1126      sg_icon_stat        "55,98,1,1,white,blue,0,48ab8ed9,right"
1127      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');"
1128"\npatch([0 55 55 0 ],[0 0 56 56 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13 28 "
1129"32 36 52 40 28 19 32 19 28 40 52 36 32 28 13 ],[6 15 28 41 50 50 46 50 50 38 "
1130"50 41 28 15 6 18 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 55 55 0 0 ],[0 0 56"
1131" 56 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: be"
1132"gin icon text');\ncolor('black');port_label('input',1,'a');\ncolor('black');p"
1133"ort_label('input',2,'b');\ncolor('black');disp('\\newline\\bf{a>=b}\\newlinez"
1134"^{-1}','texmode','on');\nfprintf('','COMMENT: end icon text');\n"
1135    }
1136    Block {
1137      BlockType       Terminator
1138      Name            "Terminator4"
1139      Position        [1105, 260, 1125, 280]
1140      ShowName        off
1141    }
1142    Line {
1143      SrcBlock        "Register9"
1144      SrcPort         1
1145      DstBlock        "Buzzer"
1146      DstPort         1
1147    }
1148    Line {
1149      SrcBlock        "Register8"
1150      SrcPort         1
1151      DstBlock        "Register9"
1152      DstPort         1
1153    }
1154    Line {
1155      SrcBlock        "Counter"
1156      SrcPort         1
1157      Points          [50, 0]
1158      Branch {
1159        DstBlock            "Relational1"
1160        DstPort         1
1161      }
1162      Branch {
1163        Points          [0, -40; -260, 0; 0, 25]
1164        DstBlock            "Relational"
1165        DstPort         1
1166      }
1167    }
1168    Line {
1169      SrcBlock        "Relational1"
1170      SrcPort         1
1171      DstBlock        "Register8"
1172      DstPort         1
1173    }
1174    Line {
1175      SrcBlock        "Buzzer"
1176      SrcPort         1
1177      DstBlock        "Terminator4"
1178      DstPort         1
1179    }
1180    Line {
1181      SrcBlock        "From Register2"
1182      SrcPort         1
1183      DstBlock        "Inverter"
1184      DstPort         1
1185    }
1186    Line {
1187      SrcBlock        "Inverter"
1188      SrcPort         1
1189      DstBlock        "Convert"
1190      DstPort         1
1191    }
1192    Line {
1193      SrcBlock        "Convert"
1194      SrcPort         1
1195      Points          [35, 0; 0, -105]
1196      DstBlock        "Register8"
1197      DstPort         2
1198    }
1199    Line {
1200      SrcBlock        "Relational"
1201      SrcPort         1
1202      DstBlock        "Counter"
1203      DstPort         1
1204    }
1205    Line {
1206      SrcBlock        "From Register"
1207      SrcPort         1
1208      Points          [95, 0]
1209      Branch {
1210        DstBlock            "Relational"
1211        DstPort         2
1212      }
1213      Branch {
1214        Points          [0, 65]
1215        DstBlock            "Mult"
1216        DstPort         1
1217      }
1218    }
1219    Line {
1220      SrcBlock        "From Register1"
1221      SrcPort         1
1222      DstBlock        "Mult"
1223      DstPort         2
1224    }
1225    Line {
1226      SrcBlock        "Mult"
1227      SrcPort         1
1228      Points          [150, 0; 0, -45]
1229      DstBlock        "Relational1"
1230      DstPort         2
1231    }
1232    Annotation {
1233      Name            "Registers don't honor their initial values "
1234"in hardware\n(at least in Sysgen 10.1.02); this register+inverter\nis used so"
1235" the buzzer is off by default."
1236      Position        [729, 435]
1237    }
1238      }
1239    }
1240    Block {
1241      BlockType           SubSystem
1242      Name            "EDK Processor"
1243      Ports           []
1244      Position            [16, 103, 62, 151]
1245      CopyFcn             "xlProcBlockCopyCallback(gcbh);xlBlockMoveCallba"
1246"ck(gcbh);"
1247      DeleteFcn           "xlDestroyGui(gcbh);"
1248      LoadFcn             "xlBlockLoadCallback(gcbh);"
1249      ModelCloseFcn       "xlDestroyGui(gcbh);"
1250      PreSaveFcn          "xlBlockPreSaveCallback(gcbh);"
1251      PostSaveFcn         "xlBlockPostSaveCallback(gcbh);"
1252      DestroyFcn          "xlDestroyGui(gcbh);"
1253      OpenFcn             "bh=gcbh;xlProcBlockCallbacks('populatesharedmem"
1254"orylistbox',bh);xlOpenGui(bh, 'edkprocessor_gui.xml', @xlProcBlockEnablement,"
1255" @xlProcBlockAction)"
1256      CloseFcn            "xlDestroyGui(gcbh);"
1257      MoveFcn             "xlBlockMoveCallback(gcbh);"
1258      MinAlgLoopOccurrences   off
1259      RTWSystemCode       "Auto"
1260      FunctionWithSeparateData off
1261      MaskHideContents        off
1262      MaskType            "Xilinx EDK Processor Block"
1263      MaskDescription         "Xilinx EDK Processor"
1264      MaskHelp            "eval('');xlDoc('-book','sysgen','-topic','EDK_P"
1265"rocessor');"
1266      MaskPromptString        "Configure Processor for|EDK Project| |Available"
1267" Memories| | |Bus Type|Base Address| |Lock| |Dual Clocks|Constraint file| |In"
1268"herit Device Type| | | | | | | | | | | | | | | | | | "
1269      MaskStyleString         "popup(EDK pcore generation|HDL netlisting),edit"
1270",edit,popup(<empty>),edit,edit,popup(PLB|FSL),edit,edit,checkbox,edit,checkbo"
1271"x,edit,edit,checkbox,edit,edit,edit,edit,edit,edit,edit,edit,edit,edit,edit,e"
1272"dit,edit,edit,edit,edit,edit,edit"
1273      MaskTunableValueString  "on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on"
1274",on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on"
1275      MaskCallbackString      "||||||||||||||||||||||||||||||||"
1276      MaskEnableString        "on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on"
1277",on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on"
1278      MaskVisibilityString    "on,on,off,on,on,off,on,on,off,on,off,on,on,off,"
1279"on,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off,off"
1280      MaskToolTipString       "on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on"
1281",on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on"
1282      MaskVarAliasString      ",,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,"
1283      MaskVariables       "mode=&1;xmp=&2;MemVisToProc=&3;AvailableMemorie"
1284"s=&4;portInterfaceTable=&5;bus_type_sgadvanced=&6;bus_type=@7;baseaddr=&8;bas"
1285"eaddr_lock_sgadvanced=&9;baseaddr_lock=@10;dual_clock_sgadvanced=&11;dual_clo"
1286"ck=@12;ucf_file=&13;inheritDeviceType_sgadvanced=&14;inheritDeviceType=@15;cl"
1287"ock_name=&16;internalPortList=&17;resetPolarity=&18;memxtable=&19;procinfo=&2"
1288"0;fslifaceports=&21;memmapdirty=&22;blockname=&23;xpsintstyle=&24;proc=&25;ha"
1289"s_advanced_control=@26;sggui_pos=&27;block_type=&28;block_version=&29;sg_icon"
1290"_stat=&30;sg_mask_display=&31;sg_list_contents=&32;sg_blockgui_xml=&33;"
1291      MaskInitialization      "try\n  tmp_gcb = gcb;\n  tmp_gcbh = gcbh;\n  if"
1292" (strcmp('SysGenIndex',get_param(bdroot(tmp_gcbh),'tag')) && ~isempty(regexp("
1293"bdroot(tmp_gcb), '^xbs', 'once')))\n    return;\n  end;\n  xlMungeMaskParams;"
1294"\n  serialized_declarations = '{}';\n  xledkprocessor_init();\n  ptable_ = xl"
1295"blockprep(get_param(tmp_gcb, 'MaskWSVariables'));\ncatch\n  global dbgsysgen;"
1296"\n  if(~isempty(dbgsysgen) && dbgsysgen)\n    e = regexprep(lasterr, '\\n', '"
1297"\\nError: ');\n    disp(['Error: While running MaskInit code on block ' tmp_g"
1298"cb ': ' e]);\n    error(e);\n  end\nend\n"
1299      MaskDisplay         "fprintf('','COMMENT: begin icon graphics');\npa"
1300"tch([0 46 46 0 ],[0 0 48 48 ],[0.77 0.82 0.91]);\npatch([11 3 14 3 11 23 26 2"
1301"9 42 32 22 15 27 15 22 32 42 29 26 23 11 ],[6 14 25 36 44 44 41 44 44 34 44 3"
1302"7 25 13 6 16 6 6 9 6 6 ],[0.98 0.96 0.92]);\nplot([0 46 46 0 0 ],[0 0 48 48 0"
1303" ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin i"
1304"con text');\ndisp('MicroBlaze');\n\nfprintf('','COMMENT: end icon text');\n"
1305      MaskSelfModifiable      on
1306      MaskIconFrame       off
1307      MaskIconOpaque          on
1308      MaskIconRotate          "none"
1309      MaskIconUnits       "autoscale"
1310      MaskValueString         "EDK pcore generation||<qt bgcolor=\"#FFFFFF\"><"
1311"div><img src=\"C:\\Xilinx_10.1\\DSP_Tools\\common\\bin\\..\\..\\sysgen\\data"
1312"\\images\\registerplus.gif\"> &lt;&lt;Buttons_Big&gt;&gt;<br></div><div><img "
1313"src=\"C:\\Xilinx_10.1\\DSP_Tools\\common\\bin\\..\\..\\sysgen\\data\\images\\"
1314"registerplus.gif\"> &lt;&lt;Buttons_Small&gt;&gt;<br></div><div><img src=\"C:"
1315"\\Xilinx_10.1\\DSP_Tools\\common\\bin\\..\\..\\sysgen\\data\\images\\register"
1316"plus.gif\"> &lt;&lt;Buzzer_DutyCycle&gt;&gt;<br></div><div><img src=\"C:\\Xil"
1317"inx_10.1\\DSP_Tools\\common\\bin\\..\\..\\sysgen\\data\\images\\registerplus."
1318"gif\"> &lt;&lt;Buzzer_Enable&gt;&gt;<br></div><div><img src=\"C:\\Xilinx_10.1"
1319"\\DSP_Tools\\common\\bin\\..\\..\\sysgen\\data\\images\\registerplus.gif\"> &"
1320"lt;&lt;Buzzer_Period&gt;&gt;<br></div><div><img src=\"C:\\Xilinx_10.1\\DSP_To"
1321"ols\\common\\bin\\..\\..\\sysgen\\data\\images\\registerplus.gif\"> &lt;&lt;D"
1322"IP_Switch&gt;&gt;<br></div><div><img src=\"C:\\Xilinx_10.1\\DSP_Tools\\common"
1323"\\bin\\..\\..\\sysgen\\data\\images\\registerplus.gif\"> &lt;&lt;LCD_Backgrou"
1324"ndColor&gt;&gt;<br></div><div><img src=\"C:\\Xilinx_10.1\\DSP_Tools\\common\\"
1325"bin\\..\\..\\sysgen\\data\\images\\registerplus.gif\"> &lt;&lt;LCD_CharacterO"
1326"ffset&gt;&gt;<br></div><div><img src=\"C:\\Xilinx_10.1\\DSP_Tools\\common\\bi"
1327"n\\..\\..\\sysgen\\data\\images\\registerplus.gif\"> &lt;&lt;LCD_CharactersSe"
1328"lect&gt;&gt;<br></div><div><img src=\"C:\\Xilinx_10.1\\DSP_Tools\\common\\bin"
1329"\\..\\..\\sysgen\\data\\images\\registerplus.gif\"> &lt;&lt;LCD_ColSet&gt;&gt"
1330";<br></div><div><img src=\"C:\\Xilinx_10.1\\DSP_Tools\\common\\bin\\..\\..\\s"
1331"ysgen\\data\\images\\registerplus.gif\"> &lt;&lt;LCD_ConfigLocation&gt;&gt;<b"
1332"r></div><div><img src=\"C:\\Xilinx_10.1\\DSP_Tools\\common\\bin\\..\\..\\sysg"
1333"en\\data\\images\\registerplus.gif\"> &lt;&lt;LCD_DividerSelect&gt;&gt;<br></"
1334"div><div><img src=\"C:\\Xilinx_10.1\\DSP_Tools\\common\\bin\\..\\..\\sysgen\\"
1335"data\\images\\registerplus.gif\"> &lt;&lt;LCD_FirstEnd&gt;&gt;<br></div><div>"
1336"<img src=\"C:\\Xilinx_10.1\\DSP_Tools\\common\\bin\\..\\..\\sysgen\\data\\ima"
1337"ges\\registerplus.gif\"> &lt;&lt;LCD_FirstStart&gt;&gt;<br></div><div><img sr"
1338"c=\"C:\\Xilinx_10.1\\DSP_Tools\\common\\bin\\..\\..\\sysgen\\data\\images\\re"
1339"gisterplus.gif\"> &lt;&lt;LCD_LineOffset&gt;&gt;<br></div><div><img src=\"C:"
1340"\\Xilinx_10.1\\DSP_Tools\\common\\bin\\..\\..\\sysgen\\data\\images\\register"
1341"plus.gif\"> &lt;&lt;LCD_RamWrite&gt;&gt;<br></div><div><img src=\"C:\\Xilinx_"
1342"10.1\\DSP_Tools\\common\\bin\\..\\..\\sysgen\\data\\images\\registerplus.gif"
1343"\"> &lt;&lt;LCD_Reset&gt;&gt;<br></div><div><img src=\"C:\\Xilinx_10.1\\DSP_T"
1344"ools\\common\\bin\\..\\..\\sysgen\\data\\images\\registerplus.gif\"> &lt;&lt;"
1345"LCD_ResetLCD&gt;&gt;<br></div><div><img src=\"C:\\Xilinx_10.1\\DSP_Tools\\com"
1346"mon\\bin\\..\\..\\sysgen\\data\\images\\registerplus.gif\"> &lt;&lt;LCD_RowSe"
1347"t&gt;&gt;<br></div><div><img src=\"C:\\Xilinx_10.1\\DSP_Tools\\common\\bin\\."
1348".\\..\\sysgen\\data\\images\\registerplus.gif\"> &lt;&lt;LCD_SecondEnd&gt;&gt"
1349";<br></div><div><img src=\"C:\\Xilinx_10.1\\DSP_Tools\\common\\bin\\..\\..\\s"
1350"ysgen\\data\\images\\registerplus.gif\"> &lt;&lt;LCD_SecondStart&gt;&gt;<br><"
1351"/div><div><img src=\"C:\\Xilinx_10.1\\DSP_Tools\\common\\bin\\..\\..\\sysgen"
1352"\\data\\images\\registerplus.gif\"> &lt;&lt;LCD_Send&gt;&gt;<br></div><div><i"
1353"mg src=\"C:\\Xilinx_10.1\\DSP_Tools\\common\\bin\\..\\..\\sysgen\\data\\image"
1354"s\\registerplus.gif\"> &lt;&lt;LCD_TotalCmdTransfer&gt;&gt;<br></div><div><im"
1355"g src=\"C:\\Xilinx_10.1\\DSP_Tools\\common\\bin\\..\\..\\sysgen\\data\\images"
1356"\\registerplus.gif\"> &lt;&lt;LEDs&gt;&gt;<br></div><div><img src=\"C:\\Xilin"
1357"x_10.1\\DSP_Tools\\common\\bin\\..\\..\\sysgen\\data\\images\\registerplus.gi"
1358"f\"> &lt;&lt;Trackball&gt;&gt;<br></div><div><img src=\"C:\\Xilinx_10.1\\DSP_"
1359"Tools\\common\\bin\\..\\..\\sysgen\\data\\images\\memoryplus.gif\"> &lt;&lt;L"
1360"CD_CharacterMap&gt;&gt;<br></div><div><img src=\"C:\\Xilinx_10.1\\DSP_Tools\\"
1361"common\\bin\\..\\..\\sysgen\\data\\images\\memoryplus.gif\"> &lt;&lt;LCD_Char"
1362"acters&gt;&gt;<br></div><div><img src=\"C:\\Xilinx_10.1\\DSP_Tools\\common\\b"
1363"in\\..\\..\\sysgen\\data\\images\\memoryplus.gif\"> &lt;&lt;LCD_Commands&gt;&"
1364"gt;<br></div></qt>|<empty>|{'exposed'=>[],'portdir'=>[],'portname'=>[],'short"
1365"name'=>[]}||PLB|0x80000000||off||off|||off|plb|{}|0|{'mlist'=>['user_io_board"
1366"_controller/Interactive I//O/To Register1','user_io_board_controller/Interact"
1367"ive I//O/To Register2','user_io_board_controller/Buzzer Controller/From Regis"
1368"ter1','user_io_board_controller/Buzzer Controller/From Register2','user_io_bo"
1369"ard_controller/Buzzer Controller/From Register','user_io_board_controller/Int"
1370"eractive I//O/To Register3','user_io_board_controller/LCD Controller/CommandR"
1371"OM/From Register3','user_io_board_controller/LCD Controller/DataROM/From Regi"
1372"ster5','user_io_board_controller/LCD Controller/DataROM/From Register4','user"
1373"_io_board_controller/LCD Controller/DataROM/From Register1','user_io_board_co"
1374"ntroller/LCD Controller/CommandROM/From Register6','user_io_board_controller/"
1375"LCD Controller/From Register4','user_io_board_controller/LCD Controller/Comma"
1376"ndROM/From Register2','user_io_board_controller/LCD Controller/CommandROM/Fro"
1377"m Register1','user_io_board_controller/LCD Controller/DataROM/From Register7'"
1378",'user_io_board_controller/LCD Controller/DataROM/From Register2','user_io_bo"
1379"ard_controller/LCD Controller/From Register','user_io_board_controller/LCD Co"
1380"ntroller/From Register6','user_io_board_controller/LCD Controller/DataROM/Fro"
1381"m Register3','user_io_board_controller/LCD Controller/CommandROM/From Registe"
1382"r5','user_io_board_controller/LCD Controller/CommandROM/From Register4','user"
1383"_io_board_controller/LCD Controller/From Register5','user_io_board_controller"
1384"/LCD Controller/From Register3','user_io_board_controller/Interactive I//O/Fr"
1385"om Register','user_io_board_controller/Interactive I//O/To Register','user_io"
1386"_board_controller/LCD Controller/DataROM/Character Map\nShared Memory/Shared "
1387"Memory','user_io_board_controller/LCD Controller/DataROM/Character Buffer\nSh"
1388"ared Memory/Shared Memory','user_io_board_controller/LCD Controller/CommandRO"
1389"M/Command Memory/Shared Memory'],'mlname'=>['\\'Buttons_Big\\'','\\'Buttons_S"
1390"mall\\'','\\'Buzzer_DutyCycle\\'','\\'Buzzer_Enable\\'','\\'Buzzer_Period\\''"
1391",'\\'DIP_Switch\\'','\\'LCD_BackgroundColor\\'','\\'LCD_CharacterOffset\\'','"
1392"\\'LCD_CharactersSelect\\'','\\'LCD_ColSet\\'','\\'LCD_ConfigLocation\\'','\\"
1393"'LCD_DividerSelect\\'','\\'LCD_FirstEnd\\'','\\'LCD_FirstStart\\'','\\'LCD_Li"
1394"neOffset\\'','\\'LCD_RamWrite\\'','\\'LCD_Reset\\'','\\'LCD_ResetLCD\\'','\\'"
1395"LCD_RowSet\\'','\\'LCD_SecondEnd\\'','\\'LCD_SecondStart\\'','\\'LCD_Send\\''"
1396",'\\'LCD_TotalCmdTransfer\\'','\\'LEDs\\'','\\'Trackball\\'','\\'LCD_Characte"
1397"rMap\\'','\\'LCD_Characters\\'','\\'LCD_Commands\\''],'mlstate'=>[0.000000000"
1398"00000000,0.00000000000000000,0.00000000000000000,0.00000000000000000,0.000000"
1399"00000000000,0.00000000000000000,0.00000000000000000,0.00000000000000000,0.000"
1400"00000000000000,0.00000000000000000,0.00000000000000000,0.00000000000000000,0."
1401"00000000000000000,0.00000000000000000,0.00000000000000000,0.00000000000000000"
1402",0.00000000000000000,0.00000000000000000,0.00000000000000000,0.00000000000000"
1403"000,0.00000000000000000,0.00000000000000000,0.00000000000000000,0.00000000000"
1404"000000,0.00000000000000000,0.00000000000000000,0.00000000000000000,0.00000000"
1405"000000000]}|{'xmliface'=>'Xilinx//microblaze//iface.xml'}|[0,0]|off||default|"
1406"|0|20,20,383,441|edkprocessor|2.4|46,48,-1,-1,white,blue,0,07734,right|fprint"
1407"f('','COMMENT: begin icon graphics');\npatch([0 46 46 0 ],[0 0 48 48 ],[0.77 "
1408"0.82 0.91]);\npatch([11 3 14 3 11 23 26 29 42 32 22 15 27 15 22 32 42 29 26 2"
1409"3 11 ],[6 14 25 36 44 44 41 44 44 34 44 37 25 13 6 16 6 6 9 6 6 ],[0.98 0.96 "
1410"0.92]);\nplot([0 46 46 0 0 ],[0 0 48 48 0 ]);\nfprintf('','COMMENT: end icon "
1411"graphics');\nfprintf('','COMMENT: begin icon text');\ndisp('MicroBlaze');\n\n"
1412"fprintf('','COMMENT: end icon text');\n|{'table'=>{'AvailableMemories'=>'popu"
1413"p(<empty>)','userSelections'=>{'AvailableMemories'=>'<empty>'}}}|"
1414      MaskTabNameString       ",,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,"
1415      System {
1416    Name            "EDK Processor"
1417    Location        [514, 109, 754, 491]
1418    Open            off
1419    ModelBrowserVisibility  off
1420    ModelBrowserWidth   200
1421    ScreenColor     "white"
1422    PaperOrientation    "landscape"
1423    PaperPositionMode   "auto"
1424    PaperType       "usletter"
1425    PaperUnits      "inches"
1426    TiledPaperMargins   [0.500000, 0.500000, 0.500000, 0.500000]
1427    TiledPageScale      1
1428    ShowPageBoundaries  off
1429    ZoomFactor      "100"
1430    Block {
1431      BlockType       Constant
1432      Name            "Constant"
1433      Position        [40, 975, 60, 995]
1434    }
1435    Block {
1436      BlockType       Constant
1437      Name            "Constant1"
1438      Position        [40, 1045, 60, 1065]
1439    }
1440    Block {
1441      BlockType       Constant
1442      Name            "Constant2"
1443      Position        [40, 1110, 60, 1130]
1444    }
1445    Block {
1446      BlockType       Constant
1447      Name            "Constant3"
1448      Position        [40, 1180, 60, 1200]
1449    }
1450    Block {
1451      BlockType       Constant
1452      Name            "Constant4"
1453      Position        [40, 1250, 60, 1270]
1454    }
1455    Block {
1456      BlockType       Reference
1457      Name            "Constant5"
1458      Ports           [0, 1]
1459      Position        [20, 902, 75, 928]
1460      ShowName        off
1461      SourceBlock         "xbsIndex_r4/Constant"
1462      SourceType          "Xilinx Constant Block Block"
1463      arith_type          "Unsigned"
1464      const           "0"
1465      n_bits          "1"
1466      bin_pt          "0"
1467      explicit_period     on
1468      period          "xlGetSimulinkPeriod(gcb)"
1469      dsp48_infoedit      "The use of this block for DSP48 instruction"
1470"s is deprecated.  Please use the Opmode block."
1471      equ             "P=C"
1472      opselect        "C"
1473      inp2            "PCIN>>17"
1474      opr             "+"
1475      inp1            "P"
1476      carry           "CIN"
1477      dbl_ovrd        off
1478      has_advanced_control    "0"
1479      sggui_pos       "-1,-1,-1,-1"
1480      block_type          "constant"
1481      block_version       "10.1.2"
1482      sg_icon_stat        "55,26,1,1,white,blue,0,72d575a1,right"
1483      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');"
1484"\npatch([0 55 55 0 ],[0 0 26 26 ],[0.77 0.82 0.91]);\npatch([20 16 22 16 20 2"
1485"7 29 31 38 32 26 22 28 22 26 32 38 31 29 27 20 ],[3 7 13 19 23 23 21 23 23 17"
1486" 23 19 13 7 3 9 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 55 55 0 0 ],[0 0 26 2"
1487"6 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begi"
1488"n icon text');\ncolor('black');port_label('output',1,'0');\nfprintf('','COMME"
1489"NT: end icon text');\n"
1490      Port {
1491        PortNumber          1
1492        Name            "Sl_wait"
1493        RTWStorageClass     "Auto"
1494        DataLoggingNameMode     "SignalName"
1495      }
1496    }
1497    Block {
1498      BlockType       Constant
1499      Name            "Constant6"
1500      Position        [40, 1345, 60, 1365]
1501    }
1502    Block {
1503      BlockType       Reference
1504      Name            "From Register"
1505      Ports           [0, 1]
1506      Position        [400, 1437, 460, 1493]
1507      AttributesFormatString  "<< %<shared_memory_name> >>"
1508      SourceBlock         "xbsIndex_r4/From Register"
1509      SourceType          "Xilinx Shared Memory Based From Register Bl"
1510"ock"
1511      infoedit        "Register block that reads data to a shared "
1512"memory register.  Delay of one sample period."
1513      shared_memory_name      "'Buttons_Big'"
1514      init            "0"
1515      period          "xlGetSimulinkPeriod(gcb)"
1516      ownership       "Owned and initialized elsewhere"
1517      arith_type          "Unsigned"
1518      n_bits          "2"
1519      bin_pt          "0"
1520      dbl_ovrd        off
1521      xl_use_area         off
1522      xl_area         "[0,0,0,0,0,0,0]"
1523      has_advanced_control    "0"
1524      sggui_pos       "-1,-1,-1,-1"
1525      block_type          "fromreg"
1526      block_version       "10.1.2"
1527      sg_icon_stat        "60,56,1,1,white,blue,0,4b212927,right"
1528      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');"
1529"\npatch([0 60 60 0 ],[0 0 56 56 ],[0.77 0.82 0.91]);\npatch([15 6 19 6 15 30 "
1530"34 38 54 41 29 20 32 20 29 41 54 38 34 30 15 ],[6 15 28 41 50 50 46 50 50 37 "
1531"49 40 28 16 7 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 56"
1532" 56 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: be"
1533"gin icon text');\ncolor('black');port_label('output',1,'dout');\nfprintf('','"
1534"COMMENT: end icon text');\n"
1535      Port {
1536        PortNumber          1
1537        Name            "Buttons_Big_dout"
1538        RTWStorageClass     "Auto"
1539        DataLoggingNameMode     "SignalName"
1540      }
1541    }
1542    Block {
1543      BlockType       Reference
1544      Name            "From Register1"
1545      Ports           [0, 1]
1546      Position        [400, 1542, 460, 1598]
1547      AttributesFormatString  "<< %<shared_memory_name> >>"
1548      SourceBlock         "xbsIndex_r4/From Register"
1549      SourceType          "Xilinx Shared Memory Based From Register Bl"
1550"ock"
1551      infoedit        "Register block that reads data to a shared "
1552"memory register.  Delay of one sample period."
1553      shared_memory_name      "'Buttons_Small'"
1554      init            "0"
1555      period          "xlGetSimulinkPeriod(gcb)"
1556      ownership       "Owned and initialized elsewhere"
1557      arith_type          "Unsigned"
1558      n_bits          "6"
1559      bin_pt          "0"
1560      dbl_ovrd        off
1561      xl_use_area         off
1562      xl_area         "[0,0,0,0,0,0,0]"
1563      has_advanced_control    "0"
1564      sggui_pos       "-1,-1,-1,-1"
1565      block_type          "fromreg"
1566      block_version       "10.1.2"
1567      sg_icon_stat        "60,56,1,1,white,blue,0,4b212927,right"
1568      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');"
1569"\npatch([0 60 60 0 ],[0 0 56 56 ],[0.77 0.82 0.91]);\npatch([15 6 19 6 15 30 "
1570"34 38 54 41 29 20 32 20 29 41 54 38 34 30 15 ],[6 15 28 41 50 50 46 50 50 37 "
1571"49 40 28 16 7 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 56"
1572" 56 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: be"
1573"gin icon text');\ncolor('black');port_label('output',1,'dout');\nfprintf('','"
1574"COMMENT: end icon text');\n"
1575      Port {
1576        PortNumber          1
1577        Name            "Buttons_Small_dout"
1578        RTWStorageClass     "Auto"
1579        DataLoggingNameMode     "SignalName"
1580      }
1581    }
1582    Block {
1583      BlockType       Reference
1584      Name            "From Register2"
1585      Ports           [0, 1]
1586      Position        [400, 1647, 460, 1703]
1587      AttributesFormatString  "<< %<shared_memory_name> >>"
1588      SourceBlock         "xbsIndex_r4/From Register"
1589      SourceType          "Xilinx Shared Memory Based From Register Bl"
1590"ock"
1591      infoedit        "Register block that reads data to a shared "
1592"memory register.  Delay of one sample period."
1593      shared_memory_name      "'DIP_Switch'"
1594      init            "0"
1595      period          "xlGetSimulinkPeriod(gcb)"
1596      ownership       "Owned and initialized elsewhere"
1597      arith_type          "Unsigned"
1598      n_bits          "4"
1599      bin_pt          "0"
1600      dbl_ovrd        off
1601      xl_use_area         off
1602      xl_area         "[0,0,0,0,0,0,0]"
1603      has_advanced_control    "0"
1604      sggui_pos       "-1,-1,-1,-1"
1605      block_type          "fromreg"
1606      block_version       "10.1.2"
1607      sg_icon_stat        "60,56,1,1,white,blue,0,4b212927,right"
1608      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');"
1609"\npatch([0 60 60 0 ],[0 0 56 56 ],[0.77 0.82 0.91]);\npatch([15 6 19 6 15 30 "
1610"34 38 54 41 29 20 32 20 29 41 54 38 34 30 15 ],[6 15 28 41 50 50 46 50 50 37 "
1611"49 40 28 16 7 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 56"
1612" 56 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: be"
1613"gin icon text');\ncolor('black');port_label('output',1,'dout');\nfprintf('','"
1614"COMMENT: end icon text');\n"
1615      Port {
1616        PortNumber          1
1617        Name            "DIP_Switch_dout"
1618        RTWStorageClass     "Auto"
1619        DataLoggingNameMode     "SignalName"
1620      }
1621    }
1622    Block {
1623      BlockType       Reference
1624      Name            "From Register3"
1625      Ports           [0, 1]
1626      Position        [400, 1752, 460, 1808]
1627      AttributesFormatString  "<< %<shared_memory_name> >>"
1628      SourceBlock         "xbsIndex_r4/From Register"
1629      SourceType          "Xilinx Shared Memory Based From Register Bl"
1630"ock"
1631      infoedit        "Register block that reads data to a shared "
1632"memory register.  Delay of one sample period."
1633      shared_memory_name      "'Trackball'"
1634      init            "0"
1635      period          "xlGetSimulinkPeriod(gcb)"
1636      ownership       "Owned and initialized elsewhere"
1637      arith_type          "Unsigned"
1638      n_bits          "5"
1639      bin_pt          "0"
1640      dbl_ovrd        off
1641      xl_use_area         off
1642      xl_area         "[0,0,0,0,0,0,0]"
1643      has_advanced_control    "0"
1644      sggui_pos       "-1,-1,-1,-1"
1645      block_type          "fromreg"
1646      block_version       "10.1.2"
1647      sg_icon_stat        "60,56,1,1,white,blue,0,4b212927,right"
1648      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');"
1649"\npatch([0 60 60 0 ],[0 0 56 56 ],[0.77 0.82 0.91]);\npatch([15 6 19 6 15 30 "
1650"34 38 54 41 29 20 32 20 29 41 54 38 34 30 15 ],[6 15 28 41 50 50 46 50 50 37 "
1651"49 40 28 16 7 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 56"
1652" 56 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: be"
1653"gin icon text');\ncolor('black');port_label('output',1,'dout');\nfprintf('','"
1654"COMMENT: end icon text');\n"
1655      Port {
1656        PortNumber          1
1657        Name            "Trackball_dout"
1658        RTWStorageClass     "Auto"
1659        DataLoggingNameMode     "SignalName"
1660      }
1661    }
1662    Block {
1663      BlockType       Reference
1664      Name            "PLB_ABus"
1665      Ports           [1, 1]
1666      Position        [175, 1045, 245, 1065]
1667      SourceBlock         "xbsIndex_r4/Gateway In"
1668      SourceType          "Xilinx Gateway In Block"
1669      infoedit        "Gateway in block.  Converts inputs of type "
1670"Simulink integer, double and fixed point to  Xilinx fixed point type.<P><P>Ha"
1671"rdware notes:  In hardware these blocks become top level input ports."
1672      arith_type          "Unsigned"
1673      n_bits          "32"
1674      bin_pt          "0"
1675      quantization        "Round  (unbiased: +/- Inf)"
1676      overflow        "Saturate"
1677      period          "xlGetSimulinkPeriod(gcb)"
1678      dbl_ovrd        off
1679      timing_constraint   "None"
1680      locs_specified      off
1681      LOCs            "{}"
1682      xl_use_area         off
1683      xl_area         "[0,0,0,0,0,0,0]"
1684      sginterface         "{'Xilinx'=>{'jtaghwcosim'=>{'non_memory_map"
1685"ped_port'=>'PLB_ABus'}},'iopad'=>{'constraint'=>'#'}}"
1686      has_advanced_control    "0"
1687      sggui_pos       "-1,-1,-1,-1"
1688      block_type          "gatewayin"
1689      block_version       "10.1.2"
1690      sg_icon_stat        "70,20,1,1,white,yellow,0,bc55d28f,right"
1691      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');"
1692"\npatch([0 70 70 0 ],[0 0 20 20 ],[0.95 0.93 0.65]);\npatch([29 26 31 26 29 3"
1693"4 35 36 42 38 34 31 36 31 34 38 42 36 35 34 29 ],[2 5 10 15 18 18 17 18 18 14"
1694" 18 15 10 5 2 6 2 2 3 2 2 ],[0.98 0.96 0.92]);\nplot([0 70 70 0 0 ],[0 0 20 2"
1695"0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begi"
1696"n icon text');\ncolor('black');port_label('input',1,'\\fontsize{11pt}\\bf In "
1697"','texmode','on');\ncolor('black');port_label('output',1,' ');\nfprintf('','C"
1698"OMMENT: end icon text');\n"
1699      Port {
1700        PortNumber          1
1701        Name            "PLB_ABus"
1702        RTWStorageClass     "Auto"
1703        DataLoggingNameMode     "SignalName"
1704      }
1705    }
1706    Block {
1707      BlockType       Reference
1708      Name            "PLB_PAValid"
1709      Ports           [1, 1]
1710      Position        [175, 1110, 245, 1130]
1711      SourceBlock         "xbsIndex_r4/Gateway In"
1712      SourceType          "Xilinx Gateway In Block"
1713      infoedit        "Gateway in block.  Converts inputs of type "
1714"Simulink integer, double and fixed point to  Xilinx fixed point type.<P><P>Ha"
1715"rdware notes:  In hardware these blocks become top level input ports."
1716      arith_type          "Unsigned"
1717      n_bits          "1"
1718      bin_pt          "0"
1719      quantization        "Round  (unbiased: +/- Inf)"
1720      overflow        "Saturate"
1721      period          "xlGetSimulinkPeriod(gcb)"
1722      dbl_ovrd        off
1723      timing_constraint   "None"
1724      locs_specified      off
1725      LOCs            "{}"
1726      xl_use_area         off
1727      xl_area         "[0,0,0,0,0,0,0]"
1728      sginterface         "{'Xilinx'=>{'jtaghwcosim'=>{'non_memory_map"
1729"ped_port'=>'PLB_PAValid'}},'iopad'=>{'constraint'=>'#'}}"
1730      has_advanced_control    "0"
1731      sggui_pos       "-1,-1,-1,-1"
1732      block_type          "gatewayin"
1733      block_version       "10.1.2"
1734      sg_icon_stat        "70,20,1,1,white,yellow,0,bc55d28f,right"
1735      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');"
1736"\npatch([0 70 70 0 ],[0 0 20 20 ],[0.95 0.93 0.65]);\npatch([29 26 31 26 29 3"
1737"4 35 36 42 38 34 31 36 31 34 38 42 36 35 34 29 ],[2 5 10 15 18 18 17 18 18 14"
1738" 18 15 10 5 2 6 2 2 3 2 2 ],[0.98 0.96 0.92]);\nplot([0 70 70 0 0 ],[0 0 20 2"
1739"0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begi"
1740"n icon text');\ncolor('black');port_label('input',1,'\\fontsize{11pt}\\bf In "
1741"','texmode','on');\ncolor('black');port_label('output',1,' ');\nfprintf('','C"
1742"OMMENT: end icon text');\n"
1743      Port {
1744        PortNumber          1
1745        Name            "PLB_PAValid"
1746        RTWStorageClass     "Auto"
1747        DataLoggingNameMode     "SignalName"
1748      }
1749    }
1750    Block {
1751      BlockType       Reference
1752      Name            "PLB_RNW"
1753      Ports           [1, 1]
1754      Position        [175, 1180, 245, 1200]
1755      SourceBlock         "xbsIndex_r4/Gateway In"
1756      SourceType          "Xilinx Gateway In Block"
1757      infoedit        "Gateway in block.  Converts inputs of type "
1758"Simulink integer, double and fixed point to  Xilinx fixed point type.<P><P>Ha"
1759"rdware notes:  In hardware these blocks become top level input ports."
1760      arith_type          "Unsigned"
1761      n_bits          "1"
1762      bin_pt          "0"
1763      quantization        "Round  (unbiased: +/- Inf)"
1764      overflow        "Saturate"
1765      period          "xlGetSimulinkPeriod(gcb)"
1766      dbl_ovrd        off
1767      timing_constraint   "None"
1768      locs_specified      off
1769      LOCs            "{}"
1770      xl_use_area         off
1771      xl_area         "[0,0,0,0,0,0,0]"
1772      sginterface         "{'Xilinx'=>{'jtaghwcosim'=>{'non_memory_map"
1773"ped_port'=>'PLB_RNW'}},'iopad'=>{'constraint'=>'#'}}"
1774      has_advanced_control    "0"
1775      sggui_pos       "-1,-1,-1,-1"
1776      block_type          "gatewayin"
1777      block_version       "10.1.2"
1778      sg_icon_stat        "70,20,1,1,white,yellow,0,bc55d28f,right"
1779      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');"
1780"\npatch([0 70 70 0 ],[0 0 20 20 ],[0.95 0.93 0.65]);\npatch([29 26 31 26 29 3"
1781"4 35 36 42 38 34 31 36 31 34 38 42 36 35 34 29 ],[2 5 10 15 18 18 17 18 18 14"
1782" 18 15 10 5 2 6 2 2 3 2 2 ],[0.98 0.96 0.92]);\nplot([0 70 70 0 0 ],[0 0 20 2"
1783"0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begi"
1784"n icon text');\ncolor('black');port_label('input',1,'\\fontsize{11pt}\\bf In "
1785"','texmode','on');\ncolor('black');port_label('output',1,' ');\nfprintf('','C"
1786"OMMENT: end icon text');\n"
1787      Port {
1788        PortNumber          1
1789        Name            "PLB_RNW"
1790        RTWStorageClass     "Auto"
1791        DataLoggingNameMode     "SignalName"
1792      }
1793    }
1794    Block {
1795      BlockType       Reference
1796      Name            "PLB_wrDBus"
1797      Ports           [1, 1]
1798      Position        [175, 1250, 245, 1270]
1799      SourceBlock         "xbsIndex_r4/Gateway In"
1800      SourceType          "Xilinx Gateway In Block"
1801      infoedit        "Gateway in block.  Converts inputs of type "
1802"Simulink integer, double and fixed point to  Xilinx fixed point type.<P><P>Ha"
1803"rdware notes:  In hardware these blocks become top level input ports."
1804      arith_type          "Unsigned"
1805      n_bits          "32"
1806      bin_pt          "0"
1807      quantization        "Round  (unbiased: +/- Inf)"
1808      overflow        "Saturate"
1809      period          "xlGetSimulinkPeriod(gcb)"
1810      dbl_ovrd        off
1811      timing_constraint   "None"
1812      locs_specified      off
1813      LOCs            "{}"
1814      xl_use_area         off
1815      xl_area         "[0,0,0,0,0,0,0]"
1816      sginterface         "{'Xilinx'=>{'jtaghwcosim'=>{'non_memory_map"
1817"ped_port'=>'PLB_wrDBus'}},'iopad'=>{'constraint'=>'#'}}"
1818      has_advanced_control    "0"
1819      sggui_pos       "-1,-1,-1,-1"
1820      block_type          "gatewayin"
1821      block_version       "10.1.2"
1822      sg_icon_stat        "70,20,1,1,white,yellow,0,bc55d28f,right"
1823      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');"
1824"\npatch([0 70 70 0 ],[0 0 20 20 ],[0.95 0.93 0.65]);\npatch([29 26 31 26 29 3"
1825"4 35 36 42 38 34 31 36 31 34 38 42 36 35 34 29 ],[2 5 10 15 18 18 17 18 18 14"
1826" 18 15 10 5 2 6 2 2 3 2 2 ],[0.98 0.96 0.92]);\nplot([0 70 70 0 0 ],[0 0 20 2"
1827"0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begi"
1828"n icon text');\ncolor('black');port_label('input',1,'\\fontsize{11pt}\\bf In "
1829"','texmode','on');\ncolor('black');port_label('output',1,' ');\nfprintf('','C"
1830"OMMENT: end icon text');\n"
1831      Port {
1832        PortNumber          1
1833        Name            "PLB_wrDBus"
1834        RTWStorageClass     "Auto"
1835        DataLoggingNameMode     "SignalName"
1836      }
1837    }
1838    Block {
1839      BlockType       Reference
1840      Name            "SPLB_Rst"
1841      Ports           [1, 1]
1842      Position        [175, 975, 245, 995]
1843      SourceBlock         "xbsIndex_r4/Gateway In"
1844      SourceType          "Xilinx Gateway In Block"
1845      infoedit        "Gateway in block.  Converts inputs of type "
1846"Simulink integer, double and fixed point to  Xilinx fixed point type.<P><P>Ha"
1847"rdware notes:  In hardware these blocks become top level input ports."
1848      arith_type          "Unsigned"
1849      n_bits          "1"
1850      bin_pt          "0"
1851      quantization        "Round  (unbiased: +/- Inf)"
1852      overflow        "Saturate"
1853      period          "xlGetSimulinkPeriod(gcb)"
1854      dbl_ovrd        off
1855      timing_constraint   "None"
1856      locs_specified      off
1857      LOCs            "{}"
1858      xl_use_area         off
1859      xl_area         "[0,0,0,0,0,0,0]"
1860      sginterface         "{'Xilinx'=>{'jtaghwcosim'=>{'non_memory_map"
1861"ped_port'=>'SPLB_Rst'}},'iopad'=>{'constraint'=>'#'}}"
1862      has_advanced_control    "0"
1863      sggui_pos       "-1,-1,-1,-1"
1864      block_type          "gatewayin"
1865      block_version       "10.1.2"
1866      sg_icon_stat        "70,20,1,1,white,yellow,0,bc55d28f,right"
1867      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');"
1868"\npatch([0 70 70 0 ],[0 0 20 20 ],[0.95 0.93 0.65]);\npatch([29 26 31 26 29 3"
1869"4 35 36 42 38 34 31 36 31 34 38 42 36 35 34 29 ],[2 5 10 15 18 18 17 18 18 14"
1870" 18 15 10 5 2 6 2 2 3 2 2 ],[0.98 0.96 0.92]);\nplot([0 70 70 0 0 ],[0 0 20 2"
1871"0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begi"
1872"n icon text');\ncolor('black');port_label('input',1,'\\fontsize{11pt}\\bf In "
1873"','texmode','on');\ncolor('black');port_label('output',1,' ');\nfprintf('','C"
1874"OMMENT: end icon text');\n"
1875      Port {
1876        PortNumber          1
1877        Name            "SPLB_Rst"
1878        RTWStorageClass     "Auto"
1879        DataLoggingNameMode     "SignalName"
1880      }
1881    }
1882    Block {
1883      BlockType       Reference
1884      Name            "Shared Memory"
1885      Ports           [3, 1]
1886      Position        [885, 2604, 965, 2696]
1887      AttributesFormatString  "<< %<shared_memory_name> >>"
1888      SourceBlock         "xbsIndex_r4/Shared Memory"
1889      SourceType          "Xilinx Shared Memory Random Access Memory B"
1890"lock"
1891      shared_memory_name      "'LCD_CharacterMap'"
1892      depth           "4096"
1893      ownership       "Owned and Initialized Elsewhere"
1894      initVector          "sin(pi*(0:15)/16)"
1895      mutex           "Unprotected"
1896      mode            "Read and Write"
1897      write_mode          "Read After Write"
1898      time_out        "0"
1899      latency         "1"
1900      explicit_data_type      off
1901      arith_type          "Unsigned"
1902      n_bits          "32"
1903      bin_pt          "0"
1904      xl_use_area         off
1905      xl_area         "[0,0,0,0,0,0,0]"
1906      implementation      "Block RAM"
1907      use_rpm         "off"
1908      has_advanced_control    "0"
1909      sggui_pos       "-1,-1,-1,-1"
1910      block_type          "shmem"
1911      block_version       "10.1.2"
1912      sg_icon_stat        "80,92,1,1,white,blue,0,e2c38f9a,right"
1913      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');"
1914"\npatch([0 80 80 0 ],[0 0 92 92 ],[0.77 0.82 0.91]);\npatch([18 5 24 5 18 39 "
1915"45 51 74 56 39 27 46 27 39 56 74 51 45 39 18 ],[15 28 47 66 79 79 73 79 79 61"
1916" 78 66 47 28 16 33 15 15 21 15 15 ],[0.98 0.96 0.92]);\nplot([0 80 80 0 0 ],["
1917"0 0 92 92 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMME"
1918"NT: begin icon text');\ncolor('black');port_label('input',1,'addr');\ncolor('"
1919"black');port_label('input',2,'din');\ncolor('black');port_label('input',3,'we"
1920"');\ncolor('black');port_label('output',1,'dout');\nfprintf('','COMMENT: end "
1921"icon text');\n"
1922      Port {
1923        PortNumber          1
1924        Name            "LCD_CharacterMap_dout"
1925        RTWStorageClass     "Auto"
1926        DataLoggingNameMode     "SignalName"
1927      }
1928    }
1929    Block {
1930      BlockType       Reference
1931      Name            "Shared Memory1"
1932      Ports           [3, 1]
1933      Position        [885, 2744, 965, 2836]
1934      AttributesFormatString  "<< %<shared_memory_name> >>"
1935      SourceBlock         "xbsIndex_r4/Shared Memory"
1936      SourceType          "Xilinx Shared Memory Random Access Memory B"
1937"lock"
1938      shared_memory_name      "'LCD_Characters'"
1939      depth           "512"
1940      ownership       "Owned and Initialized Elsewhere"
1941      initVector          "sin(pi*(0:15)/16)"
1942      mutex           "Unprotected"
1943      mode            "Read and Write"
1944      write_mode          "Read After Write"
1945      time_out        "0"
1946      latency         "1"
1947      explicit_data_type      off
1948      arith_type          "Unsigned"
1949      n_bits          "32"
1950      bin_pt          "0"
1951      xl_use_area         off
1952      xl_area         "[0,0,0,0,0,0,0]"
1953      implementation      "Block RAM"
1954      use_rpm         "off"
1955      has_advanced_control    "0"
1956      sggui_pos       "-1,-1,-1,-1"
1957      block_type          "shmem"
1958      block_version       "10.1.2"
1959      sg_icon_stat        "80,92,1,1,white,blue,0,e2c38f9a,right"
1960      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');"
1961"\npatch([0 80 80 0 ],[0 0 92 92 ],[0.77 0.82 0.91]);\npatch([18 5 24 5 18 39 "
1962"45 51 74 56 39 27 46 27 39 56 74 51 45 39 18 ],[15 28 47 66 79 79 73 79 79 61"
1963" 78 66 47 28 16 33 15 15 21 15 15 ],[0.98 0.96 0.92]);\nplot([0 80 80 0 0 ],["
1964"0 0 92 92 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMME"
1965"NT: begin icon text');\ncolor('black');port_label('input',1,'addr');\ncolor('"
1966"black');port_label('input',2,'din');\ncolor('black');port_label('input',3,'we"
1967"');\ncolor('black');port_label('output',1,'dout');\nfprintf('','COMMENT: end "
1968"icon text');\n"
1969      Port {
1970        PortNumber          1
1971        Name            "LCD_Characters_dout"
1972        RTWStorageClass     "Auto"
1973        DataLoggingNameMode     "SignalName"
1974      }
1975    }
1976    Block {
1977      BlockType       Reference
1978      Name            "Shared Memory2"
1979      Ports           [3, 1]
1980      Position        [885, 2884, 965, 2976]
1981      AttributesFormatString  "<< %<shared_memory_name> >>"
1982      SourceBlock         "xbsIndex_r4/Shared Memory"
1983      SourceType          "Xilinx Shared Memory Random Access Memory B"
1984"lock"
1985      shared_memory_name      "'LCD_Commands'"
1986      depth           "256"
1987      ownership       "Owned and Initialized Elsewhere"
1988      initVector          "sin(pi*(0:15)/16)"
1989      mutex           "Unprotected"
1990      mode            "Read and Write"
1991      write_mode          "Read After Write"
1992      time_out        "0"
1993      latency         "1"
1994      explicit_data_type      off
1995      arith_type          "Unsigned"
1996      n_bits          "32"
1997      bin_pt          "0"
1998      xl_use_area         off
1999      xl_area         "[0,0,0,0,0,0,0]"
2000      implementation      "Block RAM"
2001      use_rpm         "off"
2002      has_advanced_control    "0"
2003      sggui_pos       "-1,-1,-1,-1"
2004      block_type          "shmem"
2005      block_version       "10.1.2"
2006      sg_icon_stat        "80,92,1,1,white,blue,0,e2c38f9a,right"
2007      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');"
2008"\npatch([0 80 80 0 ],[0 0 92 92 ],[0.77 0.82 0.91]);\npatch([18 5 24 5 18 39 "
2009"45 51 74 56 39 27 46 27 39 56 74 51 45 39 18 ],[15 28 47 66 79 79 73 79 79 61"
2010" 78 66 47 28 16 33 15 15 21 15 15 ],[0.98 0.96 0.92]);\nplot([0 80 80 0 0 ],["
2011"0 0 92 92 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMME"
2012"NT: begin icon text');\ncolor('black');port_label('input',1,'addr');\ncolor('"
2013"black');port_label('input',2,'din');\ncolor('black');port_label('input',3,'we"
2014"');\ncolor('black');port_label('output',1,'dout');\nfprintf('','COMMENT: end "
2015"icon text');\n"
2016      Port {
2017        PortNumber          1
2018        Name            "LCD_Commands_dout"
2019        RTWStorageClass     "Auto"
2020        DataLoggingNameMode     "SignalName"
2021      }
2022    }
2023    Block {
2024      BlockType       Reference
2025      Name            "Sl_addrAck"
2026      Ports           [1, 1]
2027      Position        [670, 105, 730, 125]
2028      SourceBlock         "xbsIndex_r4/Gateway Out"
2029      SourceType          "Xilinx Gateway Out Block"
2030      infoedit        "Gateway out block.  Converts Xilinx fixed p"
2031"oint inputs into ouputs of type Simulink integer, double, or fixed point.<P><"
2032"P>Hardware notes:  In hardware these blocks become top level output ports or "
2033"are discarded, depending on how they are configured."
2034      hdl_port        on
2035      timing_constraint   "None"
2036      locs_specified      off
2037      LOCs            "{}"
2038      xl_use_area         off
2039      xl_area         "[0,0,0,0,0,0,0]"
2040      sginterface         "{'Xilinx'=>{'jtaghwcosim'=>{'non_memory_map"
2041"ped_port'=>'Sl_addrAck'}},'iopad'=>{'constraint'=>'#'}}"
2042      has_advanced_control    "0"
2043      sggui_pos       "-1,-1,-1,-1"
2044      block_type          "gatewayout"
2045      block_version       "10.1.2"
2046      sg_icon_stat        "60,20,1,1,white,yellow,0,38220381,right"
2047      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');"
2048"\npatch([0 60 60 0 ],[0 0 20 20 ],[0.95 0.93 0.65]);\npatch([24 21 26 21 24 2"
2049"9 30 31 37 33 29 26 31 26 29 33 37 31 30 29 24 ],[2 5 10 15 18 18 17 18 18 14"
2050" 18 15 10 5 2 6 2 2 3 2 2 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 20 2"
2051"0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begi"
2052"n icon text');\ncolor('black');port_label('input',1,' ');\ncolor('black');por"
2053"t_label('output',1,'\\fontsize{11pt}\\bf Out ','texmode','on');\nfprintf('','"
2054"COMMENT: end icon text');\n"
2055    }
2056    Block {
2057      BlockType       Reference
2058      Name            "Sl_rdComp"
2059      Ports           [1, 1]
2060      Position        [670, 280, 730, 300]
2061      SourceBlock         "xbsIndex_r4/Gateway Out"
2062      SourceType          "Xilinx Gateway Out Block"
2063      infoedit        "Gateway out block.  Converts Xilinx fixed p"
2064"oint inputs into ouputs of type Simulink integer, double, or fixed point.<P><"
2065"P>Hardware notes:  In hardware these blocks become top level output ports or "
2066"are discarded, depending on how they are configured."
2067      hdl_port        on
2068      timing_constraint   "None"
2069      locs_specified      off
2070      LOCs            "{}"
2071      xl_use_area         off
2072      xl_area         "[0,0,0,0,0,0,0]"
2073      sginterface         "{'Xilinx'=>{'jtaghwcosim'=>{'non_memory_map"
2074"ped_port'=>'Sl_rdComp'}},'iopad'=>{'constraint'=>'#'}}"
2075      has_advanced_control    "0"
2076      sggui_pos       "-1,-1,-1,-1"
2077      block_type          "gatewayout"
2078      block_version       "10.1.2"
2079      sg_icon_stat        "60,20,1,1,white,yellow,0,38220381,right"
2080      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');"
2081"\npatch([0 60 60 0 ],[0 0 20 20 ],[0.95 0.93 0.65]);\npatch([24 21 26 21 24 2"
2082"9 30 31 37 33 29 26 31 26 29 33 37 31 30 29 24 ],[2 5 10 15 18 18 17 18 18 14"
2083" 18 15 10 5 2 6 2 2 3 2 2 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 20 2"
2084"0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begi"
2085"n icon text');\ncolor('black');port_label('input',1,' ');\ncolor('black');por"
2086"t_label('output',1,'\\fontsize{11pt}\\bf Out ','texmode','on');\nfprintf('','"
2087"COMMENT: end icon text');\n"
2088    }
2089    Block {
2090      BlockType       Reference
2091      Name            "Sl_rdDAck"
2092      Ports           [1, 1]
2093      Position        [670, 1200, 730, 1220]
2094      SourceBlock         "xbsIndex_r4/Gateway Out"
2095      SourceType          "Xilinx Gateway Out Block"
2096      infoedit        "Gateway out block.  Converts Xilinx fixed p"
2097"oint inputs into ouputs of type Simulink integer, double, or fixed point.<P><"
2098"P>Hardware notes:  In hardware these blocks become top level output ports or "
2099"are discarded, depending on how they are configured."
2100      hdl_port        on
2101      timing_constraint   "None"
2102      locs_specified      off
2103      LOCs            "{}"
2104      xl_use_area         off
2105      xl_area         "[0,0,0,0,0,0,0]"
2106      sginterface         "{'Xilinx'=>{'jtaghwcosim'=>{'non_memory_map"
2107"ped_port'=>'Sl_rdDAck'}},'iopad'=>{'constraint'=>'#'}}"
2108      has_advanced_control    "0"
2109      sggui_pos       "-1,-1,-1,-1"
2110      block_type          "gatewayout"
2111      block_version       "10.1.2"
2112      sg_icon_stat        "60,20,1,1,white,yellow,0,38220381,right"
2113      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');"
2114"\npatch([0 60 60 0 ],[0 0 20 20 ],[0.95 0.93 0.65]);\npatch([24 21 26 21 24 2"
2115"9 30 31 37 33 29 26 31 26 29 33 37 31 30 29 24 ],[2 5 10 15 18 18 17 18 18 14"
2116" 18 15 10 5 2 6 2 2 3 2 2 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 20 2"
2117"0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begi"
2118"n icon text');\ncolor('black');port_label('input',1,' ');\ncolor('black');por"
2119"t_label('output',1,'\\fontsize{11pt}\\bf Out ','texmode','on');\nfprintf('','"
2120"COMMENT: end icon text');\n"
2121    }
2122    Block {
2123      BlockType       Reference
2124      Name            "Sl_rdDBus"
2125      Ports           [1, 1]
2126      Position        [670, 2395, 730, 2415]
2127      SourceBlock         "xbsIndex_r4/Gateway Out"
2128      SourceType          "Xilinx Gateway Out Block"
2129      infoedit        "Gateway out block.  Converts Xilinx fixed p"
2130"oint inputs into ouputs of type Simulink integer, double, or fixed point.<P><"
2131"P>Hardware notes:  In hardware these blocks become top level output ports or "
2132"are discarded, depending on how they are configured."
2133      hdl_port        on
2134      timing_constraint   "None"
2135      locs_specified      off
2136      LOCs            "{}"
2137      xl_use_area         off
2138      xl_area         "[0,0,0,0,0,0,0]"
2139      sginterface         "{'Xilinx'=>{'jtaghwcosim'=>{'non_memory_map"
2140"ped_port'=>'Sl_rdDBus'}},'iopad'=>{'constraint'=>'#'}}"
2141      has_advanced_control    "0"
2142      sggui_pos       "-1,-1,-1,-1"
2143      block_type          "gatewayout"
2144      block_version       "10.1.2"
2145      sg_icon_stat        "60,20,1,1,white,yellow,0,38220381,right"
2146      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');"
2147"\npatch([0 60 60 0 ],[0 0 20 20 ],[0.95 0.93 0.65]);\npatch([24 21 26 21 24 2"
2148"9 30 31 37 33 29 26 31 26 29 33 37 31 30 29 24 ],[2 5 10 15 18 18 17 18 18 14"
2149" 18 15 10 5 2 6 2 2 3 2 2 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 20 2"
2150"0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begi"
2151"n icon text');\ncolor('black');port_label('input',1,' ');\ncolor('black');por"
2152"t_label('output',1,'\\fontsize{11pt}\\bf Out ','texmode','on');\nfprintf('','"
2153"COMMENT: end icon text');\n"
2154    }
2155    Block {
2156      BlockType       Reference
2157      Name            "Sl_wait"
2158      Ports           [1, 1]
2159      Position        [180, 905, 240, 925]
2160      SourceBlock         "xbsIndex_r4/Gateway Out"
2161      SourceType          "Xilinx Gateway Out Block"
2162      infoedit        "Gateway out block.  Converts Xilinx fixed p"
2163"oint inputs into ouputs of type Simulink integer, double, or fixed point.<P><"
2164"P>Hardware notes:  In hardware these blocks become top level output ports or "
2165"are discarded, depending on how they are configured."
2166      hdl_port        on
2167      timing_constraint   "None"
2168      locs_specified      off
2169      LOCs            "{}"
2170      xl_use_area         off
2171      xl_area         "[0,0,0,0,0,0,0]"
2172      sginterface         "{'Xilinx'=>{'jtaghwcosim'=>{'non_memory_map"
2173"ped_port'=>'Sl_wait'}},'iopad'=>{'constraint'=>'#'}}"
2174      has_advanced_control    "0"
2175      sggui_pos       "-1,-1,-1,-1"
2176      block_type          "gatewayout"
2177      block_version       "10.1.2"
2178      sg_icon_stat        "60,20,1,1,white,yellow,0,38220381,right"
2179      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');"
2180"\npatch([0 60 60 0 ],[0 0 20 20 ],[0.95 0.93 0.65]);\npatch([24 21 26 21 24 2"
2181"9 30 31 37 33 29 26 31 26 29 33 37 31 30 29 24 ],[2 5 10 15 18 18 17 18 18 14"
2182" 18 15 10 5 2 6 2 2 3 2 2 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 20 2"
2183"0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begi"
2184"n icon text');\ncolor('black');port_label('input',1,' ');\ncolor('black');por"
2185"t_label('output',1,'\\fontsize{11pt}\\bf Out ','texmode','on');\nfprintf('','"
2186"COMMENT: end icon text');\n"
2187    }
2188    Block {
2189      BlockType       Reference
2190      Name            "Sl_wrComp"
2191      Ports           [1, 1]
2192      Position        [670, 1070, 730, 1090]
2193      SourceBlock         "xbsIndex_r4/Gateway Out"
2194      SourceType          "Xilinx Gateway Out Block"
2195      infoedit        "Gateway out block.  Converts Xilinx fixed p"
2196"oint inputs into ouputs of type Simulink integer, double, or fixed point.<P><"
2197"P>Hardware notes:  In hardware these blocks become top level output ports or "
2198"are discarded, depending on how they are configured."
2199      hdl_port        on
2200      timing_constraint   "None"
2201      locs_specified      off
2202      LOCs            "{}"
2203      xl_use_area         off
2204      xl_area         "[0,0,0,0,0,0,0]"
2205      sginterface         "{'Xilinx'=>{'jtaghwcosim'=>{'non_memory_map"
2206"ped_port'=>'Sl_wrComp'}},'iopad'=>{'constraint'=>'#'}}"
2207      has_advanced_control    "0"
2208      sggui_pos       "-1,-1,-1,-1"
2209      block_type          "gatewayout"
2210      block_version       "10.1.2"
2211      sg_icon_stat        "60,20,1,1,white,yellow,0,38220381,right"
2212      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');"
2213"\npatch([0 60 60 0 ],[0 0 20 20 ],[0.95 0.93 0.65]);\npatch([24 21 26 21 24 2"
2214"9 30 31 37 33 29 26 31 26 29 33 37 31 30 29 24 ],[2 5 10 15 18 18 17 18 18 14"
2215" 18 15 10 5 2 6 2 2 3 2 2 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 20 2"
2216"0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begi"
2217"n icon text');\ncolor('black');port_label('input',1,' ');\ncolor('black');por"
2218"t_label('output',1,'\\fontsize{11pt}\\bf Out ','texmode','on');\nfprintf('','"
2219"COMMENT: end icon text');\n"
2220    }
2221    Block {
2222      BlockType       Reference
2223      Name            "Sl_wrDAck"
2224      Ports           [1, 1]
2225      Position        [670, 565, 730, 585]
2226      SourceBlock         "xbsIndex_r4/Gateway Out"
2227      SourceType          "Xilinx Gateway Out Block"
2228      infoedit        "Gateway out block.  Converts Xilinx fixed p"
2229"oint inputs into ouputs of type Simulink integer, double, or fixed point.<P><"
2230"P>Hardware notes:  In hardware these blocks become top level output ports or "
2231"are discarded, depending on how they are configured."
2232      hdl_port        on
2233      timing_constraint   "None"
2234      locs_specified      off
2235      LOCs            "{}"
2236      xl_use_area         off
2237      xl_area         "[0,0,0,0,0,0,0]"
2238      sginterface         "{'Xilinx'=>{'jtaghwcosim'=>{'non_memory_map"
2239"ped_port'=>'Sl_wrDAck'}},'iopad'=>{'constraint'=>'#'}}"
2240      has_advanced_control    "0"
2241      sggui_pos       "-1,-1,-1,-1"
2242      block_type          "gatewayout"
2243      block_version       "10.1.2"
2244      sg_icon_stat        "60,20,1,1,white,yellow,0,38220381,right"
2245      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');"
2246"\npatch([0 60 60 0 ],[0 0 20 20 ],[0.95 0.93 0.65]);\npatch([24 21 26 21 24 2"
2247"9 30 31 37 33 29 26 31 26 29 33 37 31 30 29 24 ],[2 5 10 15 18 18 17 18 18 14"
2248" 18 15 10 5 2 6 2 2 3 2 2 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 20 2"
2249"0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begi"
2250"n icon text');\ncolor('black');port_label('input',1,' ');\ncolor('black');por"
2251"t_label('output',1,'\\fontsize{11pt}\\bf Out ','texmode','on');\nfprintf('','"
2252"COMMENT: end icon text');\n"
2253    }
2254    Block {
2255      BlockType       Terminator
2256      Name            "Terminator"
2257      Position        [915, 50, 935, 70]
2258      ShowName        off
2259    }
2260    Block {
2261      BlockType       Terminator
2262      Name            "Terminator1"
2263      Position        [915, 120, 935, 140]
2264      ShowName        off
2265    }
2266    Block {
2267      BlockType       Terminator
2268      Name            "Terminator2"
2269      Position        [915, 320, 935, 340]
2270      ShowName        off
2271    }
2272    Block {
2273      BlockType       Terminator
2274      Name            "Terminator3"
2275      Position        [915, 3020, 935, 3040]
2276      ShowName        off
2277    }
2278    Block {
2279      BlockType       Terminator
2280      Name            "Terminator4"
2281      Position        [420, 905, 440, 925]
2282      ShowName        off
2283    }
2284    Block {
2285      BlockType       Terminator
2286      Name            "Terminator5"
2287      Position        [915, 185, 935, 205]
2288      ShowName        off
2289    }
2290    Block {
2291      BlockType       Terminator
2292      Name            "Terminator6"
2293      Position        [915, 255, 935, 275]
2294      ShowName        off
2295    }
2296    Block {
2297      BlockType       Reference
2298      Name            "To Register"
2299      Ports           [2, 1]
2300      Position        [895, 392, 955, 448]
2301      AttributesFormatString  "<< %<shared_memory_name> >>"
2302      SourceBlock         "xbsIndex_r4/To Register"
2303      SourceType          "Xilinx Shared Memory Based To Register Bloc"
2304"k"
2305      infoedit        "Register block that writes data to a shared"
2306" memory register.  Delay of one sample period."
2307      shared_memory_name      "'Buzzer_DutyCycle'"
2308      init            "0"
2309      ownership       "Owned and initialized elsewhere"
2310      explicit_data_type      on
2311      arith_type          "Unsigned"
2312      n_bits          "18"
2313      bin_pt          "18"
2314      dbl_ovrd        off
2315      xl_use_area         off
2316      xl_area         "[0,0,0,0,0,0,0]"
2317      has_advanced_control    "0"
2318      sggui_pos       "-1,-1,-1,-1"
2319      block_type          "toreg"
2320      block_version       "10.1.2"
2321      sg_icon_stat        "60,56,1,1,white,blue,0,77cabcdf,right"
2322      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');"
2323"\npatch([0 60 60 0 ],[0 0 56 56 ],[0.77 0.82 0.91]);\npatch([15 6 19 6 15 30 "
2324"34 38 54 41 29 20 32 20 29 41 54 38 34 30 15 ],[6 15 28 41 50 50 46 50 50 37 "
2325"49 40 28 16 7 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 56"
2326" 56 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: be"
2327"gin icon text');\ncolor('black');port_label('input',1,'din');\ncolor('black')"
2328";port_label('input',2,'en');\ncolor('black');port_label('output',1,'dout');\n"
2329"fprintf('','COMMENT: end icon text');\n"
2330      Port {
2331        PortNumber          1
2332        Name            "Buzzer_DutyCycle_dout"
2333        RTWStorageClass     "Auto"
2334        DataLoggingNameMode     "SignalName"
2335      }
2336    }
2337    Block {
2338      BlockType       Reference
2339      Name            "To Register1"
2340      Ports           [2, 1]
2341      Position        [895, 497, 955, 553]
2342      AttributesFormatString  "<< %<shared_memory_name> >>"
2343      SourceBlock         "xbsIndex_r4/To Register"
2344      SourceType          "Xilinx Shared Memory Based To Register Bloc"
2345"k"
2346      infoedit        "Register block that writes data to a shared"
2347" memory register.  Delay of one sample period."
2348      shared_memory_name      "'Buzzer_Enable'"
2349      init            "0"
2350      ownership       "Owned and initialized elsewhere"
2351      explicit_data_type      on
2352      arith_type          "Unsigned"
2353      n_bits          "1"
2354      bin_pt          "0"
2355      dbl_ovrd        off
2356      xl_use_area         off
2357      xl_area         "[0,0,0,0,0,0,0]"
2358      has_advanced_control    "0"
2359      sggui_pos       "-1,-1,-1,-1"
2360      block_type          "toreg"
2361      block_version       "10.1.2"
2362      sg_icon_stat        "60,56,1,1,white,blue,0,77cabcdf,right"
2363      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');"
2364"\npatch([0 60 60 0 ],[0 0 56 56 ],[0.77 0.82 0.91]);\npatch([15 6 19 6 15 30 "
2365"34 38 54 41 29 20 32 20 29 41 54 38 34 30 15 ],[6 15 28 41 50 50 46 50 50 37 "
2366"49 40 28 16 7 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 56"
2367" 56 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: be"
2368"gin icon text');\ncolor('black');port_label('input',1,'din');\ncolor('black')"
2369";port_label('input',2,'en');\ncolor('black');port_label('output',1,'dout');\n"
2370"fprintf('','COMMENT: end icon text');\n"
2371      Port {
2372        PortNumber          1
2373        Name            "Buzzer_Enable_dout"
2374        RTWStorageClass     "Auto"
2375        DataLoggingNameMode     "SignalName"
2376      }
2377    }
2378    Block {
2379      BlockType       Reference
2380      Name            "To Register10"
2381      Ports           [2, 1]
2382      Position        [895, 1442, 955, 1498]
2383      AttributesFormatString  "<< %<shared_memory_name> >>"
2384      SourceBlock         "xbsIndex_r4/To Register"
2385      SourceType          "Xilinx Shared Memory Based To Register Bloc"
2386"k"
2387      infoedit        "Register block that writes data to a shared"
2388" memory register.  Delay of one sample period."
2389      shared_memory_name      "'LCD_FirstStart'"
2390      init            "0"
2391      ownership       "Owned and initialized elsewhere"
2392      explicit_data_type      on
2393      arith_type          "Unsigned"
2394      n_bits          "9"
2395      bin_pt          "0"
2396      dbl_ovrd        off
2397      xl_use_area         off
2398      xl_area         "[0,0,0,0,0,0,0]"
2399      has_advanced_control    "0"
2400      sggui_pos       "-1,-1,-1,-1"
2401      block_type          "toreg"
2402      block_version       "10.1.2"
2403      sg_icon_stat        "60,56,1,1,white,blue,0,77cabcdf,right"
2404      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');"
2405"\npatch([0 60 60 0 ],[0 0 56 56 ],[0.77 0.82 0.91]);\npatch([15 6 19 6 15 30 "
2406"34 38 54 41 29 20 32 20 29 41 54 38 34 30 15 ],[6 15 28 41 50 50 46 50 50 37 "
2407"49 40 28 16 7 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 56"
2408" 56 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: be"
2409"gin icon text');\ncolor('black');port_label('input',1,'din');\ncolor('black')"
2410";port_label('input',2,'en');\ncolor('black');port_label('output',1,'dout');\n"
2411"fprintf('','COMMENT: end icon text');\n"
2412      Port {
2413        PortNumber          1
2414        Name            "LCD_FirstStart_dout"
2415        RTWStorageClass     "Auto"
2416        DataLoggingNameMode     "SignalName"
2417      }
2418    }
2419    Block {
2420      BlockType       Reference
2421      Name            "To Register11"
2422      Ports           [2, 1]
2423      Position        [895, 1547, 955, 1603]
2424      AttributesFormatString  "<< %<shared_memory_name> >>"
2425      SourceBlock         "xbsIndex_r4/To Register"
2426      SourceType          "Xilinx Shared Memory Based To Register Bloc"
2427"k"
2428      infoedit        "Register block that writes data to a shared"
2429" memory register.  Delay of one sample period."
2430      shared_memory_name      "'LCD_LineOffset'"
2431      init            "0"
2432      ownership       "Owned and initialized elsewhere"
2433      explicit_data_type      on
2434      arith_type          "Unsigned"
2435      n_bits          "4"
2436      bin_pt          "0"
2437      dbl_ovrd        off
2438      xl_use_area         off
2439      xl_area         "[0,0,0,0,0,0,0]"
2440      has_advanced_control    "0"
2441      sggui_pos       "-1,-1,-1,-1"
2442      block_type          "toreg"
2443      block_version       "10.1.2"
2444      sg_icon_stat        "60,56,1,1,white,blue,0,77cabcdf,right"
2445      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');"
2446"\npatch([0 60 60 0 ],[0 0 56 56 ],[0.77 0.82 0.91]);\npatch([15 6 19 6 15 30 "
2447"34 38 54 41 29 20 32 20 29 41 54 38 34 30 15 ],[6 15 28 41 50 50 46 50 50 37 "
2448"49 40 28 16 7 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 56"
2449" 56 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: be"
2450"gin icon text');\ncolor('black');port_label('input',1,'din');\ncolor('black')"
2451";port_label('input',2,'en');\ncolor('black');port_label('output',1,'dout');\n"
2452"fprintf('','COMMENT: end icon text');\n"
2453      Port {
2454        PortNumber          1
2455        Name            "LCD_LineOffset_dout"
2456        RTWStorageClass     "Auto"
2457        DataLoggingNameMode     "SignalName"
2458      }
2459    }
2460    Block {
2461      BlockType       Reference
2462      Name            "To Register12"
2463      Ports           [2, 1]
2464      Position        [895, 1652, 955, 1708]
2465      AttributesFormatString  "<< %<shared_memory_name> >>"
2466      SourceBlock         "xbsIndex_r4/To Register"
2467      SourceType          "Xilinx Shared Memory Based To Register Bloc"
2468"k"
2469      infoedit        "Register block that writes data to a shared"
2470" memory register.  Delay of one sample period."
2471      shared_memory_name      "'LCD_RamWrite'"
2472      init            "0"
2473      ownership       "Owned and initialized elsewhere"
2474      explicit_data_type      on
2475      arith_type          "Unsigned"
2476      n_bits          "9"
2477      bin_pt          "0"
2478      dbl_ovrd        off
2479      xl_use_area         off
2480      xl_area         "[0,0,0,0,0,0,0]"
2481      has_advanced_control    "0"
2482      sggui_pos       "-1,-1,-1,-1"
2483      block_type          "toreg"
2484      block_version       "10.1.2"
2485      sg_icon_stat        "60,56,1,1,white,blue,0,77cabcdf,right"
2486      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');"
2487"\npatch([0 60 60 0 ],[0 0 56 56 ],[0.77 0.82 0.91]);\npatch([15 6 19 6 15 30 "
2488"34 38 54 41 29 20 32 20 29 41 54 38 34 30 15 ],[6 15 28 41 50 50 46 50 50 37 "
2489"49 40 28 16 7 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 56"
2490" 56 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: be"
2491"gin icon text');\ncolor('black');port_label('input',1,'din');\ncolor('black')"
2492";port_label('input',2,'en');\ncolor('black');port_label('output',1,'dout');\n"
2493"fprintf('','COMMENT: end icon text');\n"
2494      Port {
2495        PortNumber          1
2496        Name            "LCD_RamWrite_dout"
2497        RTWStorageClass     "Auto"
2498        DataLoggingNameMode     "SignalName"
2499      }
2500    }
2501    Block {
2502      BlockType       Reference
2503      Name            "To Register13"
2504      Ports           [2, 1]
2505      Position        [895, 1762, 955, 1818]
2506      AttributesFormatString  "<< %<shared_memory_name> >>"
2507      SourceBlock         "xbsIndex_r4/To Register"
2508      SourceType          "Xilinx Shared Memory Based To Register Bloc"
2509"k"
2510      infoedit        "Register block that writes data to a shared"
2511" memory register.  Delay of one sample period."
2512      shared_memory_name      "'LCD_Reset'"
2513      init            "0"
2514      ownership       "Owned and initialized elsewhere"
2515      explicit_data_type      on
2516      arith_type          "Unsigned"
2517      n_bits          "1"
2518      bin_pt          "0"
2519      dbl_ovrd        off
2520      xl_use_area         off
2521      xl_area         "[0,0,0,0,0,0,0]"
2522      has_advanced_control    "0"
2523      sggui_pos       "-1,-1,-1,-1"
2524      block_type          "toreg"
2525      block_version       "10.1.2"
2526      sg_icon_stat        "60,56,1,1,white,blue,0,77cabcdf,right"
2527      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');"
2528"\npatch([0 60 60 0 ],[0 0 56 56 ],[0.77 0.82 0.91]);\npatch([15 6 19 6 15 30 "
2529"34 38 54 41 29 20 32 20 29 41 54 38 34 30 15 ],[6 15 28 41 50 50 46 50 50 37 "
2530"49 40 28 16 7 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 56"
2531" 56 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: be"
2532"gin icon text');\ncolor('black');port_label('input',1,'din');\ncolor('black')"
2533";port_label('input',2,'en');\ncolor('black');port_label('output',1,'dout');\n"
2534"fprintf('','COMMENT: end icon text');\n"
2535      Port {
2536        PortNumber          1
2537        Name            "LCD_Reset_dout"
2538        RTWStorageClass     "Auto"
2539        DataLoggingNameMode     "SignalName"
2540      }
2541    }
2542    Block {
2543      BlockType       Reference
2544      Name            "To Register14"
2545      Ports           [2, 1]
2546      Position        [895, 1867, 955, 1923]
2547      AttributesFormatString  "<< %<shared_memory_name> >>"
2548      SourceBlock         "xbsIndex_r4/To Register"
2549      SourceType          "Xilinx Shared Memory Based To Register Bloc"
2550"k"
2551      infoedit        "Register block that writes data to a shared"
2552" memory register.  Delay of one sample period."
2553      shared_memory_name      "'LCD_ResetLCD'"
2554      init            "0"
2555      ownership       "Owned and initialized elsewhere"
2556      explicit_data_type      on
2557      arith_type          "Unsigned"
2558      n_bits          "1"
2559      bin_pt          "0"
2560      dbl_ovrd        off
2561      xl_use_area         off
2562      xl_area         "[0,0,0,0,0,0,0]"
2563      has_advanced_control    "0"
2564      sggui_pos       "-1,-1,-1,-1"
2565      block_type          "toreg"
2566      block_version       "10.1.2"
2567      sg_icon_stat        "60,56,1,1,white,blue,0,77cabcdf,right"
2568      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');"
2569"\npatch([0 60 60 0 ],[0 0 56 56 ],[0.77 0.82 0.91]);\npatch([15 6 19 6 15 30 "
2570"34 38 54 41 29 20 32 20 29 41 54 38 34 30 15 ],[6 15 28 41 50 50 46 50 50 37 "
2571"49 40 28 16 7 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 56"
2572" 56 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: be"
2573"gin icon text');\ncolor('black');port_label('input',1,'din');\ncolor('black')"
2574";port_label('input',2,'en');\ncolor('black');port_label('output',1,'dout');\n"
2575"fprintf('','COMMENT: end icon text');\n"
2576      Port {
2577        PortNumber          1
2578        Name            "LCD_ResetLCD_dout"
2579        RTWStorageClass     "Auto"
2580        DataLoggingNameMode     "SignalName"
2581      }
2582    }
2583    Block {
2584      BlockType       Reference
2585      Name            "To Register15"
2586      Ports           [2, 1]
2587      Position        [895, 1972, 955, 2028]
2588      AttributesFormatString  "<< %<shared_memory_name> >>"
2589      SourceBlock         "xbsIndex_r4/To Register"
2590      SourceType          "Xilinx Shared Memory Based To Register Bloc"
2591"k"
2592      infoedit        "Register block that writes data to a shared"
2593" memory register.  Delay of one sample period."
2594      shared_memory_name      "'LCD_RowSet'"
2595      init            "0"
2596      ownership       "Owned and initialized elsewhere"
2597      explicit_data_type      on
2598      arith_type          "Unsigned"
2599      n_bits          "9"
2600      bin_pt          "0"
2601      dbl_ovrd        off
2602      xl_use_area         off
2603      xl_area         "[0,0,0,0,0,0,0]"
2604      has_advanced_control    "0"
2605      sggui_pos       "-1,-1,-1,-1"
2606      block_type          "toreg"
2607      block_version       "10.1.2"
2608      sg_icon_stat        "60,56,1,1,white,blue,0,77cabcdf,right"
2609      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');"
2610"\npatch([0 60 60 0 ],[0 0 56 56 ],[0.77 0.82 0.91]);\npatch([15 6 19 6 15 30 "
2611"34 38 54 41 29 20 32 20 29 41 54 38 34 30 15 ],[6 15 28 41 50 50 46 50 50 37 "
2612"49 40 28 16 7 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 56"
2613" 56 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: be"
2614"gin icon text');\ncolor('black');port_label('input',1,'din');\ncolor('black')"
2615";port_label('input',2,'en');\ncolor('black');port_label('output',1,'dout');\n"
2616"fprintf('','COMMENT: end icon text');\n"
2617      Port {
2618        PortNumber          1
2619        Name            "LCD_RowSet_dout"
2620        RTWStorageClass     "Auto"
2621        DataLoggingNameMode     "SignalName"
2622      }
2623    }
2624    Block {
2625      BlockType       Reference
2626      Name            "To Register16"
2627      Ports           [2, 1]
2628      Position        [895, 2077, 955, 2133]
2629      AttributesFormatString  "<< %<shared_memory_name> >>"
2630      SourceBlock         "xbsIndex_r4/To Register"
2631      SourceType          "Xilinx Shared Memory Based To Register Bloc"
2632"k"
2633      infoedit        "Register block that writes data to a shared"
2634" memory register.  Delay of one sample period."
2635      shared_memory_name      "'LCD_SecondEnd'"
2636      init            "0"
2637      ownership       "Owned and initialized elsewhere"
2638      explicit_data_type      on
2639      arith_type          "Unsigned"
2640      n_bits          "9"
2641      bin_pt          "0"
2642      dbl_ovrd        off
2643      xl_use_area         off
2644      xl_area         "[0,0,0,0,0,0,0]"
2645      has_advanced_control    "0"
2646      sggui_pos       "-1,-1,-1,-1"
2647      block_type          "toreg"
2648      block_version       "10.1.2"
2649      sg_icon_stat        "60,56,1,1,white,blue,0,77cabcdf,right"
2650      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');"
2651"\npatch([0 60 60 0 ],[0 0 56 56 ],[0.77 0.82 0.91]);\npatch([15 6 19 6 15 30 "
2652"34 38 54 41 29 20 32 20 29 41 54 38 34 30 15 ],[6 15 28 41 50 50 46 50 50 37 "
2653"49 40 28 16 7 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 56"
2654" 56 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: be"
2655"gin icon text');\ncolor('black');port_label('input',1,'din');\ncolor('black')"
2656";port_label('input',2,'en');\ncolor('black');port_label('output',1,'dout');\n"
2657"fprintf('','COMMENT: end icon text');\n"
2658      Port {
2659        PortNumber          1
2660        Name            "LCD_SecondEnd_dout"
2661        RTWStorageClass     "Auto"
2662        DataLoggingNameMode     "SignalName"
2663      }
2664    }
2665    Block {
2666      BlockType       Reference
2667      Name            "To Register17"
2668      Ports           [2, 1]
2669      Position        [895, 2182, 955, 2238]
2670      AttributesFormatString  "<< %<shared_memory_name> >>"
2671      SourceBlock         "xbsIndex_r4/To Register"
2672      SourceType          "Xilinx Shared Memory Based To Register Bloc"
2673"k"
2674      infoedit        "Register block that writes data to a shared"
2675" memory register.  Delay of one sample period."
2676      shared_memory_name      "'LCD_SecondStart'"
2677      init            "0"
2678      ownership       "Owned and initialized elsewhere"
2679      explicit_data_type      on
2680      arith_type          "Unsigned"
2681      n_bits          "9"
2682      bin_pt          "0"
2683      dbl_ovrd        off
2684      xl_use_area         off
2685      xl_area         "[0,0,0,0,0,0,0]"
2686      has_advanced_control    "0"
2687      sggui_pos       "-1,-1,-1,-1"
2688      block_type          "toreg"
2689      block_version       "10.1.2"
2690      sg_icon_stat        "60,56,1,1,white,blue,0,77cabcdf,right"
2691      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');"
2692"\npatch([0 60 60 0 ],[0 0 56 56 ],[0.77 0.82 0.91]);\npatch([15 6 19 6 15 30 "
2693"34 38 54 41 29 20 32 20 29 41 54 38 34 30 15 ],[6 15 28 41 50 50 46 50 50 37 "
2694"49 40 28 16 7 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 56"
2695" 56 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: be"
2696"gin icon text');\ncolor('black');port_label('input',1,'din');\ncolor('black')"
2697";port_label('input',2,'en');\ncolor('black');port_label('output',1,'dout');\n"
2698"fprintf('','COMMENT: end icon text');\n"
2699      Port {
2700        PortNumber          1
2701        Name            "LCD_SecondStart_dout"
2702        RTWStorageClass     "Auto"
2703        DataLoggingNameMode     "SignalName"
2704      }
2705    }
2706    Block {
2707      BlockType       Reference
2708      Name            "To Register18"
2709      Ports           [2, 1]
2710      Position        [895, 2287, 955, 2343]
2711      AttributesFormatString  "<< %<shared_memory_name> >>"
2712      SourceBlock         "xbsIndex_r4/To Register"
2713      SourceType          "Xilinx Shared Memory Based To Register Bloc"
2714"k"
2715      infoedit        "Register block that writes data to a shared"
2716" memory register.  Delay of one sample period."
2717      shared_memory_name      "'LCD_Send'"
2718      init            "0"
2719      ownership       "Owned and initialized elsewhere"
2720      explicit_data_type      on
2721      arith_type          "Unsigned"
2722      n_bits          "1"
2723      bin_pt          "0"
2724      dbl_ovrd        off
2725      xl_use_area         off
2726      xl_area         "[0,0,0,0,0,0,0]"
2727      has_advanced_control    "0"
2728      sggui_pos       "-1,-1,-1,-1"
2729      block_type          "toreg"
2730      block_version       "10.1.2"
2731      sg_icon_stat        "60,56,1,1,white,blue,0,77cabcdf,right"
2732      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');"
2733"\npatch([0 60 60 0 ],[0 0 56 56 ],[0.77 0.82 0.91]);\npatch([15 6 19 6 15 30 "
2734"34 38 54 41 29 20 32 20 29 41 54 38 34 30 15 ],[6 15 28 41 50 50 46 50 50 37 "
2735"49 40 28 16 7 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 56"
2736" 56 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: be"
2737"gin icon text');\ncolor('black');port_label('input',1,'din');\ncolor('black')"
2738";port_label('input',2,'en');\ncolor('black');port_label('output',1,'dout');\n"
2739"fprintf('','COMMENT: end icon text');\n"
2740      Port {
2741        PortNumber          1
2742        Name            "LCD_Send_dout"
2743        RTWStorageClass     "Auto"
2744        DataLoggingNameMode     "SignalName"
2745      }
2746    }
2747    Block {
2748      BlockType       Reference
2749      Name            "To Register19"
2750      Ports           [2, 1]
2751      Position        [895, 2392, 955, 2448]
2752      AttributesFormatString  "<< %<shared_memory_name> >>"
2753      SourceBlock         "xbsIndex_r4/To Register"
2754      SourceType          "Xilinx Shared Memory Based To Register Bloc"
2755"k"
2756      infoedit        "Register block that writes data to a shared"
2757" memory register.  Delay of one sample period."
2758      shared_memory_name      "'LCD_TotalCmdTransfer'"
2759      init            "0"
2760      ownership       "Owned and initialized elsewhere"
2761      explicit_data_type      on
2762      arith_type          "Unsigned"
2763      n_bits          "8"
2764      bin_pt          "0"
2765      dbl_ovrd        off
2766      xl_use_area         off
2767      xl_area         "[0,0,0,0,0,0,0]"
2768      has_advanced_control    "0"
2769      sggui_pos       "-1,-1,-1,-1"
2770      block_type          "toreg"
2771      block_version       "10.1.2"
2772      sg_icon_stat        "60,56,1,1,white,blue,0,77cabcdf,right"
2773      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');"
2774"\npatch([0 60 60 0 ],[0 0 56 56 ],[0.77 0.82 0.91]);\npatch([15 6 19 6 15 30 "
2775"34 38 54 41 29 20 32 20 29 41 54 38 34 30 15 ],[6 15 28 41 50 50 46 50 50 37 "
2776"49 40 28 16 7 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 56"
2777" 56 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: be"
2778"gin icon text');\ncolor('black');port_label('input',1,'din');\ncolor('black')"
2779";port_label('input',2,'en');\ncolor('black');port_label('output',1,'dout');\n"
2780"fprintf('','COMMENT: end icon text');\n"
2781      Port {
2782        PortNumber          1
2783        Name            "LCD_TotalCmdTransfer_dout"
2784        RTWStorageClass     "Auto"
2785        DataLoggingNameMode     "SignalName"
2786      }
2787    }
2788    Block {
2789      BlockType       Reference
2790      Name            "To Register2"
2791      Ports           [2, 1]
2792      Position        [895, 602, 955, 658]
2793      AttributesFormatString  "<< %<shared_memory_name> >>"
2794      SourceBlock         "xbsIndex_r4/To Register"
2795      SourceType          "Xilinx Shared Memory Based To Register Bloc"
2796"k"
2797      infoedit        "Register block that writes data to a shared"
2798" memory register.  Delay of one sample period."
2799      shared_memory_name      "'Buzzer_Period'"
2800      init            "0"
2801      ownership       "Owned and initialized elsewhere"
2802      explicit_data_type      on
2803      arith_type          "Unsigned"
2804      n_bits          "18"
2805      bin_pt          "0"
2806      dbl_ovrd        off
2807      xl_use_area         off
2808      xl_area         "[0,0,0,0,0,0,0]"
2809      has_advanced_control    "0"
2810      sggui_pos       "-1,-1,-1,-1"
2811      block_type          "toreg"
2812      block_version       "10.1.2"
2813      sg_icon_stat        "60,56,1,1,white,blue,0,77cabcdf,right"
2814      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');"
2815"\npatch([0 60 60 0 ],[0 0 56 56 ],[0.77 0.82 0.91]);\npatch([15 6 19 6 15 30 "
2816"34 38 54 41 29 20 32 20 29 41 54 38 34 30 15 ],[6 15 28 41 50 50 46 50 50 37 "
2817"49 40 28 16 7 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 56"
2818" 56 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: be"
2819"gin icon text');\ncolor('black');port_label('input',1,'din');\ncolor('black')"
2820";port_label('input',2,'en');\ncolor('black');port_label('output',1,'dout');\n"
2821"fprintf('','COMMENT: end icon text');\n"
2822      Port {
2823        PortNumber          1
2824        Name            "Buzzer_Period_dout"
2825        RTWStorageClass     "Auto"
2826        DataLoggingNameMode     "SignalName"
2827      }
2828    }
2829    Block {
2830      BlockType       Reference
2831      Name            "To Register20"
2832      Ports           [2, 1]
2833      Position        [895, 2497, 955, 2553]
2834      AttributesFormatString  "<< %<shared_memory_name> >>"
2835      SourceBlock         "xbsIndex_r4/To Register"
2836      SourceType          "Xilinx Shared Memory Based To Register Bloc"
2837"k"
2838      infoedit        "Register block that writes data to a shared"
2839" memory register.  Delay of one sample period."
2840      shared_memory_name      "'LEDs'"
2841      init            "0"
2842      ownership       "Owned and initialized elsewhere"
2843      explicit_data_type      on
2844      arith_type          "Unsigned"
2845      n_bits          "8"
2846      bin_pt          "0"
2847      dbl_ovrd        off
2848      xl_use_area         off
2849      xl_area         "[0,0,0,0,0,0,0]"
2850      has_advanced_control    "0"
2851      sggui_pos       "-1,-1,-1,-1"
2852      block_type          "toreg"
2853      block_version       "10.1.2"
2854      sg_icon_stat        "60,56,1,1,white,blue,0,77cabcdf,right"
2855      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');"
2856"\npatch([0 60 60 0 ],[0 0 56 56 ],[0.77 0.82 0.91]);\npatch([15 6 19 6 15 30 "
2857"34 38 54 41 29 20 32 20 29 41 54 38 34 30 15 ],[6 15 28 41 50 50 46 50 50 37 "
2858"49 40 28 16 7 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 56"
2859" 56 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: be"
2860"gin icon text');\ncolor('black');port_label('input',1,'din');\ncolor('black')"
2861";port_label('input',2,'en');\ncolor('black');port_label('output',1,'dout');\n"
2862"fprintf('','COMMENT: end icon text');\n"
2863      Port {
2864        PortNumber          1
2865        Name            "LEDs_dout"
2866        RTWStorageClass     "Auto"
2867        DataLoggingNameMode     "SignalName"
2868      }
2869    }
2870    Block {
2871      BlockType       Reference
2872      Name            "To Register3"
2873      Ports           [2, 1]
2874      Position        [895, 707, 955, 763]
2875      AttributesFormatString  "<< %<shared_memory_name> >>"
2876      SourceBlock         "xbsIndex_r4/To Register"
2877      SourceType          "Xilinx Shared Memory Based To Register Bloc"
2878"k"
2879      infoedit        "Register block that writes data to a shared"
2880" memory register.  Delay of one sample period."
2881      shared_memory_name      "'LCD_BackgroundColor'"
2882      init            "0"
2883      ownership       "Owned and initialized elsewhere"
2884      explicit_data_type      on
2885      arith_type          "Unsigned"
2886      n_bits          "9"
2887      bin_pt          "0"
2888      dbl_ovrd        off
2889      xl_use_area         off
2890      xl_area         "[0,0,0,0,0,0,0]"
2891      has_advanced_control    "0"
2892      sggui_pos       "-1,-1,-1,-1"
2893      block_type          "toreg"
2894      block_version       "10.1.2"
2895      sg_icon_stat        "60,56,1,1,white,blue,0,77cabcdf,right"
2896      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');"
2897"\npatch([0 60 60 0 ],[0 0 56 56 ],[0.77 0.82 0.91]);\npatch([15 6 19 6 15 30 "
2898"34 38 54 41 29 20 32 20 29 41 54 38 34 30 15 ],[6 15 28 41 50 50 46 50 50 37 "
2899"49 40 28 16 7 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 56"
2900" 56 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: be"
2901"gin icon text');\ncolor('black');port_label('input',1,'din');\ncolor('black')"
2902";port_label('input',2,'en');\ncolor('black');port_label('output',1,'dout');\n"
2903"fprintf('','COMMENT: end icon text');\n"
2904      Port {
2905        PortNumber          1
2906        Name            "LCD_BackgroundColor_dout"
2907        RTWStorageClass     "Auto"
2908        DataLoggingNameMode     "SignalName"
2909      }
2910    }
2911    Block {
2912      BlockType       Reference
2913      Name            "To Register4"
2914      Ports           [2, 1]
2915      Position        [895, 812, 955, 868]
2916      AttributesFormatString  "<< %<shared_memory_name> >>"
2917      SourceBlock         "xbsIndex_r4/To Register"
2918      SourceType          "Xilinx Shared Memory Based To Register Bloc"
2919"k"
2920      infoedit        "Register block that writes data to a shared"
2921" memory register.  Delay of one sample period."
2922      shared_memory_name      "'LCD_CharacterOffset'"
2923      init            "0"
2924      ownership       "Owned and initialized elsewhere"
2925      explicit_data_type      on
2926      arith_type          "Unsigned"
2927      n_bits          "4"
2928      bin_pt          "0"
2929      dbl_ovrd        off
2930      xl_use_area         off
2931      xl_area         "[0,0,0,0,0,0,0]"
2932      has_advanced_control    "0"
2933      sggui_pos       "-1,-1,-1,-1"
2934      block_type          "toreg"
2935      block_version       "10.1.2"
2936      sg_icon_stat        "60,56,1,1,white,blue,0,77cabcdf,right"
2937      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');"
2938"\npatch([0 60 60 0 ],[0 0 56 56 ],[0.77 0.82 0.91]);\npatch([15 6 19 6 15 30 "
2939"34 38 54 41 29 20 32 20 29 41 54 38 34 30 15 ],[6 15 28 41 50 50 46 50 50 37 "
2940"49 40 28 16 7 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 56"
2941" 56 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: be"
2942"gin icon text');\ncolor('black');port_label('input',1,'din');\ncolor('black')"
2943";port_label('input',2,'en');\ncolor('black');port_label('output',1,'dout');\n"
2944"fprintf('','COMMENT: end icon text');\n"
2945      Port {
2946        PortNumber          1
2947        Name            "LCD_CharacterOffset_dout"
2948        RTWStorageClass     "Auto"
2949        DataLoggingNameMode     "SignalName"
2950      }
2951    }
2952    Block {
2953      BlockType       Reference
2954      Name            "To Register5"
2955      Ports           [2, 1]
2956      Position        [895, 917, 955, 973]
2957      AttributesFormatString  "<< %<shared_memory_name> >>"
2958      SourceBlock         "xbsIndex_r4/To Register"
2959      SourceType          "Xilinx Shared Memory Based To Register Bloc"
2960"k"
2961      infoedit        "Register block that writes data to a shared"
2962" memory register.  Delay of one sample period."
2963      shared_memory_name      "'LCD_CharactersSelect'"
2964      init            "0"
2965      ownership       "Owned and initialized elsewhere"
2966      explicit_data_type      on
2967      arith_type          "Unsigned"
2968      n_bits          "3"
2969      bin_pt          "0"
2970      dbl_ovrd        off
2971      xl_use_area         off
2972      xl_area         "[0,0,0,0,0,0,0]"
2973      has_advanced_control    "0"
2974      sggui_pos       "-1,-1,-1,-1"
2975      block_type          "toreg"
2976      block_version       "10.1.2"
2977      sg_icon_stat        "60,56,1,1,white,blue,0,77cabcdf,right"
2978      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');"
2979"\npatch([0 60 60 0 ],[0 0 56 56 ],[0.77 0.82 0.91]);\npatch([15 6 19 6 15 30 "
2980"34 38 54 41 29 20 32 20 29 41 54 38 34 30 15 ],[6 15 28 41 50 50 46 50 50 37 "
2981"49 40 28 16 7 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 56"
2982" 56 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: be"
2983"gin icon text');\ncolor('black');port_label('input',1,'din');\ncolor('black')"
2984";port_label('input',2,'en');\ncolor('black');port_label('output',1,'dout');\n"
2985"fprintf('','COMMENT: end icon text');\n"
2986      Port {
2987        PortNumber          1
2988        Name            "LCD_CharactersSelect_dout"
2989        RTWStorageClass     "Auto"
2990        DataLoggingNameMode     "SignalName"
2991      }
2992    }
2993    Block {
2994      BlockType       Reference
2995      Name            "To Register6"
2996      Ports           [2, 1]
2997      Position        [895, 1022, 955, 1078]
2998      AttributesFormatString  "<< %<shared_memory_name> >>"
2999      SourceBlock         "xbsIndex_r4/To Register"
3000      SourceType          "Xilinx Shared Memory Based To Register Bloc"
3001"k"
3002      infoedit        "Register block that writes data to a shared"
3003" memory register.  Delay of one sample period."
3004      shared_memory_name      "'LCD_ColSet'"
3005      init            "0"
3006      ownership       "Owned and initialized elsewhere"
3007      explicit_data_type      on
3008      arith_type          "Unsigned"
3009      n_bits          "9"
3010      bin_pt          "0"
3011      dbl_ovrd        off
3012      xl_use_area         off
3013      xl_area         "[0,0,0,0,0,0,0]"
3014      has_advanced_control    "0"
3015      sggui_pos       "-1,-1,-1,-1"
3016      block_type          "toreg"
3017      block_version       "10.1.2"
3018      sg_icon_stat        "60,56,1,1,white,blue,0,77cabcdf,right"
3019      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');"
3020"\npatch([0 60 60 0 ],[0 0 56 56 ],[0.77 0.82 0.91]);\npatch([15 6 19 6 15 30 "
3021"34 38 54 41 29 20 32 20 29 41 54 38 34 30 15 ],[6 15 28 41 50 50 46 50 50 37 "
3022"49 40 28 16 7 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 56"
3023" 56 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: be"
3024"gin icon text');\ncolor('black');port_label('input',1,'din');\ncolor('black')"
3025";port_label('input',2,'en');\ncolor('black');port_label('output',1,'dout');\n"
3026"fprintf('','COMMENT: end icon text');\n"
3027      Port {
3028        PortNumber          1
3029        Name            "LCD_ColSet_dout"
3030        RTWStorageClass     "Auto"
3031        DataLoggingNameMode     "SignalName"
3032      }
3033    }
3034    Block {
3035      BlockType       Reference
3036      Name            "To Register7"
3037      Ports           [2, 1]
3038      Position        [895, 1127, 955, 1183]
3039      AttributesFormatString  "<< %<shared_memory_name> >>"
3040      SourceBlock         "xbsIndex_r4/To Register"
3041      SourceType          "Xilinx Shared Memory Based To Register Bloc"
3042"k"
3043      infoedit        "Register block that writes data to a shared"
3044" memory register.  Delay of one sample period."
3045      shared_memory_name      "'LCD_ConfigLocation'"
3046      init            "0"
3047      ownership       "Owned and initialized elsewhere"
3048      explicit_data_type      on
3049      arith_type          "Unsigned"
3050      n_bits          "2"
3051      bin_pt          "0"
3052      dbl_ovrd        off
3053      xl_use_area         off
3054      xl_area         "[0,0,0,0,0,0,0]"
3055      has_advanced_control    "0"
3056      sggui_pos       "-1,-1,-1,-1"
3057      block_type          "toreg"
3058      block_version       "10.1.2"
3059      sg_icon_stat        "60,56,1,1,white,blue,0,77cabcdf,right"
3060      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');"
3061"\npatch([0 60 60 0 ],[0 0 56 56 ],[0.77 0.82 0.91]);\npatch([15 6 19 6 15 30 "
3062"34 38 54 41 29 20 32 20 29 41 54 38 34 30 15 ],[6 15 28 41 50 50 46 50 50 37 "
3063"49 40 28 16 7 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 56"
3064" 56 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: be"
3065"gin icon text');\ncolor('black');port_label('input',1,'din');\ncolor('black')"
3066";port_label('input',2,'en');\ncolor('black');port_label('output',1,'dout');\n"
3067"fprintf('','COMMENT: end icon text');\n"
3068      Port {
3069        PortNumber          1
3070        Name            "LCD_ConfigLocation_dout"
3071        RTWStorageClass     "Auto"
3072        DataLoggingNameMode     "SignalName"
3073      }
3074    }
3075    Block {
3076      BlockType       Reference
3077      Name            "To Register8"
3078      Ports           [2, 1]
3079      Position        [895, 1232, 955, 1288]
3080      AttributesFormatString  "<< %<shared_memory_name> >>"
3081      SourceBlock         "xbsIndex_r4/To Register"
3082      SourceType          "Xilinx Shared Memory Based To Register Bloc"
3083"k"
3084      infoedit        "Register block that writes data to a shared"
3085" memory register.  Delay of one sample period."
3086      shared_memory_name      "'LCD_DividerSelect'"
3087      init            "0"
3088      ownership       "Owned and initialized elsewhere"
3089      explicit_data_type      on
3090      arith_type          "Unsigned"
3091      n_bits          "1"
3092      bin_pt          "0"
3093      dbl_ovrd        off
3094      xl_use_area         off
3095      xl_area         "[0,0,0,0,0,0,0]"
3096      has_advanced_control    "0"
3097      sggui_pos       "-1,-1,-1,-1"
3098      block_type          "toreg"
3099      block_version       "10.1.2"
3100      sg_icon_stat        "60,56,1,1,white,blue,0,77cabcdf,right"
3101      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');"
3102"\npatch([0 60 60 0 ],[0 0 56 56 ],[0.77 0.82 0.91]);\npatch([15 6 19 6 15 30 "
3103"34 38 54 41 29 20 32 20 29 41 54 38 34 30 15 ],[6 15 28 41 50 50 46 50 50 37 "
3104"49 40 28 16 7 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 56"
3105" 56 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: be"
3106"gin icon text');\ncolor('black');port_label('input',1,'din');\ncolor('black')"
3107";port_label('input',2,'en');\ncolor('black');port_label('output',1,'dout');\n"
3108"fprintf('','COMMENT: end icon text');\n"
3109      Port {
3110        PortNumber          1
3111        Name            "LCD_DividerSelect_dout"
3112        RTWStorageClass     "Auto"
3113        DataLoggingNameMode     "SignalName"
3114      }
3115    }
3116    Block {
3117      BlockType       Reference
3118      Name            "To Register9"
3119      Ports           [2, 1]
3120      Position        [895, 1337, 955, 1393]
3121      AttributesFormatString  "<< %<shared_memory_name> >>"
3122      SourceBlock         "xbsIndex_r4/To Register"
3123      SourceType          "Xilinx Shared Memory Based To Register Bloc"
3124"k"
3125      infoedit        "Register block that writes data to a shared"
3126" memory register.  Delay of one sample period."
3127      shared_memory_name      "'LCD_FirstEnd'"
3128      init            "0"
3129      ownership       "Owned and initialized elsewhere"
3130      explicit_data_type      on
3131      arith_type          "Unsigned"
3132      n_bits          "9"
3133      bin_pt          "0"
3134      dbl_ovrd        off
3135      xl_use_area         off
3136      xl_area         "[0,0,0,0,0,0,0]"
3137      has_advanced_control    "0"
3138      sggui_pos       "-1,-1,-1,-1"
3139      block_type          "toreg"
3140      block_version       "10.1.2"
3141      sg_icon_stat        "60,56,1,1,white,blue,0,77cabcdf,right"
3142      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');"
3143"\npatch([0 60 60 0 ],[0 0 56 56 ],[0.77 0.82 0.91]);\npatch([15 6 19 6 15 30 "
3144"34 38 54 41 29 20 32 20 29 41 54 38 34 30 15 ],[6 15 28 41 50 50 46 50 50 37 "
3145"49 40 28 16 7 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 56"
3146" 56 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: be"
3147"gin icon text');\ncolor('black');port_label('input',1,'din');\ncolor('black')"
3148";port_label('input',2,'en');\ncolor('black');port_label('output',1,'dout');\n"
3149"fprintf('','COMMENT: end icon text');\n"
3150      Port {
3151        PortNumber          1
3152        Name            "LCD_FirstEnd_dout"
3153        RTWStorageClass     "Auto"
3154        DataLoggingNameMode     "SignalName"
3155      }
3156    }
3157    Block {
3158      BlockType       Reference
3159      Name            "plb_decode"
3160      Ports           [7, 9]
3161      Position        [345, 974, 515, 1386]
3162      SourceBlock         "xbsIndex_r4/MCode"
3163      SourceType          "Xilinx MCode Block Block"
3164      infoedit        "Pass input values to a MATLAB function for "
3165"evaluation in Xilinx fixed-point type. The input ports of the block are input"
3166" arguments of the function. The output ports of the block are output argument"
3167"s of the function."
3168      mfname          "xlmax"
3169      explicit_period     off
3170      period          "1"
3171      dbl_ovrd        off
3172      enable_stdout       off
3173      enable_debug        off
3174      xl_use_area         off
3175      xl_area         "[0,0,0,0,0,0,0]"
3176      mfilecontent        "function [wrDBusReg, addrAck, rdComp, wrDAc"
3177"k, bankAddr, RNWReg, rdDAck, rdDBus, linearAddr] = ...\n    plb_bus_decode(pl"
3178"bRst, plbABus, plbPAValid, plbRNW, plbWrDBus, rdData, addrPref)\n\n% constant"
3179" variables (TODO: should pass from outside)\nADDRPREF_LEN = 15;\nBANKADDR_LEN"
3180" = 2;\nLINEARADDR_LEN = 13;\nABUS_LEN = 32;\nDBUS_LEN = 32;\n\n% declare and "
3181"initialize persistent variables\n% register input bus signals\npersistent plb"
3182"RstReg_, plbRstReg_ = xl_state(0, {xlBoolean});\npersistent plbABusReg_, plbA"
3183"BusReg_ = xl_state(0, {xlUnsigned, ABUS_LEN, 0});\npersistent plbPAValidReg_,"
3184" plbPAValidReg_ = xl_state(0, {xlBoolean});\npersistent plbRNWReg_, plbRNWReg"
3185"_ = xl_state(0, {xlUnsigned, 1, 0});\npersistent plbWrDBusReg_, plbWrDBusReg_"
3186" = xl_state(0, {xlUnsigned, DBUS_LEN, 0});\n\n% ===== rest of the outputs ==="
3187"==\n\nbankAddr   = xl_slice(plbABusReg_, 2+BANKADDR_LEN+LINEARADDR_LEN-1, 2+L"
3188"INEARADDR_LEN);\nlinearAddr = xl_slice(plbABusReg_, 2+LINEARADDR_LEN-1, 2);\n"
3189"RNWReg = plbRNWReg_;\nwrDBusReg = plbWrDBusReg_;\n\n% ===== p_select =====\n"
3190"\n% register PAValid\npersistent aValidReg, aValidReg = xl_state(0, {xlBoolea"
3191"n});\naValidReg = plbPAValidReg_;\n\n% extract and register the address prefi"
3192"x\naddrPref_in = xl_slice(plbABusReg_, xl_nbits(plbABusReg_)-1, xl_nbits(plbA"
3193"BusReg_)-ADDRPREF_LEN);\nif addrPref_in == addrPref\n    ps1 = true;\nelse \n"
3194"    ps1 = false;\nend \n\npersistent ps1Reg, ps1Reg = xl_state(0, ps1);\nps1R"
3195"eg = ps1;\n\nps = xl_and(ps1Reg, aValidReg);\n\n% ===== addrAck =====\n\n% re"
3196"gister ps\npersistent psReg, psReg = xl_state(0, ps);\n\naddrAck = xfix({xlUn"
3197"signed, 1, 0}, xl_and(xl_not(plbRstReg_), ps, xl_not(psReg)));\n\npsReg = ps;"
3198"\n\n% ===== rdComp, rd/wr DAck =====\n \nrdComp1 = xfix({xlUnsigned, 1, 0}, x"
3199"l_and(addrAck, RNWReg));\n\nNUM_rdCompDelay = 3;\npersistent rdCompDelay, rdC"
3200"ompDelay = xl_state(zeros(1, NUM_rdCompDelay), rdComp1, NUM_rdCompDelay);\nrd"
3201"Comp2 = rdCompDelay.back;\nrdCompDelay.push_front_pop_back(rdComp1);\n\npersi"
3202"stent rdCompReg, rdCompReg = xl_state(0, rdComp1);\nrdComp = rdCompReg;\nrdCo"
3203"mpReg = rdComp2;\n\npersistent rdDAckReg, rdDAckReg = xl_state(0, rdComp1);\n"
3204"rdDAck = rdDAckReg;\nrdDAckReg = rdComp;\n\npersistent wrDAckReg, wrDAckReg ="
3205" xl_state(0, addrAck);\nwrDAck = wrDAckReg;\nwrDAckReg = xl_and(addrAck, xl_n"
3206"ot(RNWReg));\n\n% ===== rdDBus =====\n\nrdSel = xl_or(rdComp2, rdComp);\n\nif"
3207" rdSel == 1\n    rdDBus1 = rdData;\nelse\n    rdDBus1 = 0;\nend % if\n\npersi"
3208"stent rdDBusReg, rdDBusReg = xl_state(0, rdDBus1);\nrdDBus = rdDBusReg;\nrdDB"
3209"usReg = rdDBus1;\n\n% rdDBus = xl_concat(rdDBus32, rdDBus32);\n% rdDBus = rdD"
3210"Bus32;\n\n% ===== update the persistent variables =====\n\nplbRstReg_ = plbRs"
3211"t;\nplbABusReg_ = plbABus;\nplbPAValidReg_ = plbPAValid;\nplbRNWReg_ = plbRNW"
3212";\nplbWrDBusReg_ = xl_slice(plbWrDBus, DBUS_LEN-1, 0);\n"
3213      suppress_output     "1"
3214      defparams       "{}"
3215      hide_port_list      "{}"
3216      has_advanced_control    "0"
3217      sggui_pos       "-1,-1,-1,-1"
3218      block_type          "mcode"
3219      block_version       "10.1.2"
3220      sg_icon_stat        "170,412,7,9,white,blue,0,8b15b975,right"
3221      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');"
3222"\npatch([0 170 170 0 ],[0 0 412 412 ],[0.77 0.82 0.91]);\npatch([40 12 52 12 "
3223"40 85 97 109 157 119 83 57 97 57 83 119 157 109 97 85 40 ],[139 167 207 247 2"
3224"75 275 263 275 275 237 273 247 207 167 141 177 139 139 151 139 139 ],[0.98 0."
3225"96 0.92]);\nplot([0 170 170 0 0 ],[0 0 412 412 0 ]);\nfprintf('','COMMENT: en"
3226"d icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');p"
3227"ort_label('input',1,'plbRst');\ncolor('black');port_label('input',2,'plbABus'"
3228");\ncolor('black');port_label('input',3,'plbPAValid');\ncolor('black');port_l"
3229"abel('input',4,'plbRNW');\ncolor('black');port_label('input',5,'plbWrDBus');"
3230"\ncolor('black');port_label('input',6,'rdData');\ncolor('black');port_label('"
3231"input',7,'addrPref');\ncolor('black');port_label('output',1,'wrDBusReg');\nco"
3232"lor('black');port_label('output',2,'addrAck');\ncolor('black');port_label('ou"
3233"tput',3,'rdComp');\ncolor('black');port_label('output',4,'wrDAck');\ncolor('b"
3234"lack');port_label('output',5,'bankAddr');\ncolor('black');port_label('output'"
3235",6,'RNWReg');\ncolor('black');port_label('output',7,'rdDAck');\ncolor('black'"
3236");port_label('output',8,'rdDBus');\ncolor('black');port_label('output',9,'lin"
3237"earAddr');\ncolor('black');disp('\\bf{xlmax}','texmode','on');\nfprintf('','C"
3238"OMMENT: end icon text');\n"
3239      Port {
3240        PortNumber          1
3241        Name            "wrDBusReg"
3242        RTWStorageClass     "Auto"
3243        DataLoggingNameMode     "SignalName"
3244      }
3245      Port {
3246        PortNumber          2
3247        Name            "Sl_addrAck"
3248        RTWStorageClass     "Auto"
3249        DataLoggingNameMode     "SignalName"
3250      }
3251      Port {
3252        PortNumber          3
3253        Name            "Sl_rdComp"
3254        RTWStorageClass     "Auto"
3255        DataLoggingNameMode     "SignalName"
3256      }
3257      Port {
3258        PortNumber          4
3259        Name            "Sl_wrDAck"
3260        RTWStorageClass     "Auto"
3261        DataLoggingNameMode     "SignalName"
3262      }
3263      Port {
3264        PortNumber          5
3265        Name            "bankAddr"
3266        RTWStorageClass     "Auto"
3267        DataLoggingNameMode     "SignalName"
3268      }
3269      Port {
3270        PortNumber          6
3271        Name            "RNWReg"
3272        RTWStorageClass     "Auto"
3273        DataLoggingNameMode     "SignalName"
3274      }
3275      Port {
3276        PortNumber          7
3277        Name            "Sl_rdDAck"
3278        RTWStorageClass     "Auto"
3279        DataLoggingNameMode     "SignalName"
3280      }
3281      Port {
3282        PortNumber          8
3283        Name            "Sl_rdDBus"
3284        RTWStorageClass     "Auto"
3285        DataLoggingNameMode     "SignalName"
3286      }
3287      Port {
3288        PortNumber          9
3289        Name            "linearAddr"
3290        RTWStorageClass     "Auto"
3291        DataLoggingNameMode     "SignalName"
3292      }
3293    }
3294    Block {
3295      BlockType       Reference
3296      Name            "plb_memmap"
3297      Ports           [33, 52]
3298      Position        [615, 1470, 785, 1725]
3299      SourceBlock         "xbsIndex_r4/MCode"
3300      SourceType          "Xilinx MCode Block Block"
3301      infoedit        "Pass input values to a MATLAB function for "
3302"evaluation in Xilinx fixed-point type. The input ports of the block are input"
3303" arguments of the function. The output ports of the block are output argument"
3304"s of the function."
3305      mfname          "xlmax"
3306      explicit_period     off
3307      period          "1"
3308      dbl_ovrd        off
3309      enable_stdout       off
3310      enable_debug        off
3311      xl_use_area         off
3312      xl_area         "[0,0,0,0,0,0,0]"
3313      mfilecontent        "function [read_bank_out, sm_Buzzer_DutyCycl"
3314"e_din, sm_Buzzer_DutyCycle_en, sm_Buzzer_Enable_din, sm_Buzzer_Enable_en, sm_"
3315"Buzzer_Period_din, sm_Buzzer_Period_en, sm_LCD_BackgroundColor_din, sm_LCD_Ba"
3316"ckgroundColor_en, sm_LCD_CharacterOffset_din, sm_LCD_CharacterOffset_en, sm_L"
3317"CD_CharactersSelect_din, sm_LCD_CharactersSelect_en, sm_LCD_ColSet_din, sm_LC"
3318"D_ColSet_en, sm_LCD_ConfigLocation_din, sm_LCD_ConfigLocation_en, sm_LCD_Divi"
3319"derSelect_din, sm_LCD_DividerSelect_en, sm_LCD_FirstEnd_din, sm_LCD_FirstEnd_"
3320"en, sm_LCD_FirstStart_din, sm_LCD_FirstStart_en, sm_LCD_LineOffset_din, sm_LC"
3321"D_LineOffset_en, sm_LCD_RamWrite_din, sm_LCD_RamWrite_en, sm_LCD_Reset_din, s"
3322"m_LCD_Reset_en, sm_LCD_ResetLCD_din, sm_LCD_ResetLCD_en, sm_LCD_RowSet_din, s"
3323"m_LCD_RowSet_en, sm_LCD_SecondEnd_din, sm_LCD_SecondEnd_en, sm_LCD_SecondStar"
3324"t_din, sm_LCD_SecondStart_en, sm_LCD_Send_din, sm_LCD_Send_en, sm_LCD_TotalCm"
3325"dTransfer_din, sm_LCD_TotalCmdTransfer_en, sm_LEDs_din, sm_LEDs_en, sm_LCD_Ch"
3326"aracterMap_addr, sm_LCD_CharacterMap_din, sm_LCD_CharacterMap_we, sm_LCD_Char"
3327"acters_addr, sm_LCD_Characters_din, sm_LCD_Characters_we, sm_LCD_Commands_add"
3328"r, sm_LCD_Commands_din, sm_LCD_Commands_we] = plb_memmap_select(wrDBus, bankA"
3329"ddr, linearAddr, RNWReg, addrAck, sm_Buttons_Big, sm_Buttons_Small, sm_DIP_Sw"
3330"itch, sm_Trackball, sm_Buzzer_DutyCycle, sm_Buzzer_Enable, sm_Buzzer_Period, "
3331"sm_LCD_BackgroundColor, sm_LCD_CharacterOffset, sm_LCD_CharactersSelect, sm_L"
3332"CD_ColSet, sm_LCD_ConfigLocation, sm_LCD_DividerSelect, sm_LCD_FirstEnd, sm_L"
3333"CD_FirstStart, sm_LCD_LineOffset, sm_LCD_RamWrite, sm_LCD_Reset, sm_LCD_Reset"
3334"LCD, sm_LCD_RowSet, sm_LCD_SecondEnd, sm_LCD_SecondStart, sm_LCD_Send, sm_LCD"
3335"_TotalCmdTransfer, sm_LEDs, sm_LCD_CharacterMap, sm_LCD_Characters, sm_LCD_Co"
3336"mmands)\n\n\n% connvert the input data to UFix_32_0 (the bus data type)\n% 'F"
3337"rom Register' blocks\n% sm_Buttons_Big_bus = xfix({xlUnsigned, 32, 0}, 0);\ns"
3338"m_Buttons_Big_bus = xl_force(sm_Buttons_Big, xlUnsigned, 0);\n\n% sm_Buttons_"
3339"Small_bus = xfix({xlUnsigned, 32, 0}, 0);\nsm_Buttons_Small_bus = xl_force(sm"
3340"_Buttons_Small, xlUnsigned, 0);\n\n% sm_DIP_Switch_bus = xfix({xlUnsigned, 32"
3341", 0}, 0);\nsm_DIP_Switch_bus = xl_force(sm_DIP_Switch, xlUnsigned, 0);\n\n% s"
3342"m_Trackball_bus = xfix({xlUnsigned, 32, 0}, 0);\nsm_Trackball_bus = xl_force("
3343"sm_Trackball, xlUnsigned, 0);\n\n% 'To Register' blocks\n% sm_Buzzer_DutyCycl"
3344"e_dout = xfix({xlUnsigned, 32, 0}, 0);\nsm_Buzzer_DutyCycle_dout = xl_force(s"
3345"m_Buzzer_DutyCycle, xlUnsigned, 0);\n\n% sm_Buzzer_Enable_dout = xfix({xlUnsi"
3346"gned, 32, 0}, 0);\nsm_Buzzer_Enable_dout = xl_force(sm_Buzzer_Enable, xlUnsig"
3347"ned, 0);\n\n% sm_Buzzer_Period_dout = xfix({xlUnsigned, 32, 0}, 0);\nsm_Buzze"
3348"r_Period_dout = xl_force(sm_Buzzer_Period, xlUnsigned, 0);\n\n% sm_LCD_Backgr"
3349"oundColor_dout = xfix({xlUnsigned, 32, 0}, 0);\nsm_LCD_BackgroundColor_dout ="
3350" xl_force(sm_LCD_BackgroundColor, xlUnsigned, 0);\n\n% sm_LCD_CharacterOffset"
3351"_dout = xfix({xlUnsigned, 32, 0}, 0);\nsm_LCD_CharacterOffset_dout = xl_force"
3352"(sm_LCD_CharacterOffset, xlUnsigned, 0);\n\n% sm_LCD_CharactersSelect_dout = "
3353"xfix({xlUnsigned, 32, 0}, 0);\nsm_LCD_CharactersSelect_dout = xl_force(sm_LCD"
3354"_CharactersSelect, xlUnsigned, 0);\n\n% sm_LCD_ColSet_dout = xfix({xlUnsigned"
3355", 32, 0}, 0);\nsm_LCD_ColSet_dout = xl_force(sm_LCD_ColSet, xlUnsigned, 0);\n"
3356"\n% sm_LCD_ConfigLocation_dout = xfix({xlUnsigned, 32, 0}, 0);\nsm_LCD_Config"
3357"Location_dout = xl_force(sm_LCD_ConfigLocation, xlUnsigned, 0);\n\n% sm_LCD_D"
3358"ividerSelect_dout = xfix({xlUnsigned, 32, 0}, 0);\nsm_LCD_DividerSelect_dout "
3359"= xl_force(sm_LCD_DividerSelect, xlUnsigned, 0);\n\n% sm_LCD_FirstEnd_dout = "
3360"xfix({xlUnsigned, 32, 0}, 0);\nsm_LCD_FirstEnd_dout = xl_force(sm_LCD_FirstEn"
3361"d, xlUnsigned, 0);\n\n% sm_LCD_FirstStart_dout = xfix({xlUnsigned, 32, 0}, 0)"
3362";\nsm_LCD_FirstStart_dout = xl_force(sm_LCD_FirstStart, xlUnsigned, 0);\n\n% "
3363"sm_LCD_LineOffset_dout = xfix({xlUnsigned, 32, 0}, 0);\nsm_LCD_LineOffset_dou"
3364"t = xl_force(sm_LCD_LineOffset, xlUnsigned, 0);\n\n% sm_LCD_RamWrite_dout = x"
3365"fix({xlUnsigned, 32, 0}, 0);\nsm_LCD_RamWrite_dout = xl_force(sm_LCD_RamWrite"
3366", xlUnsigned, 0);\n\n% sm_LCD_Reset_dout = xfix({xlUnsigned, 32, 0}, 0);\nsm_"
3367"LCD_Reset_dout = xl_force(sm_LCD_Reset, xlUnsigned, 0);\n\n% sm_LCD_ResetLCD_"
3368"dout = xfix({xlUnsigned, 32, 0}, 0);\nsm_LCD_ResetLCD_dout = xl_force(sm_LCD_"
3369"ResetLCD, xlUnsigned, 0);\n\n% sm_LCD_RowSet_dout = xfix({xlUnsigned, 32, 0},"
3370" 0);\nsm_LCD_RowSet_dout = xl_force(sm_LCD_RowSet, xlUnsigned, 0);\n\n% sm_LC"
3371"D_SecondEnd_dout = xfix({xlUnsigned, 32, 0}, 0);\nsm_LCD_SecondEnd_dout = xl_"
3372"force(sm_LCD_SecondEnd, xlUnsigned, 0);\n\n% sm_LCD_SecondStart_dout = xfix({"
3373"xlUnsigned, 32, 0}, 0);\nsm_LCD_SecondStart_dout = xl_force(sm_LCD_SecondStar"
3374"t, xlUnsigned, 0);\n\n% sm_LCD_Send_dout = xfix({xlUnsigned, 32, 0}, 0);\nsm_"
3375"LCD_Send_dout = xl_force(sm_LCD_Send, xlUnsigned, 0);\n\n% sm_LCD_TotalCmdTra"
3376"nsfer_dout = xfix({xlUnsigned, 32, 0}, 0);\nsm_LCD_TotalCmdTransfer_dout = xl"
3377"_force(sm_LCD_TotalCmdTransfer, xlUnsigned, 0);\n\n% sm_LEDs_dout = xfix({xlU"
3378"nsigned, 32, 0}, 0);\nsm_LEDs_dout = xl_force(sm_LEDs, xlUnsigned, 0);\n\n% '"
3379"From FIFO' blocks\n% 'To FIFO' blocks\n% 'Shared Memory' blocks\n% LCD_Charac"
3380"terMap_bus = xfix({xlUnsigned, 32, 0}, 0);\nsm_LCD_CharacterMap_bus = xl_forc"
3381"e(sm_LCD_CharacterMap, xlUnsigned, 0);\n\n% LCD_Characters_bus = xfix({xlUnsi"
3382"gned, 32, 0}, 0);\nsm_LCD_Characters_bus = xl_force(sm_LCD_Characters, xlUnsi"
3383"gned, 0);\n\n% LCD_Commands_bus = xfix({xlUnsigned, 32, 0}, 0);\nsm_LCD_Comma"
3384"nds_bus = xl_force(sm_LCD_Commands, xlUnsigned, 0);\n\n\n% 'dout' ports of 'F"
3385"rom Register' blocks\n\n% registered register mux output\npersistent reg_bank"
3386"_out_reg; reg_bank_out_reg = xl_state(0, {xlUnsigned, 32, 0});\nreg_bank_out "
3387"= reg_bank_out_reg;\n\nif linearAddr == 21\n    reg_bank_out_reg = sm_Buttons"
3388"_Big_bus;\nelseif linearAddr == 22\n    reg_bank_out_reg = sm_Buttons_Small_b"
3389"us;\nelseif linearAddr == 23\n    reg_bank_out_reg = sm_DIP_Switch_bus;\nelse"
3390"if linearAddr == 24\n    reg_bank_out_reg = sm_Trackball_bus;\nelseif linearA"
3391"ddr == 0\n    reg_bank_out_reg = sm_Buzzer_DutyCycle_dout;\nelseif linearAddr"
3392" == 1\n    reg_bank_out_reg = sm_Buzzer_Enable_dout;\nelseif linearAddr == 2"
3393"\n    reg_bank_out_reg = sm_Buzzer_Period_dout;\nelseif linearAddr == 3\n    "
3394"reg_bank_out_reg = sm_LCD_BackgroundColor_dout;\nelseif linearAddr == 4\n    "
3395"reg_bank_out_reg = sm_LCD_CharacterOffset_dout;\nelseif linearAddr == 5\n    "
3396"reg_bank_out_reg = sm_LCD_CharactersSelect_dout;\nelseif linearAddr == 6\n   "
3397" reg_bank_out_reg = sm_LCD_ColSet_dout;\nelseif linearAddr == 7\n    reg_bank"
3398"_out_reg = sm_LCD_ConfigLocation_dout;\nelseif linearAddr == 8\n    reg_bank_"
3399"out_reg = sm_LCD_DividerSelect_dout;\nelseif linearAddr == 9\n    reg_bank_ou"
3400"t_reg = sm_LCD_FirstEnd_dout;\nelseif linearAddr == 10\n    reg_bank_out_reg "
3401"= sm_LCD_FirstStart_dout;\nelseif linearAddr == 11\n    reg_bank_out_reg = sm"
3402"_LCD_LineOffset_dout;\nelseif linearAddr == 12\n    reg_bank_out_reg = sm_LCD"
3403"_RamWrite_dout;\nelseif linearAddr == 13\n    reg_bank_out_reg = sm_LCD_Reset"
3404"_dout;\nelseif linearAddr == 14\n    reg_bank_out_reg = sm_LCD_ResetLCD_dout;"
3405"\nelseif linearAddr == 15\n    reg_bank_out_reg = sm_LCD_RowSet_dout;\nelseif"
3406" linearAddr == 16\n    reg_bank_out_reg = sm_LCD_SecondEnd_dout;\nelseif line"
3407"arAddr == 17\n    reg_bank_out_reg = sm_LCD_SecondStart_dout;\nelseif linearA"
3408"ddr == 18\n    reg_bank_out_reg = sm_LCD_Send_dout;\nelseif linearAddr == 19"
3409"\n    reg_bank_out_reg = sm_LCD_TotalCmdTransfer_dout;\nelseif linearAddr == "
3410"20\n    reg_bank_out_reg = sm_LEDs_dout;\nend\n\n\n% 'From FIFO' and 'To FIFO"
3411"' blocks\n\n\n\n\n\nopCode = xl_concat(addrAck, RNWReg, bankAddr, linearAddr)"
3412";\n\n% 'Shared Memory' blocks\n\nsm_LCD_CharacterMap_sel_value = xl_concat(xl"
3413"_slice(linearAddr, ...\n                                        xl_nbits(line"
3414"arAddr) - 1, ...\n                                        12) ...\n          "
3415"                          );\nif sm_LCD_CharacterMap_sel_value == xfix({xlUns"
3416"igned, ...\n                                        xl_nbits(linearAddr) - 12"
3417", ...\n                                        0}, ...\n                     "
3418"                   0);\n    sm_LCD_CharacterMap_sel = true;\nelse\n    sm_LCD"
3419"_CharacterMap_sel = false;\nend\nsm_LCD_Characters_sel_value = xl_concat(xl_s"
3420"lice(linearAddr, ...\n                                        xl_nbits(linear"
3421"Addr) - 1, ...\n                                        9) ...\n             "
3422"                       );\nif sm_LCD_Characters_sel_value == xfix({xlUnsigned"
3423", ...\n                                        xl_nbits(linearAddr) - 9, ..."
3424"\n                                        0}, ...\n                          "
3425"              8);\n    sm_LCD_Characters_sel = true;\nelse\n    sm_LCD_Charac"
3426"ters_sel = false;\nend\nsm_LCD_Commands_sel_value = xl_concat(xl_slice(linear"
3427"Addr, ...\n                                        xl_nbits(linearAddr) - 1, "
3428"...\n                                        8) ...\n                        "
3429"            );\nif sm_LCD_Commands_sel_value == xfix({xlUnsigned, ...\n      "
3430"                                  xl_nbits(linearAddr) - 8, ...\n            "
3431"                            0}, ...\n                                        "
3432"18);\n    sm_LCD_Commands_sel = true;\nelse\n    sm_LCD_Commands_sel = false;"
3433"\nend\n\n\n% registered Shared Memory mux output\npersistent ram_bank_out_reg"
3434"; ram_bank_out_reg = xl_state(0, {xlUnsigned, 32, 0});\nram_bank_out = ram_ba"
3435"nk_out_reg;\nif sm_LCD_CharacterMap_sel\n    ram_bank_out_reg = sm_LCD_Charac"
3436"terMap_bus;\nelseif sm_LCD_Characters_sel\n    ram_bank_out_reg = sm_LCD_Char"
3437"acters_bus;\nelseif sm_LCD_Commands_sel\n    ram_bank_out_reg = sm_LCD_Comman"
3438"ds_bus;\nend\n\n% 'din' ports of 'Shared Memory' blocks\nsm_LCD_CharacterMap_"
3439"din = xl_force(xl_slice(wrDBus, 32 - 1, 0), ...\n                            "
3440"     xlUnsigned, ...\n                                 0);\nsm_LCD_Characters"
3441"_din = xl_force(xl_slice(wrDBus, 32 - 1, 0), ...\n                           "
3442"      xlUnsigned, ...\n                                 0);\nsm_LCD_Commands_"
3443"din = xl_force(xl_slice(wrDBus, 32 - 1, 0), ...\n                            "
3444"     xlUnsigned, ...\n                                 0);\n\n\n% 'we' ports "
3445"of 'Shared Memory' blocks\npersistent sm_LCD_CharacterMap_we_reg; sm_LCD_Char"
3446"acterMap_we_reg = xl_state(false, {xlBoolean});\nsm_LCD_CharacterMap_we = sm_"
3447"LCD_CharacterMap_we_reg;\nopCode_sm_LCD_CharacterMap = xl_concat(addrAck, ..."
3448"\n                                     RNWReg, ...\n                         "
3449"            bankAddr, ...\n                                     xl_slice(line"
3450"arAddr, ...\n                                              xl_nbits(linearAdd"
3451"r) - 1, ...\n                                              12) ...\n         "
3452"                           );\nif opCode_sm_LCD_CharacterMap == xl_concat(xfi"
3453"x({xlUnsigned, 4, 0}, 8), ...\n                                         xfix("
3454"{xlUnsigned, ...\n                                               xl_nbits(lin"
3455"earAddr) - 12, ...\n                                               0}, ...\n "
3456"                                              0) ...\n                       "
3457"                 );\n    sm_LCD_CharacterMap_we_reg = true;\nelse\n    sm_LCD"
3458"_CharacterMap_we_reg = false;\nend\npersistent sm_LCD_Characters_we_reg; sm_L"
3459"CD_Characters_we_reg = xl_state(false, {xlBoolean});\nsm_LCD_Characters_we = "
3460"sm_LCD_Characters_we_reg;\nopCode_sm_LCD_Characters = xl_concat(addrAck, ..."
3461"\n                                     RNWReg, ...\n                         "
3462"            bankAddr, ...\n                                     xl_slice(line"
3463"arAddr, ...\n                                              xl_nbits(linearAdd"
3464"r) - 1, ...\n                                              9) ...\n          "
3465"                          );\nif opCode_sm_LCD_Characters == xl_concat(xfix({"
3466"xlUnsigned, 4, 0}, 8), ...\n                                         xfix({xl"
3467"Unsigned, ...\n                                               xl_nbits(linear"
3468"Addr) - 9, ...\n                                               0}, ...\n     "
3469"                                          8) ...\n                           "
3470"             );\n    sm_LCD_Characters_we_reg = true;\nelse\n    sm_LCD_Chara"
3471"cters_we_reg = false;\nend\npersistent sm_LCD_Commands_we_reg; sm_LCD_Command"
3472"s_we_reg = xl_state(false, {xlBoolean});\nsm_LCD_Commands_we = sm_LCD_Command"
3473"s_we_reg;\nopCode_sm_LCD_Commands = xl_concat(addrAck, ...\n                 "
3474"                    RNWReg, ...\n                                     bankAdd"
3475"r, ...\n                                     xl_slice(linearAddr, ...\n      "
3476"                                        xl_nbits(linearAddr) - 1, ...\n      "
3477"                                        8) ...\n                             "
3478"       );\nif opCode_sm_LCD_Commands == xl_concat(xfix({xlUnsigned, 4, 0}, 8)"
3479", ...\n                                         xfix({xlUnsigned, ...\n      "
3480"                                         xl_nbits(linearAddr) - 8, ...\n     "
3481"                                          0}, ...\n                          "
3482"                     18) ...\n                                        );\n   "
3483" sm_LCD_Commands_we_reg = true;\nelse\n    sm_LCD_Commands_we_reg = false;\ne"
3484"nd\n\n\n% 'addr' ports of 'Shared Memory' blocks\npersistent sm_LCD_Character"
3485"Map_addr_reg; \nsm_LCD_CharacterMap_addr_reg = xl_state(0, {xlUnsigned, 12, 0"
3486"});\nsm_LCD_CharacterMap_addr = sm_LCD_CharacterMap_addr_reg;\nif addrAck == "
3487"1\n    sm_LCD_CharacterMap_addr_reg = xl_slice(linearAddr, 12, 0);\nelse\n   "
3488" sm_LCD_CharacterMap_addr_reg = sm_LCD_CharacterMap_addr_reg;\nend\npersisten"
3489"t sm_LCD_Characters_addr_reg; \nsm_LCD_Characters_addr_reg = xl_state(0, {xlU"
3490"nsigned, 9, 0});\nsm_LCD_Characters_addr = sm_LCD_Characters_addr_reg;\nif ad"
3491"drAck == 1\n    sm_LCD_Characters_addr_reg = xl_slice(linearAddr, 9, 0);\nels"
3492"e\n    sm_LCD_Characters_addr_reg = sm_LCD_Characters_addr_reg;\nend\npersist"
3493"ent sm_LCD_Commands_addr_reg; \nsm_LCD_Commands_addr_reg = xl_state(0, {xlUns"
3494"igned, 8, 0});\nsm_LCD_Commands_addr = sm_LCD_Commands_addr_reg;\nif addrAck "
3495"== 1\n    sm_LCD_Commands_addr_reg = xl_slice(linearAddr, 8, 0);\nelse\n    s"
3496"m_LCD_Commands_addr_reg = sm_LCD_Commands_addr_reg;\nend\n\n\n% 're' ports of"
3497" 'From FIFO' blocks\n\n\n% 'en' ports of 'To Register' blocks\nif opCode == x"
3498"l_concat(xfix({xlUnsigned, 4, 0}, 10), ...\n                       xfix({xlUn"
3499"signed, xl_nbits(linearAddr), 0}, 0))\n    sm_Buzzer_DutyCycle_en = true;\nel"
3500"se\n    sm_Buzzer_DutyCycle_en = false;\nend\nif opCode == xl_concat(xfix({xl"
3501"Unsigned, 4, 0}, 10), ...\n                       xfix({xlUnsigned, xl_nbits("
3502"linearAddr), 0}, 1))\n    sm_Buzzer_Enable_en = true;\nelse\n    sm_Buzzer_En"
3503"able_en = false;\nend\nif opCode == xl_concat(xfix({xlUnsigned, 4, 0}, 10), ."
3504"..\n                       xfix({xlUnsigned, xl_nbits(linearAddr), 0}, 2))\n "
3505"   sm_Buzzer_Period_en = true;\nelse\n    sm_Buzzer_Period_en = false;\nend\n"
3506"if opCode == xl_concat(xfix({xlUnsigned, 4, 0}, 10), ...\n                   "
3507"    xfix({xlUnsigned, xl_nbits(linearAddr), 0}, 3))\n    sm_LCD_BackgroundCol"
3508"or_en = true;\nelse\n    sm_LCD_BackgroundColor_en = false;\nend\nif opCode ="
3509"= xl_concat(xfix({xlUnsigned, 4, 0}, 10), ...\n                       xfix({x"
3510"lUnsigned, xl_nbits(linearAddr), 0}, 4))\n    sm_LCD_CharacterOffset_en = tru"
3511"e;\nelse\n    sm_LCD_CharacterOffset_en = false;\nend\nif opCode == xl_concat"
3512"(xfix({xlUnsigned, 4, 0}, 10), ...\n                       xfix({xlUnsigned, "
3513"xl_nbits(linearAddr), 0}, 5))\n    sm_LCD_CharactersSelect_en = true;\nelse\n"
3514"    sm_LCD_CharactersSelect_en = false;\nend\nif opCode == xl_concat(xfix({xl"
3515"Unsigned, 4, 0}, 10), ...\n                       xfix({xlUnsigned, xl_nbits("
3516"linearAddr), 0}, 6))\n    sm_LCD_ColSet_en = true;\nelse\n    sm_LCD_ColSet_e"
3517"n = false;\nend\nif opCode == xl_concat(xfix({xlUnsigned, 4, 0}, 10), ...\n  "
3518"                     xfix({xlUnsigned, xl_nbits(linearAddr), 0}, 7))\n    sm_"
3519"LCD_ConfigLocation_en = true;\nelse\n    sm_LCD_ConfigLocation_en = false;\ne"
3520"nd\nif opCode == xl_concat(xfix({xlUnsigned, 4, 0}, 10), ...\n               "
3521"        xfix({xlUnsigned, xl_nbits(linearAddr), 0}, 8))\n    sm_LCD_DividerSe"
3522"lect_en = true;\nelse\n    sm_LCD_DividerSelect_en = false;\nend\nif opCode ="
3523"= xl_concat(xfix({xlUnsigned, 4, 0}, 10), ...\n                       xfix({x"
3524"lUnsigned, xl_nbits(linearAddr), 0}, 9))\n    sm_LCD_FirstEnd_en = true;\nels"
3525"e\n    sm_LCD_FirstEnd_en = false;\nend\nif opCode == xl_concat(xfix({xlUnsig"
3526"ned, 4, 0}, 10), ...\n                       xfix({xlUnsigned, xl_nbits(linea"
3527"rAddr), 0}, 10))\n    sm_LCD_FirstStart_en = true;\nelse\n    sm_LCD_FirstSta"
3528"rt_en = false;\nend\nif opCode == xl_concat(xfix({xlUnsigned, 4, 0}, 10), ..."
3529"\n                       xfix({xlUnsigned, xl_nbits(linearAddr), 0}, 11))\n  "
3530"  sm_LCD_LineOffset_en = true;\nelse\n    sm_LCD_LineOffset_en = false;\nend"
3531"\nif opCode == xl_concat(xfix({xlUnsigned, 4, 0}, 10), ...\n                 "
3532"      xfix({xlUnsigned, xl_nbits(linearAddr), 0}, 12))\n    sm_LCD_RamWrite_e"
3533"n = true;\nelse\n    sm_LCD_RamWrite_en = false;\nend\nif opCode == xl_concat"
3534"(xfix({xlUnsigned, 4, 0}, 10), ...\n                       xfix({xlUnsigned, "
3535"xl_nbits(linearAddr), 0}, 13))\n    sm_LCD_Reset_en = true;\nelse\n    sm_LCD"
3536"_Reset_en = false;\nend\nif opCode == xl_concat(xfix({xlUnsigned, 4, 0}, 10),"
3537" ...\n                       xfix({xlUnsigned, xl_nbits(linearAddr), 0}, 14))"
3538"\n    sm_LCD_ResetLCD_en = true;\nelse\n    sm_LCD_ResetLCD_en = false;\nend"
3539"\nif opCode == xl_concat(xfix({xlUnsigned, 4, 0}, 10), ...\n                 "
3540"      xfix({xlUnsigned, xl_nbits(linearAddr), 0}, 15))\n    sm_LCD_RowSet_en "
3541"= true;\nelse\n    sm_LCD_RowSet_en = false;\nend\nif opCode == xl_concat(xfi"
3542"x({xlUnsigned, 4, 0}, 10), ...\n                       xfix({xlUnsigned, xl_n"
3543"bits(linearAddr), 0}, 16))\n    sm_LCD_SecondEnd_en = true;\nelse\n    sm_LCD"
3544"_SecondEnd_en = false;\nend\nif opCode == xl_concat(xfix({xlUnsigned, 4, 0}, "
3545"10), ...\n                       xfix({xlUnsigned, xl_nbits(linearAddr), 0}, "
3546"17))\n    sm_LCD_SecondStart_en = true;\nelse\n    sm_LCD_SecondStart_en = fa"
3547"lse;\nend\nif opCode == xl_concat(xfix({xlUnsigned, 4, 0}, 10), ...\n        "
3548"               xfix({xlUnsigned, xl_nbits(linearAddr), 0}, 18))\n    sm_LCD_S"
3549"end_en = true;\nelse\n    sm_LCD_Send_en = false;\nend\nif opCode == xl_conca"
3550"t(xfix({xlUnsigned, 4, 0}, 10), ...\n                       xfix({xlUnsigned,"
3551" xl_nbits(linearAddr), 0}, 19))\n    sm_LCD_TotalCmdTransfer_en = true;\nelse"
3552"\n    sm_LCD_TotalCmdTransfer_en = false;\nend\nif opCode == xl_concat(xfix({"
3553"xlUnsigned, 4, 0}, 10), ...\n                       xfix({xlUnsigned, xl_nbit"
3554"s(linearAddr), 0}, 20))\n    sm_LEDs_en = true;\nelse\n    sm_LEDs_en = false"
3555";\nend\n\n\n% 'din' ports of 'To FIFO' blocks\n\n\n% 'we' ports of 'To FIFO' "
3556"blocks\n\n\n% 'din' ports of 'To Register' blocks\nsm_Buzzer_DutyCycle_din = "
3557"xl_force(xl_slice(wrDBus, 18 - 1, 0), ...\n                                 x"
3558"lUnsigned, ...\n                                 18);\nsm_Buzzer_Enable_din ="
3559" xl_force(xl_slice(wrDBus, 1 - 1, 0), ...\n                                 x"
3560"lUnsigned, ...\n                                 0);\nsm_Buzzer_Period_din = "
3561"xl_force(xl_slice(wrDBus, 18 - 1, 0), ...\n                                 x"
3562"lUnsigned, ...\n                                 0);\nsm_LCD_BackgroundColor_"
3563"din = xl_force(xl_slice(wrDBus, 9 - 1, 0), ...\n                             "
3564"    xlUnsigned, ...\n                                 0);\nsm_LCD_CharacterOf"
3565"fset_din = xl_force(xl_slice(wrDBus, 4 - 1, 0), ...\n                        "
3566"         xlUnsigned, ...\n                                 0);\nsm_LCD_Charac"
3567"tersSelect_din = xl_force(xl_slice(wrDBus, 3 - 1, 0), ...\n                  "
3568"               xlUnsigned, ...\n                                 0);\nsm_LCD_"
3569"ColSet_din = xl_force(xl_slice(wrDBus, 9 - 1, 0), ...\n                      "
3570"           xlUnsigned, ...\n                                 0);\nsm_LCD_Conf"
3571"igLocation_din = xl_force(xl_slice(wrDBus, 2 - 1, 0), ...\n                  "
3572"               xlUnsigned, ...\n                                 0);\nsm_LCD_"
3573"DividerSelect_din = xl_force(xl_slice(wrDBus, 1 - 1, 0), ...\n               "
3574"                  xlUnsigned, ...\n                                 0);\nsm_L"
3575"CD_FirstEnd_din = xl_force(xl_slice(wrDBus, 9 - 1, 0), ...\n                 "
3576"                xlUnsigned, ...\n                                 0);\nsm_LCD"
3577"_FirstStart_din = xl_force(xl_slice(wrDBus, 9 - 1, 0), ...\n                 "
3578"                xlUnsigned, ...\n                                 0);\nsm_LCD"
3579"_LineOffset_din = xl_force(xl_slice(wrDBus, 4 - 1, 0), ...\n                 "
3580"                xlUnsigned, ...\n                                 0);\nsm_LCD"
3581"_RamWrite_din = xl_force(xl_slice(wrDBus, 9 - 1, 0), ...\n                   "
3582"              xlUnsigned, ...\n                                 0);\nsm_LCD_R"
3583"eset_din = xl_force(xl_slice(wrDBus, 1 - 1, 0), ...\n                        "
3584"         xlUnsigned, ...\n                                 0);\nsm_LCD_ResetL"
3585"CD_din = xl_force(xl_slice(wrDBus, 1 - 1, 0), ...\n                          "
3586"       xlUnsigned, ...\n                                 0);\nsm_LCD_RowSet_d"
3587"in = xl_force(xl_slice(wrDBus, 9 - 1, 0), ...\n                              "
3588"   xlUnsigned, ...\n                                 0);\nsm_LCD_SecondEnd_di"
3589"n = xl_force(xl_slice(wrDBus, 9 - 1, 0), ...\n                               "
3590"  xlUnsigned, ...\n                                 0);\nsm_LCD_SecondStart_d"
3591"in = xl_force(xl_slice(wrDBus, 9 - 1, 0), ...\n                              "
3592"   xlUnsigned, ...\n                                 0);\nsm_LCD_Send_din = x"
3593"l_force(xl_slice(wrDBus, 1 - 1, 0), ...\n                                 xlU"
3594"nsigned, ...\n                                 0);\nsm_LCD_TotalCmdTransfer_d"
3595"in = xl_force(xl_slice(wrDBus, 8 - 1, 0), ...\n                              "
3596"   xlUnsigned, ...\n                                 0);\nsm_LEDs_din = xl_fo"
3597"rce(xl_slice(wrDBus, 8 - 1, 0), ...\n                                 xlUnsig"
3598"ned, ...\n                                 0);\n\n\npersistent read_bank_out_"
3599"reg; read_bank_out_reg = xl_state(0, {xlUnsigned, 32, 0});\nread_bank_out = r"
3600"ead_bank_out_reg;\n\npersistent bankAddr_reg; bankAddr_reg = xl_state(0, bank"
3601"Addr);\n\nif bankAddr_reg == 0\n    % Bank 0: Shared Memories\n    read_bank_"
3602"out_reg = ram_bank_out;\nelseif bankAddr_reg == 1\n    % Bank 1: From/To FIFO"
3603"s\n    read_bank_out_reg =  0;\nelseif bankAddr_reg == 2\n    % Bank 1: From/"
3604"To Registers\n    read_bank_out_reg = reg_bank_out;\nelseif bankAddr_reg == 3"
3605"\n    % Bank 1: Configure Registers\n    read_bank_out_reg = 0;\nend\n\nbankA"
3606"ddr_reg = bankAddr;\n"
3607      suppress_output     "1"
3608      defparams       "{}"
3609      hide_port_list      "{}"
3610      has_advanced_control    "0"
3611      sggui_pos       "-1,-1,-1,-1"
3612      block_type          "mcode"
3613      block_version       "10.1.2"
3614      sg_icon_stat        "170,255,33,52,white,blue,0,ad4b08ee,right"
3615      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');"
3616"\npatch([0 170 170 0 ],[0 0 255 255 ],[0.77 0.82 0.91]);\npatch([40 12 52 12 "
3617"40 85 97 109 157 119 83 57 97 57 83 119 157 109 97 85 40 ],[61 89 129 169 197"
3618" 197 185 197 197 159 195 169 129 89 63 99 61 61 73 61 61 ],[0.98 0.96 0.92]);"
3619"\nplot([0 170 170 0 0 ],[0 0 255 255 0 ]);\nfprintf('','COMMENT: end icon gra"
3620"phics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label("
3621"'input',1,'wrDBus');\ncolor('black');port_label('input',2,'bankAddr');\ncolor"
3622"('black');port_label('input',3,'linearAddr');\ncolor('black');port_label('inp"
3623"ut',4,'RNWReg');\ncolor('black');port_label('input',5,'addrAck');\ncolor('bla"
3624"ck');port_label('input',6,'sm_Buttons_Big');\ncolor('black');port_label('inpu"
3625"t',7,'sm_Buttons_Small');\ncolor('black');port_label('input',8,'sm_DIP_Switch"
3626"');\ncolor('black');port_label('input',9,'sm_Trackball');\ncolor('black');por"
3627"t_label('input',10,'sm_Buzzer_DutyCycle');\ncolor('black');port_label('input'"
3628",11,'sm_Buzzer_Enable');\ncolor('black');port_label('input',12,'sm_Buzzer_Per"
3629"iod');\ncolor('black');port_label('input',13,'sm_LCD_BackgroundColor');\ncolo"
3630"r('black');port_label('input',14,'sm_LCD_CharacterOffset');\ncolor('black');p"
3631"ort_label('input',15,'sm_LCD_CharactersSelect');\ncolor('black');port_label('"
3632"input',16,'sm_LCD_ColSet');\ncolor('black');port_label('input',17,'sm_LCD_Con"
3633"figLocation');\ncolor('black');port_label('input',18,'sm_LCD_DividerSelect');"
3634"\ncolor('black');port_label('input',19,'sm_LCD_FirstEnd');\ncolor('black');po"
3635"rt_label('input',20,'sm_LCD_FirstStart');\ncolor('black');port_label('input',"
3636"21,'sm_LCD_LineOffset');\ncolor('black');port_label('input',22,'sm_LCD_RamWri"
3637"te');\ncolor('black');port_label('input',23,'sm_LCD_Reset');\ncolor('black');"
3638"port_label('input',24,'sm_LCD_ResetLCD');\ncolor('black');port_label('input',"
3639"25,'sm_LCD_RowSet');\ncolor('black');port_label('input',26,'sm_LCD_SecondEnd'"
3640");\ncolor('black');port_label('input',27,'sm_LCD_SecondStart');\ncolor('black"
3641"');port_label('input',28,'sm_LCD_Send');\ncolor('black');port_label('input',2"
3642"9,'sm_LCD_TotalCmdTransfer');\ncolor('black');port_label('input',30,'sm_LEDs'"
3643");\ncolor('black');port_label('input',31,'sm_LCD_CharacterMap');\ncolor('blac"
3644"k');port_label('input',32,'sm_LCD_Characters');\ncolor('black');port_label('i"
3645"nput',33,'sm_LCD_Commands');\ncolor('black');port_label('output',1,'read_bank"
3646"_out');\ncolor('black');port_label('output',2,'sm_Buzzer_DutyCycle_din');\nco"
3647"lor('black');port_label('output',3,'sm_Buzzer_DutyCycle_en');\ncolor('black')"
3648";port_label('output',4,'sm_Buzzer_Enable_din');\ncolor('black');port_label('o"
3649"utput',5,'sm_Buzzer_Enable_en');\ncolor('black');port_label('output',6,'sm_Bu"
3650"zzer_Period_din');\ncolor('black');port_label('output',7,'sm_Buzzer_Period_en"
3651"');\ncolor('black');port_label('output',8,'sm_LCD_BackgroundColor_din');\ncol"
3652"or('black');port_label('output',9,'sm_LCD_BackgroundColor_en');\ncolor('black"
3653"');port_label('output',10,'sm_LCD_CharacterOffset_din');\ncolor('black');port"
3654"_label('output',11,'sm_LCD_CharacterOffset_en');\ncolor('black');port_label('"
3655"output',12,'sm_LCD_CharactersSelect_din');\ncolor('black');port_label('output"
3656"',13,'sm_LCD_CharactersSelect_en');\ncolor('black');port_label('output',14,'s"
3657"m_LCD_ColSet_din');\ncolor('black');port_label('output',15,'sm_LCD_ColSet_en'"
3658");\ncolor('black');port_label('output',16,'sm_LCD_ConfigLocation_din');\ncolo"
3659"r('black');port_label('output',17,'sm_LCD_ConfigLocation_en');\ncolor('black'"
3660");port_label('output',18,'sm_LCD_DividerSelect_din');\ncolor('black');port_la"
3661"bel('output',19,'sm_LCD_DividerSelect_en');\ncolor('black');port_label('outpu"
3662"t',20,'sm_LCD_FirstEnd_din');\ncolor('black');port_label('output',21,'sm_LCD_"
3663"FirstEnd_en');\ncolor('black');port_label('output',22,'sm_LCD_FirstStart_din'"
3664");\ncolor('black');port_label('output',23,'sm_LCD_FirstStart_en');\ncolor('bl"
3665"ack');port_label('output',24,'sm_LCD_LineOffset_din');\ncolor('black');port_l"
3666"abel('output',25,'sm_LCD_LineOffset_en');\ncolor('black');port_label('output'"
3667",26,'sm_LCD_RamWrite_din');\ncolor('black');port_label('output',27,'sm_LCD_Ra"
3668"mWrite_en');\ncolor('black');port_label('output',28,'sm_LCD_Reset_din');\ncol"
3669"or('black');port_label('output',29,'sm_LCD_Reset_en');\ncolor('black');port_l"
3670"abel('output',30,'sm_LCD_ResetLCD_din');\ncolor('black');port_label('output',"
3671"31,'sm_LCD_ResetLCD_en');\ncolor('black');port_label('output',32,'sm_LCD_RowS"
3672"et_din');\ncolor('black');port_label('output',33,'sm_LCD_RowSet_en');\ncolor("
3673"'black');port_label('output',34,'sm_LCD_SecondEnd_din');\ncolor('black');port"
3674"_label('output',35,'sm_LCD_SecondEnd_en');\ncolor('black');port_label('output"
3675"',36,'sm_LCD_SecondStart_din');\ncolor('black');port_label('output',37,'sm_LC"
3676"D_SecondStart_en');\ncolor('black');port_label('output',38,'sm_LCD_Send_din')"
3677";\ncolor('black');port_label('output',39,'sm_LCD_Send_en');\ncolor('black');p"
3678"ort_label('output',40,'sm_LCD_TotalCmdTransfer_din');\ncolor('black');port_la"
3679"bel('output',41,'sm_LCD_TotalCmdTransfer_en');\ncolor('black');port_label('ou"
3680"tput',42,'sm_LEDs_din');\ncolor('black');port_label('output',43,'sm_LEDs_en')"
3681";\ncolor('black');port_label('output',44,'sm_LCD_CharacterMap_addr');\ncolor("
3682"'black');port_label('output',45,'sm_LCD_CharacterMap_din');\ncolor('black');p"
3683"ort_label('output',46,'sm_LCD_CharacterMap_we');\ncolor('black');port_label('"
3684"output',47,'sm_LCD_Characters_addr');\ncolor('black');port_label('output',48,"
3685"'sm_LCD_Characters_din');\ncolor('black');port_label('output',49,'sm_LCD_Char"
3686"acters_we');\ncolor('black');port_label('output',50,'sm_LCD_Commands_addr');"
3687"\ncolor('black');port_label('output',51,'sm_LCD_Commands_din');\ncolor('black"
3688"');port_label('output',52,'sm_LCD_Commands_we');\ncolor('black');disp('\\bf{x"
3689"lmax}','texmode','on');\nfprintf('','COMMENT: end icon text');\n"
3690      Port {
3691        PortNumber          1
3692        Name            "rdData"
3693        RTWStorageClass     "Auto"
3694        DataLoggingNameMode     "SignalName"
3695      }
3696      Port {
3697        PortNumber          2
3698        Name            "Buzzer_DutyCycle_din"
3699        RTWStorageClass     "Auto"
3700        DataLoggingNameMode     "SignalName"
3701      }
3702      Port {
3703        PortNumber          3
3704        Name            "Buzzer_DutyCycle_en"
3705        RTWStorageClass     "Auto"
3706        DataLoggingNameMode     "SignalName"
3707      }
3708      Port {
3709        PortNumber          4
3710        Name            "Buzzer_Enable_din"
3711        RTWStorageClass     "Auto"
3712        DataLoggingNameMode     "SignalName"
3713      }
3714      Port {
3715        PortNumber          5
3716        Name            "Buzzer_Enable_en"
3717        RTWStorageClass     "Auto"
3718        DataLoggingNameMode     "SignalName"
3719      }
3720      Port {
3721        PortNumber          6
3722        Name            "Buzzer_Period_din"
3723        RTWStorageClass     "Auto"
3724        DataLoggingNameMode     "SignalName"
3725      }
3726      Port {
3727        PortNumber          7
3728        Name            "Buzzer_Period_en"
3729        RTWStorageClass     "Auto"
3730        DataLoggingNameMode     "SignalName"
3731      }
3732      Port {
3733        PortNumber          8
3734        Name            "LCD_BackgroundColor_din"
3735        RTWStorageClass     "Auto"
3736        DataLoggingNameMode     "SignalName"
3737      }
3738      Port {
3739        PortNumber          9
3740        Name            "LCD_BackgroundColor_en"
3741        RTWStorageClass     "Auto"
3742        DataLoggingNameMode     "SignalName"
3743      }
3744      Port {
3745        PortNumber          10
3746        Name            "LCD_CharacterOffset_din"
3747        RTWStorageClass     "Auto"
3748        DataLoggingNameMode     "SignalName"
3749      }
3750      Port {
3751        PortNumber          11
3752        Name            "LCD_CharacterOffset_en"
3753        RTWStorageClass     "Auto"
3754        DataLoggingNameMode     "SignalName"
3755      }
3756      Port {
3757        PortNumber          12
3758        Name            "LCD_CharactersSelect_din"
3759        RTWStorageClass     "Auto"
3760        DataLoggingNameMode     "SignalName"
3761      }
3762      Port {
3763        PortNumber          13
3764        Name            "LCD_CharactersSelect_en"
3765        RTWStorageClass     "Auto"
3766        DataLoggingNameMode     "SignalName"
3767      }
3768      Port {
3769        PortNumber          14
3770        Name            "LCD_ColSet_din"
3771        RTWStorageClass     "Auto"
3772        DataLoggingNameMode     "SignalName"
3773      }
3774      Port {
3775        PortNumber          15
3776        Name            "LCD_ColSet_en"
3777        RTWStorageClass     "Auto"
3778        DataLoggingNameMode     "SignalName"
3779      }
3780      Port {
3781        PortNumber          16
3782        Name            "LCD_ConfigLocation_din"
3783        RTWStorageClass     "Auto"
3784        DataLoggingNameMode     "SignalName"
3785      }
3786      Port {
3787        PortNumber          17
3788        Name            "LCD_ConfigLocation_en"
3789        RTWStorageClass     "Auto"
3790        DataLoggingNameMode     "SignalName"
3791      }
3792      Port {
3793        PortNumber          18
3794        Name            "LCD_DividerSelect_din"
3795        RTWStorageClass     "Auto"
3796        DataLoggingNameMode     "SignalName"
3797      }
3798      Port {
3799        PortNumber          19
3800        Name            "LCD_DividerSelect_en"
3801        RTWStorageClass     "Auto"
3802        DataLoggingNameMode     "SignalName"
3803      }
3804      Port {
3805        PortNumber          20
3806        Name            "LCD_FirstEnd_din"
3807        RTWStorageClass     "Auto"
3808        DataLoggingNameMode     "SignalName"
3809      }
3810      Port {
3811        PortNumber          21
3812        Name            "LCD_FirstEnd_en"
3813        RTWStorageClass     "Auto"
3814        DataLoggingNameMode     "SignalName"
3815      }
3816      Port {
3817        PortNumber          22
3818        Name            "LCD_FirstStart_din"
3819        RTWStorageClass     "Auto"
3820        DataLoggingNameMode     "SignalName"
3821      }
3822      Port {
3823        PortNumber          23
3824        Name            "LCD_FirstStart_en"
3825        RTWStorageClass     "Auto"
3826        DataLoggingNameMode     "SignalName"
3827      }
3828      Port {
3829        PortNumber          24
3830        Name            "LCD_LineOffset_din"
3831        RTWStorageClass     "Auto"
3832        DataLoggingNameMode     "SignalName"
3833      }
3834      Port {
3835        PortNumber          25
3836        Name            "LCD_LineOffset_en"
3837        RTWStorageClass     "Auto"
3838        DataLoggingNameMode     "SignalName"
3839      }
3840      Port {
3841        PortNumber          26
3842        Name            "LCD_RamWrite_din"
3843        RTWStorageClass     "Auto"
3844        DataLoggingNameMode     "SignalName"
3845      }
3846      Port {
3847        PortNumber          27
3848        Name            "LCD_RamWrite_en"
3849        RTWStorageClass     "Auto"
3850        DataLoggingNameMode     "SignalName"
3851      }
3852      Port {
3853        PortNumber          28
3854        Name            "LCD_Reset_din"
3855        RTWStorageClass     "Auto"
3856        DataLoggingNameMode     "SignalName"
3857      }
3858      Port {
3859        PortNumber          29
3860        Name            "LCD_Reset_en"
3861        RTWStorageClass     "Auto"
3862        DataLoggingNameMode     "SignalName"
3863      }
3864      Port {
3865        PortNumber          30
3866        Name            "LCD_ResetLCD_din"
3867        RTWStorageClass     "Auto"
3868        DataLoggingNameMode     "SignalName"
3869      }
3870      Port {
3871        PortNumber          31
3872        Name            "LCD_ResetLCD_en"
3873        RTWStorageClass     "Auto"
3874        DataLoggingNameMode     "SignalName"
3875      }
3876      Port {
3877        PortNumber          32
3878        Name            "LCD_RowSet_din"
3879        RTWStorageClass     "Auto"
3880        DataLoggingNameMode     "SignalName"
3881      }
3882      Port {
3883        PortNumber          33
3884        Name            "LCD_RowSet_en"
3885        RTWStorageClass     "Auto"
3886        DataLoggingNameMode     "SignalName"
3887      }
3888      Port {
3889        PortNumber          34
3890        Name            "LCD_SecondEnd_din"
3891        RTWStorageClass     "Auto"
3892        DataLoggingNameMode     "SignalName"
3893      }
3894      Port {
3895        PortNumber          35
3896        Name            "LCD_SecondEnd_en"
3897        RTWStorageClass     "Auto"
3898        DataLoggingNameMode     "SignalName"
3899      }
3900      Port {
3901        PortNumber          36
3902        Name            "LCD_SecondStart_din"
3903        RTWStorageClass     "Auto"
3904        DataLoggingNameMode     "SignalName"
3905      }
3906      Port {
3907        PortNumber          37
3908        Name            "LCD_SecondStart_en"
3909        RTWStorageClass     "Auto"
3910        DataLoggingNameMode     "SignalName"
3911      }
3912      Port {
3913        PortNumber          38
3914        Name            "LCD_Send_din"
3915        RTWStorageClass     "Auto"
3916        DataLoggingNameMode     "SignalName"
3917      }
3918      Port {
3919        PortNumber          39
3920        Name            "LCD_Send_en"
3921        RTWStorageClass     "Auto"
3922        DataLoggingNameMode     "SignalName"
3923      }
3924      Port {
3925        PortNumber          40
3926        Name            "LCD_TotalCmdTransfer_din"
3927        RTWStorageClass     "Auto"
3928        DataLoggingNameMode     "SignalName"
3929      }
3930      Port {
3931        PortNumber          41
3932        Name            "LCD_TotalCmdTransfer_en"
3933        RTWStorageClass     "Auto"
3934        DataLoggingNameMode     "SignalName"
3935      }
3936      Port {
3937        PortNumber          42
3938        Name            "LEDs_din"
3939        RTWStorageClass     "Auto"
3940        DataLoggingNameMode     "SignalName"
3941      }
3942      Port {
3943        PortNumber          43
3944        Name            "LEDs_en"
3945        RTWStorageClass     "Auto"
3946        DataLoggingNameMode     "SignalName"
3947      }
3948      Port {
3949        PortNumber          44
3950        Name            "LCD_CharacterMap_addr"
3951        RTWStorageClass     "Auto"
3952        DataLoggingNameMode     "SignalName"
3953      }
3954      Port {
3955        PortNumber          45
3956        Name            "LCD_CharacterMap_din"
3957        RTWStorageClass     "Auto"
3958        DataLoggingNameMode     "SignalName"
3959      }
3960      Port {
3961        PortNumber          46
3962        Name            "LCD_CharacterMap_we"
3963        RTWStorageClass     "Auto"
3964        DataLoggingNameMode     "SignalName"
3965      }
3966      Port {
3967        PortNumber          47
3968        Name            "LCD_Characters_addr"
3969        RTWStorageClass     "Auto"
3970        DataLoggingNameMode     "SignalName"
3971      }
3972      Port {
3973        PortNumber          48
3974        Name            "LCD_Characters_din"
3975        RTWStorageClass     "Auto"
3976        DataLoggingNameMode     "SignalName"
3977      }
3978      Port {
3979        PortNumber          49
3980        Name            "LCD_Characters_we"
3981        RTWStorageClass     "Auto"
3982        DataLoggingNameMode     "SignalName"
3983      }
3984      Port {
3985        PortNumber          50
3986        Name            "LCD_Commands_addr"
3987        RTWStorageClass     "Auto"
3988        DataLoggingNameMode     "SignalName"
3989      }
3990      Port {
3991        PortNumber          51
3992        Name            "LCD_Commands_din"
3993        RTWStorageClass     "Auto"
3994        DataLoggingNameMode     "SignalName"
3995      }
3996      Port {
3997        PortNumber          52
3998        Name            "LCD_Commands_we"
3999        RTWStorageClass     "Auto"
4000        DataLoggingNameMode     "SignalName"
4001      }
4002    }
4003    Block {
4004      BlockType       Reference
4005      Name            "sg_plb_addrpref"
4006      Ports           [1, 1]
4007      Position        [175, 1345, 245, 1365]
4008      SourceBlock         "xbsIndex_r4/Gateway In"
4009      SourceType          "Xilinx Gateway In Block"
4010      infoedit        "Gateway in block.  Converts inputs of type "
4011"Simulink integer, double and fixed point to  Xilinx fixed point type.<P><P>Ha"
4012"rdware notes:  In hardware these blocks become top level input ports."
4013      arith_type          "Unsigned"
4014      n_bits          "15"
4015      bin_pt          "0"
4016      quantization        "Round  (unbiased: +/- Inf)"
4017      overflow        "Saturate"
4018      period          "xlGetSimulinkPeriod(gcb)"
4019      dbl_ovrd        off
4020      timing_constraint   "None"
4021      locs_specified      off
4022      LOCs            "{}"
4023      xl_use_area         off
4024      xl_area         "[0,0,0,0,0,0,0]"
4025      sginterface         "{'Xilinx'=>{'jtaghwcosim'=>{'non_memory_map"
4026"ped_port'=>'sg_plb_addrpref'}},'iopad'=>{'constraint'=>'#'}}"
4027      has_advanced_control    "0"
4028      sggui_pos       "-1,-1,-1,-1"
4029      block_type          "gatewayin"
4030      block_version       "10.1.2"
4031      sg_icon_stat        "70,20,1,1,white,yellow,0,bc55d28f,right"
4032      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');"
4033"\npatch([0 70 70 0 ],[0 0 20 20 ],[0.95 0.93 0.65]);\npatch([29 26 31 26 29 3"
4034"4 35 36 42 38 34 31 36 31 34 38 42 36 35 34 29 ],[2 5 10 15 18 18 17 18 18 14"
4035" 18 15 10 5 2 6 2 2 3 2 2 ],[0.98 0.96 0.92]);\nplot([0 70 70 0 0 ],[0 0 20 2"
4036"0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begi"
4037"n icon text');\ncolor('black');port_label('input',1,'\\fontsize{11pt}\\bf In "
4038"','texmode','on');\ncolor('black');port_label('output',1,' ');\nfprintf('','C"
4039"OMMENT: end icon text');\n"
4040      Port {
4041        PortNumber          1
4042        Name            "addrPref"
4043        RTWStorageClass     "Auto"
4044        DataLoggingNameMode     "SignalName"
4045      }
4046    }
4047    Line {
4048      Name            "Sl_addrAck"
4049      SrcBlock        "plb_decode"
4050      SrcPort         2
4051      Points          [0, 0]
4052      Branch {
4053        Labels          [1, 0]
4054        DstBlock            "plb_memmap"
4055        DstPort         5
4056      }
4057      Branch {
4058        Labels          [0, 0]
4059        DstBlock            "Sl_addrAck"
4060        DstPort         1
4061      }
4062    }
4063    Line {
4064      Name            "Sl_wrDAck"
4065      SrcBlock        "plb_decode"
4066      SrcPort         4
4067      Points          [0, 0]
4068      Branch {
4069        Labels          [1, 0]
4070        DstBlock            "Sl_wrDAck"
4071        DstPort         1
4072      }
4073      Branch {
4074        Labels          [0, 0]
4075        DstBlock            "Sl_wrComp"
4076        DstPort         1
4077      }
4078    }
4079    Line {
4080      Name            "LCD_Commands_we"
4081      Labels          [0, 0]
4082      SrcBlock        "plb_memmap"
4083      SrcPort         52
4084      DstBlock        "Shared Memory2"
4085      DstPort         3
4086    }
4087    Line {
4088      Name            "LCD_Commands_din"
4089      Labels          [0, 0]
4090      SrcBlock        "plb_memmap"
4091      SrcPort         51
4092      DstBlock        "Shared Memory2"
4093      DstPort         2
4094    }
4095    Line {
4096      Name            "LCD_Commands_addr"
4097      Labels          [0, 0]
4098      SrcBlock        "plb_memmap"
4099      SrcPort         50
4100      DstBlock        "Shared Memory2"
4101      DstPort         1
4102    }
4103    Line {
4104      Name            "LCD_Characters_we"
4105      Labels          [0, 0]
4106      SrcBlock        "plb_memmap"
4107      SrcPort         49
4108      DstBlock        "Shared Memory1"
4109      DstPort         3
4110    }
4111    Line {
4112      Name            "LCD_Characters_din"
4113      Labels          [0, 0]
4114      SrcBlock        "plb_memmap"
4115      SrcPort         48
4116      DstBlock        "Shared Memory1"
4117      DstPort         2
4118    }
4119    Line {
4120      Name            "LCD_Characters_addr"
4121      Labels          [0, 0]
4122      SrcBlock        "plb_memmap"
4123      SrcPort         47
4124      DstBlock        "Shared Memory1"
4125      DstPort         1
4126    }
4127    Line {
4128      Name            "LCD_CharacterMap_we"
4129      Labels          [0, 0]
4130      SrcBlock        "plb_memmap"
4131      SrcPort         46
4132      DstBlock        "Shared Memory"
4133      DstPort         3
4134    }
4135    Line {
4136      Name            "LCD_CharacterMap_din"
4137      Labels          [0, 0]
4138      SrcBlock        "plb_memmap"
4139      SrcPort         45
4140      DstBlock        "Shared Memory"
4141      DstPort         2
4142    }
4143    Line {
4144      Name            "LCD_CharacterMap_addr"
4145      Labels          [0, 0]
4146      SrcBlock        "plb_memmap"
4147      SrcPort         44
4148      DstBlock        "Shared Memory"
4149      DstPort         1
4150    }
4151    Line {
4152      Name            "LEDs_en"
4153      Labels          [0, 0]
4154      SrcBlock        "plb_memmap"
4155      SrcPort         43
4156      DstBlock        "To Register20"
4157      DstPort         2
4158    }
4159    Line {
4160      Name            "LEDs_din"
4161      Labels          [0, 0]
4162      SrcBlock        "plb_memmap"
4163      SrcPort         42
4164      DstBlock        "To Register20"
4165      DstPort         1
4166    }
4167    Line {
4168      Name            "LCD_TotalCmdTransfer_en"
4169      Labels          [0, 0]
4170      SrcBlock        "plb_memmap"
4171      SrcPort         41
4172      DstBlock        "To Register19"
4173      DstPort         2
4174    }
4175    Line {
4176      Name            "LCD_TotalCmdTransfer_din"
4177      Labels          [0, 0]
4178      SrcBlock        "plb_memmap"
4179      SrcPort         40
4180      DstBlock        "To Register19"
4181      DstPort         1
4182    }
4183    Line {
4184      Name            "LCD_Send_en"
4185      Labels          [0, 0]
4186      SrcBlock        "plb_memmap"
4187      SrcPort         39
4188      DstBlock        "To Register18"
4189      DstPort         2
4190    }
4191    Line {
4192      Name            "LCD_Send_din"
4193      Labels          [0, 0]
4194      SrcBlock        "plb_memmap"
4195      SrcPort         38
4196      DstBlock        "To Register18"
4197      DstPort         1
4198    }
4199    Line {
4200      Name            "LCD_SecondStart_en"
4201      Labels          [0, 0]
4202      SrcBlock        "plb_memmap"
4203      SrcPort         37
4204      DstBlock        "To Register17"
4205      DstPort         2
4206    }
4207    Line {
4208      Name            "LCD_SecondStart_din"
4209      Labels          [0, 0]
4210      SrcBlock        "plb_memmap"
4211      SrcPort         36
4212      DstBlock        "To Register17"
4213      DstPort         1
4214    }
4215    Line {
4216      Name            "LCD_SecondEnd_en"
4217      Labels          [0, 0]
4218      SrcBlock        "plb_memmap"
4219      SrcPort         35
4220      DstBlock        "To Register16"
4221      DstPort         2
4222    }
4223    Line {
4224      Name            "LCD_SecondEnd_din"
4225      Labels          [0, 0]
4226      SrcBlock        "plb_memmap"
4227      SrcPort         34
4228      DstBlock        "To Register16"
4229      DstPort         1
4230    }
4231    Line {
4232      Name            "LCD_RowSet_en"
4233      Labels          [0, 0]
4234      SrcBlock        "plb_memmap"
4235      SrcPort         33
4236      DstBlock        "To Register15"
4237      DstPort         2
4238    }
4239    Line {
4240      Name            "LCD_RowSet_din"
4241      Labels          [0, 0]
4242      SrcBlock        "plb_memmap"
4243      SrcPort         32
4244      DstBlock        "To Register15"
4245      DstPort         1
4246    }
4247    Line {
4248      Name            "LCD_ResetLCD_en"
4249      Labels          [0, 0]
4250      SrcBlock        "plb_memmap"
4251      SrcPort         31
4252      DstBlock        "To Register14"
4253      DstPort         2
4254    }
4255    Line {
4256      Name            "LCD_ResetLCD_din"
4257      Labels          [0, 0]
4258      SrcBlock        "plb_memmap"
4259      SrcPort         30
4260      DstBlock        "To Register14"
4261      DstPort         1
4262    }
4263    Line {
4264      Name            "LCD_Reset_en"
4265      Labels          [0, 0]
4266      SrcBlock        "plb_memmap"
4267      SrcPort         29
4268      DstBlock        "To Register13"
4269      DstPort         2
4270    }
4271    Line {
4272      Name            "LCD_Reset_din"
4273      Labels          [0, 0]
4274      SrcBlock        "plb_memmap"
4275      SrcPort         28
4276      DstBlock        "To Register13"
4277      DstPort         1
4278    }
4279    Line {
4280      Name            "LCD_RamWrite_en"
4281      Labels          [0, 0]
4282      SrcBlock        "plb_memmap"
4283      SrcPort         27
4284      DstBlock        "To Register12"
4285      DstPort         2
4286    }
4287    Line {
4288      Name            "LCD_RamWrite_din"
4289      Labels          [0, 0]
4290      SrcBlock        "plb_memmap"
4291      SrcPort         26
4292      DstBlock        "To Register12"
4293      DstPort         1
4294    }
4295    Line {
4296      Name            "LCD_LineOffset_en"
4297      Labels          [0, 0]
4298      SrcBlock        "plb_memmap"
4299      SrcPort         25
4300      DstBlock        "To Register11"
4301      DstPort         2
4302    }
4303    Line {
4304      Name            "LCD_LineOffset_din"
4305      Labels          [0, 0]
4306      SrcBlock        "plb_memmap"
4307      SrcPort         24
4308      DstBlock        "To Register11"
4309      DstPort         1
4310    }
4311    Line {
4312      Name            "LCD_FirstStart_en"
4313      Labels          [0, 0]
4314      SrcBlock        "plb_memmap"
4315      SrcPort         23
4316      DstBlock        "To Register10"
4317      DstPort         2
4318    }
4319    Line {
4320      Name            "LCD_FirstStart_din"
4321      Labels          [0, 0]
4322      SrcBlock        "plb_memmap"
4323      SrcPort         22
4324      DstBlock        "To Register10"
4325      DstPort         1
4326    }
4327    Line {
4328      Name            "LCD_FirstEnd_en"
4329      Labels          [0, 0]
4330      SrcBlock        "plb_memmap"
4331      SrcPort         21
4332      DstBlock        "To Register9"
4333      DstPort         2
4334    }
4335    Line {
4336      Name            "LCD_FirstEnd_din"
4337      Labels          [0, 0]
4338      SrcBlock        "plb_memmap"
4339      SrcPort         20
4340      DstBlock        "To Register9"
4341      DstPort         1
4342    }
4343    Line {
4344      Name            "LCD_DividerSelect_en"
4345      Labels          [0, 0]
4346      SrcBlock        "plb_memmap"
4347      SrcPort         19
4348      DstBlock        "To Register8"
4349      DstPort         2
4350    }
4351    Line {
4352      Name            "LCD_DividerSelect_din"
4353      Labels          [0, 0]
4354      SrcBlock        "plb_memmap"
4355      SrcPort         18
4356      DstBlock        "To Register8"
4357      DstPort         1
4358    }
4359    Line {
4360      Name            "LCD_ConfigLocation_en"
4361      Labels          [0, 0]
4362      SrcBlock        "plb_memmap"
4363      SrcPort         17
4364      DstBlock        "To Register7"
4365      DstPort         2
4366    }
4367    Line {
4368      Name            "LCD_ConfigLocation_din"
4369      Labels          [0, 0]
4370      SrcBlock        "plb_memmap"
4371      SrcPort         16
4372      DstBlock        "To Register7"
4373      DstPort         1
4374    }
4375    Line {
4376      Name            "LCD_ColSet_en"
4377      Labels          [0, 0]
4378      SrcBlock        "plb_memmap"
4379      SrcPort         15
4380      DstBlock        "To Register6"
4381      DstPort         2
4382    }
4383    Line {
4384      Name            "LCD_ColSet_din"
4385      Labels          [0, 0]
4386      SrcBlock        "plb_memmap"
4387      SrcPort         14
4388      DstBlock        "To Register6"
4389      DstPort         1
4390    }
4391    Line {
4392      Name            "LCD_CharactersSelect_en"
4393      Labels          [0, 0]
4394      SrcBlock        "plb_memmap"
4395      SrcPort         13
4396      DstBlock        "To Register5"
4397      DstPort         2
4398    }
4399    Line {
4400      Name            "LCD_CharactersSelect_din"
4401      Labels          [0, 0]
4402      SrcBlock        "plb_memmap"
4403      SrcPort         12
4404      DstBlock        "To Register5"
4405      DstPort         1
4406    }
4407    Line {
4408      Name            "LCD_CharacterOffset_en"
4409      Labels          [0, 0]
4410      SrcBlock        "plb_memmap"
4411      SrcPort         11
4412      DstBlock        "To Register4"
4413      DstPort         2
4414    }
4415    Line {
4416      Name            "LCD_CharacterOffset_din"
4417      Labels          [0, 0]
4418      SrcBlock        "plb_memmap"
4419      SrcPort         10
4420      DstBlock        "To Register4"
4421      DstPort         1
4422    }
4423    Line {
4424      Name            "LCD_BackgroundColor_en"
4425      Labels          [0, 0]
4426      SrcBlock        "plb_memmap"
4427      SrcPort         9
4428      DstBlock        "To Register3"
4429      DstPort         2
4430    }
4431    Line {
4432      Name            "LCD_BackgroundColor_din"
4433      Labels          [0, 0]
4434      SrcBlock        "plb_memmap"
4435      SrcPort         8
4436      DstBlock        "To Register3"
4437      DstPort         1
4438    }
4439    Line {
4440      Name            "Buzzer_Period_en"
4441      Labels          [0, 0]
4442      SrcBlock        "plb_memmap"
4443      SrcPort         7
4444      DstBlock        "To Register2"
4445      DstPort         2
4446    }
4447    Line {
4448      Name            "Buzzer_Period_din"
4449      Labels          [0, 0]
4450      SrcBlock        "plb_memmap"
4451      SrcPort         6
4452      DstBlock        "To Register2"
4453      DstPort         1
4454    }
4455    Line {
4456      Name            "Buzzer_Enable_en"
4457      Labels          [0, 0]
4458      SrcBlock        "plb_memmap"
4459      SrcPort         5
4460      DstBlock        "To Register1"
4461      DstPort         2
4462    }
4463    Line {
4464      Name            "Buzzer_Enable_din"
4465      Labels          [0, 0]
4466      SrcBlock        "plb_memmap"
4467      SrcPort         4
4468      DstBlock        "To Register1"
4469      DstPort         1
4470    }
4471    Line {
4472      Name            "Buzzer_DutyCycle_en"
4473      Labels          [0, 0]
4474      SrcBlock        "plb_memmap"
4475      SrcPort         3
4476      DstBlock        "To Register"
4477      DstPort         2
4478    }
4479    Line {
4480      Name            "Buzzer_DutyCycle_din"
4481      Labels          [0, 0]
4482      SrcBlock        "plb_memmap"
4483      SrcPort         2
4484      DstBlock        "To Register"
4485      DstPort         1
4486    }
4487    Line {
4488      Name            "rdData"
4489      Labels          [0, 0]
4490      SrcBlock        "plb_memmap"
4491      SrcPort         1
4492      DstBlock        "plb_decode"
4493      DstPort         6
4494    }
4495    Line {
4496      Name            "LCD_Commands_dout"
4497      Labels          [0, 0]
4498      SrcBlock        "Shared Memory2"
4499      SrcPort         1
4500      DstBlock        "plb_memmap"
4501      DstPort         33
4502    }
4503    Line {
4504      Name            "LCD_Characters_dout"
4505      Labels          [0, 0]
4506      SrcBlock        "Shared Memory1"
4507      SrcPort         1
4508      DstBlock        "plb_memmap"
4509      DstPort         32
4510    }
4511    Line {
4512      Name            "LCD_CharacterMap_dout"
4513      Labels          [0, 0]
4514      SrcBlock        "Shared Memory"
4515      SrcPort         1
4516      DstBlock        "plb_memmap"
4517      DstPort         31
4518    }
4519    Line {
4520      Name            "LEDs_dout"
4521      Labels          [0, 0]
4522      SrcBlock        "To Register20"
4523      SrcPort         1
4524      DstBlock        "plb_memmap"
4525      DstPort         30
4526    }
4527    Line {
4528      Name            "LCD_TotalCmdTransfer_dout"
4529      Labels          [0, 0]
4530      SrcBlock        "To Register19"
4531      SrcPort         1
4532      DstBlock        "plb_memmap"
4533      DstPort         29
4534    }
4535    Line {
4536      Name            "LCD_Send_dout"
4537      Labels          [0, 0]
4538      SrcBlock        "To Register18"
4539      SrcPort         1
4540      DstBlock        "plb_memmap"
4541      DstPort         28
4542    }
4543    Line {
4544      Name            "LCD_SecondStart_dout"
4545      Labels          [0, 0]
4546      SrcBlock        "To Register17"
4547      SrcPort         1
4548      DstBlock        "plb_memmap"
4549      DstPort         27
4550    }
4551    Line {
4552      Name            "LCD_SecondEnd_dout"
4553      Labels          [0, 0]
4554      SrcBlock        "To Register16"
4555      SrcPort         1
4556      DstBlock        "plb_memmap"
4557      DstPort         26
4558    }
4559    Line {
4560      Name            "LCD_RowSet_dout"
4561      Labels          [0, 0]
4562      SrcBlock        "To Register15"
4563      SrcPort         1
4564      DstBlock        "plb_memmap"
4565      DstPort         25
4566    }
4567    Line {
4568      Name            "LCD_ResetLCD_dout"
4569      Labels          [0, 0]
4570      SrcBlock        "To Register14"
4571      SrcPort         1
4572      DstBlock        "plb_memmap"
4573      DstPort         24
4574    }
4575    Line {
4576      Name            "LCD_Reset_dout"
4577      Labels          [0, 0]
4578      SrcBlock        "To Register13"
4579      SrcPort         1
4580      DstBlock        "plb_memmap"
4581      DstPort         23
4582    }
4583    Line {
4584      Name            "LCD_RamWrite_dout"
4585      Labels          [0, 0]
4586      SrcBlock        "To Register12"
4587      SrcPort         1
4588      DstBlock        "plb_memmap"
4589      DstPort         22
4590    }
4591    Line {
4592      Name            "LCD_LineOffset_dout"
4593      Labels          [0, 0]
4594      SrcBlock        "To Register11"
4595      SrcPort         1
4596      DstBlock        "plb_memmap"
4597      DstPort         21
4598    }
4599    Line {
4600      Name            "LCD_FirstStart_dout"
4601      Labels          [0, 0]
4602      SrcBlock        "To Register10"
4603      SrcPort         1
4604      DstBlock        "plb_memmap"
4605      DstPort         20
4606    }
4607    Line {
4608      Name            "LCD_FirstEnd_dout"
4609      Labels          [0, 0]
4610      SrcBlock        "To Register9"
4611      SrcPort         1
4612      DstBlock        "plb_memmap"
4613      DstPort         19
4614    }
4615    Line {
4616      Name            "LCD_DividerSelect_dout"
4617      Labels          [0, 0]
4618      SrcBlock        "To Register8"
4619      SrcPort         1
4620      DstBlock        "plb_memmap"
4621      DstPort         18
4622    }
4623    Line {
4624      Name            "LCD_ConfigLocation_dout"
4625      Labels          [0, 0]
4626      SrcBlock        "To Register7"
4627      SrcPort         1
4628      DstBlock        "plb_memmap"
4629      DstPort         17
4630    }
4631    Line {
4632      Name            "LCD_ColSet_dout"
4633      Labels          [0, 0]
4634      SrcBlock        "To Register6"
4635      SrcPort         1
4636      DstBlock        "plb_memmap"
4637      DstPort         16
4638    }
4639    Line {
4640      Name            "LCD_CharactersSelect_dout"
4641      Labels          [0, 0]
4642      SrcBlock        "To Register5"
4643      SrcPort         1
4644      DstBlock        "plb_memmap"
4645      DstPort         15
4646    }
4647    Line {
4648      Name            "LCD_CharacterOffset_dout"
4649      Labels          [0, 0]
4650      SrcBlock        "To Register4"
4651      SrcPort         1
4652      DstBlock        "plb_memmap"
4653      DstPort         14
4654    }
4655    Line {
4656      Name            "LCD_BackgroundColor_dout"
4657      Labels          [0, 0]
4658      SrcBlock        "To Register3"
4659      SrcPort         1
4660      DstBlock        "plb_memmap"
4661      DstPort         13
4662    }
4663    Line {
4664      Name            "Buzzer_Period_dout"
4665      Labels          [0, 0]
4666      SrcBlock        "To Register2"
4667      SrcPort         1
4668      DstBlock        "plb_memmap"
4669      DstPort         12
4670    }
4671    Line {
4672      Name            "Buzzer_Enable_dout"
4673      Labels          [0, 0]
4674      SrcBlock        "To Register1"
4675      SrcPort         1
4676      DstBlock        "plb_memmap"
4677      DstPort         11
4678    }
4679    Line {
4680      Name            "Buzzer_DutyCycle_dout"
4681      Labels          [0, 0]
4682      SrcBlock        "To Register"
4683      SrcPort         1
4684      DstBlock        "plb_memmap"
4685      DstPort         10
4686    }
4687    Line {
4688      Name            "Trackball_dout"
4689      Labels          [0, 0]
4690      SrcBlock        "From Register3"
4691      SrcPort         1
4692      DstBlock        "plb_memmap"
4693      DstPort         9
4694    }
4695    Line {
4696      Name            "DIP_Switch_dout"
4697      Labels          [0, 0]
4698      SrcBlock        "From Register2"
4699      SrcPort         1
4700      DstBlock        "plb_memmap"
4701      DstPort         8
4702    }
4703    Line {
4704      Name            "Buttons_Small_dout"
4705      Labels          [0, 0]
4706      SrcBlock        "From Register1"
4707      SrcPort         1
4708      DstBlock        "plb_memmap"
4709      DstPort         7
4710    }
4711    Line {
4712      Name            "Buttons_Big_dout"
4713      Labels          [0, 0]
4714      SrcBlock        "From Register"
4715      SrcPort         1
4716      DstBlock        "plb_memmap"
4717      DstPort         6
4718    }
4719    Line {
4720      Name            "RNWReg"
4721      Labels          [0, 0]
4722      SrcBlock        "plb_decode"
4723      SrcPort         6
4724      DstBlock        "plb_memmap"
4725      DstPort         4
4726    }
4727    Line {
4728      Name            "linearAddr"
4729      Labels          [0, 0]
4730      SrcBlock        "plb_decode"
4731      SrcPort         9
4732      DstBlock        "plb_memmap"
4733      DstPort         3
4734    }
4735    Line {
4736      Name            "bankAddr"
4737      Labels          [0, 0]
4738      SrcBlock        "plb_decode"
4739      SrcPort         5
4740      DstBlock        "plb_memmap"
4741      DstPort         2
4742    }
4743    Line {
4744      Name            "wrDBusReg"
4745      Labels          [0, 0]
4746      SrcBlock        "plb_decode"
4747      SrcPort         1
4748      DstBlock        "plb_memmap"
4749      DstPort         1
4750    }
4751    Line {
4752      Name            "Sl_rdDBus"
4753      Labels          [0, 0]
4754      SrcBlock        "plb_decode"
4755      SrcPort         8
4756      DstBlock        "Sl_rdDBus"
4757      DstPort         1
4758    }
4759    Line {
4760      Name            "Sl_rdDAck"
4761      Labels          [0, 0]
4762      SrcBlock        "plb_decode"
4763      SrcPort         7
4764      DstBlock        "Sl_rdDAck"
4765      DstPort         1
4766    }
4767    Line {
4768      Name            "Sl_rdComp"
4769      Labels          [0, 0]
4770      SrcBlock        "plb_decode"
4771      SrcPort         3
4772      DstBlock        "Sl_rdComp"
4773      DstPort         1
4774    }
4775    Line {
4776      Name            "addrPref"
4777      Labels          [0, 0]
4778      SrcBlock        "sg_plb_addrpref"
4779      SrcPort         1
4780      DstBlock        "plb_decode"
4781      DstPort         7
4782    }
4783    Line {
4784      Name            "PLB_wrDBus"
4785      Labels          [0, 0]
4786      SrcBlock        "PLB_wrDBus"
4787      SrcPort         1
4788      DstBlock        "plb_decode"
4789      DstPort         5
4790    }
4791    Line {
4792      Name            "PLB_RNW"
4793      Labels          [0, 0]
4794      SrcBlock        "PLB_RNW"
4795      SrcPort         1
4796      DstBlock        "plb_decode"
4797      DstPort         4
4798    }
4799    Line {
4800      Name            "PLB_PAValid"
4801      Labels          [0, 0]
4802      SrcBlock        "PLB_PAValid"
4803      SrcPort         1
4804      DstBlock        "plb_decode"
4805      DstPort         3
4806    }
4807    Line {
4808      Name            "PLB_ABus"
4809      Labels          [0, 0]
4810      SrcBlock        "PLB_ABus"
4811      SrcPort         1
4812      DstBlock        "plb_decode"
4813      DstPort         2
4814    }
4815    Line {
4816      Name            "SPLB_Rst"
4817      Labels          [0, 0]
4818      SrcBlock        "SPLB_Rst"
4819      SrcPort         1
4820      DstBlock        "plb_decode"
4821      DstPort         1
4822    }
4823    Line {
4824      SrcBlock        "Constant6"
4825      SrcPort         1
4826      DstBlock        "sg_plb_addrpref"
4827      DstPort         1
4828    }
4829    Line {
4830      Name            "Sl_wait"
4831      Labels          [0, 0]
4832      SrcBlock        "Constant5"
4833      SrcPort         1
4834      DstBlock        "Sl_wait"
4835      DstPort         1
4836    }
4837    Line {
4838      SrcBlock        "Constant4"
4839      SrcPort         1
4840      DstBlock        "PLB_wrDBus"
4841      DstPort         1
4842    }
4843    Line {
4844      SrcBlock        "Constant3"
4845      SrcPort         1
4846      DstBlock        "PLB_RNW"
4847      DstPort         1
4848    }
4849    Line {
4850      SrcBlock        "Constant2"
4851      SrcPort         1
4852      DstBlock        "PLB_PAValid"
4853      DstPort         1
4854    }
4855    Line {
4856      SrcBlock        "Constant1"
4857      SrcPort         1
4858      DstBlock        "PLB_ABus"
4859      DstPort         1
4860    }
4861    Line {
4862      SrcBlock        "Constant"
4863      SrcPort         1
4864      DstBlock        "SPLB_Rst"
4865      DstPort         1
4866    }
4867    Line {
4868      SrcBlock        "Sl_wrComp"
4869      SrcPort         1
4870      DstBlock        "Terminator6"
4871      DstPort         1
4872    }
4873    Line {
4874      SrcBlock        "Sl_wrDAck"
4875      SrcPort         1
4876      DstBlock        "Terminator5"
4877      DstPort         1
4878    }
4879    Line {
4880      SrcBlock        "Sl_wait"
4881      SrcPort         1
4882      DstBlock        "Terminator4"
4883      DstPort         1
4884    }
4885    Line {
4886      SrcBlock        "Sl_rdDBus"
4887      SrcPort         1
4888      DstBlock        "Terminator3"
4889      DstPort         1
4890    }
4891    Line {
4892      SrcBlock        "Sl_rdDAck"
4893      SrcPort         1
4894      DstBlock        "Terminator2"
4895      DstPort         1
4896    }
4897    Line {
4898      SrcBlock        "Sl_rdComp"
4899      SrcPort         1
4900      DstBlock        "Terminator1"
4901      DstPort         1
4902    }
4903    Line {
4904      SrcBlock        "Sl_addrAck"
4905      SrcPort         1
4906      DstBlock        "Terminator"
4907      DstPort         1
4908    }
4909      }
4910    }
4911    Block {
4912      BlockType           SubSystem
4913      Name            "Interactive I/O"
4914      Ports           []
4915      Position            [125, 60, 175, 88]
4916      MinAlgLoopOccurrences   off
4917      RTWSystemCode       "Auto"
4918      FunctionWithSeparateData off
4919      MaskHideContents        off
4920      System {
4921    Name            "Interactive I/O"
4922    Location        [97, 275, 617, 416]
4923    Open            off
4924    ModelBrowserVisibility  off
4925    ModelBrowserWidth   200
4926    ScreenColor     "white"
4927    PaperOrientation    "landscape"
4928    PaperPositionMode   "auto"
4929    PaperType       "usletter"
4930    PaperUnits      "inches"
4931    TiledPaperMargins   [0.500000, 0.500000, 0.500000, 0.500000]
4932    TiledPageScale      1
4933    ShowPageBoundaries  off
4934    ZoomFactor      "100"
4935    Block {
4936      BlockType       SubSystem
4937      Name            "8xDebounce"
4938      Ports           [1, 1]
4939      Position        [845, 136, 885, 154]
4940      NamePlacement       "alternate"
4941      MinAlgLoopOccurrences   off
4942      RTWSystemCode       "Auto"
4943      FunctionWithSeparateData off
4944      MaskHideContents    off
4945      System {
4946        Name            "8xDebounce"
4947        Location            [2, 74, 1910, 1156]
4948        Open            off
4949        ModelBrowserVisibility  off
4950        ModelBrowserWidth       200
4951        ScreenColor         "white"
4952        PaperOrientation        "landscape"
4953        PaperPositionMode       "auto"
4954        PaperType           "usletter"
4955        PaperUnits          "inches"
4956        TiledPaperMargins       [0.500000, 0.500000, 0.500000, 0.500000]
4957        TiledPageScale      1
4958        ShowPageBoundaries      off
4959        ZoomFactor          "100"
4960        Block {
4961          BlockType           Inport
4962          Name            "d"
4963          Position            [60, 48, 90, 62]
4964          IconDisplay         "Port number"
4965        }
4966        Block {
4967          BlockType           Reference
4968          Name            "Delay"
4969          Ports           [1, 1]
4970          Position            [99, 70, 121, 90]
4971          Orientation         "down"
4972          NamePlacement       "alternate"
4973          ShowName            off
4974          SourceBlock         "xbsIndex_r4/Delay"
4975          SourceType          "Xilinx Delay Block"
4976          infoedit            "Hardware notes: A delay line is a chain"
4977", each link of which is an SRL16 followed by a flip-flop."
4978          en              off
4979          latency             "1"
4980          dbl_ovrd            off
4981          reg_retiming        off
4982          xl_use_area         off
4983          xl_area             "[0,0,0,0,0,0,0]"
4984          has_advanced_control    "0"
4985          sggui_pos           "-1,-1,-1,-1"
4986          block_type          "delay"
4987          block_version       "10.1.2"
4988          sg_icon_stat        "22,20,1,1,white,blue,0,fc531c0e,down"
4989          sg_mask_display         "fprintf('','COMMENT: begin icon graphic"
4990"s');\npatch([0 60 60 0 ],[0 0 56 56 ],[0.77 0.82 0.91]);\npatch([15 6 19 6 15"
4991" 30 34 38 54 41 29 20 32 20 29 41 54 38 34 30 15 ],[6 15 28 41 50 50 46 50 50"
4992" 37 49 40 28 16 7 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 "
4993"0 56 56 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT"
4994": begin icon text');\ncolor('black');disp('z^{-1}','texmode','on');\nfprintf("
4995"'','COMMENT: end icon text');\n"
4996        }
4997        Block {
4998          BlockType           Reference
4999          Name            "Delay1"
5000          Ports           [1, 1]
5001          Position            [99, 110, 121, 130]
5002          Orientation         "down"
5003          NamePlacement       "alternate"
5004          ShowName            off
5005          SourceBlock         "xbsIndex_r4/Delay"
5006          SourceType          "Xilinx Delay Block"
5007          infoedit            "Hardware notes: A delay line is a chain"
5008", each link of which is an SRL16 followed by a flip-flop."
5009          en              off
5010          latency             "1"
5011          dbl_ovrd            off
5012          reg_retiming        off
5013          xl_use_area         off
5014          xl_area             "[0,0,0,0,0,0,0]"
5015          has_advanced_control    "0"
5016          sggui_pos           "-1,-1,-1,-1"
5017          block_type          "delay"
5018          block_version       "10.1.2"
5019          sg_icon_stat        "22,20,1,1,white,blue,0,fc531c0e,down"
5020          sg_mask_display         "fprintf('','COMMENT: begin icon graphic"
5021"s');\npatch([0 60 60 0 ],[0 0 56 56 ],[0.77 0.82 0.91]);\npatch([15 6 19 6 15"
5022" 30 34 38 54 41 29 20 32 20 29 41 54 38 34 30 15 ],[6 15 28 41 50 50 46 50 50"
5023" 37 49 40 28 16 7 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 "
5024"0 56 56 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT"
5025": begin icon text');\ncolor('black');disp('z^{-1}','texmode','on');\nfprintf("
5026"'','COMMENT: end icon text');\n"
5027        }
5028        Block {
5029          BlockType           Reference
5030          Name            "Delay2"
5031          Ports           [1, 1]
5032          Position            [99, 150, 121, 170]
5033          Orientation         "down"
5034          NamePlacement       "alternate"
5035          ShowName            off
5036          SourceBlock         "xbsIndex_r4/Delay"
5037          SourceType          "Xilinx Delay Block"
5038          infoedit            "Hardware notes: A delay line is a chain"
5039", each link of which is an SRL16 followed by a flip-flop."
5040          en              off
5041          latency             "1"
5042          dbl_ovrd            off
5043          reg_retiming        off
5044          xl_use_area         off
5045          xl_area             "[0,0,0,0,0,0,0]"
5046          has_advanced_control    "0"
5047          sggui_pos           "-1,-1,-1,-1"
5048          block_type          "delay"
5049          block_version       "10.1.2"
5050          sg_icon_stat        "22,20,1,1,white,blue,0,fc531c0e,down"
5051          sg_mask_display         "fprintf('','COMMENT: begin icon graphic"
5052"s');\npatch([0 60 60 0 ],[0 0 56 56 ],[0.77 0.82 0.91]);\npatch([15 6 19 6 15"
5053" 30 34 38 54 41 29 20 32 20 29 41 54 38 34 30 15 ],[6 15 28 41 50 50 46 50 50"
5054" 37 49 40 28 16 7 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 "
5055"0 56 56 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT"
5056": begin icon text');\ncolor('black');disp('z^{-1}','texmode','on');\nfprintf("
5057"'','COMMENT: end icon text');\n"
5058        }
5059        Block {
5060          BlockType           Reference
5061          Name            "Delay3"
5062          Ports           [1, 1]
5063          Position            [99, 190, 121, 210]
5064          Orientation         "down"
5065          NamePlacement       "alternate"
5066          ShowName            off
5067          SourceBlock         "xbsIndex_r4/Delay"
5068          SourceType          "Xilinx Delay Block"
5069          infoedit            "Hardware notes: A delay line is a chain"
5070", each link of which is an SRL16 followed by a flip-flop."
5071          en              off
5072          latency             "1"
5073          dbl_ovrd            off
5074          reg_retiming        off
5075          xl_use_area         off
5076          xl_area             "[0,0,0,0,0,0,0]"
5077          has_advanced_control    "0"
5078          sggui_pos           "-1,-1,-1,-1"
5079          block_type          "delay"
5080          block_version       "10.1.2"
5081          sg_icon_stat        "22,20,1,1,white,blue,0,fc531c0e,down"
5082          sg_mask_display         "fprintf('','COMMENT: begin icon graphic"
5083"s');\npatch([0 60 60 0 ],[0 0 56 56 ],[0.77 0.82 0.91]);\npatch([15 6 19 6 15"
5084" 30 34 38 54 41 29 20 32 20 29 41 54 38 34 30 15 ],[6 15 28 41 50 50 46 50 50"
5085" 37 49 40 28 16 7 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 "
5086"0 56 56 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT"
5087": begin icon text');\ncolor('black');disp('z^{-1}','texmode','on');\nfprintf("
5088"'','COMMENT: end icon text');\n"
5089        }
5090        Block {
5091          BlockType           Reference
5092          Name            "Delay4"
5093          Ports           [1, 1]
5094          Position            [99, 230, 121, 250]
5095          Orientation         "down"
5096          NamePlacement       "alternate"
5097          ShowName            off
5098          SourceBlock         "xbsIndex_r4/Delay"
5099          SourceType          "Xilinx Delay Block"
5100          infoedit            "Hardware notes: A delay line is a chain"
5101", each link of which is an SRL16 followed by a flip-flop."
5102          en              off
5103          latency             "1"
5104          dbl_ovrd            off
5105          reg_retiming        off
5106          xl_use_area         off
5107          xl_area             "[0,0,0,0,0,0,0]"
5108          has_advanced_control    "0"
5109          sggui_pos           "-1,-1,-1,-1"
5110          block_type          "delay"
5111          block_version       "10.1.2"
5112          sg_icon_stat        "22,20,1,1,white,blue,0,fc531c0e,down"
5113          sg_mask_display         "fprintf('','COMMENT: begin icon graphic"
5114"s');\npatch([0 60 60 0 ],[0 0 56 56 ],[0.77 0.82 0.91]);\npatch([15 6 19 6 15"
5115" 30 34 38 54 41 29 20 32 20 29 41 54 38 34 30 15 ],[6 15 28 41 50 50 46 50 50"
5116" 37 49 40 28 16 7 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 "
5117"0 56 56 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT"
5118": begin icon text');\ncolor('black');disp('z^{-1}','texmode','on');\nfprintf("
5119"'','COMMENT: end icon text');\n"
5120        }
5121        Block {
5122          BlockType           Reference
5123          Name            "Delay5"
5124          Ports           [1, 1]
5125          Position            [99, 270, 121, 290]
5126          Orientation         "down"
5127          NamePlacement       "alternate"
5128          ShowName            off
5129          SourceBlock         "xbsIndex_r4/Delay"
5130          SourceType          "Xilinx Delay Block"
5131          infoedit            "Hardware notes: A delay line is a chain"
5132", each link of which is an SRL16 followed by a flip-flop."
5133          en              off
5134          latency             "1"
5135          dbl_ovrd            off
5136          reg_retiming        off
5137          xl_use_area         off
5138          xl_area             "[0,0,0,0,0,0,0]"
5139          has_advanced_control    "0"
5140          sggui_pos           "-1,-1,-1,-1"
5141          block_type          "delay"
5142          block_version       "10.1.2"
5143          sg_icon_stat        "22,20,1,1,white,blue,0,fc531c0e,down"
5144          sg_mask_display         "fprintf('','COMMENT: begin icon graphic"
5145"s');\npatch([0 60 60 0 ],[0 0 56 56 ],[0.77 0.82 0.91]);\npatch([15 6 19 6 15"
5146" 30 34 38 54 41 29 20 32 20 29 41 54 38 34 30 15 ],[6 15 28 41 50 50 46 50 50"
5147" 37 49 40 28 16 7 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 "
5148"0 56 56 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT"
5149": begin icon text');\ncolor('black');disp('z^{-1}','texmode','on');\nfprintf("
5150"'','COMMENT: end icon text');\n"
5151        }
5152        Block {
5153          BlockType           Reference
5154          Name            "Delay6"
5155          Ports           [1, 1]
5156          Position            [99, 310, 121, 330]
5157          Orientation         "down"
5158          NamePlacement       "alternate"
5159          ShowName            off
5160          SourceBlock         "xbsIndex_r4/Delay"
5161          SourceType          "Xilinx Delay Block"
5162          infoedit            "Hardware notes: A delay line is a chain"
5163", each link of which is an SRL16 followed by a flip-flop."
5164          en              off
5165          latency             "1"
5166          dbl_ovrd            off
5167          reg_retiming        off
5168          xl_use_area         off
5169          xl_area             "[0,0,0,0,0,0,0]"
5170          has_advanced_control    "0"
5171          sggui_pos           "-1,-1,-1,-1"
5172          block_type          "delay"
5173          block_version       "10.1.2"
5174          sg_icon_stat        "22,20,1,1,white,blue,0,fc531c0e,down"
5175          sg_mask_display         "fprintf('','COMMENT: begin icon graphic"
5176"s');\npatch([0 60 60 0 ],[0 0 56 56 ],[0.77 0.82 0.91]);\npatch([15 6 19 6 15"
5177" 30 34 38 54 41 29 20 32 20 29 41 54 38 34 30 15 ],[6 15 28 41 50 50 46 50 50"
5178" 37 49 40 28 16 7 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 "
5179"0 56 56 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT"
5180": begin icon text');\ncolor('black');disp('z^{-1}','texmode','on');\nfprintf("
5181"'','COMMENT: end icon text');\n"
5182        }
5183        Block {
5184          BlockType           Reference
5185          Name            "Logical"
5186          Ports           [8, 1]
5187          Position            [135, 25, 195, 365]
5188          ShowName            off
5189          SourceBlock         "xbsIndex_r4/Logical"
5190          SourceType          "Xilinx Logical Block Block"
5191          logical_function        "OR"
5192          inputs              "8"
5193          en              off
5194          latency             "0"
5195          precision           "Full"
5196          arith_type          "Unsigned"
5197          n_bits              "16"
5198          bin_pt              "0"
5199          align_bp            on
5200          dbl_ovrd            off
5201          xl_use_area         off
5202          xl_area             "[0,0,0,0,0,0,0]"
5203          has_advanced_control    "0"
5204          sggui_pos           "20,20,348,261"
5205          block_type          "logical"
5206          block_version       "10.1.2"
5207          sg_icon_stat        "60,340,1,1,white,blue,0,84119f55,right"
5208          sg_mask_display         "fprintf('','COMMENT: begin icon graphic"
5209"s');\npatch([0 55 55 0 ],[0 0 60 60 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13"
5210" 28 32 36 52 40 28 19 32 19 28 40 52 36 32 28 13 ],[8 17 30 43 52 52 48 52 52"
5211" 40 52 43 30 17 8 20 8 8 12 8 8 ],[0.98 0.96 0.92]);\nplot([0 55 55 0 0 ],[0 "
5212"0 60 60 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT"
5213": begin icon text');\ncolor('black');disp('\\newlineor\\newlinez^{-0}','texmo"
5214"de','on');\nfprintf('','COMMENT: end icon text');\n"
5215        }
5216        Block {
5217          BlockType           Outport
5218          Name            "q"
5219          Position            [220, 188, 250, 202]
5220          IconDisplay         "Port number"
5221          BusOutputAsStruct       off
5222        }
5223        Line {
5224          SrcBlock            "Delay6"
5225          SrcPort             1
5226          DstBlock            "Logical"
5227          DstPort             8
5228        }
5229        Line {
5230          SrcBlock            "Delay2"
5231          SrcPort             1
5232          Points              [0, 0]
5233          Branch {
5234        DstBlock        "Logical"
5235        DstPort         4
5236          }
5237          Branch {
5238        DstBlock        "Delay3"
5239        DstPort         1
5240          }
5241        }
5242        Line {
5243          SrcBlock            "d"
5244          SrcPort             1
5245          Points              [15, 0]
5246          Branch {
5247        DstBlock        "Logical"
5248        DstPort         1
5249          }
5250          Branch {
5251        DstBlock        "Delay"
5252        DstPort         1
5253          }
5254        }
5255        Line {
5256          SrcBlock            "Delay5"
5257          SrcPort             1
5258          Points              [0, 0]
5259          Branch {
5260        DstBlock        "Logical"
5261        DstPort         7
5262          }
5263          Branch {
5264        DstBlock        "Delay6"
5265        DstPort         1
5266          }
5267        }
5268        Line {
5269          SrcBlock            "Delay4"
5270          SrcPort             1
5271          Points              [0, 0]
5272          Branch {
5273        DstBlock        "Delay5"
5274        DstPort         1
5275          }
5276          Branch {
5277        DstBlock        "Logical"
5278        DstPort         6
5279          }
5280        }
5281        Line {
5282          SrcBlock            "Delay3"
5283          SrcPort             1
5284          Points              [0, 0]
5285          Branch {
5286        DstBlock        "Delay4"
5287        DstPort         1
5288          }
5289          Branch {
5290        DstBlock        "Logical"
5291        DstPort         5
5292          }
5293        }
5294        Line {
5295          SrcBlock            "Delay1"
5296          SrcPort             1
5297          Points              [0, 0]
5298          Branch {
5299        DstBlock        "Delay2"
5300        DstPort         1
5301          }
5302          Branch {
5303        DstBlock        "Logical"
5304        DstPort         3
5305          }
5306        }
5307        Line {
5308          SrcBlock            "Delay"
5309          SrcPort             1
5310          Points              [0, 0]
5311          Branch {
5312        DstBlock        "Delay1"
5313        DstPort         1
5314          }
5315          Branch {
5316        DstBlock        "Logical"
5317        DstPort         2
5318          }
5319        }
5320        Line {
5321          SrcBlock            "Logical"
5322          SrcPort             1
5323          DstBlock            "q"
5324          DstPort             1
5325        }
5326      }
5327    }
5328    Block {
5329      BlockType       SubSystem
5330      Name            "8xDebounce1"
5331      Ports           [1, 1]
5332      Position        [845, 186, 885, 204]
5333      NamePlacement       "alternate"
5334      MinAlgLoopOccurrences   off
5335      RTWSystemCode       "Auto"
5336      FunctionWithSeparateData off
5337      MaskHideContents    off
5338      System {
5339        Name            "8xDebounce1"
5340        Location            [2, 74, 1910, 1156]
5341        Open            off
5342        ModelBrowserVisibility  off
5343        ModelBrowserWidth       200
5344        ScreenColor         "white"
5345        PaperOrientation        "landscape"
5346        PaperPositionMode       "auto"
5347        PaperType           "usletter"
5348        PaperUnits          "inches"
5349        TiledPaperMargins       [0.500000, 0.500000, 0.500000, 0.500000]
5350        TiledPageScale      1
5351        ShowPageBoundaries      off
5352        ZoomFactor          "100"
5353        Block {
5354          BlockType           Inport
5355          Name            "d"
5356          Position            [60, 48, 90, 62]
5357          IconDisplay         "Port number"
5358        }
5359        Block {
5360          BlockType           Reference
5361          Name            "Delay"
5362          Ports           [1, 1]
5363          Position            [99, 70, 121, 90]
5364          Orientation         "down"
5365          NamePlacement       "alternate"
5366          ShowName            off
5367          SourceBlock         "xbsIndex_r4/Delay"
5368          SourceType          "Xilinx Delay Block"
5369          infoedit            "Hardware notes: A delay line is a chain"
5370", each link of which is an SRL16 followed by a flip-flop."
5371          en              off
5372          latency             "1"
5373          dbl_ovrd            off
5374          reg_retiming        off
5375          xl_use_area         off
5376          xl_area             "[0,0,0,0,0,0,0]"
5377          has_advanced_control    "0"
5378          sggui_pos           "-1,-1,-1,-1"
5379          block_type          "delay"
5380          block_version       "10.1.2"
5381          sg_icon_stat        "22,20,1,1,white,blue,0,fc531c0e,down"
5382          sg_mask_display         "fprintf('','COMMENT: begin icon graphic"
5383"s');\npatch([0 60 60 0 ],[0 0 56 56 ],[0.77 0.82 0.91]);\npatch([15 6 19 6 15"
5384" 30 34 38 54 41 29 20 32 20 29 41 54 38 34 30 15 ],[6 15 28 41 50 50 46 50 50"
5385" 37 49 40 28 16 7 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 "
5386"0 56 56 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT"
5387": begin icon text');\ncolor('black');disp('z^{-1}','texmode','on');\nfprintf("
5388"'','COMMENT: end icon text');\n"
5389        }
5390        Block {
5391          BlockType           Reference
5392          Name            "Delay1"
5393          Ports           [1, 1]
5394          Position            [99, 110, 121, 130]
5395          Orientation         "down"
5396          NamePlacement       "alternate"
5397          ShowName            off
5398          SourceBlock         "xbsIndex_r4/Delay"
5399          SourceType          "Xilinx Delay Block"
5400          infoedit            "Hardware notes: A delay line is a chain"
5401", each link of which is an SRL16 followed by a flip-flop."
5402          en              off
5403          latency             "1"
5404          dbl_ovrd            off
5405          reg_retiming        off
5406          xl_use_area         off
5407          xl_area             "[0,0,0,0,0,0,0]"
5408          has_advanced_control    "0"
5409          sggui_pos           "-1,-1,-1,-1"
5410          block_type          "delay"
5411          block_version       "10.1.2"
5412          sg_icon_stat        "22,20,1,1,white,blue,0,fc531c0e,down"
5413          sg_mask_display         "fprintf('','COMMENT: begin icon graphic"
5414"s');\npatch([0 60 60 0 ],[0 0 56 56 ],[0.77 0.82 0.91]);\npatch([15 6 19 6 15"
5415" 30 34 38 54 41 29 20 32 20 29 41 54 38 34 30 15 ],[6 15 28 41 50 50 46 50 50"
5416" 37 49 40 28 16 7 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 "
5417"0 56 56 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT"
5418": begin icon text');\ncolor('black');disp('z^{-1}','texmode','on');\nfprintf("
5419"'','COMMENT: end icon text');\n"
5420        }
5421        Block {
5422          BlockType           Reference
5423          Name            "Delay2"
5424          Ports           [1, 1]
5425          Position            [99, 150, 121, 170]
5426          Orientation         "down"
5427          NamePlacement       "alternate"
5428          ShowName            off
5429          SourceBlock         "xbsIndex_r4/Delay"
5430          SourceType          "Xilinx Delay Block"
5431          infoedit            "Hardware notes: A delay line is a chain"
5432", each link of which is an SRL16 followed by a flip-flop."
5433          en              off
5434          latency             "1"
5435          dbl_ovrd            off
5436          reg_retiming        off
5437          xl_use_area         off
5438          xl_area             "[0,0,0,0,0,0,0]"
5439          has_advanced_control    "0"
5440          sggui_pos           "-1,-1,-1,-1"
5441          block_type          "delay"
5442          block_version       "10.1.2"
5443          sg_icon_stat        "22,20,1,1,white,blue,0,fc531c0e,down"
5444          sg_mask_display         "fprintf('','COMMENT: begin icon graphic"
5445"s');\npatch([0 60 60 0 ],[0 0 56 56 ],[0.77 0.82 0.91]);\npatch([15 6 19 6 15"
5446" 30 34 38 54 41 29 20 32 20 29 41 54 38 34 30 15 ],[6 15 28 41 50 50 46 50 50"
5447" 37 49 40 28 16 7 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 "
5448"0 56 56 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT"
5449": begin icon text');\ncolor('black');disp('z^{-1}','texmode','on');\nfprintf("
5450"'','COMMENT: end icon text');\n"
5451        }
5452        Block {
5453          BlockType           Reference
5454          Name            "Delay3"
5455          Ports           [1, 1]
5456          Position            [99, 190, 121, 210]
5457          Orientation         "down"
5458          NamePlacement       "alternate"
5459          ShowName            off
5460          SourceBlock         "xbsIndex_r4/Delay"
5461          SourceType          "Xilinx Delay Block"
5462          infoedit            "Hardware notes: A delay line is a chain"
5463", each link of which is an SRL16 followed by a flip-flop."
5464          en              off
5465          latency             "1"
5466          dbl_ovrd            off
5467          reg_retiming        off
5468          xl_use_area         off
5469          xl_area             "[0,0,0,0,0,0,0]"
5470          has_advanced_control    "0"
5471          sggui_pos           "-1,-1,-1,-1"
5472          block_type          "delay"
5473          block_version       "10.1.2"
5474          sg_icon_stat        "22,20,1,1,white,blue,0,fc531c0e,down"
5475          sg_mask_display         "fprintf('','COMMENT: begin icon graphic"
5476"s');\npatch([0 60 60 0 ],[0 0 56 56 ],[0.77 0.82 0.91]);\npatch([15 6 19 6 15"
5477" 30 34 38 54 41 29 20 32 20 29 41 54 38 34 30 15 ],[6 15 28 41 50 50 46 50 50"
5478" 37 49 40 28 16 7 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 "
5479"0 56 56 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT"
5480": begin icon text');\ncolor('black');disp('z^{-1}','texmode','on');\nfprintf("
5481"'','COMMENT: end icon text');\n"
5482        }
5483        Block {
5484          BlockType           Reference
5485          Name            "Delay4"
5486          Ports           [1, 1]
5487          Position            [99, 230, 121, 250]
5488          Orientation         "down"
5489          NamePlacement       "alternate"
5490          ShowName            off
5491          SourceBlock         "xbsIndex_r4/Delay"
5492          SourceType          "Xilinx Delay Block"
5493          infoedit            "Hardware notes: A delay line is a chain"
5494", each link of which is an SRL16 followed by a flip-flop."
5495          en              off
5496          latency             "1"
5497          dbl_ovrd            off
5498          reg_retiming        off
5499          xl_use_area         off
5500          xl_area             "[0,0,0,0,0,0,0]"
5501          has_advanced_control    "0"
5502          sggui_pos           "-1,-1,-1,-1"
5503          block_type          "delay"
5504          block_version       "10.1.2"
5505          sg_icon_stat        "22,20,1,1,white,blue,0,fc531c0e,down"
5506          sg_mask_display         "fprintf('','COMMENT: begin icon graphic"
5507"s');\npatch([0 60 60 0 ],[0 0 56 56 ],[0.77 0.82 0.91]);\npatch([15 6 19 6 15"
5508" 30 34 38 54 41 29 20 32 20 29 41 54 38 34 30 15 ],[6 15 28 41 50 50 46 50 50"
5509" 37 49 40 28 16 7 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 "
5510"0 56 56 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT"
5511": begin icon text');\ncolor('black');disp('z^{-1}','texmode','on');\nfprintf("
5512"'','COMMENT: end icon text');\n"
5513        }
5514        Block {
5515          BlockType           Reference
5516          Name            "Delay5"
5517          Ports           [1, 1]
5518          Position            [99, 270, 121, 290]
5519          Orientation         "down"
5520          NamePlacement       "alternate"
5521          ShowName            off
5522          SourceBlock         "xbsIndex_r4/Delay"
5523          SourceType          "Xilinx Delay Block"
5524          infoedit            "Hardware notes: A delay line is a chain"
5525", each link of which is an SRL16 followed by a flip-flop."
5526          en              off
5527          latency             "1"
5528          dbl_ovrd            off
5529          reg_retiming        off
5530          xl_use_area         off
5531          xl_area             "[0,0,0,0,0,0,0]"
5532          has_advanced_control    "0"
5533          sggui_pos           "-1,-1,-1,-1"
5534          block_type          "delay"
5535          block_version       "10.1.2"
5536          sg_icon_stat        "22,20,1,1,white,blue,0,fc531c0e,down"
5537          sg_mask_display         "fprintf('','COMMENT: begin icon graphic"
5538"s');\npatch([0 60 60 0 ],[0 0 56 56 ],[0.77 0.82 0.91]);\npatch([15 6 19 6 15"
5539" 30 34 38 54 41 29 20 32 20 29 41 54 38 34 30 15 ],[6 15 28 41 50 50 46 50 50"
5540" 37 49 40 28 16 7 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 "
5541"0 56 56 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT"
5542": begin icon text');\ncolor('black');disp('z^{-1}','texmode','on');\nfprintf("
5543"'','COMMENT: end icon text');\n"
5544        }
5545        Block {
5546          BlockType           Reference
5547          Name            "Delay6"
5548          Ports           [1, 1]
5549          Position            [99, 310, 121, 330]
5550          Orientation         "down"
5551          NamePlacement       "alternate"
5552          ShowName            off
5553          SourceBlock         "xbsIndex_r4/Delay"
5554          SourceType          "Xilinx Delay Block"
5555          infoedit            "Hardware notes: A delay line is a chain"
5556", each link of which is an SRL16 followed by a flip-flop."
5557          en              off
5558          latency             "1"
5559          dbl_ovrd            off
5560          reg_retiming        off
5561          xl_use_area         off
5562          xl_area             "[0,0,0,0,0,0,0]"
5563          has_advanced_control    "0"
5564          sggui_pos           "-1,-1,-1,-1"
5565          block_type          "delay"
5566          block_version       "10.1.2"
5567          sg_icon_stat        "22,20,1,1,white,blue,0,fc531c0e,down"
5568          sg_mask_display         "fprintf('','COMMENT: begin icon graphic"
5569"s');\npatch([0 60 60 0 ],[0 0 56 56 ],[0.77 0.82 0.91]);\npatch([15 6 19 6 15"
5570" 30 34 38 54 41 29 20 32 20 29 41 54 38 34 30 15 ],[6 15 28 41 50 50 46 50 50"
5571" 37 49 40 28 16 7 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 "
5572"0 56 56 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT"
5573": begin icon text');\ncolor('black');disp('z^{-1}','texmode','on');\nfprintf("
5574"'','COMMENT: end icon text');\n"
5575        }
5576        Block {
5577          BlockType           Reference
5578          Name            "Logical"
5579          Ports           [8, 1]
5580          Position            [135, 25, 195, 365]
5581          ShowName            off
5582          SourceBlock         "xbsIndex_r4/Logical"
5583          SourceType          "Xilinx Logical Block Block"
5584          logical_function        "OR"
5585          inputs              "8"
5586          en              off
5587          latency             "0"
5588          precision           "Full"
5589          arith_type          "Unsigned"
5590          n_bits              "16"
5591          bin_pt              "0"
5592          align_bp            on
5593          dbl_ovrd            off
5594          xl_use_area         off
5595          xl_area             "[0,0,0,0,0,0,0]"
5596          has_advanced_control    "0"
5597          sggui_pos           "20,20,348,261"
5598          block_type          "logical"
5599          block_version       "10.1.2"
5600          sg_icon_stat        "60,340,1,1,white,blue,0,84119f55,right"
5601          sg_mask_display         "fprintf('','COMMENT: begin icon graphic"
5602"s');\npatch([0 55 55 0 ],[0 0 60 60 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13"
5603" 28 32 36 52 40 28 19 32 19 28 40 52 36 32 28 13 ],[8 17 30 43 52 52 48 52 52"
5604" 40 52 43 30 17 8 20 8 8 12 8 8 ],[0.98 0.96 0.92]);\nplot([0 55 55 0 0 ],[0 "
5605"0 60 60 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT"
5606": begin icon text');\ncolor('black');disp('\\newlineor\\newlinez^{-0}','texmo"
5607"de','on');\nfprintf('','COMMENT: end icon text');\n"
5608        }
5609        Block {
5610          BlockType           Outport
5611          Name            "q"
5612          Position            [220, 188, 250, 202]
5613          IconDisplay         "Port number"
5614          BusOutputAsStruct       off
5615        }
5616        Line {
5617          SrcBlock            "Logical"
5618          SrcPort             1
5619          DstBlock            "q"
5620          DstPort             1
5621        }
5622        Line {
5623          SrcBlock            "Delay"
5624          SrcPort             1
5625          Points              [0, 0]
5626          Branch {
5627        DstBlock        "Logical"
5628        DstPort         2
5629          }
5630          Branch {
5631        DstBlock        "Delay1"
5632        DstPort         1
5633          }
5634        }
5635        Line {
5636          SrcBlock            "Delay1"
5637          SrcPort             1
5638          Points              [0, 0]
5639          Branch {
5640        DstBlock        "Logical"
5641        DstPort         3
5642          }
5643          Branch {
5644        DstBlock        "Delay2"
5645        DstPort         1
5646          }
5647        }
5648        Line {
5649          SrcBlock            "Delay3"
5650          SrcPort             1
5651          Points              [0, 0]
5652          Branch {
5653        DstBlock        "Logical"
5654        DstPort         5
5655          }
5656          Branch {
5657        DstBlock        "Delay4"
5658        DstPort         1
5659          }
5660        }
5661        Line {
5662          SrcBlock            "Delay4"
5663          SrcPort             1
5664          Points              [0, 0]
5665          Branch {
5666        DstBlock        "Logical"
5667        DstPort         6
5668          }
5669          Branch {
5670        DstBlock        "Delay5"
5671        DstPort         1
5672          }
5673        }
5674        Line {
5675          SrcBlock            "Delay5"
5676          SrcPort             1
5677          Points              [0, 0]
5678          Branch {
5679        DstBlock        "Delay6"
5680        DstPort         1
5681          }
5682          Branch {
5683        DstBlock        "Logical"
5684        DstPort         7
5685          }
5686        }
5687        Line {
5688          SrcBlock            "d"
5689          SrcPort             1
5690          Points              [15, 0]
5691          Branch {
5692        DstBlock        "Delay"
5693        DstPort         1
5694          }
5695          Branch {
5696        DstBlock        "Logical"
5697        DstPort         1
5698          }
5699        }
5700        Line {
5701          SrcBlock            "Delay2"
5702          SrcPort             1
5703          Points              [0, 0]
5704          Branch {
5705        DstBlock        "Delay3"
5706        DstPort         1
5707          }
5708          Branch {
5709        DstBlock        "Logical"
5710        DstPort         4
5711          }
5712        }
5713        Line {
5714          SrcBlock            "Delay6"
5715          SrcPort             1
5716          DstBlock            "Logical"
5717          DstPort             8
5718        }
5719      }
5720    }
5721    Block {
5722      BlockType       SubSystem
5723      Name            "8xDebounce2"
5724      Ports           [1, 1]
5725      Position        [845, 236, 885, 254]
5726      NamePlacement       "alternate"
5727      MinAlgLoopOccurrences   off
5728      RTWSystemCode       "Auto"
5729      FunctionWithSeparateData off
5730      MaskHideContents    off
5731      System {
5732        Name            "8xDebounce2"
5733        Location            [2, 74, 1910, 1156]
5734        Open            off
5735        ModelBrowserVisibility  off
5736        ModelBrowserWidth       200
5737        ScreenColor         "white"
5738        PaperOrientation        "landscape"
5739        PaperPositionMode       "auto"
5740        PaperType           "usletter"
5741        PaperUnits          "inches"
5742        TiledPaperMargins       [0.500000, 0.500000, 0.500000, 0.500000]
5743        TiledPageScale      1
5744        ShowPageBoundaries      off
5745        ZoomFactor          "100"
5746        Block {
5747          BlockType           Inport
5748          Name            "d"
5749          Position            [60, 48, 90, 62]
5750          IconDisplay         "Port number"
5751        }
5752        Block {
5753          BlockType           Reference
5754          Name            "Delay"
5755          Ports           [1, 1]
5756          Position            [99, 70, 121, 90]
5757          Orientation         "down"
5758          NamePlacement       "alternate"
5759          ShowName            off
5760          SourceBlock         "xbsIndex_r4/Delay"
5761          SourceType          "Xilinx Delay Block"
5762          infoedit            "Hardware notes: A delay line is a chain"
5763", each link of which is an SRL16 followed by a flip-flop."
5764          en              off
5765          latency             "1"
5766          dbl_ovrd            off
5767          reg_retiming        off
5768          xl_use_area         off
5769          xl_area             "[0,0,0,0,0,0,0]"
5770          has_advanced_control    "0"
5771          sggui_pos           "-1,-1,-1,-1"
5772          block_type          "delay"
5773          block_version       "10.1.2"
5774          sg_icon_stat        "22,20,1,1,white,blue,0,fc531c0e,down"
5775          sg_mask_display         "fprintf('','COMMENT: begin icon graphic"
5776"s');\npatch([0 60 60 0 ],[0 0 56 56 ],[0.77 0.82 0.91]);\npatch([15 6 19 6 15"
5777" 30 34 38 54 41 29 20 32 20 29 41 54 38 34 30 15 ],[6 15 28 41 50 50 46 50 50"
5778" 37 49 40 28 16 7 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 "
5779"0 56 56 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT"
5780": begin icon text');\ncolor('black');disp('z^{-1}','texmode','on');\nfprintf("
5781"'','COMMENT: end icon text');\n"
5782        }
5783        Block {
5784          BlockType           Reference
5785          Name            "Delay1"
5786          Ports           [1, 1]
5787          Position            [99, 110, 121, 130]
5788          Orientation         "down"
5789          NamePlacement       "alternate"
5790          ShowName            off
5791          SourceBlock         "xbsIndex_r4/Delay"
5792          SourceType          "Xilinx Delay Block"
5793          infoedit            "Hardware notes: A delay line is a chain"
5794", each link of which is an SRL16 followed by a flip-flop."
5795          en              off
5796          latency             "1"
5797          dbl_ovrd            off
5798          reg_retiming        off
5799          xl_use_area         off
5800          xl_area             "[0,0,0,0,0,0,0]"
5801          has_advanced_control    "0"
5802          sggui_pos           "-1,-1,-1,-1"
5803          block_type          "delay"
5804          block_version       "10.1.2"
5805          sg_icon_stat        "22,20,1,1,white,blue,0,fc531c0e,down"
5806          sg_mask_display         "fprintf('','COMMENT: begin icon graphic"
5807"s');\npatch([0 60 60 0 ],[0 0 56 56 ],[0.77 0.82 0.91]);\npatch([15 6 19 6 15"
5808" 30 34 38 54 41 29 20 32 20 29 41 54 38 34 30 15 ],[6 15 28 41 50 50 46 50 50"
5809" 37 49 40 28 16 7 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 "
5810"0 56 56 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT"
5811": begin icon text');\ncolor('black');disp('z^{-1}','texmode','on');\nfprintf("
5812"'','COMMENT: end icon text');\n"
5813        }
5814        Block {
5815          BlockType           Reference
5816          Name            "Delay2"
5817          Ports           [1, 1]
5818          Position            [99, 150, 121, 170]
5819          Orientation         "down"
5820          NamePlacement       "alternate"
5821          ShowName            off
5822          SourceBlock         "xbsIndex_r4/Delay"
5823          SourceType          "Xilinx Delay Block"
5824          infoedit            "Hardware notes: A delay line is a chain"
5825", each link of which is an SRL16 followed by a flip-flop."
5826          en              off
5827          latency             "1"
5828          dbl_ovrd            off
5829          reg_retiming        off
5830          xl_use_area         off
5831          xl_area             "[0,0,0,0,0,0,0]"
5832          has_advanced_control    "0"
5833          sggui_pos           "-1,-1,-1,-1"
5834          block_type          "delay"
5835          block_version       "10.1.2"
5836          sg_icon_stat        "22,20,1,1,white,blue,0,fc531c0e,down"
5837          sg_mask_display         "fprintf('','COMMENT: begin icon graphic"
5838"s');\npatch([0 60 60 0 ],[0 0 56 56 ],[0.77 0.82 0.91]);\npatch([15 6 19 6 15"
5839" 30 34 38 54 41 29 20 32 20 29 41 54 38 34 30 15 ],[6 15 28 41 50 50 46 50 50"
5840" 37 49 40 28 16 7 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 "
5841"0 56 56 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT"
5842": begin icon text');\ncolor('black');disp('z^{-1}','texmode','on');\nfprintf("
5843"'','COMMENT: end icon text');\n"
5844        }
5845        Block {
5846          BlockType           Reference
5847          Name            "Delay3"
5848          Ports           [1, 1]
5849          Position            [99, 190, 121, 210]
5850          Orientation         "down"
5851          NamePlacement       "alternate"
5852          ShowName            off
5853          SourceBlock         "xbsIndex_r4/Delay"
5854          SourceType          "Xilinx Delay Block"
5855          infoedit            "Hardware notes: A delay line is a chain"
5856", each link of which is an SRL16 followed by a flip-flop."
5857          en              off
5858          latency             "1"
5859          dbl_ovrd            off
5860          reg_retiming        off
5861          xl_use_area         off
5862          xl_area             "[0,0,0,0,0,0,0]"
5863          has_advanced_control    "0"
5864          sggui_pos           "-1,-1,-1,-1"
5865          block_type          "delay"
5866          block_version       "10.1.2"
5867          sg_icon_stat        "22,20,1,1,white,blue,0,fc531c0e,down"
5868          sg_mask_display         "fprintf('','COMMENT: begin icon graphic"
5869"s');\npatch([0 60 60 0 ],[0 0 56 56 ],[0.77 0.82 0.91]);\npatch([15 6 19 6 15"
5870" 30 34 38 54 41 29 20 32 20 29 41 54 38 34 30 15 ],[6 15 28 41 50 50 46 50 50"
5871" 37 49 40 28 16 7 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 "
5872"0 56 56 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT"
5873": begin icon text');\ncolor('black');disp('z^{-1}','texmode','on');\nfprintf("
5874"'','COMMENT: end icon text');\n"
5875        }
5876        Block {
5877          BlockType           Reference
5878          Name            "Delay4"
5879          Ports           [1, 1]
5880          Position            [99, 230, 121, 250]
5881          Orientation         "down"
5882          NamePlacement       "alternate"
5883          ShowName            off
5884          SourceBlock         "xbsIndex_r4/Delay"
5885          SourceType          "Xilinx Delay Block"
5886          infoedit            "Hardware notes: A delay line is a chain"
5887", each link of which is an SRL16 followed by a flip-flop."
5888          en              off
5889          latency             "1"
5890          dbl_ovrd            off
5891          reg_retiming        off
5892          xl_use_area         off
5893          xl_area             "[0,0,0,0,0,0,0]"
5894          has_advanced_control    "0"
5895          sggui_pos           "-1,-1,-1,-1"
5896          block_type          "delay"
5897          block_version       "10.1.2"
5898          sg_icon_stat        "22,20,1,1,white,blue,0,fc531c0e,down"
5899          sg_mask_display         "fprintf('','COMMENT: begin icon graphic"
5900"s');\npatch([0 60 60 0 ],[0 0 56 56 ],[0.77 0.82 0.91]);\npatch([15 6 19 6 15"
5901" 30 34 38 54 41 29 20 32 20 29 41 54 38 34 30 15 ],[6 15 28 41 50 50 46 50 50"
5902" 37 49 40 28 16 7 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 "
5903"0 56 56 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT"
5904": begin icon text');\ncolor('black');disp('z^{-1}','texmode','on');\nfprintf("
5905"'','COMMENT: end icon text');\n"
5906        }
5907        Block {
5908          BlockType           Reference
5909          Name            "Delay5"
5910          Ports           [1, 1]
5911          Position            [99, 270, 121, 290]
5912          Orientation         "down"
5913          NamePlacement       "alternate"
5914          ShowName            off
5915          SourceBlock         "xbsIndex_r4/Delay"
5916          SourceType          "Xilinx Delay Block"
5917          infoedit            "Hardware notes: A delay line is a chain"
5918", each link of which is an SRL16 followed by a flip-flop."
5919          en              off
5920          latency             "1"
5921          dbl_ovrd            off
5922          reg_retiming        off
5923          xl_use_area         off
5924          xl_area             "[0,0,0,0,0,0,0]"
5925          has_advanced_control    "0"
5926          sggui_pos           "-1,-1,-1,-1"
5927          block_type          "delay"
5928          block_version       "10.1.2"
5929          sg_icon_stat        "22,20,1,1,white,blue,0,fc531c0e,down"
5930          sg_mask_display         "fprintf('','COMMENT: begin icon graphic"
5931"s');\npatch([0 60 60 0 ],[0 0 56 56 ],[0.77 0.82 0.91]);\npatch([15 6 19 6 15"
5932" 30 34 38 54 41 29 20 32 20 29 41 54 38 34 30 15 ],[6 15 28 41 50 50 46 50 50"
5933" 37 49 40 28 16 7 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 "
5934"0 56 56 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT"
5935": begin icon text');\ncolor('black');disp('z^{-1}','texmode','on');\nfprintf("
5936"'','COMMENT: end icon text');\n"
5937        }
5938        Block {
5939          BlockType           Reference
5940          Name            "Delay6"
5941          Ports           [1, 1]
5942          Position            [99, 310, 121, 330]
5943          Orientation         "down"
5944          NamePlacement       "alternate"
5945          ShowName            off
5946          SourceBlock         "xbsIndex_r4/Delay"
5947          SourceType          "Xilinx Delay Block"
5948          infoedit            "Hardware notes: A delay line is a chain"
5949", each link of which is an SRL16 followed by a flip-flop."
5950          en              off
5951          latency             "1"
5952          dbl_ovrd            off
5953          reg_retiming        off
5954          xl_use_area         off
5955          xl_area             "[0,0,0,0,0,0,0]"
5956          has_advanced_control    "0"
5957          sggui_pos           "-1,-1,-1,-1"
5958          block_type          "delay"
5959          block_version       "10.1.2"
5960          sg_icon_stat        "22,20,1,1,white,blue,0,fc531c0e,down"
5961          sg_mask_display         "fprintf('','COMMENT: begin icon graphic"
5962"s');\npatch([0 60 60 0 ],[0 0 56 56 ],[0.77 0.82 0.91]);\npatch([15 6 19 6 15"
5963" 30 34 38 54 41 29 20 32 20 29 41 54 38 34 30 15 ],[6 15 28 41 50 50 46 50 50"
5964" 37 49 40 28 16 7 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 "
5965"0 56 56 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT"
5966": begin icon text');\ncolor('black');disp('z^{-1}','texmode','on');\nfprintf("
5967"'','COMMENT: end icon text');\n"
5968        }
5969        Block {
5970          BlockType           Reference
5971          Name            "Logical"
5972          Ports           [8, 1]
5973          Position            [135, 25, 195, 365]
5974          ShowName            off
5975          SourceBlock         "xbsIndex_r4/Logical"
5976          SourceType          "Xilinx Logical Block Block"
5977          logical_function        "OR"
5978          inputs              "8"
5979          en              off
5980          latency             "0"
5981          precision           "Full"
5982          arith_type          "Unsigned"
5983          n_bits              "16"
5984          bin_pt              "0"
5985          align_bp            on
5986          dbl_ovrd            off
5987          xl_use_area         off
5988          xl_area             "[0,0,0,0,0,0,0]"
5989          has_advanced_control    "0"
5990          sggui_pos           "20,20,348,261"
5991          block_type          "logical"
5992          block_version       "10.1.2"
5993          sg_icon_stat        "60,340,1,1,white,blue,0,84119f55,right"
5994          sg_mask_display         "fprintf('','COMMENT: begin icon graphic"
5995"s');\npatch([0 55 55 0 ],[0 0 60 60 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13"
5996" 28 32 36 52 40 28 19 32 19 28 40 52 36 32 28 13 ],[8 17 30 43 52 52 48 52 52"
5997" 40 52 43 30 17 8 20 8 8 12 8 8 ],[0.98 0.96 0.92]);\nplot([0 55 55 0 0 ],[0 "
5998"0 60 60 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT"
5999": begin icon text');\ncolor('black');disp('\\newlineor\\newlinez^{-0}','texmo"
6000"de','on');\nfprintf('','COMMENT: end icon text');\n"
6001        }
6002        Block {
6003          BlockType           Outport
6004          Name            "q"
6005          Position            [220, 188, 250, 202]
6006          IconDisplay         "Port number"
6007          BusOutputAsStruct       off
6008        }
6009        Line {
6010          SrcBlock            "Delay6"
6011          SrcPort             1
6012          DstBlock            "Logical"
6013          DstPort             8
6014        }
6015        Line {
6016          SrcBlock            "Delay2"
6017          SrcPort             1
6018          Points              [0, 0]
6019          Branch {
6020        DstBlock        "Logical"
6021        DstPort         4
6022          }
6023          Branch {
6024        DstBlock        "Delay3"
6025        DstPort         1
6026          }
6027        }
6028        Line {
6029          SrcBlock            "d"
6030          SrcPort             1
6031          Points              [15, 0]
6032          Branch {
6033        DstBlock        "Logical"
6034        DstPort         1
6035          }
6036          Branch {
6037        DstBlock        "Delay"
6038        DstPort         1
6039          }
6040        }
6041        Line {
6042          SrcBlock            "Delay5"
6043          SrcPort             1
6044          Points              [0, 0]
6045          Branch {
6046        DstBlock        "Logical"
6047        DstPort         7
6048          }
6049          Branch {
6050        DstBlock        "Delay6"
6051        DstPort         1
6052          }
6053        }
6054        Line {
6055          SrcBlock            "Delay4"
6056          SrcPort             1
6057          Points              [0, 0]
6058          Branch {
6059        DstBlock        "Delay5"
6060        DstPort         1
6061          }
6062          Branch {
6063        DstBlock        "Logical"
6064        DstPort         6
6065          }
6066        }
6067        Line {
6068          SrcBlock            "Delay3"
6069          SrcPort             1
6070          Points              [0, 0]
6071          Branch {
6072        DstBlock        "Delay4"
6073        DstPort         1
6074          }
6075          Branch {
6076        DstBlock        "Logical"
6077        DstPort         5
6078          }
6079        }
6080        Line {
6081          SrcBlock            "Delay1"
6082          SrcPort             1
6083          Points              [0, 0]
6084          Branch {
6085        DstBlock        "Delay2"
6086        DstPort         1
6087          }
6088          Branch {
6089        DstBlock        "Logical"
6090        DstPort         3
6091          }
6092        }
6093        Line {
6094          SrcBlock            "Delay"
6095          SrcPort             1
6096          Points              [0, 0]
6097          Branch {
6098        DstBlock        "Delay1"
6099        DstPort         1
6100          }
6101          Branch {
6102        DstBlock        "Logical"
6103        DstPort         2
6104          }
6105        }
6106        Line {
6107          SrcBlock            "Logical"
6108          SrcPort             1
6109          DstBlock            "q"
6110          DstPort             1
6111        }
6112      }
6113    }
6114    Block {
6115      BlockType       SubSystem
6116      Name            "8xDebounce3"
6117      Ports           [1, 1]
6118      Position        [845, 286, 885, 304]
6119      NamePlacement       "alternate"
6120      MinAlgLoopOccurrences   off
6121      RTWSystemCode       "Auto"
6122      FunctionWithSeparateData off
6123      MaskHideContents    off
6124      System {
6125        Name            "8xDebounce3"
6126        Location            [2, 74, 1910, 1156]
6127        Open            off
6128        ModelBrowserVisibility  off
6129        ModelBrowserWidth       200
6130        ScreenColor         "white"
6131        PaperOrientation        "landscape"
6132        PaperPositionMode       "auto"
6133        PaperType           "usletter"
6134        PaperUnits          "inches"
6135        TiledPaperMargins       [0.500000, 0.500000, 0.500000, 0.500000]
6136        TiledPageScale      1
6137        ShowPageBoundaries      off
6138        ZoomFactor          "100"
6139        Block {
6140          BlockType           Inport
6141          Name            "d"
6142          Position            [60, 48, 90, 62]
6143          IconDisplay         "Port number"
6144        }
6145        Block {
6146          BlockType           Reference
6147          Name            "Delay"
6148          Ports           [1, 1]
6149          Position            [99, 70, 121, 90]
6150          Orientation         "down"
6151          NamePlacement       "alternate"
6152          ShowName            off
6153          SourceBlock         "xbsIndex_r4/Delay"
6154          SourceType          "Xilinx Delay Block"
6155          infoedit            "Hardware notes: A delay line is a chain"
6156", each link of which is an SRL16 followed by a flip-flop."
6157          en              off
6158          latency             "1"
6159          dbl_ovrd            off
6160          reg_retiming        off
6161          xl_use_area         off
6162          xl_area             "[0,0,0,0,0,0,0]"
6163          has_advanced_control    "0"
6164          sggui_pos           "-1,-1,-1,-1"
6165          block_type          "delay"
6166          block_version       "10.1.2"
6167          sg_icon_stat        "22,20,1,1,white,blue,0,fc531c0e,down"
6168          sg_mask_display         "fprintf('','COMMENT: begin icon graphic"
6169"s');\npatch([0 60 60 0 ],[0 0 56 56 ],[0.77 0.82 0.91]);\npatch([15 6 19 6 15"
6170" 30 34 38 54 41 29 20 32 20 29 41 54 38 34 30 15 ],[6 15 28 41 50 50 46 50 50"
6171" 37 49 40 28 16 7 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 "
6172"0 56 56 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT"
6173": begin icon text');\ncolor('black');disp('z^{-1}','texmode','on');\nfprintf("
6174"'','COMMENT: end icon text');\n"
6175        }
6176        Block {
6177          BlockType           Reference
6178          Name            "Delay1"
6179          Ports           [1, 1]
6180          Position            [99, 110, 121, 130]
6181          Orientation         "down"
6182          NamePlacement       "alternate"
6183          ShowName            off
6184          SourceBlock         "xbsIndex_r4/Delay"
6185          SourceType          "Xilinx Delay Block"
6186          infoedit            "Hardware notes: A delay line is a chain"
6187", each link of which is an SRL16 followed by a flip-flop."
6188          en              off
6189          latency             "1"
6190          dbl_ovrd            off
6191          reg_retiming        off
6192          xl_use_area         off
6193          xl_area             "[0,0,0,0,0,0,0]"
6194          has_advanced_control    "0"
6195          sggui_pos           "-1,-1,-1,-1"
6196          block_type          "delay"
6197          block_version       "10.1.2"
6198          sg_icon_stat        "22,20,1,1,white,blue,0,fc531c0e,down"
6199          sg_mask_display         "fprintf('','COMMENT: begin icon graphic"
6200"s');\npatch([0 60 60 0 ],[0 0 56 56 ],[0.77 0.82 0.91]);\npatch([15 6 19 6 15"
6201" 30 34 38 54 41 29 20 32 20 29 41 54 38 34 30 15 ],[6 15 28 41 50 50 46 50 50"
6202" 37 49 40 28 16 7 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 "
6203"0 56 56 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT"
6204": begin icon text');\ncolor('black');disp('z^{-1}','texmode','on');\nfprintf("
6205"'','COMMENT: end icon text');\n"
6206        }
6207        Block {
6208          BlockType           Reference
6209          Name            "Delay2"
6210          Ports           [1, 1]
6211          Position            [99, 150, 121, 170]
6212          Orientation         "down"
6213          NamePlacement       "alternate"
6214          ShowName            off
6215          SourceBlock         "xbsIndex_r4/Delay"
6216          SourceType          "Xilinx Delay Block"
6217          infoedit            "Hardware notes: A delay line is a chain"
6218", each link of which is an SRL16 followed by a flip-flop."
6219          en              off
6220          latency             "1"
6221          dbl_ovrd            off
6222          reg_retiming        off
6223          xl_use_area         off
6224          xl_area             "[0,0,0,0,0,0,0]"
6225          has_advanced_control    "0"
6226          sggui_pos           "-1,-1,-1,-1"
6227          block_type          "delay"
6228          block_version       "10.1.2"
6229          sg_icon_stat        "22,20,1,1,white,blue,0,fc531c0e,down"
6230          sg_mask_display         "fprintf('','COMMENT: begin icon graphic"
6231"s');\npatch([0 60 60 0 ],[0 0 56 56 ],[0.77 0.82 0.91]);\npatch([15 6 19 6 15"
6232" 30 34 38 54 41 29 20 32 20 29 41 54 38 34 30 15 ],[6 15 28 41 50 50 46 50 50"
6233" 37 49 40 28 16 7 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 "
6234"0 56 56 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT"
6235": begin icon text');\ncolor('black');disp('z^{-1}','texmode','on');\nfprintf("
6236"'','COMMENT: end icon text');\n"
6237        }
6238        Block {
6239          BlockType           Reference
6240          Name            "Delay3"
6241          Ports           [1, 1]
6242          Position            [99, 190, 121, 210]
6243          Orientation         "down"
6244          NamePlacement       "alternate"
6245          ShowName            off
6246          SourceBlock         "xbsIndex_r4/Delay"
6247          SourceType          "Xilinx Delay Block"
6248          infoedit            "Hardware notes: A delay line is a chain"
6249", each link of which is an SRL16 followed by a flip-flop."
6250          en              off
6251          latency             "1"
6252          dbl_ovrd            off
6253          reg_retiming        off
6254          xl_use_area         off
6255          xl_area             "[0,0,0,0,0,0,0]"
6256          has_advanced_control    "0"
6257          sggui_pos           "-1,-1,-1,-1"
6258          block_type          "delay"
6259          block_version       "10.1.2"
6260          sg_icon_stat        "22,20,1,1,white,blue,0,fc531c0e,down"
6261          sg_mask_display         "fprintf('','COMMENT: begin icon graphic"
6262"s');\npatch([0 60 60 0 ],[0 0 56 56 ],[0.77 0.82 0.91]);\npatch([15 6 19 6 15"
6263" 30 34 38 54 41 29 20 32 20 29 41 54 38 34 30 15 ],[6 15 28 41 50 50 46 50 50"
6264" 37 49 40 28 16 7 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 "
6265"0 56 56 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT"
6266": begin icon text');\ncolor('black');disp('z^{-1}','texmode','on');\nfprintf("
6267"'','COMMENT: end icon text');\n"
6268        }
6269        Block {
6270          BlockType           Reference
6271          Name            "Delay4"
6272          Ports           [1, 1]
6273          Position            [99, 230, 121, 250]
6274          Orientation         "down"
6275          NamePlacement       "alternate"
6276          ShowName            off
6277          SourceBlock         "xbsIndex_r4/Delay"
6278          SourceType          "Xilinx Delay Block"
6279          infoedit            "Hardware notes: A delay line is a chain"
6280", each link of which is an SRL16 followed by a flip-flop."
6281          en              off
6282          latency             "1"
6283          dbl_ovrd            off
6284          reg_retiming        off
6285          xl_use_area         off
6286          xl_area             "[0,0,0,0,0,0,0]"
6287          has_advanced_control    "0"
6288          sggui_pos           "-1,-1,-1,-1"
6289          block_type          "delay"
6290          block_version       "10.1.2"
6291          sg_icon_stat        "22,20,1,1,white,blue,0,fc531c0e,down"
6292          sg_mask_display         "fprintf('','COMMENT: begin icon graphic"
6293"s');\npatch([0 60 60 0 ],[0 0 56 56 ],[0.77 0.82 0.91]);\npatch([15 6 19 6 15"
6294" 30 34 38 54 41 29 20 32 20 29 41 54 38 34 30 15 ],[6 15 28 41 50 50 46 50 50"
6295" 37 49 40 28 16 7 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 "
6296"0 56 56 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT"
6297": begin icon text');\ncolor('black');disp('z^{-1}','texmode','on');\nfprintf("
6298"'','COMMENT: end icon text');\n"
6299        }
6300        Block {
6301          BlockType           Reference
6302          Name            "Delay5"
6303          Ports           [1, 1]
6304          Position            [99, 270, 121, 290]
6305          Orientation         "down"
6306          NamePlacement       "alternate"
6307          ShowName            off
6308          SourceBlock         "xbsIndex_r4/Delay"
6309          SourceType          "Xilinx Delay Block"
6310          infoedit            "Hardware notes: A delay line is a chain"
6311", each link of which is an SRL16 followed by a flip-flop."
6312          en              off
6313          latency             "1"
6314          dbl_ovrd            off
6315          reg_retiming        off
6316          xl_use_area         off
6317          xl_area             "[0,0,0,0,0,0,0]"
6318          has_advanced_control    "0"
6319          sggui_pos           "-1,-1,-1,-1"
6320          block_type          "delay"
6321          block_version       "10.1.2"
6322          sg_icon_stat        "22,20,1,1,white,blue,0,fc531c0e,down"
6323          sg_mask_display         "fprintf('','COMMENT: begin icon graphic"
6324"s');\npatch([0 60 60 0 ],[0 0 56 56 ],[0.77 0.82 0.91]);\npatch([15 6 19 6 15"
6325" 30 34 38 54 41 29 20 32 20 29 41 54 38 34 30 15 ],[6 15 28 41 50 50 46 50 50"
6326" 37 49 40 28 16 7 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 "
6327"0 56 56 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT"
6328": begin icon text');\ncolor('black');disp('z^{-1}','texmode','on');\nfprintf("
6329"'','COMMENT: end icon text');\n"
6330        }
6331        Block {
6332          BlockType           Reference
6333          Name            "Delay6"
6334          Ports           [1, 1]
6335          Position            [99, 310, 121, 330]
6336          Orientation         "down"
6337          NamePlacement       "alternate"
6338          ShowName            off
6339          SourceBlock         "xbsIndex_r4/Delay"
6340          SourceType          "Xilinx Delay Block"
6341          infoedit            "Hardware notes: A delay line is a chain"
6342", each link of which is an SRL16 followed by a flip-flop."
6343          en              off
6344          latency             "1"
6345          dbl_ovrd            off
6346          reg_retiming        off
6347          xl_use_area         off
6348          xl_area             "[0,0,0,0,0,0,0]"
6349          has_advanced_control    "0"
6350          sggui_pos           "-1,-1,-1,-1"
6351          block_type          "delay"
6352          block_version       "10.1.2"
6353          sg_icon_stat        "22,20,1,1,white,blue,0,fc531c0e,down"
6354          sg_mask_display         "fprintf('','COMMENT: begin icon graphic"
6355"s');\npatch([0 60 60 0 ],[0 0 56 56 ],[0.77 0.82 0.91]);\npatch([15 6 19 6 15"
6356" 30 34 38 54 41 29 20 32 20 29 41 54 38 34 30 15 ],[6 15 28 41 50 50 46 50 50"
6357" 37 49 40 28 16 7 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 "
6358"0 56 56 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT"
6359": begin icon text');\ncolor('black');disp('z^{-1}','texmode','on');\nfprintf("
6360"'','COMMENT: end icon text');\n"
6361        }
6362        Block {
6363          BlockType           Reference
6364          Name            "Logical"
6365          Ports           [8, 1]
6366          Position            [135, 25, 195, 365]
6367          ShowName            off
6368          SourceBlock         "xbsIndex_r4/Logical"
6369          SourceType          "Xilinx Logical Block Block"
6370          logical_function        "OR"
6371          inputs              "8"
6372          en              off
6373          latency             "0"
6374          precision           "Full"
6375          arith_type          "Unsigned"
6376          n_bits              "16"
6377          bin_pt              "0"
6378          align_bp            on
6379          dbl_ovrd            off
6380          xl_use_area         off
6381          xl_area             "[0,0,0,0,0,0,0]"
6382          has_advanced_control    "0"
6383          sggui_pos           "20,20,348,261"
6384          block_type          "logical"
6385          block_version       "10.1.2"
6386          sg_icon_stat        "60,340,1,1,white,blue,0,84119f55,right"
6387          sg_mask_display         "fprintf('','COMMENT: begin icon graphic"
6388"s');\npatch([0 55 55 0 ],[0 0 60 60 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13"
6389" 28 32 36 52 40 28 19 32 19 28 40 52 36 32 28 13 ],[8 17 30 43 52 52 48 52 52"
6390" 40 52 43 30 17 8 20 8 8 12 8 8 ],[0.98 0.96 0.92]);\nplot([0 55 55 0 0 ],[0 "
6391"0 60 60 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT"
6392": begin icon text');\ncolor('black');disp('\\newlineor\\newlinez^{-0}','texmo"
6393"de','on');\nfprintf('','COMMENT: end icon text');\n"
6394        }
6395        Block {
6396          BlockType           Outport
6397          Name            "q"
6398          Position            [220, 188, 250, 202]
6399          IconDisplay         "Port number"
6400          BusOutputAsStruct       off
6401        }
6402        Line {
6403          SrcBlock            "Logical"
6404          SrcPort             1
6405          DstBlock            "q"
6406          DstPort             1
6407        }
6408        Line {
6409          SrcBlock            "Delay"
6410          SrcPort             1
6411          Points              [0, 0]
6412          Branch {
6413        DstBlock        "Logical"
6414        DstPort         2
6415          }
6416          Branch {
6417        DstBlock        "Delay1"
6418        DstPort         1
6419          }
6420        }
6421        Line {
6422          SrcBlock            "Delay1"
6423          SrcPort             1
6424          Points              [0, 0]
6425          Branch {
6426        DstBlock        "Logical"
6427        DstPort         3
6428          }
6429          Branch {
6430        DstBlock        "Delay2"
6431        DstPort         1
6432          }
6433        }
6434        Line {
6435          SrcBlock            "Delay3"
6436          SrcPort             1
6437          Points              [0, 0]
6438          Branch {
6439        DstBlock        "Logical"
6440        DstPort         5
6441          }
6442          Branch {
6443        DstBlock        "Delay4"
6444        DstPort         1
6445          }
6446        }
6447        Line {
6448          SrcBlock            "Delay4"
6449          SrcPort             1
6450          Points              [0, 0]
6451          Branch {
6452        DstBlock        "Logical"
6453        DstPort         6
6454          }
6455          Branch {
6456        DstBlock        "Delay5"
6457        DstPort         1
6458          }
6459        }
6460        Line {
6461          SrcBlock            "Delay5"
6462          SrcPort             1
6463          Points              [0, 0]
6464          Branch {
6465        DstBlock        "Delay6"
6466        DstPort         1
6467          }
6468          Branch {
6469        DstBlock        "Logical"
6470        DstPort         7
6471          }
6472        }
6473        Line {
6474          SrcBlock            "d"
6475          SrcPort             1
6476          Points              [15, 0]
6477          Branch {
6478        DstBlock        "Delay"
6479        DstPort         1
6480          }
6481          Branch {
6482        DstBlock        "Logical"
6483        DstPort         1
6484          }
6485        }
6486        Line {
6487          SrcBlock            "Delay2"
6488          SrcPort             1
6489          Points              [0, 0]
6490          Branch {
6491        DstBlock        "Delay3"
6492        DstPort         1
6493          }
6494          Branch {
6495        DstBlock        "Logical"
6496        DstPort         4
6497          }
6498        }
6499        Line {
6500          SrcBlock            "Delay6"
6501          SrcPort             1
6502          DstBlock            "Logical"
6503          DstPort             8
6504        }
6505      }
6506    }
6507    Block {
6508      BlockType       SubSystem
6509      Name            "8xDebounce4"
6510      Ports           [1, 1]
6511      Position        [845, 331, 885, 349]
6512      NamePlacement       "alternate"
6513      MinAlgLoopOccurrences   off
6514      RTWSystemCode       "Auto"
6515      FunctionWithSeparateData off
6516      MaskHideContents    off
6517      System {
6518        Name            "8xDebounce4"
6519        Location            [2, 74, 1910, 1156]
6520        Open            off
6521        ModelBrowserVisibility  off
6522        ModelBrowserWidth       200
6523        ScreenColor         "white"
6524        PaperOrientation        "landscape"
6525        PaperPositionMode       "auto"
6526        PaperType           "usletter"
6527        PaperUnits          "inches"
6528        TiledPaperMargins       [0.500000, 0.500000, 0.500000, 0.500000]
6529        TiledPageScale      1
6530        ShowPageBoundaries      off
6531        ZoomFactor          "100"
6532        Block {
6533          BlockType           Inport
6534          Name            "d"
6535          Position            [60, 48, 90, 62]
6536          IconDisplay         "Port number"
6537        }
6538        Block {
6539          BlockType           Reference
6540          Name            "Delay"
6541          Ports           [1, 1]
6542          Position            [99, 70, 121, 90]
6543          Orientation         "down"
6544          NamePlacement       "alternate"
6545          ShowName            off
6546          SourceBlock         "xbsIndex_r4/Delay"
6547          SourceType          "Xilinx Delay Block"
6548          infoedit            "Hardware notes: A delay line is a chain"
6549", each link of which is an SRL16 followed by a flip-flop."
6550          en              off
6551          latency             "1"
6552          dbl_ovrd            off
6553          reg_retiming        off
6554          xl_use_area         off
6555          xl_area             "[0,0,0,0,0,0,0]"
6556          has_advanced_control    "0"
6557          sggui_pos           "-1,-1,-1,-1"
6558          block_type          "delay"
6559          block_version       "10.1.2"
6560          sg_icon_stat        "22,20,1,1,white,blue,0,fc531c0e,down"
6561          sg_mask_display         "fprintf('','COMMENT: begin icon graphic"
6562"s');\npatch([0 60 60 0 ],[0 0 56 56 ],[0.77 0.82 0.91]);\npatch([15 6 19 6 15"
6563" 30 34 38 54 41 29 20 32 20 29 41 54 38 34 30 15 ],[6 15 28 41 50 50 46 50 50"
6564" 37 49 40 28 16 7 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 "
6565"0 56 56 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT"
6566": begin icon text');\ncolor('black');disp('z^{-1}','texmode','on');\nfprintf("
6567"'','COMMENT: end icon text');\n"
6568        }
6569        Block {
6570          BlockType           Reference
6571          Name            "Delay1"
6572          Ports           [1, 1]
6573          Position            [99, 110, 121, 130]
6574          Orientation         "down"
6575          NamePlacement       "alternate"
6576          ShowName            off
6577          SourceBlock         "xbsIndex_r4/Delay"
6578          SourceType          "Xilinx Delay Block"
6579          infoedit            "Hardware notes: A delay line is a chain"
6580", each link of which is an SRL16 followed by a flip-flop."
6581          en              off
6582          latency             "1"
6583          dbl_ovrd            off
6584          reg_retiming        off
6585          xl_use_area         off
6586          xl_area             "[0,0,0,0,0,0,0]"
6587          has_advanced_control    "0"
6588          sggui_pos           "-1,-1,-1,-1"
6589          block_type          "delay"
6590          block_version       "10.1.2"
6591          sg_icon_stat        "22,20,1,1,white,blue,0,fc531c0e,down"
6592          sg_mask_display         "fprintf('','COMMENT: begin icon graphic"
6593"s');\npatch([0 60 60 0 ],[0 0 56 56 ],[0.77 0.82 0.91]);\npatch([15 6 19 6 15"
6594" 30 34 38 54 41 29 20 32 20 29 41 54 38 34 30 15 ],[6 15 28 41 50 50 46 50 50"
6595" 37 49 40 28 16 7 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 "
6596"0 56 56 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT"
6597": begin icon text');\ncolor('black');disp('z^{-1}','texmode','on');\nfprintf("
6598"'','COMMENT: end icon text');\n"
6599        }
6600        Block {
6601          BlockType           Reference
6602          Name            "Delay2"
6603          Ports           [1, 1]
6604          Position            [99, 150, 121, 170]
6605          Orientation         "down"
6606          NamePlacement       "alternate"
6607          ShowName            off
6608          SourceBlock         "xbsIndex_r4/Delay"
6609          SourceType          "Xilinx Delay Block"
6610          infoedit            "Hardware notes: A delay line is a chain"
6611", each link of which is an SRL16 followed by a flip-flop."
6612          en              off
6613          latency             "1"
6614          dbl_ovrd            off
6615          reg_retiming        off
6616          xl_use_area         off
6617          xl_area             "[0,0,0,0,0,0,0]"
6618          has_advanced_control    "0"
6619          sggui_pos           "-1,-1,-1,-1"
6620          block_type          "delay"
6621          block_version       "10.1.2"
6622          sg_icon_stat        "22,20,1,1,white,blue,0,fc531c0e,down"
6623          sg_mask_display         "fprintf('','COMMENT: begin icon graphic"
6624"s');\npatch([0 60 60 0 ],[0 0 56 56 ],[0.77 0.82 0.91]);\npatch([15 6 19 6 15"
6625" 30 34 38 54 41 29 20 32 20 29 41 54 38 34 30 15 ],[6 15 28 41 50 50 46 50 50"
6626" 37 49 40 28 16 7 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 "
6627"0 56 56 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT"
6628": begin icon text');\ncolor('black');disp('z^{-1}','texmode','on');\nfprintf("
6629"'','COMMENT: end icon text');\n"
6630        }
6631        Block {
6632          BlockType           Reference
6633          Name            "Delay3"
6634          Ports           [1, 1]
6635          Position            [99, 190, 121, 210]
6636          Orientation         "down"
6637          NamePlacement       "alternate"
6638          ShowName            off
6639          SourceBlock         "xbsIndex_r4/Delay"
6640          SourceType          "Xilinx Delay Block"
6641          infoedit            "Hardware notes: A delay line is a chain"
6642", each link of which is an SRL16 followed by a flip-flop."
6643          en              off
6644          latency             "1"
6645          dbl_ovrd            off
6646          reg_retiming        off
6647          xl_use_area         off
6648          xl_area             "[0,0,0,0,0,0,0]"
6649          has_advanced_control    "0"
6650          sggui_pos           "-1,-1,-1,-1"
6651          block_type          "delay"
6652          block_version       "10.1.2"
6653          sg_icon_stat        "22,20,1,1,white,blue,0,fc531c0e,down"
6654          sg_mask_display         "fprintf('','COMMENT: begin icon graphic"
6655"s');\npatch([0 60 60 0 ],[0 0 56 56 ],[0.77 0.82 0.91]);\npatch([15 6 19 6 15"
6656" 30 34 38 54 41 29 20 32 20 29 41 54 38 34 30 15 ],[6 15 28 41 50 50 46 50 50"
6657" 37 49 40 28 16 7 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 "
6658"0 56 56 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT"
6659": begin icon text');\ncolor('black');disp('z^{-1}','texmode','on');\nfprintf("
6660"'','COMMENT: end icon text');\n"
6661        }
6662        Block {
6663          BlockType           Reference
6664          Name            "Delay4"
6665          Ports           [1, 1]
6666          Position            [99, 230, 121, 250]
6667          Orientation         "down"
6668          NamePlacement       "alternate"
6669          ShowName            off
6670          SourceBlock         "xbsIndex_r4/Delay"
6671          SourceType          "Xilinx Delay Block"
6672          infoedit            "Hardware notes: A delay line is a chain"
6673", each link of which is an SRL16 followed by a flip-flop."
6674          en              off
6675          latency             "1"
6676          dbl_ovrd            off
6677          reg_retiming        off
6678          xl_use_area         off
6679          xl_area             "[0,0,0,0,0,0,0]"
6680          has_advanced_control    "0"
6681          sggui_pos           "-1,-1,-1,-1"
6682          block_type          "delay"
6683          block_version       "10.1.2"
6684          sg_icon_stat        "22,20,1,1,white,blue,0,fc531c0e,down"
6685          sg_mask_display         "fprintf('','COMMENT: begin icon graphic"
6686"s');\npatch([0 60 60 0 ],[0 0 56 56 ],[0.77 0.82 0.91]);\npatch([15 6 19 6 15"
6687" 30 34 38 54 41 29 20 32 20 29 41 54 38 34 30 15 ],[6 15 28 41 50 50 46 50 50"
6688" 37 49 40 28 16 7 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 "
6689"0 56 56 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT"
6690": begin icon text');\ncolor('black');disp('z^{-1}','texmode','on');\nfprintf("
6691"'','COMMENT: end icon text');\n"
6692        }
6693        Block {
6694          BlockType           Reference
6695          Name            "Delay5"
6696          Ports           [1, 1]
6697          Position            [99, 270, 121, 290]
6698          Orientation         "down"
6699          NamePlacement       "alternate"
6700          ShowName            off
6701          SourceBlock         "xbsIndex_r4/Delay"
6702          SourceType          "Xilinx Delay Block"
6703          infoedit            "Hardware notes: A delay line is a chain"
6704", each link of which is an SRL16 followed by a flip-flop."
6705          en              off
6706          latency             "1"
6707          dbl_ovrd            off
6708          reg_retiming        off
6709          xl_use_area         off
6710          xl_area             "[0,0,0,0,0,0,0]"
6711          has_advanced_control    "0"
6712          sggui_pos           "-1,-1,-1,-1"
6713          block_type          "delay"
6714          block_version       "10.1.2"
6715          sg_icon_stat        "22,20,1,1,white,blue,0,fc531c0e,down"
6716          sg_mask_display         "fprintf('','COMMENT: begin icon graphic"
6717"s');\npatch([0 60 60 0 ],[0 0 56 56 ],[0.77 0.82 0.91]);\npatch([15 6 19 6 15"
6718" 30 34 38 54 41 29 20 32 20 29 41 54 38 34 30 15 ],[6 15 28 41 50 50 46 50 50"
6719" 37 49 40 28 16 7 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 "
6720"0 56 56 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT"
6721": begin icon text');\ncolor('black');disp('z^{-1}','texmode','on');\nfprintf("
6722"'','COMMENT: end icon text');\n"
6723        }
6724        Block {
6725          BlockType           Reference
6726          Name            "Delay6"
6727          Ports           [1, 1]
6728          Position            [99, 310, 121, 330]
6729          Orientation         "down"
6730          NamePlacement       "alternate"
6731          ShowName            off
6732          SourceBlock         "xbsIndex_r4/Delay"
6733          SourceType          "Xilinx Delay Block"
6734          infoedit            "Hardware notes: A delay line is a chain"
6735", each link of which is an SRL16 followed by a flip-flop."
6736          en              off
6737          latency             "1"
6738          dbl_ovrd            off
6739          reg_retiming        off
6740          xl_use_area         off
6741          xl_area             "[0,0,0,0,0,0,0]"
6742          has_advanced_control    "0"
6743          sggui_pos           "-1,-1,-1,-1"
6744          block_type          "delay"
6745          block_version       "10.1.2"
6746          sg_icon_stat        "22,20,1,1,white,blue,0,fc531c0e,down"
6747          sg_mask_display         "fprintf('','COMMENT: begin icon graphic"
6748"s');\npatch([0 60 60 0 ],[0 0 56 56 ],[0.77 0.82 0.91]);\npatch([15 6 19 6 15"
6749" 30 34 38 54 41 29 20 32 20 29 41 54 38 34 30 15 ],[6 15 28 41 50 50 46 50 50"
6750" 37 49 40 28 16 7 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 "
6751"0 56 56 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT"
6752": begin icon text');\ncolor('black');disp('z^{-1}','texmode','on');\nfprintf("
6753"'','COMMENT: end icon text');\n"
6754        }
6755        Block {
6756          BlockType           Reference
6757          Name            "Logical"
6758          Ports           [8, 1]
6759          Position            [135, 25, 195, 365]
6760          ShowName            off
6761          SourceBlock         "xbsIndex_r4/Logical"
6762          SourceType          "Xilinx Logical Block Block"
6763          logical_function        "OR"
6764          inputs              "8"
6765          en              off
6766          latency             "0"
6767          precision           "Full"
6768          arith_type          "Unsigned"
6769          n_bits              "16"
6770          bin_pt              "0"
6771          align_bp            on
6772          dbl_ovrd            off
6773          xl_use_area         off
6774          xl_area             "[0,0,0,0,0,0,0]"
6775          has_advanced_control    "0"
6776          sggui_pos           "20,20,348,261"
6777          block_type          "logical"
6778          block_version       "10.1.2"
6779          sg_icon_stat        "60,340,1,1,white,blue,0,84119f55,right"
6780          sg_mask_display         "fprintf('','COMMENT: begin icon graphic"
6781"s');\npatch([0 55 55 0 ],[0 0 60 60 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13"
6782" 28 32 36 52 40 28 19 32 19 28 40 52 36 32 28 13 ],[8 17 30 43 52 52 48 52 52"
6783" 40 52 43 30 17 8 20 8 8 12 8 8 ],[0.98 0.96 0.92]);\nplot([0 55 55 0 0 ],[0 "
6784"0 60 60 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT"
6785": begin icon text');\ncolor('black');disp('\\newlineor\\newlinez^{-0}','texmo"
6786"de','on');\nfprintf('','COMMENT: end icon text');\n"
6787        }
6788        Block {
6789          BlockType           Outport
6790          Name            "q"
6791          Position            [220, 188, 250, 202]
6792          IconDisplay         "Port number"
6793          BusOutputAsStruct       off
6794        }
6795        Line {
6796          SrcBlock            "Delay6"
6797          SrcPort             1
6798          DstBlock            "Logical"
6799          DstPort             8
6800        }
6801        Line {
6802          SrcBlock            "Delay2"
6803          SrcPort             1
6804          Points              [0, 0]
6805          Branch {
6806        DstBlock        "Logical"
6807        DstPort         4
6808          }
6809          Branch {
6810        DstBlock        "Delay3"
6811        DstPort         1
6812          }
6813        }
6814        Line {
6815          SrcBlock            "d"
6816          SrcPort             1
6817          Points              [15, 0]
6818          Branch {
6819        DstBlock        "Logical"
6820        DstPort         1
6821          }
6822          Branch {
6823        DstBlock        "Delay"
6824        DstPort         1
6825          }
6826        }
6827        Line {
6828          SrcBlock            "Delay5"
6829          SrcPort             1
6830          Points              [0, 0]
6831          Branch {
6832        DstBlock        "Logical"
6833        DstPort         7
6834          }
6835          Branch {
6836        DstBlock        "Delay6"
6837        DstPort         1
6838          }
6839        }
6840        Line {
6841          SrcBlock            "Delay4"
6842          SrcPort             1
6843          Points              [0, 0]
6844          Branch {
6845        DstBlock        "Delay5"
6846        DstPort         1
6847          }
6848          Branch {
6849        DstBlock        "Logical"
6850        DstPort         6
6851          }
6852        }
6853        Line {
6854          SrcBlock            "Delay3"
6855          SrcPort             1
6856          Points              [0, 0]
6857          Branch {
6858        DstBlock        "Delay4"
6859        DstPort         1
6860          }
6861          Branch {
6862        DstBlock        "Logical"
6863        DstPort         5
6864          }
6865        }
6866        Line {
6867          SrcBlock            "Delay1"
6868          SrcPort             1
6869          Points              [0, 0]
6870          Branch {
6871        DstBlock        "Delay2"
6872        DstPort         1
6873          }
6874          Branch {
6875        DstBlock        "Logical"
6876        DstPort         3
6877          }
6878        }
6879        Line {
6880          SrcBlock            "Delay"
6881          SrcPort             1
6882          Points              [0, 0]
6883          Branch {
6884        DstBlock        "Delay1"
6885        DstPort         1
6886          }
6887          Branch {
6888        DstBlock        "Logical"
6889        DstPort         2
6890          }
6891        }
6892        Line {
6893          SrcBlock            "Logical"
6894          SrcPort             1
6895          DstBlock            "q"
6896          DstPort             1
6897        }
6898      }
6899    }
6900    Block {
6901      BlockType       Reference
6902      Name            "Buttons_Big"
6903      Ports           [1, 1]
6904      Position        [95, 130, 160, 150]
6905      SourceBlock         "xbsIndex_r4/Gateway In"
6906      SourceType          "Xilinx Gateway In Block"
6907      infoedit        "Gateway in block.  Converts inputs of type "
6908"Simulink integer, double and fixed point to  Xilinx fixed point type.<P><P>Ha"
6909"rdware notes:  In hardware these blocks become top level input ports."
6910      arith_type          "Unsigned"
6911      n_bits          "2"
6912      bin_pt          "0"
6913      quantization        "Round  (unbiased: +/- Inf)"
6914      overflow        "Wrap"
6915      period          "1"
6916      dbl_ovrd        off
6917      timing_constraint   "None"
6918      locs_specified      off
6919      LOCs            "{}"
6920      xl_use_area         off
6921      xl_area         "[0,0,0,0,0,0,0]"
6922      has_advanced_control    "0"
6923      sggui_pos       "20,20,348,406"
6924      block_type          "gatewayin"
6925      block_version       "10.1.2"
6926      sg_icon_stat        "65,20,1,1,white,yellow,0,bc55d28f,right"
6927      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');"
6928"\npatch([0 65 65 0 ],[0 0 20 20 ],[0.95 0.93 0.65]);\npatch([27 24 29 24 27 3"
6929"2 33 34 40 36 32 29 34 29 32 36 40 34 33 32 27 ],[2 5 10 15 18 18 17 18 18 14"
6930" 18 15 10 5 2 6 2 2 3 2 2 ],[0.98 0.96 0.92]);\nplot([0 65 65 0 0 ],[0 0 20 2"
6931"0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begi"
6932"n icon text');\ncolor('black');port_label('input',1,'\\fontsize{11pt}\\bf In "
6933"','texmode','on');\ncolor('black');port_label('output',1,' ');\nfprintf('','C"
6934"OMMENT: end icon text');\n"
6935    }
6936    Block {
6937      BlockType       Reference
6938      Name            "Buttons_Small"
6939      Ports           [1, 1]
6940      Position        [95, 255, 160, 275]
6941      SourceBlock         "xbsIndex_r4/Gateway In"
6942      SourceType          "Xilinx Gateway In Block"
6943      infoedit        "Gateway in block.  Converts inputs of type "
6944"Simulink integer, double and fixed point to  Xilinx fixed point type.<P><P>Ha"
6945"rdware notes:  In hardware these blocks become top level input ports."
6946      arith_type          "Unsigned"
6947      n_bits          "6"
6948      bin_pt          "0"
6949      quantization        "Round  (unbiased: +/- Inf)"
6950      overflow        "Wrap"
6951      period          "1"
6952      dbl_ovrd        off
6953      timing_constraint   "None"
6954      locs_specified      off
6955      LOCs            "{}"
6956      xl_use_area         off
6957      xl_area         "[0,0,0,0,0,0,0]"
6958      has_advanced_control    "0"
6959      sggui_pos       "20,20,348,406"
6960      block_type          "gatewayin"
6961      block_version       "10.1.2"
6962      sg_icon_stat        "65,20,1,1,white,yellow,0,bc55d28f,right"
6963      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');"
6964"\npatch([0 65 65 0 ],[0 0 20 20 ],[0.95 0.93 0.65]);\npatch([27 24 29 24 27 3"
6965"2 33 34 40 36 32 29 34 29 32 36 40 34 33 32 27 ],[2 5 10 15 18 18 17 18 18 14"
6966" 18 15 10 5 2 6 2 2 3 2 2 ],[0.98 0.96 0.92]);\nplot([0 65 65 0 0 ],[0 0 20 2"
6967"0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begi"
6968"n icon text');\ncolor('black');port_label('input',1,'\\fontsize{11pt}\\bf In "
6969"','texmode','on');\ncolor('black');port_label('output',1,' ');\nfprintf('','C"
6970"OMMENT: end icon text');\n"
6971    }
6972    Block {
6973      BlockType       Reference
6974      Name            "Concat"
6975      Ports           [5, 1]
6976      Position        [1085, 135, 1145, 195]
6977      SourceBlock         "xbsIndex_r4/Concat"
6978      SourceType          "Xilinx Bus Concatenator Block"
6979      infoedit        "Concatenates two or more inputs.  Output wi"
6980"ll be cast to an unsigned value with the binary point at zero."
6981      num_inputs          "5"
6982      dbl_ovrd        off
6983      has_advanced_control    "0"
6984      sggui_pos       "20,20,336,165"
6985      block_type          "concat"
6986      block_version       "10.1.2"
6987      sg_icon_stat        "60,60,1,1,white,blue,0,b68db4a4,right"
6988      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');"
6989"\npatch([0 60 60 0 ],[0 0 60 60 ],[0.77 0.82 0.91]);\npatch([14 4 18 4 14 30 "
6990"34 38 55 42 29 20 35 20 29 42 55 38 34 30 14 ],[6 16 30 44 54 54 50 54 54 41 "
6991"54 45 30 15 6 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 60"
6992" 60 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: be"
6993"gin icon text');\ncolor('black');port_label('input',1,'hi');\ncolor('black');"
6994"port_label('input',5,'lo');\nfprintf('','COMMENT: end icon text');\n"
6995    }
6996    Block {
6997      BlockType       Reference
6998      Name            "Constant"
6999      Ports           [0, 1]
7000      Position        [1175, 184, 1200, 206]
7001      ShowName        off
7002      SourceBlock         "xbsIndex_r4/Constant"
7003      SourceType          "Xilinx Constant Block Block"
7004      arith_type          "Boolean"
7005      const           "1"
7006      n_bits          "16"
7007      bin_pt          "14"
7008      explicit_period     off
7009      period          "1"
7010      dsp48_infoedit      "The use of this block for DSP48 instruction"
7011"s is deprecated.  Please use the Opmode block."
7012      equ             "P=C"
7013      opselect        "C"
7014      inp2            "PCIN>>17"
7015      opr             "+"
7016      inp1            "P"
7017      carry           "CIN"
7018      dbl_ovrd        off
7019      has_advanced_control    "0"
7020      sggui_pos       "20,20,400,346"
7021      block_type          "constant"
7022      block_version       "10.1.2"
7023      sg_icon_stat        "25,22,1,1,white,blue,0,06094819,right"
7024      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');"
7025"\npatch([0 55 55 0 ],[0 0 26 26 ],[0.77 0.82 0.91]);\npatch([20 16 22 16 20 2"
7026"7 29 31 38 32 26 22 28 22 26 32 38 31 29 27 20 ],[3 7 13 19 23 23 21 23 23 17"
7027" 23 19 13 7 3 9 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 55 55 0 0 ],[0 0 26 2"
7028"6 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begi"
7029"n icon text');\ncolor('black');port_label('output',1,'1');\nfprintf('','COMME"
7030"NT: end icon text');\n"
7031    }
7032    Block {
7033      BlockType       Constant
7034      Name            "Constant1"
7035      Position        [680, 180, 710, 210]
7036      ShowName        off
7037      Value           "0"
7038    }
7039    Block {
7040      BlockType       Constant
7041      Name            "Constant10"
7042      Position        [680, 280, 710, 310]
7043      ShowName        off
7044      Value           "0"
7045    }
7046    Block {
7047      BlockType       Constant
7048      Name            "Constant11"
7049      Position        [680, 325, 710, 355]
7050      ShowName        off
7051      Value           "0"
7052    }
7053    Block {
7054      BlockType       Constant
7055      Name            "Constant12"
7056      Position        [680, 130, 710, 160]
7057      ShowName        off
7058      Value           "0"
7059    }
7060    Block {
7061      BlockType       Reference
7062      Name            "Constant13"
7063      Ports           [0, 1]
7064      Position        [730, 429, 755, 451]
7065      ShowName        off
7066      SourceBlock         "xbsIndex_r4/Constant"
7067      SourceType          "Xilinx Constant Block Block"
7068      arith_type          "Unsigned"
7069      const           "1"
7070      n_bits          "1"
7071      bin_pt          "0"
7072      explicit_period     on
7073      period          "1"
7074      dsp48_infoedit      "The use of this block for DSP48 instruction"
7075"s is deprecated.  Please use the Opmode block."
7076      equ             "P=C"
7077      opselect        "C"
7078      inp2            "PCIN>>17"
7079      opr             "+"
7080      inp1            "P"
7081      carry           "CIN"
7082      dbl_ovrd        off
7083      has_advanced_control    "0"
7084      sggui_pos       "20,20,400,346"
7085      block_type          "constant"
7086      block_version       "10.1.2"
7087      sg_icon_stat        "25,22,1,1,white,blue,0,06094819,right"
7088      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');"
7089"\npatch([0 55 55 0 ],[0 0 26 26 ],[0.77 0.82 0.91]);\npatch([20 16 22 16 20 2"
7090"7 29 31 38 32 26 22 28 22 26 32 38 31 29 27 20 ],[3 7 13 19 23 23 21 23 23 17"
7091" 23 19 13 7 3 9 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 55 55 0 0 ],[0 0 26 2"
7092"6 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begi"
7093"n icon text');\ncolor('black');port_label('output',1,'1');\nfprintf('','COMME"
7094"NT: end icon text');\n"
7095    }
7096    Block {
7097      BlockType       Reference
7098      Name            "Constant2"
7099      Ports           [0, 1]
7100      Position        [300, 159, 325, 181]
7101      ShowName        off
7102      SourceBlock         "xbsIndex_r4/Constant"
7103      SourceType          "Xilinx Constant Block Block"
7104      arith_type          "Boolean"
7105      const           "1"
7106      n_bits          "16"
7107      bin_pt          "14"
7108      explicit_period     off
7109      period          "1"
7110      dsp48_infoedit      "The use of this block for DSP48 instruction"
7111"s is deprecated.  Please use the Opmode block."
7112      equ             "P=C"
7113      opselect        "C"
7114      inp2            "PCIN>>17"
7115      opr             "+"
7116      inp1            "P"
7117      carry           "CIN"
7118      dbl_ovrd        off
7119      has_advanced_control    "0"
7120      sggui_pos       "20,20,400,346"
7121      block_type          "constant"
7122      block_version       "10.1.2"
7123      sg_icon_stat        "25,22,1,1,white,blue,0,06094819,right"
7124      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');"
7125"\npatch([0 55 55 0 ],[0 0 26 26 ],[0.77 0.82 0.91]);\npatch([20 16 22 16 20 2"
7126"7 29 31 38 32 26 22 28 22 26 32 38 31 29 27 20 ],[3 7 13 19 23 23 21 23 23 17"
7127" 23 19 13 7 3 9 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 55 55 0 0 ],[0 0 26 2"
7128"6 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begi"
7129"n icon text');\ncolor('black');port_label('output',1,'1');\nfprintf('','COMME"
7130"NT: end icon text');\n"
7131    }
7132    Block {
7133      BlockType       Constant
7134      Name            "Constant3"
7135      Position        [25, 125, 55, 155]
7136      ShowName        off
7137      Value           "0"
7138    }
7139    Block {
7140      BlockType       Reference
7141      Name            "Constant4"
7142      Ports           [0, 1]
7143      Position        [300, 284, 325, 306]
7144      ShowName        off
7145      SourceBlock         "xbsIndex_r4/Constant"
7146      SourceType          "Xilinx Constant Block Block"
7147      arith_type          "Boolean"
7148      const           "1"
7149      n_bits          "16"
7150      bin_pt          "14"
7151      explicit_period     off
7152      period          "1"
7153      dsp48_infoedit      "The use of this block for DSP48 instruction"
7154"s is deprecated.  Please use the Opmode block."
7155      equ             "P=C"
7156      opselect        "C"
7157      inp2            "PCIN>>17"
7158      opr             "+"
7159      inp1            "P"
7160      carry           "CIN"
7161      dbl_ovrd        off
7162      has_advanced_control    "0"
7163      sggui_pos       "20,20,400,346"
7164      block_type          "constant"
7165      block_version       "10.1.2"
7166      sg_icon_stat        "25,22,1,1,white,blue,0,06094819,right"
7167      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');"
7168"\npatch([0 55 55 0 ],[0 0 26 26 ],[0.77 0.82 0.91]);\npatch([20 16 22 16 20 2"
7169"7 29 31 38 32 26 22 28 22 26 32 38 31 29 27 20 ],[3 7 13 19 23 23 21 23 23 17"
7170" 23 19 13 7 3 9 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 55 55 0 0 ],[0 0 26 2"
7171"6 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begi"
7172"n icon text');\ncolor('black');port_label('output',1,'1');\nfprintf('','COMME"
7173"NT: end icon text');\n"
7174    }
7175    Block {
7176      BlockType       Constant
7177      Name            "Constant5"
7178      Position        [25, 250, 55, 280]
7179      ShowName        off
7180      Value           "0"
7181    }
7182    Block {
7183      BlockType       Reference
7184      Name            "Constant6"
7185      Ports           [0, 1]
7186      Position        [300, 394, 325, 416]
7187      ShowName        off
7188      SourceBlock         "xbsIndex_r4/Constant"
7189      SourceType          "Xilinx Constant Block Block"
7190      arith_type          "Boolean"
7191      const           "1"
7192      n_bits          "16"
7193      bin_pt          "14"
7194      explicit_period     off
7195      period          "1"
7196      dsp48_infoedit      "The use of this block for DSP48 instruction"
7197"s is deprecated.  Please use the Opmode block."
7198      equ             "P=C"
7199      opselect        "C"
7200      inp2            "PCIN>>17"
7201      opr             "+"
7202      inp1            "P"
7203      carry           "CIN"
7204      dbl_ovrd        off
7205      has_advanced_control    "0"
7206      sggui_pos       "20,20,400,346"
7207      block_type          "constant"
7208      block_version       "10.1.2"
7209      sg_icon_stat        "25,22,1,1,white,blue,0,06094819,right"
7210      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');"
7211"\npatch([0 55 55 0 ],[0 0 26 26 ],[0.77 0.82 0.91]);\npatch([20 16 22 16 20 2"
7212"7 29 31 38 32 26 22 28 22 26 32 38 31 29 27 20 ],[3 7 13 19 23 23 21 23 23 17"
7213" 23 19 13 7 3 9 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 55 55 0 0 ],[0 0 26 2"
7214"6 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begi"
7215"n icon text');\ncolor('black');port_label('output',1,'1');\nfprintf('','COMME"
7216"NT: end icon text');\n"
7217    }
7218    Block {
7219      BlockType       Constant
7220      Name            "Constant7"
7221      Position        [25, 360, 55, 390]
7222      ShowName        off
7223      Value           "0"
7224    }
7225    Block {
7226      BlockType       Constant
7227      Name            "Constant9"
7228      Position        [680, 230, 710, 260]
7229      ShowName        off
7230      Value           "0"
7231    }
7232    Block {
7233      BlockType       Reference
7234      Name            "DIP_Switch"
7235      Ports           [1, 1]
7236      Position        [95, 365, 160, 385]
7237      SourceBlock         "xbsIndex_r4/Gateway In"
7238      SourceType          "Xilinx Gateway In Block"
7239      infoedit        "Gateway in block.  Converts inputs of type "
7240"Simulink integer, double and fixed point to  Xilinx fixed point type.<P><P>Ha"
7241"rdware notes:  In hardware these blocks become top level input ports."
7242      arith_type          "Unsigned"
7243      n_bits          "4"
7244      bin_pt          "0"
7245      quantization        "Round  (unbiased: +/- Inf)"
7246      overflow        "Wrap"
7247      period          "1"
7248      dbl_ovrd        off
7249      timing_constraint   "None"
7250      locs_specified      off
7251      LOCs            "{}"
7252      xl_use_area         off
7253      xl_area         "[0,0,0,0,0,0,0]"
7254      has_advanced_control    "0"
7255      sggui_pos       "20,20,348,406"
7256      block_type          "gatewayin"
7257      block_version       "10.1.2"
7258      sg_icon_stat        "65,20,1,1,white,yellow,0,bc55d28f,right"
7259      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');"
7260"\npatch([0 65 65 0 ],[0 0 20 20 ],[0.95 0.93 0.65]);\npatch([27 24 29 24 27 3"
7261"2 33 34 40 36 32 29 34 29 32 36 40 34 33 32 27 ],[2 5 10 15 18 18 17 18 18 14"
7262" 18 15 10 5 2 6 2 2 3 2 2 ],[0.98 0.96 0.92]);\nplot([0 65 65 0 0 ],[0 0 20 2"
7263"0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begi"
7264"n icon text');\ncolor('black');port_label('input',1,'\\fontsize{11pt}\\bf In "
7265"','texmode','on');\ncolor('black');port_label('output',1,' ');\nfprintf('','C"
7266"OMMENT: end icon text');\n"
7267    }
7268    Block {
7269      BlockType       Reference
7270      Name            "From Register"
7271      Ports           [0, 1]
7272      Position        [45, 477, 105, 533]
7273      AttributesFormatString  "<< %<shared_memory_name> >>"
7274      SourceBlock         "xbsIndex_r4/From Register"
7275      SourceType          "Xilinx Shared Memory Based From Register Bl"
7276"ock"
7277      infoedit        "Register block that reads data to a shared "
7278"memory register.  Delay of one sample period."
7279      shared_memory_name      "'LEDs'"
7280      init            "0"
7281      period          "1"
7282      ownership       "Locally owned and initialized"
7283      arith_type          "Unsigned"
7284      n_bits          "8"
7285      bin_pt          "0"
7286      dbl_ovrd        off
7287      xl_use_area         off
7288      xl_area         "[0,0,0,0,0,0,0]"
7289      has_advanced_control    "0"
7290      sggui_pos       "20,20,381,234"
7291      block_type          "fromreg"
7292      block_version       "10.1.2"
7293      sg_icon_stat        "60,56,1,1,white,blue,0,4b212927,right"
7294      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');"
7295"\npatch([0 60 60 0 ],[0 0 56 56 ],[0.77 0.82 0.91]);\npatch([15 6 19 6 15 30 "
7296"34 38 54 41 29 20 32 20 29 41 54 38 34 30 15 ],[6 15 28 41 50 50 46 50 50 37 "
7297"49 40 28 16 7 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 56"
7298" 56 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: be"
7299"gin icon text');\ncolor('black');port_label('output',1,'dout');\nfprintf('','"
7300"COMMENT: end icon text');\n"
7301    }
7302    Block {
7303      BlockType       Reference
7304      Name            "LEDs"
7305      Ports           [1, 1]
7306      Position        [375, 495, 435, 515]
7307      SourceBlock         "xbsIndex_r4/Gateway Out"
7308      SourceType          "Xilinx Gateway Out Block"
7309      infoedit        "Gateway out block.  Converts Xilinx fixed p"
7310"oint inputs into ouputs of type Simulink integer, double, or fixed point.<P><"
7311"P>Hardware notes:  In hardware these blocks become top level output ports or "
7312"are discarded, depending on how they are configured."
7313      hdl_port        on
7314      timing_constraint   "None"
7315      locs_specified      off
7316      LOCs            "{}"
7317      xl_use_area         off
7318      xl_area         "[0,0,0,0,0,0,0]"
7319      has_advanced_control    "0"
7320      sggui_pos       "-1,-1,-1,-1"
7321      block_type          "gatewayout"
7322      block_version       "10.1.2"
7323      sg_icon_stat        "60,20,1,1,white,yellow,0,38220381,right"
7324      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');"
7325"\npatch([0 60 60 0 ],[0 0 20 20 ],[0.95 0.93 0.65]);\npatch([24 21 26 21 24 2"
7326"9 30 31 37 33 29 26 31 26 29 33 37 31 30 29 24 ],[2 5 10 15 18 18 17 18 18 14"
7327" 18 15 10 5 2 6 2 2 3 2 2 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 20 2"
7328"0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begi"
7329"n icon text');\ncolor('black');port_label('input',1,' ');\ncolor('black');por"
7330"t_label('output',1,'\\fontsize{11pt}\\bf Out ','texmode','on');\nfprintf('','"
7331"COMMENT: end icon text');\n"
7332    }
7333    Block {
7334      BlockType       Reference
7335      Name            "Register"
7336      Ports           [1, 1]
7337      Position        [920, 177, 955, 213]
7338      NamePlacement       "alternate"
7339      ShowName        off
7340      SourceBlock         "xbsIndex_r4/Register"
7341      SourceType          "Xilinx Register Block"
7342      init            "0"
7343      rst             off
7344      en              off
7345      dbl_ovrd        off
7346      xl_use_area         off
7347      xl_area         "[0,0,0,0,0,0,0]"
7348      has_advanced_control    "0"
7349      sggui_pos       "-1,-1,-1,-1"
7350      block_type          "register"
7351      block_version       "10.1.2"
7352      sg_icon_stat        "35,36,1,1,white,blue,0,ac6b57db,right"
7353      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');"
7354"\npatch([0 60 60 0 ],[0 0 56 56 ],[0.77 0.82 0.91]);\npatch([15 6 19 6 15 30 "
7355"34 38 54 41 29 20 32 20 29 41 54 38 34 30 15 ],[6 15 28 41 50 50 46 50 50 37 "
7356"49 40 28 16 7 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 56"
7357" 56 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: be"
7358"gin icon text');\ncolor('black');port_label('input',1,'d');\ncolor('black');p"
7359"ort_label('output',1,'q');\ncolor('black');disp('\\bf{z^{-1}}','texmode','on'"
7360");\nfprintf('','COMMENT: end icon text');\n"
7361    }
7362    Block {
7363      BlockType       Reference
7364      Name            "Register1"
7365      Ports           [1, 1]
7366      Position        [995, 177, 1030, 213]
7367      NamePlacement       "alternate"
7368      ShowName        off
7369      SourceBlock         "xbsIndex_r4/Register"
7370      SourceType          "Xilinx Register Block"
7371      init            "0"
7372      rst             off
7373      en              off
7374      dbl_ovrd        off
7375      xl_use_area         off
7376      xl_area         "[0,0,0,0,0,0,0]"
7377      has_advanced_control    "0"
7378      sggui_pos       "-1,-1,-1,-1"
7379      block_type          "register"
7380      block_version       "10.1.2"
7381      sg_icon_stat        "35,36,1,1,white,blue,0,ac6b57db,right"
7382      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');"
7383"\npatch([0 60 60 0 ],[0 0 56 56 ],[0.77 0.82 0.91]);\npatch([15 6 19 6 15 30 "
7384"34 38 54 41 29 20 32 20 29 41 54 38 34 30 15 ],[6 15 28 41 50 50 46 50 50 37 "
7385"49 40 28 16 7 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 56"
7386" 56 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: be"
7387"gin icon text');\ncolor('black');port_label('input',1,'d');\ncolor('black');p"
7388"ort_label('output',1,'q');\ncolor('black');disp('\\bf{z^{-1}}','texmode','on'"
7389");\nfprintf('','COMMENT: end icon text');\n"
7390    }
7391    Block {
7392      BlockType       Reference
7393      Name            "Register10"
7394      Ports           [1, 1]
7395      Position        [835, 422, 870, 458]
7396      NamePlacement       "alternate"
7397      ShowName        off
7398      SourceBlock         "xbsIndex_r4/Register"
7399      SourceType          "Xilinx Register Block"
7400      init            "0"
7401      rst             off
7402      en              off
7403      dbl_ovrd        off
7404      xl_use_area         off
7405      xl_area         "[0,0,0,0,0,0,0]"
7406      has_advanced_control    "0"
7407      sggui_pos       "-1,-1,-1,-1"
7408      block_type          "register"
7409      block_version       "10.1.2"
7410      sg_icon_stat        "35,36,1,1,white,blue,0,ac6b57db,right"
7411      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');"
7412"\npatch([0 60 60 0 ],[0 0 56 56 ],[0.77 0.82 0.91]);\npatch([15 6 19 6 15 30 "
7413"34 38 54 41 29 20 32 20 29 41 54 38 34 30 15 ],[6 15 28 41 50 50 46 50 50 37 "
7414"49 40 28 16 7 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 56"
7415" 56 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: be"
7416"gin icon text');\ncolor('black');port_label('input',1,'d');\ncolor('black');p"
7417"ort_label('output',1,'q');\ncolor('black');disp('\\bf{z^{-1}}','texmode','on'"
7418");\nfprintf('','COMMENT: end icon text');\n"
7419    }
7420    Block {
7421      BlockType       Reference
7422      Name            "Register11"
7423      Ports           [1, 1]
7424      Position        [835, 472, 870, 508]
7425      NamePlacement       "alternate"
7426      ShowName        off
7427      SourceBlock         "xbsIndex_r4/Register"
7428      SourceType          "Xilinx Register Block"
7429      init            "0"
7430      rst             off
7431      en              off
7432      dbl_ovrd        off
7433      xl_use_area         off
7434      xl_area         "[0,0,0,0,0,0,0]"
7435      has_advanced_control    "0"
7436      sggui_pos       "-1,-1,-1,-1"
7437      block_type          "register"
7438      block_version       "10.1.2"
7439      sg_icon_stat        "35,36,1,1,white,blue,0,ac6b57db,right"
7440      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');"
7441"\npatch([0 60 60 0 ],[0 0 56 56 ],[0.77 0.82 0.91]);\npatch([15 6 19 6 15 30 "
7442"34 38 54 41 29 20 32 20 29 41 54 38 34 30 15 ],[6 15 28 41 50 50 46 50 50 37 "
7443"49 40 28 16 7 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 56"
7444" 56 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: be"
7445"gin icon text');\ncolor('black');port_label('input',1,'d');\ncolor('black');p"
7446"ort_label('output',1,'q');\ncolor('black');disp('\\bf{z^{-1}}','texmode','on'"
7447");\nfprintf('','COMMENT: end icon text');\n"
7448    }
7449    Block {
7450      BlockType       Reference
7451      Name            "Register12"
7452      Ports           [1, 1]
7453      Position        [835, 527, 870, 563]
7454      NamePlacement       "alternate"
7455      ShowName        off
7456      SourceBlock         "xbsIndex_r4/Register"
7457      SourceType          "Xilinx Register Block"
7458      init            "0"
7459      rst             off
7460      en              off
7461      dbl_ovrd        off
7462      xl_use_area         off
7463      xl_area         "[0,0,0,0,0,0,0]"
7464      has_advanced_control    "0"
7465      sggui_pos       "-1,-1,-1,-1"
7466      block_type          "register"
7467      block_version       "10.1.2"
7468      sg_icon_stat        "35,36,1,1,white,blue,0,ac6b57db,right"
7469      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');"
7470"\npatch([0 60 60 0 ],[0 0 56 56 ],[0.77 0.82 0.91]);\npatch([15 6 19 6 15 30 "
7471"34 38 54 41 29 20 32 20 29 41 54 38 34 30 15 ],[6 15 28 41 50 50 46 50 50 37 "
7472"49 40 28 16 7 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 56"
7473" 56 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: be"
7474"gin icon text');\ncolor('black');port_label('input',1,'d');\ncolor('black');p"
7475"ort_label('output',1,'q');\ncolor('black');disp('\\bf{z^{-1}}','texmode','on'"
7476");\nfprintf('','COMMENT: end icon text');\n"
7477    }
7478    Block {
7479      BlockType       Reference
7480      Name            "Register13"
7481      Ports           [1, 1]
7482      Position        [920, 227, 955, 263]
7483      NamePlacement       "alternate"
7484      ShowName        off
7485      SourceBlock         "xbsIndex_r4/Register"
7486      SourceType          "Xilinx Register Block"
7487      init            "0"
7488      rst             off
7489      en              off
7490      dbl_ovrd        off
7491      xl_use_area         off
7492      xl_area         "[0,0,0,0,0,0,0]"
7493      has_advanced_control    "0"
7494      sggui_pos       "-1,-1,-1,-1"
7495      block_type          "register"
7496      block_version       "10.1.2"
7497      sg_icon_stat        "35,36,1,1,white,blue,0,ac6b57db,right"
7498      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');"
7499"\npatch([0 60 60 0 ],[0 0 56 56 ],[0.77 0.82 0.91]);\npatch([15 6 19 6 15 30 "
7500"34 38 54 41 29 20 32 20 29 41 54 38 34 30 15 ],[6 15 28 41 50 50 46 50 50 37 "
7501"49 40 28 16 7 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 56"
7502" 56 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: be"
7503"gin icon text');\ncolor('black');port_label('input',1,'d');\ncolor('black');p"
7504"ort_label('output',1,'q');\ncolor('black');disp('\\bf{z^{-1}}','texmode','on'"
7505");\nfprintf('','COMMENT: end icon text');\n"
7506    }
7507    Block {
7508      BlockType       Reference
7509      Name            "Register14"
7510      Ports           [1, 1]
7511      Position        [995, 227, 1030, 263]
7512      NamePlacement       "alternate"
7513      ShowName        off
7514      SourceBlock         "xbsIndex_r4/Register"
7515      SourceType          "Xilinx Register Block"
7516      init            "0"
7517      rst             off
7518      en              off
7519      dbl_ovrd        off
7520      xl_use_area         off
7521      xl_area         "[0,0,0,0,0,0,0]"
7522      has_advanced_control    "0"
7523      sggui_pos       "-1,-1,-1,-1"
7524      block_type          "register"
7525      block_version       "10.1.2"
7526      sg_icon_stat        "35,36,1,1,white,blue,0,ac6b57db,right"
7527      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');"
7528"\npatch([0 60 60 0 ],[0 0 56 56 ],[0.77 0.82 0.91]);\npatch([15 6 19 6 15 30 "
7529"34 38 54 41 29 20 32 20 29 41 54 38 34 30 15 ],[6 15 28 41 50 50 46 50 50 37 "
7530"49 40 28 16 7 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 56"
7531" 56 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: be"
7532"gin icon text');\ncolor('black');port_label('input',1,'d');\ncolor('black');p"
7533"ort_label('output',1,'q');\ncolor('black');disp('\\bf{z^{-1}}','texmode','on'"
7534");\nfprintf('','COMMENT: end icon text');\n"
7535    }
7536    Block {
7537      BlockType       Reference
7538      Name            "Register15"
7539      Ports           [1, 1]
7540      Position        [920, 277, 955, 313]
7541      NamePlacement       "alternate"
7542      ShowName        off
7543      SourceBlock         "xbsIndex_r4/Register"
7544      SourceType          "Xilinx Register Block"
7545      init            "0"
7546      rst             off
7547      en              off
7548      dbl_ovrd        off
7549      xl_use_area         off
7550      xl_area         "[0,0,0,0,0,0,0]"
7551      has_advanced_control    "0"
7552      sggui_pos       "-1,-1,-1,-1"
7553      block_type          "register"
7554      block_version       "10.1.2"
7555      sg_icon_stat        "35,36,1,1,white,blue,0,ac6b57db,right"
7556      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');"
7557"\npatch([0 60 60 0 ],[0 0 56 56 ],[0.77 0.82 0.91]);\npatch([15 6 19 6 15 30 "
7558"34 38 54 41 29 20 32 20 29 41 54 38 34 30 15 ],[6 15 28 41 50 50 46 50 50 37 "
7559"49 40 28 16 7 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 56"
7560" 56 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: be"
7561"gin icon text');\ncolor('black');port_label('input',1,'d');\ncolor('black');p"
7562"ort_label('output',1,'q');\ncolor('black');disp('\\bf{z^{-1}}','texmode','on'"
7563");\nfprintf('','COMMENT: end icon text');\n"
7564    }
7565    Block {
7566      BlockType       Reference
7567      Name            "Register16"
7568      Ports           [1, 1]
7569      Position        [995, 277, 1030, 313]
7570      NamePlacement       "alternate"
7571      ShowName        off
7572      SourceBlock         "xbsIndex_r4/Register"
7573      SourceType          "Xilinx Register Block"
7574      init            "0"
7575      rst             off
7576      en              off
7577      dbl_ovrd        off
7578      xl_use_area         off
7579      xl_area         "[0,0,0,0,0,0,0]"
7580      has_advanced_control    "0"
7581      sggui_pos       "-1,-1,-1,-1"
7582      block_type          "register"
7583      block_version       "10.1.2"
7584      sg_icon_stat        "35,36,1,1,white,blue,0,ac6b57db,right"
7585      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');"
7586"\npatch([0 60 60 0 ],[0 0 56 56 ],[0.77 0.82 0.91]);\npatch([15 6 19 6 15 30 "
7587"34 38 54 41 29 20 32 20 29 41 54 38 34 30 15 ],[6 15 28 41 50 50 46 50 50 37 "
7588"49 40 28 16 7 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 56"
7589" 56 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: be"
7590"gin icon text');\ncolor('black');port_label('input',1,'d');\ncolor('black');p"
7591"ort_label('output',1,'q');\ncolor('black');disp('\\bf{z^{-1}}','texmode','on'"
7592");\nfprintf('','COMMENT: end icon text');\n"
7593    }
7594    Block {
7595      BlockType       Reference
7596      Name            "Register17"
7597      Ports           [1, 1]
7598      Position        [920, 322, 955, 358]
7599      NamePlacement       "alternate"
7600      ShowName        off
7601      SourceBlock         "xbsIndex_r4/Register"
7602      SourceType          "Xilinx Register Block"
7603      init            "0"
7604      rst             off
7605      en              off
7606      dbl_ovrd        off
7607      xl_use_area         off
7608      xl_area         "[0,0,0,0,0,0,0]"
7609      has_advanced_control    "0"
7610      sggui_pos       "-1,-1,-1,-1"
7611      block_type          "register"
7612      block_version       "10.1.2"
7613      sg_icon_stat        "35,36,1,1,white,blue,0,ac6b57db,right"
7614      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');"
7615"\npatch([0 60 60 0 ],[0 0 56 56 ],[0.77 0.82 0.91]);\npatch([15 6 19 6 15 30 "
7616"34 38 54 41 29 20 32 20 29 41 54 38 34 30 15 ],[6 15 28 41 50 50 46 50 50 37 "
7617"49 40 28 16 7 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 56"
7618" 56 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: be"
7619"gin icon text');\ncolor('black');port_label('input',1,'d');\ncolor('black');p"
7620"ort_label('output',1,'q');\ncolor('black');disp('\\bf{z^{-1}}','texmode','on'"
7621");\nfprintf('','COMMENT: end icon text');\n"
7622    }
7623    Block {
7624      BlockType       Reference
7625      Name            "Register18"
7626      Ports           [1, 1]
7627      Position        [995, 322, 1030, 358]
7628      NamePlacement       "alternate"
7629      ShowName        off
7630      SourceBlock         "xbsIndex_r4/Register"
7631      SourceType          "Xilinx Register Block"
7632      init            "0"
7633      rst             off
7634      en              off
7635      dbl_ovrd        off
7636      xl_use_area         off
7637      xl_area         "[0,0,0,0,0,0,0]"
7638      has_advanced_control    "0"
7639      sggui_pos       "-1,-1,-1,-1"
7640      block_type          "register"
7641      block_version       "10.1.2"
7642      sg_icon_stat        "35,36,1,1,white,blue,0,ac6b57db,right"
7643      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');"
7644"\npatch([0 60 60 0 ],[0 0 56 56 ],[0.77 0.82 0.91]);\npatch([15 6 19 6 15 30 "
7645"34 38 54 41 29 20 32 20 29 41 54 38 34 30 15 ],[6 15 28 41 50 50 46 50 50 37 "
7646"49 40 28 16 7 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 56"
7647" 56 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: be"
7648"gin icon text');\ncolor('black');port_label('input',1,'d');\ncolor('black');p"
7649"ort_label('output',1,'q');\ncolor('black');disp('\\bf{z^{-1}}','texmode','on'"
7650");\nfprintf('','COMMENT: end icon text');\n"
7651    }
7652    Block {
7653      BlockType       Reference
7654      Name            "Register19"
7655      Ports           [1, 1]
7656      Position        [920, 127, 955, 163]
7657      NamePlacement       "alternate"
7658      ShowName        off
7659      SourceBlock         "xbsIndex_r4/Register"
7660      SourceType          "Xilinx Register Block"
7661      init            "0"
7662      rst             off
7663      en              off
7664      dbl_ovrd        off
7665      xl_use_area         off
7666      xl_area         "[0,0,0,0,0,0,0]"
7667      has_advanced_control    "0"
7668      sggui_pos       "-1,-1,-1,-1"
7669      block_type          "register"
7670      block_version       "10.1.2"
7671      sg_icon_stat        "35,36,1,1,white,blue,0,ac6b57db,right"
7672      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');"
7673"\npatch([0 60 60 0 ],[0 0 56 56 ],[0.77 0.82 0.91]);\npatch([15 6 19 6 15 30 "
7674"34 38 54 41 29 20 32 20 29 41 54 38 34 30 15 ],[6 15 28 41 50 50 46 50 50 37 "
7675"49 40 28 16 7 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 56"
7676" 56 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: be"
7677"gin icon text');\ncolor('black');port_label('input',1,'d');\ncolor('black');p"
7678"ort_label('output',1,'q');\ncolor('black');disp('\\bf{z^{-1}}','texmode','on'"
7679");\nfprintf('','COMMENT: end icon text');\n"
7680    }
7681    Block {
7682      BlockType       Reference
7683      Name            "Register2"
7684      Ports           [1, 1]
7685      Position        [205, 122, 240, 158]
7686      NamePlacement       "alternate"
7687      ShowName        off
7688      SourceBlock         "xbsIndex_r4/Register"
7689      SourceType          "Xilinx Register Block"
7690      init            "0"
7691      rst             off
7692      en              off
7693      dbl_ovrd        off
7694      xl_use_area         off
7695      xl_area         "[0,0,0,0,0,0,0]"
7696      has_advanced_control    "0"
7697      sggui_pos       "-1,-1,-1,-1"
7698      block_type          "register"
7699      block_version       "10.1.2"
7700      sg_icon_stat        "35,36,1,1,white,blue,0,ac6b57db,right"
7701      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');"
7702"\npatch([0 60 60 0 ],[0 0 56 56 ],[0.77 0.82 0.91]);\npatch([15 6 19 6 15 30 "
7703"34 38 54 41 29 20 32 20 29 41 54 38 34 30 15 ],[6 15 28 41 50 50 46 50 50 37 "
7704"49 40 28 16 7 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 56"
7705" 56 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: be"
7706"gin icon text');\ncolor('black');port_label('input',1,'d');\ncolor('black');p"
7707"ort_label('output',1,'q');\ncolor('black');disp('\\bf{z^{-1}}','texmode','on'"
7708");\nfprintf('','COMMENT: end icon text');\n"
7709    }
7710    Block {
7711      BlockType       Reference
7712      Name            "Register20"
7713      Ports           [1, 1]
7714      Position        [995, 127, 1030, 163]
7715      NamePlacement       "alternate"
7716      ShowName        off
7717      SourceBlock         "xbsIndex_r4/Register"
7718      SourceType          "Xilinx Register Block"
7719      init            "0"
7720      rst             off
7721      en              off
7722      dbl_ovrd        off
7723      xl_use_area         off
7724      xl_area         "[0,0,0,0,0,0,0]"
7725      has_advanced_control    "0"
7726      sggui_pos       "-1,-1,-1,-1"
7727      block_type          "register"
7728      block_version       "10.1.2"
7729      sg_icon_stat        "35,36,1,1,white,blue,0,ac6b57db,right"
7730      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');"
7731"\npatch([0 60 60 0 ],[0 0 56 56 ],[0.77 0.82 0.91]);\npatch([15 6 19 6 15 30 "
7732"34 38 54 41 29 20 32 20 29 41 54 38 34 30 15 ],[6 15 28 41 50 50 46 50 50 37 "
7733"49 40 28 16 7 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 56"
7734" 56 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: be"
7735"gin icon text');\ncolor('black');port_label('input',1,'d');\ncolor('black');p"
7736"ort_label('output',1,'q');\ncolor('black');disp('\\bf{z^{-1}}','texmode','on'"
7737");\nfprintf('','COMMENT: end icon text');\n"
7738    }
7739    Block {
7740      BlockType       Reference
7741      Name            "Register3"
7742      Ports           [1, 1]
7743      Position        [280, 122, 315, 158]
7744      NamePlacement       "alternate"
7745      ShowName        off
7746      SourceBlock         "xbsIndex_r4/Register"
7747      SourceType          "Xilinx Register Block"
7748      init            "0"
7749      rst             off
7750      en              off
7751      dbl_ovrd        off
7752      xl_use_area         off
7753      xl_area         "[0,0,0,0,0,0,0]"
7754      has_advanced_control    "0"
7755      sggui_pos       "-1,-1,-1,-1"
7756      block_type          "register"
7757      block_version       "10.1.2"
7758      sg_icon_stat        "35,36,1,1,white,blue,0,ac6b57db,right"
7759      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');"
7760"\npatch([0 60 60 0 ],[0 0 56 56 ],[0.77 0.82 0.91]);\npatch([15 6 19 6 15 30 "
7761"34 38 54 41 29 20 32 20 29 41 54 38 34 30 15 ],[6 15 28 41 50 50 46 50 50 37 "
7762"49 40 28 16 7 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 56"
7763" 56 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: be"
7764"gin icon text');\ncolor('black');port_label('input',1,'d');\ncolor('black');p"
7765"ort_label('output',1,'q');\ncolor('black');disp('\\bf{z^{-1}}','texmode','on'"
7766");\nfprintf('','COMMENT: end icon text');\n"
7767    }
7768    Block {
7769      BlockType       Reference
7770      Name            "Register4"
7771      Ports           [1, 1]
7772      Position        [205, 247, 240, 283]
7773      NamePlacement       "alternate"
7774      ShowName        off
7775      SourceBlock         "xbsIndex_r4/Register"
7776      SourceType          "Xilinx Register Block"
7777      init            "0"
7778      rst             off
7779      en              off
7780      dbl_ovrd        off
7781      xl_use_area         off
7782      xl_area         "[0,0,0,0,0,0,0]"
7783      has_advanced_control    "0"
7784      sggui_pos       "-1,-1,-1,-1"
7785      block_type          "register"
7786      block_version       "10.1.2"
7787      sg_icon_stat        "35,36,1,1,white,blue,0,ac6b57db,right"
7788      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');"
7789"\npatch([0 60 60 0 ],[0 0 56 56 ],[0.77 0.82 0.91]);\npatch([15 6 19 6 15 30 "
7790"34 38 54 41 29 20 32 20 29 41 54 38 34 30 15 ],[6 15 28 41 50 50 46 50 50 37 "
7791"49 40 28 16 7 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 56"
7792" 56 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: be"
7793"gin icon text');\ncolor('black');port_label('input',1,'d');\ncolor('black');p"
7794"ort_label('output',1,'q');\ncolor('black');disp('\\bf{z^{-1}}','texmode','on'"
7795");\nfprintf('','COMMENT: end icon text');\n"
7796    }
7797    Block {
7798      BlockType       Reference
7799      Name            "Register5"
7800      Ports           [1, 1]
7801      Position        [280, 247, 315, 283]
7802      NamePlacement       "alternate"
7803      ShowName        off
7804      SourceBlock         "xbsIndex_r4/Register"
7805      SourceType          "Xilinx Register Block"
7806      init            "0"
7807      rst             off
7808      en              off
7809      dbl_ovrd        off
7810      xl_use_area         off
7811      xl_area         "[0,0,0,0,0,0,0]"
7812      has_advanced_control    "0"
7813      sggui_pos       "-1,-1,-1,-1"
7814      block_type          "register"
7815      block_version       "10.1.2"
7816      sg_icon_stat        "35,36,1,1,white,blue,0,ac6b57db,right"
7817      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');"
7818"\npatch([0 60 60 0 ],[0 0 56 56 ],[0.77 0.82 0.91]);\npatch([15 6 19 6 15 30 "
7819"34 38 54 41 29 20 32 20 29 41 54 38 34 30 15 ],[6 15 28 41 50 50 46 50 50 37 "
7820"49 40 28 16 7 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 56"
7821" 56 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: be"
7822"gin icon text');\ncolor('black');port_label('input',1,'d');\ncolor('black');p"
7823"ort_label('output',1,'q');\ncolor('black');disp('\\bf{z^{-1}}','texmode','on'"
7824");\nfprintf('','COMMENT: end icon text');\n"
7825    }
7826    Block {
7827      BlockType       Reference
7828      Name            "Register6"
7829      Ports           [1, 1]
7830      Position        [205, 357, 240, 393]
7831      NamePlacement       "alternate"
7832      ShowName        off
7833      SourceBlock         "xbsIndex_r4/Register"
7834      SourceType          "Xilinx Register Block"
7835      init            "0"
7836      rst             off
7837      en              off
7838      dbl_ovrd        off
7839      xl_use_area         off
7840      xl_area         "[0,0,0,0,0,0,0]"
7841      has_advanced_control    "0"
7842      sggui_pos       "-1,-1,-1,-1"
7843      block_type          "register"
7844      block_version       "10.1.2"
7845      sg_icon_stat        "35,36,1,1,white,blue,0,ac6b57db,right"
7846      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');"
7847"\npatch([0 60 60 0 ],[0 0 56 56 ],[0.77 0.82 0.91]);\npatch([15 6 19 6 15 30 "
7848"34 38 54 41 29 20 32 20 29 41 54 38 34 30 15 ],[6 15 28 41 50 50 46 50 50 37 "
7849"49 40 28 16 7 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 56"
7850" 56 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: be"
7851"gin icon text');\ncolor('black');port_label('input',1,'d');\ncolor('black');p"
7852"ort_label('output',1,'q');\ncolor('black');disp('\\bf{z^{-1}}','texmode','on'"
7853");\nfprintf('','COMMENT: end icon text');\n"
7854    }
7855    Block {
7856      BlockType       Reference
7857      Name            "Register7"
7858      Ports           [1, 1]
7859      Position        [280, 357, 315, 393]
7860      NamePlacement       "alternate"
7861      ShowName        off
7862      SourceBlock         "xbsIndex_r4/Register"
7863      SourceType          "Xilinx Register Block"
7864      init            "0"
7865      rst             off
7866      en              off
7867      dbl_ovrd        off
7868      xl_use_area         off
7869      xl_area         "[0,0,0,0,0,0,0]"
7870      has_advanced_control    "0"
7871      sggui_pos       "-1,-1,-1,-1"
7872      block_type          "register"
7873      block_version       "10.1.2"
7874      sg_icon_stat        "35,36,1,1,white,blue,0,ac6b57db,right"
7875      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');"
7876"\npatch([0 60 60 0 ],[0 0 56 56 ],[0.77 0.82 0.91]);\npatch([15 6 19 6 15 30 "
7877"34 38 54 41 29 20 32 20 29 41 54 38 34 30 15 ],[6 15 28 41 50 50 46 50 50 37 "
7878"49 40 28 16 7 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 56"
7879" 56 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: be"
7880"gin icon text');\ncolor('black');port_label('input',1,'d');\ncolor('black');p"
7881"ort_label('output',1,'q');\ncolor('black');disp('\\bf{z^{-1}}','texmode','on'"
7882");\nfprintf('','COMMENT: end icon text');\n"
7883    }
7884    Block {
7885      BlockType       Reference
7886      Name            "Register8"
7887      Ports           [1, 1]
7888      Position        [195, 487, 230, 523]
7889      NamePlacement       "alternate"
7890      ShowName        off
7891      SourceBlock         "xbsIndex_r4/Register"
7892      SourceType          "Xilinx Register Block"
7893      init            "0"
7894      rst             off
7895      en              off
7896      dbl_ovrd        off
7897      xl_use_area         off
7898      xl_area         "[0,0,0,0,0,0,0]"
7899      has_advanced_control    "0"
7900      sggui_pos       "-1,-1,-1,-1"
7901      block_type          "register"
7902      block_version       "10.1.2"
7903      sg_icon_stat        "35,36,1,1,white,blue,0,ac6b57db,right"
7904      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');"
7905"\npatch([0 60 60 0 ],[0 0 56 56 ],[0.77 0.82 0.91]);\npatch([15 6 19 6 15 30 "
7906"34 38 54 41 29 20 32 20 29 41 54 38 34 30 15 ],[6 15 28 41 50 50 46 50 50 37 "
7907"49 40 28 16 7 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 56"
7908" 56 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: be"
7909"gin icon text');\ncolor('black');port_label('input',1,'d');\ncolor('black');p"
7910"ort_label('output',1,'q');\ncolor('black');disp('\\bf{z^{-1}}','texmode','on'"
7911");\nfprintf('','COMMENT: end icon text');\n"
7912    }
7913    Block {
7914      BlockType       Reference
7915      Name            "Register9"
7916      Ports           [1, 1]
7917      Position        [270, 487, 305, 523]
7918      NamePlacement       "alternate"
7919      ShowName        off
7920      SourceBlock         "xbsIndex_r4/Register"
7921      SourceType          "Xilinx Register Block"
7922      init            "0"
7923      rst             off
7924      en              off
7925      dbl_ovrd        off
7926      xl_use_area         off
7927      xl_area         "[0,0,0,0,0,0,0]"
7928      has_advanced_control    "0"
7929      sggui_pos       "-1,-1,-1,-1"
7930      block_type          "register"
7931      block_version       "10.1.2"
7932      sg_icon_stat        "35,36,1,1,white,blue,0,ac6b57db,right"
7933      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');"
7934"\npatch([0 60 60 0 ],[0 0 56 56 ],[0.77 0.82 0.91]);\npatch([15 6 19 6 15 30 "
7935"34 38 54 41 29 20 32 20 29 41 54 38 34 30 15 ],[6 15 28 41 50 50 46 50 50 37 "
7936"49 40 28 16 7 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 56"
7937" 56 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: be"
7938"gin icon text');\ncolor('black');port_label('input',1,'d');\ncolor('black');p"
7939"ort_label('output',1,'q');\ncolor('black');disp('\\bf{z^{-1}}','texmode','on'"
7940");\nfprintf('','COMMENT: end icon text');\n"
7941    }
7942    Block {
7943      BlockType       Terminator
7944      Name            "Terminator"
7945      Position        [1380, 170, 1400, 190]
7946      ShowName        off
7947    }
7948    Block {
7949      BlockType       Terminator
7950      Name            "Terminator1"
7951      Position        [510, 145, 530, 165]
7952      ShowName        off
7953    }
7954    Block {
7955      BlockType       Terminator
7956      Name            "Terminator2"
7957      Position        [505, 270, 525, 290]
7958      ShowName        off
7959    }
7960    Block {
7961      BlockType       Terminator
7962      Name            "Terminator3"
7963      Position        [505, 380, 525, 400]
7964      ShowName        off
7965    }
7966    Block {
7967      BlockType       Terminator
7968      Name            "Terminator4"
7969      Position        [505, 495, 525, 515]
7970      ShowName        off
7971    }
7972    Block {
7973      BlockType       Terminator
7974      Name            "Terminator6"
7975      Position        [1005, 430, 1025, 450]
7976      ShowName        off
7977    }
7978    Block {
7979      BlockType       Terminator
7980      Name            "Terminator7"
7981      Position        [1005, 480, 1025, 500]
7982      ShowName        off
7983    }
7984    Block {
7985      BlockType       Terminator
7986      Name            "Terminator8"
7987      Position        [1005, 535, 1025, 555]
7988      ShowName        off
7989    }
7990    Block {
7991      BlockType       Reference
7992      Name            "To Register"
7993      Ports           [2, 1]
7994      Position        [1250, 152, 1310, 208]
7995      AttributesFormatString  "<< %<shared_memory_name> >>"
7996      SourceBlock         "xbsIndex_r4/To Register"
7997      SourceType          "Xilinx Shared Memory Based To Register Bloc"
7998"k"
7999      infoedit        "Register block that writes data to a shared"
8000" memory register.  Delay of one sample period."
8001      shared_memory_name      "'Trackball'"
8002      init            "0"
8003      ownership       "Locally owned and initialized"
8004      explicit_data_type      on
8005      arith_type          "Unsigned"
8006      n_bits          "5"
8007      bin_pt          "0"
8008      dbl_ovrd        off
8009      xl_use_area         off
8010      xl_area         "[0,0,0,0,0,0,0]"
8011      has_advanced_control    "0"
8012      sggui_pos       "20,20,381,266"
8013      block_type          "toreg"
8014      block_version       "10.1.2"
8015      sg_icon_stat        "60,56,1,1,white,blue,0,77cabcdf,right"
8016      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');"
8017"\npatch([0 60 60 0 ],[0 0 56 56 ],[0.77 0.82 0.91]);\npatch([15 6 19 6 15 30 "
8018"34 38 54 41 29 20 32 20 29 41 54 38 34 30 15 ],[6 15 28 41 50 50 46 50 50 37 "
8019"49 40 28 16 7 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 56"
8020" 56 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: be"
8021"gin icon text');\ncolor('black');port_label('input',1,'din');\ncolor('black')"
8022";port_label('input',2,'en');\ncolor('black');port_label('output',1,'dout');\n"
8023"fprintf('','COMMENT: end icon text');\n"
8024    }
8025    Block {
8026      BlockType       Reference
8027      Name            "To Register1"
8028      Ports           [2, 1]
8029      Position        [380, 127, 440, 183]
8030      AttributesFormatString  "<< %<shared_memory_name> >>"
8031      SourceBlock         "xbsIndex_r4/To Register"
8032      SourceType          "Xilinx Shared Memory Based To Register Bloc"
8033"k"
8034      infoedit        "Register block that writes data to a shared"
8035" memory register.  Delay of one sample period."
8036      shared_memory_name      "'Buttons_Big'"
8037      init            "0"
8038      ownership       "Locally owned and initialized"
8039      explicit_data_type      on
8040      arith_type          "Unsigned"
8041      n_bits          "2"
8042      bin_pt          "0"
8043      dbl_ovrd        off
8044      xl_use_area         off
8045      xl_area         "[0,0,0,0,0,0,0]"
8046      has_advanced_control    "0"
8047      sggui_pos       "20,20,381,266"
8048      block_type          "toreg"
8049      block_version       "10.1.2"
8050      sg_icon_stat        "60,56,1,1,white,blue,0,77cabcdf,right"
8051      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');"
8052"\npatch([0 60 60 0 ],[0 0 56 56 ],[0.77 0.82 0.91]);\npatch([15 6 19 6 15 30 "
8053"34 38 54 41 29 20 32 20 29 41 54 38 34 30 15 ],[6 15 28 41 50 50 46 50 50 37 "
8054"49 40 28 16 7 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 56"
8055" 56 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: be"
8056"gin icon text');\ncolor('black');port_label('input',1,'din');\ncolor('black')"
8057";port_label('input',2,'en');\ncolor('black');port_label('output',1,'dout');\n"
8058"fprintf('','COMMENT: end icon text');\n"
8059    }
8060    Block {
8061      BlockType       Reference
8062      Name            "To Register2"
8063      Ports           [2, 1]
8064      Position        [380, 252, 440, 308]
8065      AttributesFormatString  "<< %<shared_memory_name> >>"
8066      SourceBlock         "xbsIndex_r4/To Register"
8067      SourceType          "Xilinx Shared Memory Based To Register Bloc"
8068"k"
8069      infoedit        "Register block that writes data to a shared"
8070" memory register.  Delay of one sample period."
8071      shared_memory_name      "'Buttons_Small'"
8072      init            "0"
8073      ownership       "Locally owned and initialized"
8074      explicit_data_type      on
8075      arith_type          "Unsigned"
8076      n_bits          "6"
8077      bin_pt          "0"
8078      dbl_ovrd        off
8079      xl_use_area         off
8080      xl_area         "[0,0,0,0,0,0,0]"
8081      has_advanced_control    "0"
8082      sggui_pos       "20,20,381,266"
8083      block_type          "toreg"
8084      block_version       "10.1.2"
8085      sg_icon_stat        "60,56,1,1,white,blue,0,77cabcdf,right"
8086      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');"
8087"\npatch([0 60 60 0 ],[0 0 56 56 ],[0.77 0.82 0.91]);\npatch([15 6 19 6 15 30 "
8088"34 38 54 41 29 20 32 20 29 41 54 38 34 30 15 ],[6 15 28 41 50 50 46 50 50 37 "
8089"49 40 28 16 7 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 56"
8090" 56 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: be"
8091"gin icon text');\ncolor('black');port_label('input',1,'din');\ncolor('black')"
8092";port_label('input',2,'en');\ncolor('black');port_label('output',1,'dout');\n"
8093"fprintf('','COMMENT: end icon text');\n"
8094    }
8095    Block {
8096      BlockType       Reference
8097      Name            "To Register3"
8098      Ports           [2, 1]
8099      Position        [380, 362, 440, 418]
8100      AttributesFormatString  "<< %<shared_memory_name> >>"
8101      SourceBlock         "xbsIndex_r4/To Register"
8102      SourceType          "Xilinx Shared Memory Based To Register Bloc"
8103"k"
8104      infoedit        "Register block that writes data to a shared"
8105" memory register.  Delay of one sample period."
8106      shared_memory_name      "'DIP_Switch'"
8107      init            "0"
8108      ownership       "Locally owned and initialized"
8109      explicit_data_type      on
8110      arith_type          "Unsigned"
8111      n_bits          "4"
8112      bin_pt          "0"
8113      dbl_ovrd        off
8114      xl_use_area         off
8115      xl_area         "[0,0,0,0,0,0,0]"
8116      has_advanced_control    "0"
8117      sggui_pos       "20,20,381,266"
8118      block_type          "toreg"
8119      block_version       "10.1.2"
8120      sg_icon_stat        "60,56,1,1,white,blue,0,77cabcdf,right"
8121      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');"
8122"\npatch([0 60 60 0 ],[0 0 56 56 ],[0.77 0.82 0.91]);\npatch([15 6 19 6 15 30 "
8123"34 38 54 41 29 20 32 20 29 41 54 38 34 30 15 ],[6 15 28 41 50 50 46 50 50 37 "
8124"49 40 28 16 7 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 56"
8125" 56 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: be"
8126"gin icon text');\ncolor('black');port_label('input',1,'din');\ncolor('black')"
8127";port_label('input',2,'en');\ncolor('black');port_label('output',1,'dout');\n"
8128"fprintf('','COMMENT: end icon text');\n"
8129    }
8130    Block {
8131      BlockType       Reference
8132      Name            "Trackball_OX"
8133      Ports           [1, 1]
8134      Position        [750, 185, 815, 205]
8135      SourceBlock         "xbsIndex_r4/Gateway In"
8136      SourceType          "Xilinx Gateway In Block"
8137      infoedit        "Gateway in block.  Converts inputs of type "
8138"Simulink integer, double and fixed point to  Xilinx fixed point type.<P><P>Ha"
8139"rdware notes:  In hardware these blocks become top level input ports."
8140      arith_type          "Unsigned"
8141      n_bits          "1"
8142      bin_pt          "0"
8143      quantization        "Round  (unbiased: +/- Inf)"
8144      overflow        "Wrap"
8145      period          "1"
8146      dbl_ovrd        off
8147      timing_constraint   "None"
8148      locs_specified      off
8149      LOCs            "{}"
8150      xl_use_area         off
8151      xl_area         "[0,0,0,0,0,0,0]"
8152      has_advanced_control    "0"
8153      sggui_pos       "20,20,348,406"
8154      block_type          "gatewayin"
8155      block_version       "10.1.2"
8156      sg_icon_stat        "65,20,1,1,white,yellow,0,bc55d28f,right"
8157      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');"
8158"\npatch([0 65 65 0 ],[0 0 20 20 ],[0.95 0.93 0.65]);\npatch([27 24 29 24 27 3"
8159"2 33 34 40 36 32 29 34 29 32 36 40 34 33 32 27 ],[2 5 10 15 18 18 17 18 18 14"
8160" 18 15 10 5 2 6 2 2 3 2 2 ],[0.98 0.96 0.92]);\nplot([0 65 65 0 0 ],[0 0 20 2"
8161"0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begi"
8162"n icon text');\ncolor('black');port_label('input',1,'\\fontsize{11pt}\\bf In "
8163"','texmode','on');\ncolor('black');port_label('output',1,' ');\nfprintf('','C"
8164"OMMENT: end icon text');\n"
8165    }
8166    Block {
8167      BlockType       Reference
8168      Name            "Trackball_OXn"
8169      Ports           [1, 1]
8170      Position        [750, 235, 815, 255]
8171      SourceBlock         "xbsIndex_r4/Gateway In"
8172      SourceType          "Xilinx Gateway In Block"
8173      infoedit        "Gateway in block.  Converts inputs of type "
8174"Simulink integer, double and fixed point to  Xilinx fixed point type.<P><P>Ha"
8175"rdware notes:  In hardware these blocks become top level input ports."
8176      arith_type          "Unsigned"
8177      n_bits          "1"
8178      bin_pt          "0"
8179      quantization        "Round  (unbiased: +/- Inf)"
8180      overflow        "Wrap"
8181      period          "1"
8182      dbl_ovrd        off
8183      timing_constraint   "None"
8184      locs_specified      off
8185      LOCs            "{}"
8186      xl_use_area         off
8187      xl_area         "[0,0,0,0,0,0,0]"
8188      has_advanced_control    "0"
8189      sggui_pos       "20,20,348,406"
8190      block_type          "gatewayin"
8191      block_version       "10.1.2"
8192      sg_icon_stat        "65,20,1,1,white,yellow,0,bc55d28f,right"
8193      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');"
8194"\npatch([0 65 65 0 ],[0 0 20 20 ],[0.95 0.93 0.65]);\npatch([27 24 29 24 27 3"
8195"2 33 34 40 36 32 29 34 29 32 36 40 34 33 32 27 ],[2 5 10 15 18 18 17 18 18 14"
8196" 18 15 10 5 2 6 2 2 3 2 2 ],[0.98 0.96 0.92]);\nplot([0 65 65 0 0 ],[0 0 20 2"
8197"0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begi"
8198"n icon text');\ncolor('black');port_label('input',1,'\\fontsize{11pt}\\bf In "
8199"','texmode','on');\ncolor('black');port_label('output',1,' ');\nfprintf('','C"
8200"OMMENT: end icon text');\n"
8201    }
8202    Block {
8203      BlockType       Reference
8204      Name            "Trackball_OY"
8205      Ports           [1, 1]
8206      Position        [750, 285, 815, 305]
8207      SourceBlock         "xbsIndex_r4/Gateway In"
8208      SourceType          "Xilinx Gateway In Block"
8209      infoedit        "Gateway in block.  Converts inputs of type "
8210"Simulink integer, double and fixed point to  Xilinx fixed point type.<P><P>Ha"
8211"rdware notes:  In hardware these blocks become top level input ports."
8212      arith_type          "Unsigned"
8213      n_bits          "1"
8214      bin_pt          "0"
8215      quantization        "Round  (unbiased: +/- Inf)"
8216      overflow        "Wrap"
8217      period          "1"
8218      dbl_ovrd        off
8219      timing_constraint   "None"
8220      locs_specified      off
8221      LOCs            "{}"
8222      xl_use_area         off
8223      xl_area         "[0,0,0,0,0,0,0]"
8224      has_advanced_control    "0"
8225      sggui_pos       "20,20,348,406"
8226      block_type          "gatewayin"
8227      block_version       "10.1.2"
8228      sg_icon_stat        "65,20,1,1,white,yellow,0,bc55d28f,right"
8229      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');"
8230"\npatch([0 65 65 0 ],[0 0 20 20 ],[0.95 0.93 0.65]);\npatch([27 24 29 24 27 3"
8231"2 33 34 40 36 32 29 34 29 32 36 40 34 33 32 27 ],[2 5 10 15 18 18 17 18 18 14"
8232" 18 15 10 5 2 6 2 2 3 2 2 ],[0.98 0.96 0.92]);\nplot([0 65 65 0 0 ],[0 0 20 2"
8233"0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begi"
8234"n icon text');\ncolor('black');port_label('input',1,'\\fontsize{11pt}\\bf In "
8235"','texmode','on');\ncolor('black');port_label('output',1,' ');\nfprintf('','C"
8236"OMMENT: end icon text');\n"
8237    }
8238    Block {
8239      BlockType       Reference
8240      Name            "Trackball_OYn"
8241      Ports           [1, 1]
8242      Position        [750, 330, 815, 350]
8243      SourceBlock         "xbsIndex_r4/Gateway In"
8244      SourceType          "Xilinx Gateway In Block"
8245      infoedit        "Gateway in block.  Converts inputs of type "
8246"Simulink integer, double and fixed point to  Xilinx fixed point type.<P><P>Ha"
8247"rdware notes:  In hardware these blocks become top level input ports."
8248      arith_type          "Unsigned"
8249      n_bits          "1"
8250      bin_pt          "0"
8251      quantization        "Round  (unbiased: +/- Inf)"
8252      overflow        "Wrap"
8253      period          "1"
8254      dbl_ovrd        off
8255      timing_constraint   "None"
8256      locs_specified      off
8257      LOCs            "{}"
8258      xl_use_area         off
8259      xl_area         "[0,0,0,0,0,0,0]"
8260      has_advanced_control    "0"
8261      sggui_pos       "20,20,348,406"
8262      block_type          "gatewayin"
8263      block_version       "10.1.2"
8264      sg_icon_stat        "65,20,1,1,white,yellow,0,bc55d28f,right"
8265      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');"
8266"\npatch([0 65 65 0 ],[0 0 20 20 ],[0.95 0.93 0.65]);\npatch([27 24 29 24 27 3"
8267"2 33 34 40 36 32 29 34 29 32 36 40 34 33 32 27 ],[2 5 10 15 18 18 17 18 18 14"
8268" 18 15 10 5 2 6 2 2 3 2 2 ],[0.98 0.96 0.92]);\nplot([0 65 65 0 0 ],[0 0 20 2"
8269"0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begi"
8270"n icon text');\ncolor('black');port_label('input',1,'\\fontsize{11pt}\\bf In "
8271"','texmode','on');\ncolor('black');port_label('output',1,' ');\nfprintf('','C"
8272"OMMENT: end icon text');\n"
8273    }
8274    Block {
8275      BlockType       Reference
8276      Name            "Trackball_SEL1"
8277      Ports           [1, 1]
8278      Position        [905, 535, 965, 555]
8279      SourceBlock         "xbsIndex_r4/Gateway Out"
8280      SourceType          "Xilinx Gateway Out Block"
8281      infoedit        "Gateway out block.  Converts Xilinx fixed p"
8282"oint inputs into ouputs of type Simulink integer, double, or fixed point.<P><"
8283"P>Hardware notes:  In hardware these blocks become top level output ports or "
8284"are discarded, depending on how they are configured."
8285      hdl_port        on
8286      timing_constraint   "None"
8287      locs_specified      off
8288      LOCs            "{}"
8289      xl_use_area         off
8290      xl_area         "[0,0,0,0,0,0,0]"
8291      has_advanced_control    "0"
8292      sggui_pos       "-1,-1,-1,-1"
8293      block_type          "gatewayout"
8294      block_version       "10.1.2"
8295      sg_icon_stat        "60,20,1,1,white,yellow,0,38220381,right"
8296      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');"
8297"\npatch([0 60 60 0 ],[0 0 20 20 ],[0.95 0.93 0.65]);\npatch([24 21 26 21 24 2"
8298"9 30 31 37 33 29 26 31 26 29 33 37 31 30 29 24 ],[2 5 10 15 18 18 17 18 18 14"
8299" 18 15 10 5 2 6 2 2 3 2 2 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 20 2"
8300"0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begi"
8301"n icon text');\ncolor('black');port_label('input',1,' ');\ncolor('black');por"
8302"t_label('output',1,'\\fontsize{11pt}\\bf Out ','texmode','on');\nfprintf('','"
8303"COMMENT: end icon text');\n"
8304    }
8305    Block {
8306      BlockType       Reference
8307      Name            "Trackball_SEL2"
8308      Ports           [1, 1]
8309      Position        [750, 135, 815, 155]
8310      SourceBlock         "xbsIndex_r4/Gateway In"
8311      SourceType          "Xilinx Gateway In Block"
8312      infoedit        "Gateway in block.  Converts inputs of type "
8313"Simulink integer, double and fixed point to  Xilinx fixed point type.<P><P>Ha"
8314"rdware notes:  In hardware these blocks become top level input ports."
8315      arith_type          "Unsigned"
8316      n_bits          "1"
8317      bin_pt          "0"
8318      quantization        "Round  (unbiased: +/- Inf)"
8319      overflow        "Wrap"
8320      period          "1"
8321      dbl_ovrd        off
8322      timing_constraint   "None"
8323      locs_specified      off
8324      LOCs            "{}"
8325      xl_use_area         off
8326      xl_area         "[0,0,0,0,0,0,0]"
8327      has_advanced_control    "0"
8328      sggui_pos       "20,20,348,406"
8329      block_type          "gatewayin"
8330      block_version       "10.1.2"
8331      sg_icon_stat        "65,20,1,1,white,yellow,0,bc55d28f,right"
8332      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');"
8333"\npatch([0 65 65 0 ],[0 0 20 20 ],[0.95 0.93 0.65]);\npatch([27 24 29 24 27 3"
8334"2 33 34 40 36 32 29 34 29 32 36 40 34 33 32 27 ],[2 5 10 15 18 18 17 18 18 14"
8335" 18 15 10 5 2 6 2 2 3 2 2 ],[0.98 0.96 0.92]);\nplot([0 65 65 0 0 ],[0 0 20 2"
8336"0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begi"
8337"n icon text');\ncolor('black');port_label('input',1,'\\fontsize{11pt}\\bf In "
8338"','texmode','on');\ncolor('black');port_label('output',1,' ');\nfprintf('','C"
8339"OMMENT: end icon text');\n"
8340    }
8341    Block {
8342      BlockType       Reference
8343      Name            "Trackball_XSCN"
8344      Ports           [1, 1]
8345      Position        [905, 430, 965, 450]
8346      SourceBlock         "xbsIndex_r4/Gateway Out"
8347      SourceType          "Xilinx Gateway Out Block"
8348      infoedit        "Gateway out block.  Converts Xilinx fixed p"
8349"oint inputs into ouputs of type Simulink integer, double, or fixed point.<P><"
8350"P>Hardware notes:  In hardware these blocks become top level output ports or "
8351"are discarded, depending on how they are configured."
8352      hdl_port        on
8353      timing_constraint   "None"
8354      locs_specified      off
8355      LOCs            "{}"
8356      xl_use_area         off
8357      xl_area         "[0,0,0,0,0,0,0]"
8358      has_advanced_control    "0"
8359      sggui_pos       "-1,-1,-1,-1"
8360      block_type          "gatewayout"
8361      block_version       "10.1.2"
8362      sg_icon_stat        "60,20,1,1,white,yellow,0,38220381,right"
8363      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');"
8364"\npatch([0 60 60 0 ],[0 0 20 20 ],[0.95 0.93 0.65]);\npatch([24 21 26 21 24 2"
8365"9 30 31 37 33 29 26 31 26 29 33 37 31 30 29 24 ],[2 5 10 15 18 18 17 18 18 14"
8366" 18 15 10 5 2 6 2 2 3 2 2 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 20 2"
8367"0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begi"
8368"n icon text');\ncolor('black');port_label('input',1,' ');\ncolor('black');por"
8369"t_label('output',1,'\\fontsize{11pt}\\bf Out ','texmode','on');\nfprintf('','"
8370"COMMENT: end icon text');\n"
8371    }
8372    Block {
8373      BlockType       Reference
8374      Name            "Trackball_YSCN"
8375      Ports           [1, 1]
8376      Position        [905, 480, 965, 500]
8377      SourceBlock         "xbsIndex_r4/Gateway Out"
8378      SourceType          "Xilinx Gateway Out Block"
8379      infoedit        "Gateway out block.  Converts Xilinx fixed p"
8380"oint inputs into ouputs of type Simulink integer, double, or fixed point.<P><"
8381"P>Hardware notes:  In hardware these blocks become top level output ports or "
8382"are discarded, depending on how they are configured."
8383      hdl_port        on
8384      timing_constraint   "None"
8385      locs_specified      off
8386      LOCs            "{}"
8387      xl_use_area         off
8388      xl_area         "[0,0,0,0,0,0,0]"
8389      has_advanced_control    "0"
8390      sggui_pos       "-1,-1,-1,-1"
8391      block_type          "gatewayout"
8392      block_version       "10.1.2"
8393      sg_icon_stat        "60,20,1,1,white,yellow,0,38220381,right"
8394      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');"
8395"\npatch([0 60 60 0 ],[0 0 20 20 ],[0.95 0.93 0.65]);\npatch([24 21 26 21 24 2"
8396"9 30 31 37 33 29 26 31 26 29 33 37 31 30 29 24 ],[2 5 10 15 18 18 17 18 18 14"
8397" 18 15 10 5 2 6 2 2 3 2 2 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 20 2"
8398"0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begi"
8399"n icon text');\ncolor('black');port_label('input',1,' ');\ncolor('black');por"
8400"t_label('output',1,'\\fontsize{11pt}\\bf Out ','texmode','on');\nfprintf('','"
8401"COMMENT: end icon text');\n"
8402    }
8403    Line {
8404      SrcBlock        "Constant3"
8405      SrcPort         1
8406      DstBlock        "Buttons_Big"
8407      DstPort         1
8408    }
8409    Line {
8410      SrcBlock        "Register3"
8411      SrcPort         1
8412      DstBlock        "To Register1"
8413      DstPort         1
8414    }
8415    Line {
8416      SrcBlock        "Register2"
8417      SrcPort         1
8418      DstBlock        "Register3"
8419      DstPort         1
8420    }
8421    Line {
8422      SrcBlock        "Constant2"
8423      SrcPort         1
8424      DstBlock        "To Register1"
8425      DstPort         2
8426    }
8427    Line {
8428      SrcBlock        "Buttons_Big"
8429      SrcPort         1
8430      DstBlock        "Register2"
8431      DstPort         1
8432    }
8433    Line {
8434      SrcBlock        "Constant5"
8435      SrcPort         1
8436      DstBlock        "Buttons_Small"
8437      DstPort         1
8438    }
8439    Line {
8440      SrcBlock        "Register5"
8441      SrcPort         1
8442      DstBlock        "To Register2"
8443      DstPort         1
8444    }
8445    Line {
8446      SrcBlock        "Register4"
8447      SrcPort         1
8448      DstBlock        "Register5"
8449      DstPort         1
8450    }
8451    Line {
8452      SrcBlock        "Constant4"
8453      SrcPort         1
8454      DstBlock        "To Register2"
8455      DstPort         2
8456    }
8457    Line {
8458      SrcBlock        "Buttons_Small"
8459      SrcPort         1
8460      DstBlock        "Register4"
8461      DstPort         1
8462    }
8463    Line {
8464      SrcBlock        "Constant7"
8465      SrcPort         1
8466      DstBlock        "DIP_Switch"
8467      DstPort         1
8468    }
8469    Line {
8470      SrcBlock        "Register7"
8471      SrcPort         1
8472      DstBlock        "To Register3"
8473      DstPort         1
8474    }
8475    Line {
8476      SrcBlock        "Register6"
8477      SrcPort         1
8478      DstBlock        "Register7"
8479      DstPort         1
8480    }
8481    Line {
8482      SrcBlock        "Constant6"
8483      SrcPort         1
8484      DstBlock        "To Register3"
8485      DstPort         2
8486    }
8487    Line {
8488      SrcBlock        "DIP_Switch"
8489      SrcPort         1
8490      DstBlock        "Register6"
8491      DstPort         1
8492    }
8493    Line {
8494      SrcBlock        "To Register3"
8495      SrcPort         1
8496      DstBlock        "Terminator3"
8497      DstPort         1
8498    }
8499    Line {
8500      SrcBlock        "To Register2"
8501      SrcPort         1
8502      DstBlock        "Terminator2"
8503      DstPort         1
8504    }
8505    Line {
8506      SrcBlock        "To Register1"
8507      SrcPort         1
8508      DstBlock        "Terminator1"
8509      DstPort         1
8510    }
8511    Line {
8512      SrcBlock        "From Register"
8513      SrcPort         1
8514      DstBlock        "Register8"
8515      DstPort         1
8516    }
8517    Line {
8518      SrcBlock        "Register8"
8519      SrcPort         1
8520      DstBlock        "Register9"
8521      DstPort         1
8522    }
8523    Line {
8524      SrcBlock        "Register9"
8525      SrcPort         1
8526      DstBlock        "LEDs"
8527      DstPort         1
8528    }
8529    Line {
8530      SrcBlock        "LEDs"
8531      SrcPort         1
8532      DstBlock        "Terminator4"
8533      DstPort         1
8534    }
8535    Line {
8536      SrcBlock        "Trackball_OX"
8537      SrcPort         1
8538      DstBlock        "8xDebounce1"
8539      DstPort         1
8540    }
8541    Line {
8542      SrcBlock        "Constant"
8543      SrcPort         1
8544      DstBlock        "To Register"
8545      DstPort         2
8546    }
8547    Line {
8548      SrcBlock        "Register"
8549      SrcPort         1
8550      DstBlock        "Register1"
8551      DstPort         1
8552    }
8553    Line {
8554      SrcBlock        "Constant1"
8555      SrcPort         1
8556      DstBlock        "Trackball_OX"
8557      DstPort         1
8558    }
8559    Line {
8560      SrcBlock        "To Register"
8561      SrcPort         1
8562      DstBlock        "Terminator"
8563      DstPort         1
8564    }
8565    Line {
8566      SrcBlock        "Trackball_OXn"
8567      SrcPort         1
8568      DstBlock        "8xDebounce2"
8569      DstPort         1
8570    }
8571    Line {
8572      SrcBlock        "Register13"
8573      SrcPort         1
8574      DstBlock        "Register14"
8575      DstPort         1
8576    }
8577    Line {
8578      SrcBlock        "Constant9"
8579      SrcPort         1
8580      DstBlock        "Trackball_OXn"
8581      DstPort         1
8582    }
8583    Line {
8584      SrcBlock        "Trackball_OY"
8585      SrcPort         1
8586      DstBlock        "8xDebounce3"
8587      DstPort         1
8588    }
8589    Line {
8590      SrcBlock        "Register15"
8591      SrcPort         1
8592      DstBlock        "Register16"
8593      DstPort         1
8594    }
8595    Line {
8596      SrcBlock        "Constant10"
8597      SrcPort         1
8598      DstBlock        "Trackball_OY"
8599      DstPort         1
8600    }
8601    Line {
8602      SrcBlock        "Trackball_OYn"
8603      SrcPort         1
8604      DstBlock        "8xDebounce4"
8605      DstPort         1
8606    }
8607    Line {
8608      SrcBlock        "Register17"
8609      SrcPort         1
8610      DstBlock        "Register18"
8611      DstPort         1
8612    }
8613    Line {
8614      SrcBlock        "Constant11"
8615      SrcPort         1
8616      DstBlock        "Trackball_OYn"
8617      DstPort         1
8618    }
8619    Line {
8620      SrcBlock        "Register10"
8621      SrcPort         1
8622      DstBlock        "Trackball_XSCN"
8623      DstPort         1
8624    }
8625    Line {
8626      SrcBlock        "Register11"
8627      SrcPort         1
8628      DstBlock        "Trackball_YSCN"
8629      DstPort         1
8630    }
8631    Line {
8632      SrcBlock        "Trackball_XSCN"
8633      SrcPort         1
8634      DstBlock        "Terminator6"
8635      DstPort         1
8636    }
8637    Line {
8638      SrcBlock        "Trackball_YSCN"
8639      SrcPort         1
8640      DstBlock        "Terminator7"
8641      DstPort         1
8642    }
8643    Line {
8644      SrcBlock        "Constant13"
8645      SrcPort         1
8646      Points          [35, 0]
8647      Branch {
8648        DstBlock            "Register10"
8649        DstPort         1
8650      }
8651      Branch {
8652        Points          [0, 50]
8653        Branch {
8654          DstBlock            "Register11"
8655          DstPort             1
8656        }
8657        Branch {
8658          Points              [0, 55]
8659          DstBlock            "Register12"
8660          DstPort             1
8661        }
8662      }
8663    }
8664    Line {
8665      SrcBlock        "Constant12"
8666      SrcPort         1
8667      DstBlock        "Trackball_SEL2"
8668      DstPort         1
8669    }
8670    Line {
8671      SrcBlock        "Trackball_SEL2"
8672      SrcPort         1
8673      DstBlock        "8xDebounce"
8674      DstPort         1
8675    }
8676    Line {
8677      SrcBlock        "Register19"
8678      SrcPort         1
8679      DstBlock        "Register20"
8680      DstPort         1
8681    }
8682    Line {
8683      SrcBlock        "Register20"
8684      SrcPort         1
8685      DstBlock        "Concat"
8686      DstPort         1
8687    }
8688    Line {
8689      SrcBlock        "Register1"
8690      SrcPort         1
8691      Points          [10, 0; 0, -40]
8692      DstBlock        "Concat"
8693      DstPort         2
8694    }
8695    Line {
8696      SrcBlock        "Register14"
8697      SrcPort         1
8698      Points          [15, 0; 0, -80]
8699      DstBlock        "Concat"
8700      DstPort         3
8701    }
8702    Line {
8703      SrcBlock        "Register16"
8704      SrcPort         1
8705      Points          [20, 0; 0, -120]
8706      DstBlock        "Concat"
8707      DstPort         4
8708    }
8709    Line {
8710      SrcBlock        "Register18"
8711      SrcPort         1
8712      Points          [25, 0; 0, -155]
8713      DstBlock        "Concat"
8714      DstPort         5
8715    }
8716    Line {
8717      SrcBlock        "Concat"
8718      SrcPort         1
8719      DstBlock        "To Register"
8720      DstPort         1
8721    }
8722    Line {
8723      SrcBlock        "Register12"
8724      SrcPort         1
8725      DstBlock        "Trackball_SEL1"
8726      DstPort         1
8727    }
8728    Line {
8729      SrcBlock        "Trackball_SEL1"
8730      SrcPort         1
8731      DstBlock        "Terminator8"
8732      DstPort         1
8733    }
8734    Line {
8735      SrcBlock        "8xDebounce"
8736      SrcPort         1
8737      DstBlock        "Register19"
8738      DstPort         1
8739    }
8740    Line {
8741      SrcBlock        "8xDebounce1"
8742      SrcPort         1
8743      DstBlock        "Register"
8744      DstPort         1
8745    }
8746    Line {
8747      SrcBlock        "8xDebounce2"
8748      SrcPort         1
8749      DstBlock        "Register13"
8750      DstPort         1
8751    }
8752    Line {
8753      SrcBlock        "8xDebounce3"
8754      SrcPort         1
8755      DstBlock        "Register15"
8756      DstPort         1
8757    }
8758    Line {
8759      SrcBlock        "8xDebounce4"
8760      SrcPort         1
8761      DstBlock        "Register17"
8762      DstPort         1
8763    }
8764    Annotation {
8765      Name            "Trackball has six mechanical switches:\nScr"
8766"olling:\n  Up shorts YSCN-OY\n  Down shorts YSCN-OYn\n  Right shorts XSCN-OX"
8767"\n  Left shorts XSCN-OXn\nPushing the ball shorts SEL1-SEL2\n\nThe board has "
8768"1K pulldown resistors on\nOX,OXn,OY,OYn,SEL2"
8769      Position        [830, 677]
8770      HorizontalAlignment     "left"
8771    }
8772      }
8773    }
8774    Block {
8775      BlockType           SubSystem
8776      Name            "LCD Controller"
8777      Ports           []
8778      Position            [125, 15, 175, 43]
8779      MinAlgLoopOccurrences   off
8780      RTWSystemCode       "Auto"
8781      FunctionWithSeparateData off
8782      MaskHideContents        off
8783      System {
8784    Name            "LCD Controller"
8785    Location        [52, 87, 1757, 1074]
8786    Open            off
8787    ModelBrowserVisibility  off
8788    ModelBrowserWidth   200
8789    ScreenColor     "white"
8790    PaperOrientation    "landscape"
8791    PaperPositionMode   "auto"
8792    PaperType       "usletter"
8793    PaperUnits      "inches"
8794    TiledPaperMargins   [0.500000, 0.500000, 0.500000, 0.500000]
8795    TiledPageScale      1
8796    ShowPageBoundaries  off
8797    ZoomFactor      "100"
8798    Block {
8799      BlockType       Reference
8800      Name            "CS"
8801      Ports           [1, 1]
8802      Position        [1475, 440, 1535, 460]
8803      SourceBlock         "xbsIndex_r4/Gateway Out"
8804      SourceType          "Xilinx Gateway Out Block"
8805      infoedit        "Gateway out block.  Converts Xilinx fixed p"
8806"oint inputs into ouputs of type Simulink integer, double, or fixed point.<P><"
8807"P>Hardware notes:  In hardware these blocks become top level output ports or "
8808"are discarded, depending on how they are configured."
8809      hdl_port        on
8810      timing_constraint   "None"
8811      locs_specified      off
8812      LOCs            "{}"
8813      xl_use_area         off
8814      xl_area         "[0,0,0,0,0,0,0]"
8815      has_advanced_control    "0"
8816      sggui_pos       "-1,-1,-1,-1"
8817      block_type          "gatewayout"
8818      block_version       "10.1.2"
8819      sg_icon_stat        "60,20,1,1,white,yellow,0,38220381,right"
8820      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');"
8821"\npatch([0 60 60 0 ],[0 0 20 20 ],[0.95 0.93 0.65]);\npatch([24 21 26 21 24 2"
8822"9 30 31 37 33 29 26 31 26 29 33 37 31 30 29 24 ],[2 5 10 15 18 18 17 18 18 14"
8823" 18 15 10 5 2 6 2 2 3 2 2 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 20 2"
8824"0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begi"
8825"n icon text');\ncolor('black');port_label('input',1,' ');\ncolor('black');por"
8826"t_label('output',1,'\\fontsize{11pt}\\bf Out ','texmode','on');\nfprintf('','"
8827"COMMENT: end icon text');\n"
8828    }
8829    Block {
8830      BlockType       SubSystem
8831      Name            "CommandROM"
8832      Ports           [4, 3]
8833      Position        [640, 307, 840, 538]
8834      MinAlgLoopOccurrences   off
8835      RTWSystemCode       "Auto"
8836      FunctionWithSeparateData off
8837      MaskHideContents    off
8838      System {
8839        Name            "CommandROM"
8840        Location            [558, 165, 923, 397]
8841        Open            off
8842        ModelBrowserVisibility  off
8843        ModelBrowserWidth       200
8844        ScreenColor         "white"
8845        PaperOrientation        "landscape"
8846        PaperPositionMode       "auto"
8847        PaperType           "usletter"
8848        PaperUnits          "inches"
8849        TiledPaperMargins       [0.500000, 0.500000, 0.500000, 0.500000]
8850        TiledPageScale      1
8851        ShowPageBoundaries      off
8852        ZoomFactor          "105"
8853        Block {
8854          BlockType           Inport
8855          Name            "SendCmds"
8856          Position            [55, 243, 85, 257]
8857          IconDisplay         "Port number"
8858        }
8859        Block {
8860          BlockType           Inport
8861          Name            "TransferDone"
8862          Position            [55, 273, 85, 287]
8863          Port            "2"
8864          IconDisplay         "Port number"
8865        }
8866        Block {
8867          BlockType           Inport
8868          Name            "TotalNoOfCmds"
8869          Position            [435, 403, 465, 417]
8870          Port            "3"
8871          IconDisplay         "Port number"
8872        }
8873        Block {
8874          BlockType           Inport
8875          Name            "Reset"
8876          Position            [370, 228, 400, 242]
8877          Port            "4"
8878          IconDisplay         "Port number"
8879        }
8880        Block {
8881          BlockType           Reference
8882          Name            "Accumulator"
8883          Ports           [3, 1]
8884          Position            [505, 316, 565, 374]
8885          SourceBlock         "xbsIndex_r4/Accumulator"
8886          SourceType          "Xilinx Accumulator Block"
8887          infoedit            "Adder or subtractor-based accumulator. "
8888"  Output type and binary point position match the input.<P><P>Hardware notes:"
8889" When \"Reinitialize with input 'b' on reset\" is selected, the accumulator i"
8890"s forced to run at the system rate even if the input 'b' is running at a slow"
8891"er rate."
8892          operation           "Add"
8893          n_bits              "7"
8894          overflow            "Wrap"
8895          scale           "1"
8896          rst             on
8897          hasbypass           off
8898          en              on
8899          dbl_ovrd            off
8900          use_behavioral_HDL      on
8901          xl_use_area         off
8902          xl_area             "[0,0,0,0,0,0,0]"
8903          has_advanced_control    "0"
8904          sggui_pos           "20,20,356,449"
8905          block_type          "accum"
8906          block_version       "8.2.02"
8907          sg_icon_stat        "60,58,1,1,white,blue,0,ccf906bf,right"
8908          sg_mask_display         "fprintf('','COMMENT: begin icon graphic"
8909"s');\npatch([0 60 60 0 ],[0 0 58 58 ],[0.77 0.82 0.91]);\npatch([15 5 19 5 15"
8910" 30 34 38 54 41 29 20 34 20 29 41 54 38 34 30 15 ],[6 16 30 44 54 54 50 54 54"
8911" 41 53 44 30 16 7 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 "
8912"0 58 58 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT"
8913": begin icon text');\ncolor('black');port_label('input',1,'b');\ncolor('black"
8914"');port_label('input',2,'rst');\ncolor('black');port_label('input',3,'en');\n"
8915"color('black');port_label('output',1,'q');\nfprintf('','COMMENT: end icon tex"
8916"t');\n"
8917        }
8918        Block {
8919          BlockType           Reference
8920          Name            "Accumulator1"
8921          Ports           [2, 1]
8922          Position            [1265, 736, 1325, 794]
8923          SourceBlock         "xbsIndex_r4/Accumulator"
8924          SourceType          "Xilinx Accumulator Block"
8925          infoedit            "Adder or subtractor-based accumulator. "
8926"  Output type and binary point position match the input.<P><P>Hardware notes:"
8927" When \"Reinitialize with input 'b' on reset\" is selected, the accumulator i"
8928"s forced to run at the system rate even if the input 'b' is running at a slow"
8929"er rate."
8930          operation           "Add"
8931          n_bits              "4"
8932          overflow            "Wrap"
8933          scale           "1"
8934          rst             on
8935          hasbypass           off
8936          en              off
8937          dbl_ovrd            off
8938          use_behavioral_HDL      on
8939          xl_use_area         off
8940          xl_area             "[0,0,0,0,0,0,0]"
8941          has_advanced_control    "0"
8942          sggui_pos           "20,20,356,449"
8943          block_type          "accum"
8944          block_version       "8.2.02"
8945          sg_icon_stat        "60,58,1,1,white,blue,0,1b1827f6,right"
8946          sg_mask_display         "fprintf('','COMMENT: begin icon graphic"
8947"s');\npatch([0 60 60 0 ],[0 0 58 58 ],[0.77 0.82 0.91]);\npatch([15 5 19 5 15"
8948" 30 34 38 54 41 29 20 34 20 29 41 54 38 34 30 15 ],[6 16 30 44 54 54 50 54 54"
8949" 41 53 44 30 16 7 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 "
8950"0 58 58 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT"
8951": begin icon text');\ncolor('black');port_label('input',1,'b');\ncolor('black"
8952"');port_label('input',2,'rst');\ncolor('black');port_label('output',1,'q');\n"
8953"fprintf('','COMMENT: end icon text');\n"
8954        }
8955        Block {
8956          BlockType           Reference
8957          Name            "AddSub"
8958          Ports           [2, 1]
8959          Position            [530, 396, 590, 454]
8960          SourceBlock         "xbsIndex_r4/AddSub"
8961          SourceType          "Xilinx Adder/Subtractor Block"
8962          mode            "Subtraction"
8963          use_carryin         off
8964          use_carryout        off
8965          en              off
8966          latency             "0"
8967          precision           "Full"
8968          arith_type          "Unsigned"
8969          n_bits              "16"
8970          bin_pt              "14"
8971          quantization        "Truncate"
8972          overflow            "Wrap"
8973          dbl_ovrd            off
8974          use_behavioral_HDL      off
8975          pipelined           off
8976          use_rpm             on
8977          xl_use_area         off
8978          xl_area             "[0,0,0,0,0,0,0]"
8979          has_advanced_control    "0"
8980          sggui_pos           "-1,-1,-1,-1"
8981          block_type          "addsub"
8982          block_version       "8.2.02"
8983          sg_icon_stat        "60,58,1,1,white,blue,0,46b4c804,right"
8984          sg_mask_display         "fprintf('','COMMENT: begin icon graphic"
8985"s');\npatch([0 60 60 0 ],[0 0 58 58 ],[0.77 0.82 0.91]);\npatch([15 5 19 5 15"
8986" 30 34 38 54 41 29 20 34 20 29 41 54 38 34 30 15 ],[6 16 30 44 54 54 50 54 54"
8987" 41 53 44 30 16 7 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 "
8988"0 58 58 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT"
8989": begin icon text');\ncolor('black');port_label('input',1,'a');\ncolor('black"
8990"');port_label('input',2,'b');\ncolor('black');port_label('output',1,'\\bf{a -"
8991" b}','texmode','on');\nfprintf('','COMMENT: end icon text');\n"
8992        }
8993        Block {
8994          BlockType           SubSystem
8995          Name            "Command Memory"
8996          Ports           [1, 1]
8997          Position            [1050, 216, 1170, 254]
8998          MinAlgLoopOccurrences   off
8999          RTWSystemCode       "Auto"
9000          FunctionWithSeparateData off
9001          MaskHideContents        off
9002          System {
9003        Name            "Command Memory"
9004        Location        [871, 268, 1217, 496]
9005        Open            off
9006        ModelBrowserVisibility  off
9007        ModelBrowserWidth   200
9008        ScreenColor     "white"
9009        PaperOrientation    "landscape"
9010        PaperPositionMode   "auto"
9011        PaperType       "usletter"
9012        PaperUnits      "inches"
9013        TiledPaperMargins   "[0.500000, 0.500000, 0.500000, 0.5000"
9014"00]"
9015        TiledPageScale      1
9016        ShowPageBoundaries  off
9017        ZoomFactor      "100"
9018        Block {
9019          BlockType       Inport
9020          Name            "Addr_9b"
9021          Position        [250, 253, 280, 267]
9022          IconDisplay         "Port number"
9023        }
9024        Block {
9025          BlockType       Reference
9026          Name            "16LSB"
9027          Ports           [1, 1]
9028          Position        [740, 228, 780, 242]
9029          NamePlacement       "alternate"
9030          SourceBlock         "xbsIndex_r4/Slice"
9031          SourceType          "Xilinx Bit Slice Extractor Block"
9032          infoedit        "Extracts a given range of bits from"
9033" each input sample and presents it at the output.  The output type is ordinar"
9034"ily unsigned with binary point at zero, but can be Boolean when the slice is "
9035"one bit wide.<P><P>Hardware notes: In hardware this block costs nothing."
9036          nbits           "16"
9037          boolean_output      off
9038          mode            "Lower Bit Location + Width"
9039          bit1            "0"
9040          base1           "MSB of Input"
9041          bit0            "0"
9042          base0           "LSB of Input"
9043          dbl_ovrd        off
9044          has_advanced_control    "0"
9045          sggui_pos       "20,20,449,407"
9046          block_type          "slice"
9047          block_version       "8.2.02"
9048          sg_icon_stat        "40,14,1,1,white,blue,0,b1026674,rig"
9049"ht"
9050          sg_mask_display     "fprintf('','COMMENT: begin icon gra"
9051"phics');\npatch([0 60 60 0 ],[0 0 30 30 ],[0.77 0.82 0.91]);\npatch([22 17 24"
9052" 17 22 30 32 34 42 35 29 24 30 24 29 35 42 34 32 30 22 ],[3 8 15 22 27 27 25 "
9053"27 27 20 26 21 15 9 4 10 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],"
9054"[0 0 30 30 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMM"
9055"ENT: begin icon text');\ncolor('black');port_label('output',1,'[a:b]');\nfpri"
9056"ntf('','COMMENT: end icon text');\n"
9057        }
9058        Block {
9059          BlockType       Reference
9060          Name            "16MSB"
9061          Ports           [1, 1]
9062          Position        [740, 283, 780, 297]
9063          NamePlacement       "alternate"
9064          SourceBlock         "xbsIndex_r4/Slice"
9065          SourceType          "Xilinx Bit Slice Extractor Block"
9066          infoedit        "Extracts a given range of bits from"
9067" each input sample and presents it at the output.  The output type is ordinar"
9068"ily unsigned with binary point at zero, but can be Boolean when the slice is "
9069"one bit wide.<P><P>Hardware notes: In hardware this block costs nothing."
9070          nbits           "16"
9071          boolean_output      off
9072          mode            "Upper Bit Location + Width"
9073          bit1            "0"
9074          base1           "MSB of Input"
9075          bit0            "0"
9076          base0           "MSB of Input"
9077          dbl_ovrd        off
9078          has_advanced_control    "0"
9079          sggui_pos       "20,20,449,407"
9080          block_type          "slice"
9081          block_version       "8.2.02"
9082          sg_icon_stat        "40,14,1,1,white,blue,0,b1026674,rig"
9083"ht"
9084          sg_mask_display     "fprintf('','COMMENT: begin icon gra"
9085"phics');\npatch([0 60 60 0 ],[0 0 30 30 ],[0.77 0.82 0.91]);\npatch([22 17 24"
9086" 17 22 30 32 34 42 35 29 24 30 24 29 35 42 34 32 30 22 ],[3 8 15 22 27 27 25 "
9087"27 27 20 26 21 15 9 4 10 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],"
9088"[0 0 30 30 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMM"
9089"ENT: begin icon text');\ncolor('black');port_label('output',1,'[a:b]');\nfpri"
9090"ntf('','COMMENT: end icon text');\n"
9091        }
9092        Block {
9093          BlockType       Reference
9094          Name            "8MSB"
9095          Ports           [1, 1]
9096          Position        [410, 253, 450, 267]
9097          NamePlacement       "alternate"
9098          SourceBlock         "xbsIndex_r4/Slice"
9099          SourceType          "Xilinx Bit Slice Extractor Block"
9100          infoedit        "Extracts a given range of bits from"
9101" each input sample and presents it at the output.  The output type is ordinar"
9102"ily unsigned with binary point at zero, but can be Boolean when the slice is "
9103"one bit wide.<P><P>Hardware notes: In hardware this block costs nothing."
9104          nbits           "8"
9105          boolean_output      off
9106          mode            "Upper Bit Location + Width"
9107          bit1            "0"
9108          base1           "MSB of Input"
9109          bit0            "0"
9110          base0           "LSB of Input"
9111          dbl_ovrd        off
9112          has_advanced_control    "0"
9113          sggui_pos       "20,20,449,407"
9114          block_type          "slice"
9115          block_version       "8.2.02"
9116          sg_icon_stat        "40,14,1,1,white,blue,0,b1026674,rig"
9117"ht"
9118          sg_mask_display     "fprintf('','COMMENT: begin icon gra"
9119"phics');\npatch([0 60 60 0 ],[0 0 30 30 ],[0.77 0.82 0.91]);\npatch([22 17 24"
9120" 17 22 30 32 34 42 35 29 24 30 24 29 35 42 34 32 30 22 ],[3 8 15 22 27 27 25 "
9121"27 27 20 26 21 15 9 4 10 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],"
9122"[0 0 30 30 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMM"
9123"ENT: begin icon text');\ncolor('black');port_label('output',1,'[a:b]');\nfpri"
9124"ntf('','COMMENT: end icon text');\n"
9125        }
9126        Block {
9127          BlockType       Reference
9128          Name            "Constant"
9129          Ports           [0, 1]
9130          Position        [490, 277, 520, 303]
9131          ShowName        off
9132          SourceBlock         "xbsIndex_r4/Constant"
9133          SourceType          "Xilinx Constant Block Block"
9134          arith_type          "Unsigned"
9135          const           "0"
9136          n_bits          "32"
9137          bin_pt          "0"
9138          explicit_period     off
9139          period          "1"
9140          dsp48_infoedit      "The use of this block for DSP48 ins"
9141"tructions is deprecated.  Please use the Opmode block."
9142          equ             "P=C"
9143          opselect        "C"
9144          inp2            "PCIN>>17"
9145          opr             "+"
9146          inp1            "P"
9147          carry           "CIN"
9148          dbl_ovrd        off
9149          has_advanced_control    "0"
9150          sggui_pos       "20,20,400,346"
9151          block_type          "constant"
9152          block_version       "10.1.2"
9153          sg_icon_stat        "30,26,1,1,white,blue,0,72d575a1,rig"
9154"ht"
9155          sg_mask_display     "fprintf('','COMMENT: begin icon gra"
9156"phics');\npatch([0 55 55 0 ],[0 0 26 26 ],[0.77 0.82 0.91]);\npatch([20 16 22"
9157" 16 20 27 29 31 38 32 26 22 28 22 26 32 38 31 29 27 20 ],[3 7 13 19 23 23 21 "
9158"23 23 17 23 19 13 7 3 9 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 55 55 0 0 ],["
9159"0 0 26 26 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMME"
9160"NT: begin icon text');\ncolor('black');port_label('output',1,'0');\nfprintf('"
9161"','COMMENT: end icon text');\n"
9162        }
9163        Block {
9164          BlockType       Reference
9165          Name            "Constant1"
9166          Ports           [0, 1]
9167          Position        [490, 307, 520, 333]
9168          ShowName        off
9169          SourceBlock         "xbsIndex_r4/Constant"
9170          SourceType          "Xilinx Constant Block Block"
9171          arith_type          "Boolean"
9172          const           "0"
9173          n_bits          "8"
9174          bin_pt          "0"
9175          explicit_period     off
9176          period          "1"
9177          dsp48_infoedit      "The use of this block for DSP48 ins"
9178"tructions is deprecated.  Please use the Opmode block."
9179          equ             "P=C"
9180          opselect        "C"
9181          inp2            "PCIN>>17"
9182          opr             "+"
9183          inp1            "P"
9184          carry           "CIN"
9185          dbl_ovrd        off
9186          has_advanced_control    "0"
9187          sggui_pos       "20,20,400,346"
9188          block_type          "constant"
9189          block_version       "10.1.2"
9190          sg_icon_stat        "30,26,1,1,white,blue,0,72d575a1,rig"
9191"ht"
9192          sg_mask_display     "fprintf('','COMMENT: begin icon gra"
9193"phics');\npatch([0 55 55 0 ],[0 0 26 26 ],[0.77 0.82 0.91]);\npatch([20 16 22"
9194" 16 20 27 29 31 38 32 26 22 28 22 26 32 38 31 29 27 20 ],[3 7 13 19 23 23 21 "
9195"23 23 17 23 19 13 7 3 9 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 55 55 0 0 ],["
9196"0 0 26 26 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMME"
9197"NT: begin icon text');\ncolor('black');port_label('output',1,'0');\nfprintf('"
9198"','COMMENT: end icon text');\n"
9199        }
9200        Block {
9201          BlockType       Reference
9202          Name            "LSB"
9203          Ports           [1, 1]
9204          Position        [410, 173, 450, 187]
9205          NamePlacement       "alternate"
9206          SourceBlock         "xbsIndex_r4/Slice"
9207          SourceType          "Xilinx Bit Slice Extractor Block"
9208          infoedit        "Extracts a given range of bits from"
9209" each input sample and presents it at the output.  The output type is ordinar"
9210"ily unsigned with binary point at zero, but can be Boolean when the slice is "
9211"one bit wide.<P><P>Hardware notes: In hardware this block costs nothing."
9212          nbits           "1"
9213          boolean_output      off
9214          mode            "Lower Bit Location + Width"
9215          bit1            "0"
9216          base1           "MSB of Input"
9217          bit0            "0"
9218          base0           "LSB of Input"
9219          dbl_ovrd        off
9220          has_advanced_control    "0"
9221          sggui_pos       "20,20,449,407"
9222          block_type          "slice"
9223          block_version       "8.2.02"
9224          sg_icon_stat        "40,14,1,1,white,blue,0,b1026674,rig"
9225"ht"
9226          sg_mask_display     "fprintf('','COMMENT: begin icon gra"
9227"phics');\npatch([0 60 60 0 ],[0 0 30 30 ],[0.77 0.82 0.91]);\npatch([22 17 24"
9228" 17 22 30 32 34 42 35 29 24 30 24 29 35 42 34 32 30 22 ],[3 8 15 22 27 27 25 "
9229"27 27 20 26 21 15 9 4 10 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],"
9230"[0 0 30 30 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMM"
9231"ENT: begin icon text');\ncolor('black');port_label('output',1,'[a:b]');\nfpri"
9232"ntf('','COMMENT: end icon text');\n"
9233        }
9234        Block {
9235          BlockType       Reference
9236          Name            "Mux"
9237          Ports           [3, 1]
9238          Position        [835, 150, 880, 320]
9239          SourceBlock         "xbsIndex_r4/Mux"
9240          SourceType          "Xilinx Bus Multiplexer Block"
9241          inputs          "2"
9242          en              off
9243          latency         "0"
9244          precision       "Full"
9245          arith_type          "Unsigned"
9246          n_bits          "16"
9247          bin_pt          "14"
9248          quantization        "Truncate"
9249          overflow        "Wrap"
9250          dbl_ovrd        off
9251          xl_use_area         off
9252          xl_area         "[0,0,0,0,0,0,0]"
9253          has_advanced_control    "0"
9254          sggui_pos       "20,20,348,303"
9255          block_type          "mux"
9256          block_version       "8.2.02"
9257          sg_icon_stat        "45,170,1,1,white,blue,3,613f58e1,ri"
9258"ght"
9259          sg_mask_display     "fprintf('','COMMENT: begin icon gra"
9260"phics');\npatch([0 45 45 0 ],[0 14.8571 89.1429 104 ],[0.77 0.82 0.91]);\npat"
9261"ch([10 3 14 3 10 22 25 28 41 31 21 14 25 14 21 31 41 28 25 22 10 ],[34 41 52 "
9262"63 70 70 67 70 70 60 70 63 52 41 34 44 34 34 37 34 34 ],[0.98 0.96 0.92]);\np"
9263"lot([0 45 45 0 0 ],[0 14.8571 89.1429 104 0 ]);\nfprintf('','COMMENT: end ico"
9264"n graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_l"
9265"abel('input',1,'sel');\ncolor('black');port_label('input',2,'d0');\ncolor('bl"
9266"ack');port_label('input',3,'d1');\ncolor('black');disp('\\bf{}','texmode','on"
9267"');\nfprintf('','COMMENT: end icon text');\n"
9268        }
9269        Block {
9270          BlockType       Reference
9271          Name            "Shared Memory"
9272          Ports           [3, 1]
9273          Position        [570, 245, 650, 335]
9274          AttributesFormatString  "<< %<shared_memory_name> >>"
9275          SourceBlock         "xbsIndex_r4/Shared Memory"
9276          SourceType          "Xilinx Shared Memory Random Access "
9277"Memory Block"
9278          shared_memory_name      "'LCD_Commands'"
9279          depth           "2^8 % 2^9"
9280          ownership       "Locally Owned and Initialized"
9281          initVector          "sharedMem_commands_init"
9282          mutex           "Unprotected"
9283          mode            "Read and Write"
9284          write_mode          "Read After Write"
9285          time_out        "0"
9286          latency         "1"
9287          explicit_data_type      on
9288          arith_type          "Unsigned"
9289          n_bits          "32"
9290          bin_pt          "0"
9291          xl_use_area         off
9292          xl_area         "[0,0,0,0,0,0,0]"
9293          implementation      "Block RAM"
9294          use_rpm         "off"
9295          has_advanced_control    "0"
9296          sggui_pos       "20,20,384,381"
9297          block_type          "shmem"
9298          block_version       "10.1.2"
9299          sg_icon_stat        "80,90,1,1,white,blue,0,e2c38f9a,rig"
9300"ht"
9301          sg_mask_display     "fprintf('','COMMENT: begin icon gra"
9302"phics');\npatch([0 80 80 0 ],[0 0 90 90 ],[0.77 0.82 0.91]);\npatch([18 5 24 "
9303"5 18 39 45 51 74 56 39 27 46 27 39 56 74 51 45 39 18 ],[14 27 46 65 78 78 72 "
9304"78 78 60 77 65 46 27 15 32 14 14 20 14 14 ],[0.98 0.96 0.92]);\nplot([0 80 80"
9305" 0 0 ],[0 0 90 90 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('"
9306"','COMMENT: begin icon text');\ncolor('black');port_label('input',1,'addr');"
9307"\ncolor('black');port_label('input',2,'din');\ncolor('black');port_label('inp"
9308"ut',3,'we');\ncolor('black');port_label('output',1,'dout');\nfprintf('','COMM"
9309"ENT: end icon text');\n"
9310        }
9311        Block {
9312          BlockType       Outport
9313          Name            "Data_16b"
9314          Position        [980, 228, 1010, 242]
9315          IconDisplay         "Port number"
9316          BusOutputAsStruct   off
9317        }
9318        Line {
9319          SrcBlock        "Constant"
9320          SrcPort         1
9321          DstBlock        "Shared Memory"
9322          DstPort         2
9323        }
9324        Line {
9325          SrcBlock        "Constant1"
9326          SrcPort         1
9327          DstBlock        "Shared Memory"
9328          DstPort         3
9329        }
9330        Line {
9331          SrcBlock        "LSB"
9332          SrcPort         1
9333          DstBlock        "Mux"
9334          DstPort         1
9335        }
9336        Line {
9337          SrcBlock        "Mux"
9338          SrcPort         1
9339          DstBlock        "Data_16b"
9340          DstPort         1
9341        }
9342        Line {
9343          SrcBlock        "Addr_9b"
9344          SrcPort         1
9345          Points          [70, 0]
9346          Branch {
9347            Points          [0, -80]
9348            DstBlock            "LSB"
9349            DstPort         1
9350          }
9351          Branch {
9352            DstBlock            "8MSB"
9353            DstPort         1
9354          }
9355        }
9356        Line {
9357          SrcBlock        "Shared Memory"
9358          SrcPort         1
9359          Points          [45, 0]
9360          Branch {
9361            DstBlock            "16MSB"
9362            DstPort         1
9363          }
9364          Branch {
9365            Points          [0, -55]
9366            DstBlock            "16LSB"
9367            DstPort         1
9368          }
9369        }
9370        Line {
9371          SrcBlock        "16MSB"
9372          SrcPort         1
9373          DstBlock        "Mux"
9374          DstPort         3
9375        }
9376        Line {
9377          SrcBlock        "16LSB"
9378          SrcPort         1
9379          DstBlock        "Mux"
9380          DstPort         2
9381        }
9382        Line {
9383          SrcBlock        "8MSB"
9384          SrcPort         1
9385          DstBlock        "Shared Memory"
9386          DstPort         1
9387        }
9388          }
9389        }
9390        Block {
9391          BlockType           Reference
9392          Name            "Concat"
9393          Ports           [2, 1]
9394          Position            [890, 205, 950, 265]
9395          SourceBlock         "xbsIndex_r4/Concat"
9396          SourceType          "Xilinx Bus Concatenator Block"
9397          infoedit            "Concatenates two or more inputs.  Outpu"
9398"t will be cast to an unsigned value with the binary point at zero."
9399          num_inputs          "2"
9400          dbl_ovrd            off
9401          has_advanced_control    "0"
9402          sggui_pos           "20,20,336,165"
9403          block_type          "concat"
9404          block_version       "8.2.02"
9405          sg_icon_stat        "60,60,1,1,white,blue,0,df1e5aba,right"
9406          sg_mask_display         "fprintf('','COMMENT: begin icon graphic"
9407"s');\npatch([0 60 60 0 ],[0 0 60 60 ],[0.77 0.82 0.91]);\npatch([14 4 18 4 14"
9408" 30 34 38 55 42 29 20 35 20 29 42 55 38 34 30 14 ],[6 16 30 44 54 54 50 54 54"
9409" 41 54 45 30 15 6 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 "
9410"0 60 60 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT"
9411": begin icon text');\ncolor('black');port_label('input',1,'hi');\ncolor('blac"
9412"k');port_label('input',2,'lo');\nfprintf('','COMMENT: end icon text');\n"
9413        }
9414        Block {
9415          BlockType           Reference
9416          Name            "Concat2"
9417          Ports           [2, 1]
9418          Position            [1900, 430, 1960, 490]
9419          SourceBlock         "xbsIndex_r4/Concat"
9420          SourceType          "Xilinx Bus Concatenator Block"
9421          infoedit            "Concatenates two or more inputs.  Outpu"
9422"t will be cast to an unsigned value with the binary point at zero."
9423          num_inputs          "2"
9424          dbl_ovrd            off
9425          has_advanced_control    "0"
9426          sggui_pos           "-1,-1,-1,-1"
9427          block_type          "concat"
9428          block_version       "8.2.02"
9429          sg_icon_stat        "60,60,1,1,white,blue,0,df1e5aba,right"
9430          sg_mask_display         "fprintf('','COMMENT: begin icon graphic"
9431"s');\npatch([0 60 60 0 ],[0 0 60 60 ],[0.77 0.82 0.91]);\npatch([14 4 18 4 14"
9432" 30 34 38 55 42 29 20 35 20 29 42 55 38 34 30 14 ],[6 16 30 44 54 54 50 54 54"
9433" 41 54 45 30 15 6 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 "
9434"0 60 60 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT"
9435": begin icon text');\ncolor('black');port_label('input',1,'hi');\ncolor('blac"
9436"k');port_label('input',2,'lo');\nfprintf('','COMMENT: end icon text');\n"
9437        }
9438        Block {
9439          BlockType           Reference
9440          Name            "Constant11"
9441          Ports           [0, 1]
9442          Position            [1525, 627, 1580, 653]
9443          SourceBlock         "xbsIndex_r4/Constant"
9444          SourceType          "Xilinx Constant Block Block"
9445          arith_type          "Unsigned"
9446          const           "hex2dec('15C')"
9447          n_bits              "9"
9448          bin_pt              "0"
9449          explicit_period         on
9450          period              "1"
9451          dsp48_infoedit          "The use of this block for DSP48 instruc"
9452"tions is deprecated.  Please use the Opmode block."
9453          equ             "P=C"
9454          opselect            "C"
9455          inp2            "PCIN>>17"
9456          opr             "+"
9457          inp1            "P"
9458          carry           "CIN"
9459          dbl_ovrd            off
9460          has_advanced_control    "0"
9461          sggui_pos           "-1,-1,-1,-1"
9462          block_type          "constant"
9463          block_version       "8.2.02"
9464          sg_icon_stat        "55,26,1,1,white,blue,0,3a5ddb87,right"
9465          sg_mask_display         "fprintf('','COMMENT: begin icon graphic"
9466"s');\npatch([0 55 55 0 ],[0 0 26 26 ],[0.77 0.82 0.91]);\npatch([20 16 22 16 "
9467"20 27 29 31 38 32 26 22 28 22 26 32 38 31 29 27 20 ],[3 7 13 19 23 23 21 23 2"
9468"3 17 23 19 13 7 3 9 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 55 55 0 0 ],[0 0 "
9469"26 26 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: "
9470"begin icon text');\ncolor('black');port_label('output',1,'348');\nfprintf('',"
9471"'COMMENT: end icon text');\n"
9472        }
9473        Block {
9474          BlockType           Reference
9475          Name            "Constant2"
9476          Ports           [0, 1]
9477          Position            [665, 532, 720, 558]
9478          SourceBlock         "xbsIndex_r4/Constant"
9479          SourceType          "Xilinx Constant Block Block"
9480          arith_type          "Boolean"
9481          const           "0"
9482          n_bits              "16"
9483          bin_pt              "14"
9484          explicit_period         on
9485          period              "1"
9486          dsp48_infoedit          "The use of this block for DSP48 instruc"
9487"tions is deprecated.  Please use the Opmode block."
9488          equ             "P=C"
9489          opselect            "C"
9490          inp2            "PCIN>>17"
9491          opr             "+"
9492          inp1            "P"
9493          carry           "CIN"
9494          dbl_ovrd            off
9495          has_advanced_control    "0"
9496          sggui_pos           "-1,-1,-1,-1"
9497          block_type          "constant"
9498          block_version       "8.2.02"
9499          sg_icon_stat        "55,26,1,1,white,blue,0,72d575a1,right"
9500          sg_mask_display         "fprintf('','COMMENT: begin icon graphic"
9501"s');\npatch([0 55 55 0 ],[0 0 26 26 ],[0.77 0.82 0.91]);\npatch([20 16 22 16 "
9502"20 27 29 31 38 32 26 22 28 22 26 32 38 31 29 27 20 ],[3 7 13 19 23 23 21 23 2"
9503"3 17 23 19 13 7 3 9 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 55 55 0 0 ],[0 0 "
9504"26 26 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: "
9505"begin icon text');\ncolor('black');port_label('output',1,'0');\nfprintf('','C"
9506"OMMENT: end icon text');\n"
9507        }
9508        Block {
9509          BlockType           Reference
9510          Name            "Constant3"
9511          Ports           [0, 1]
9512          Position            [445, 442, 500, 468]
9513          SourceBlock         "xbsIndex_r4/Constant"
9514          SourceType          "Xilinx Constant Block Block"
9515          arith_type          "Unsigned"
9516          const           "1"
9517          n_bits              "1"
9518          bin_pt              "0"
9519          explicit_period         on
9520          period              "1"
9521          dsp48_infoedit          "The use of this block for DSP48 instruc"
9522"tions is deprecated.  Please use the Opmode block."
9523          equ             "P=C"
9524          opselect            "C"
9525          inp2            "PCIN>>17"
9526          opr             "+"
9527          inp1            "P"
9528          carry           "CIN"
9529          dbl_ovrd            off
9530          has_advanced_control    "0"
9531          sggui_pos           "-1,-1,-1,-1"
9532          block_type          "constant"
9533          block_version       "8.2.02"
9534          sg_icon_stat        "55,26,1,1,white,blue,0,06094819,right"
9535          sg_mask_display         "fprintf('','COMMENT: begin icon graphic"
9536"s');\npatch([0 55 55 0 ],[0 0 26 26 ],[0.77 0.82 0.91]);\npatch([20 16 22 16 "
9537"20 27 29 31 38 32 26 22 28 22 26 32 38 31 29 27 20 ],[3 7 13 19 23 23 21 23 2"
9538"3 17 23 19 13 7 3 9 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 55 55 0 0 ],[0 0 "
9539"26 26 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: "
9540"begin icon text');\ncolor('black');port_label('output',1,'1');\nfprintf('','C"
9541"OMMENT: end icon text');\n"
9542        }
9543        Block {
9544          BlockType           Reference
9545          Name            "Constant4"
9546          Ports           [0, 1]
9547          Position            [960, 702, 1015, 728]
9548          SourceBlock         "xbsIndex_r4/Constant"
9549          SourceType          "Xilinx Constant Block Block"
9550          arith_type          "Unsigned"
9551          const           "8"
9552          n_bits              "4"
9553          bin_pt              "0"
9554          explicit_period         on
9555          period              "1"
9556          dsp48_infoedit          "The use of this block for DSP48 instruc"
9557"tions is deprecated.  Please use the Opmode block."
9558          equ             "P=C"
9559          opselect            "C"
9560          inp2            "PCIN>>17"
9561          opr             "+"
9562          inp1            "P"
9563          carry           "CIN"
9564          dbl_ovrd            off
9565          has_advanced_control    "0"
9566          sggui_pos           "-1,-1,-1,-1"
9567          block_type          "constant"
9568          block_version       "8.2.02"
9569          sg_icon_stat        "55,26,1,1,white,blue,0,35d8d1e2,right"
9570          sg_mask_display         "fprintf('','COMMENT: begin icon graphic"
9571"s');\npatch([0 55 55 0 ],[0 0 26 26 ],[0.77 0.82 0.91]);\npatch([20 16 22 16 "
9572"20 27 29 31 38 32 26 22 28 22 26 32 38 31 29 27 20 ],[3 7 13 19 23 23 21 23 2"
9573"3 17 23 19 13 7 3 9 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 55 55 0 0 ],[0 0 "
9574"26 26 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: "
9575"begin icon text');\ncolor('black');port_label('output',1,'8');\nfprintf('','C"
9576"OMMENT: end icon text');\n"
9577        }
9578        Block {
9579          BlockType           Reference
9580          Name            "Constant5"
9581          Ports           [0, 1]
9582          Position            [1525, 327, 1580, 353]
9583          SourceBlock         "xbsIndex_r4/Constant"
9584          SourceType          "Xilinx Constant Block Block"
9585          arith_type          "Unsigned"
9586          const           "hex2dec('115')"
9587          n_bits              "9"
9588          bin_pt              "0"
9589          explicit_period         on
9590          period              "1"
9591          dsp48_infoedit          "The use of this block for DSP48 instruc"
9592"tions is deprecated.  Please use the Opmode block."
9593          equ             "P=C"
9594          opselect            "C"
9595          inp2            "PCIN>>17"
9596          opr             "+"
9597          inp1            "P"
9598          carry           "CIN"
9599          dbl_ovrd            off
9600          has_advanced_control    "0"
9601          sggui_pos           "-1,-1,-1,-1"
9602          block_type          "constant"
9603          block_version       "8.2.02"
9604          sg_icon_stat        "55,26,1,1,white,blue,0,5adc856b,right"
9605          sg_mask_display         "fprintf('','COMMENT: begin icon graphic"
9606"s');\npatch([0 55 55 0 ],[0 0 26 26 ],[0.77 0.82 0.91]);\npatch([20 16 22 16 "
9607"20 27 29 31 38 32 26 22 28 22 26 32 38 31 29 27 20 ],[3 7 13 19 23 23 21 23 2"
9608"3 17 23 19 13 7 3 9 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 55 55 0 0 ],[0 0 "
9609"26 26 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: "
9610"begin icon text');\ncolor('black');port_label('output',1,'277');\nfprintf('',"
9611"'COMMENT: end icon text');\n"
9612        }
9613        Block {
9614          BlockType           Reference
9615          Name            "Constant6"
9616          Ports           [0, 1]
9617          Position            [1525, 477, 1580, 503]
9618          SourceBlock         "xbsIndex_r4/Constant"
9619          SourceType          "Xilinx Constant Block Block"
9620          arith_type          "Unsigned"
9621          const           "hex2dec('175')"
9622          n_bits              "9"
9623          bin_pt              "0"
9624          explicit_period         on
9625          period              "1"
9626          dsp48_infoedit          "The use of this block for DSP48 instruc"
9627"tions is deprecated.  Please use the Opmode block."
9628          equ             "P=C"
9629          opselect            "C"
9630          inp2            "PCIN>>17"
9631          opr             "+"
9632          inp1            "P"
9633          carry           "CIN"
9634          dbl_ovrd            off
9635          has_advanced_control    "0"
9636          sggui_pos           "-1,-1,-1,-1"
9637          block_type          "constant"
9638          block_version       "8.2.02"
9639          sg_icon_stat        "55,26,1,1,white,blue,0,8987f239,right"
9640          sg_mask_display         "fprintf('','COMMENT: begin icon graphic"
9641"s');\npatch([0 55 55 0 ],[0 0 26 26 ],[0.77 0.82 0.91]);\npatch([20 16 22 16 "
9642"20 27 29 31 38 32 26 22 28 22 26 32 38 31 29 27 20 ],[3 7 13 19 23 23 21 23 2"
9643"3 17 23 19 13 7 3 9 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 55 55 0 0 ],[0 0 "
9644"26 26 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: "
9645"begin icon text');\ncolor('black');port_label('output',1,'373');\nfprintf('',"
9646"'COMMENT: end icon text');\n"
9647        }
9648        Block {
9649          BlockType           Reference
9650          Name            "Convert"
9651          Ports           [1, 1]
9652          Position            [400, 310, 445, 340]
9653          SourceBlock         "xbsIndex_r4/Convert"
9654          SourceType          "Xilinx Type Converter Block"
9655          infoedit            "Hardware notes: rounding and saturating"
9656" require hardware resources; truncating and wrapping do not."
9657          arith_type          "Unsigned"
9658          n_bits              "1"
9659          bin_pt              "0"
9660          quantization        "Truncate"
9661          overflow            "Wrap"
9662          latency             "0"
9663          dbl_ovrd            off
9664          pipeline            off
9665          xl_use_area         off
9666          xl_area             "[0,0,0,0,0,0,0]"
9667          has_advanced_control    "0"
9668          sggui_pos           "20,20,374,375"
9669          block_type          "convert"
9670          block_version       "8.2.02"
9671          sg_icon_stat        "45,30,1,1,white,blue,0,74901e60,right"
9672          sg_mask_display         "fprintf('','COMMENT: begin icon graphic"
9673"s');\npatch([0 45 45 0 ],[0 0 30 30 ],[0.77 0.82 0.91]);\npatch([15 10 17 10 "
9674"15 23 25 27 35 28 22 17 23 17 22 28 35 27 25 23 15 ],[3 8 15 22 27 27 25 27 2"
9675"7 20 26 21 15 9 4 10 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 45 45 0 0 ],[0 0"
9676" 30 30 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT:"
9677" begin icon text');\ncolor('black');port_label('output',1,'cast');\nfprintf('"
9678"','COMMENT: end icon text');\n"
9679        }
9680        Block {
9681          BlockType           Reference
9682          Name            "Convert1"
9683          Ports           [1, 1]
9684          Position            [1200, 750, 1235, 780]
9685          SourceBlock         "xbsIndex_r4/Convert"
9686          SourceType          "Xilinx Type Converter Block"
9687          infoedit            "Hardware notes: rounding and saturating"
9688" require hardware resources; truncating and wrapping do not."
9689          arith_type          "Unsigned"
9690          n_bits              "1"
9691          bin_pt              "0"
9692          quantization        "Truncate"
9693          overflow            "Wrap"
9694          latency             "0"
9695          dbl_ovrd            off
9696          pipeline            off
9697          xl_use_area         off
9698          xl_area             "[0,0,0,0,0,0,0]"
9699          has_advanced_control    "0"
9700          sggui_pos           "20,20,374,375"
9701          block_type          "convert"
9702          block_version       "8.2.02"
9703          sg_icon_stat        "35,30,1,1,white,blue,0,74901e60,right"
9704          sg_mask_display         "fprintf('','COMMENT: begin icon graphic"
9705"s');\npatch([0 45 45 0 ],[0 0 30 30 ],[0.77 0.82 0.91]);\npatch([15 10 17 10 "
9706"15 23 25 27 35 28 22 17 23 17 22 28 35 27 25 23 15 ],[3 8 15 22 27 27 25 27 2"
9707"7 20 26 21 15 9 4 10 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 45 45 0 0 ],[0 0"
9708" 30 30 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT:"
9709" begin icon text');\ncolor('black');port_label('output',1,'cast');\nfprintf('"
9710"','COMMENT: end icon text');\n"
9711        }
9712        Block {
9713          BlockType           Reference
9714          Name            "Convert2"
9715          Ports           [1, 1]
9716          Position            [1500, 880, 1545, 910]
9717          SourceBlock         "xbsIndex_r4/Convert"
9718          SourceType          "Xilinx Type Converter Block"
9719          infoedit            "Hardware notes: rounding and saturating"
9720" require hardware resources; truncating and wrapping do not."
9721          arith_type          "Boolean"
9722          n_bits              "1"
9723          bin_pt              "0"
9724          quantization        "Truncate"
9725          overflow            "Wrap"
9726          latency             "5"
9727          dbl_ovrd            off
9728          pipeline            off
9729          xl_use_area         off
9730          xl_area             "[0,0,0,0,0,0,0]"
9731          has_advanced_control    "0"
9732          sggui_pos           "20,20,374,375"
9733          block_type          "convert"
9734          block_version       "8.2.02"
9735          sg_icon_stat        "45,30,1,1,white,blue,0,aac82443,right"
9736          sg_mask_display         "fprintf('','COMMENT: begin icon graphic"
9737"s');\npatch([0 45 45 0 ],[0 0 30 30 ],[0.77 0.82 0.91]);\npatch([15 10 17 10 "
9738"15 23 25 27 35 28 22 17 23 17 22 28 35 27 25 23 15 ],[3 8 15 22 27 27 25 27 2"
9739"7 20 26 21 15 9 4 10 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 45 45 0 0 ],[0 0"
9740" 30 30 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT:"
9741" begin icon text');\ncolor('black');port_label('output',1,'cast');\ncolor('bl"
9742"ack');disp('\\newlinez^{-5}','texmode','on');\nfprintf('','COMMENT: end icon "
9743"text');\n"
9744        }
9745        Block {
9746          BlockType           Reference
9747          Name            "Counter"
9748          Ports           [2, 1]
9749          Position            [435, 220, 495, 280]
9750          SourceBlock         "xbsIndex_r4/Counter"
9751          SourceType          "Xilinx Counter Block"
9752          infoedit            "Hardware notes: Free running counters a"
9753"re the least expensive in hardware.  A count limited counter is implemented b"
9754"y combining a counter with a comparator."
9755          cnt_type            "Free Running"
9756          cnt_to              "Inf"
9757          operation           "Up"
9758          start_count         "127"
9759          cnt_by_val          "1"
9760          arith_type          "Unsigned"
9761          n_bits              "7"
9762          bin_pt              "0"
9763          load_pin            off
9764          rst             on
9765          en              on
9766          explicit_period         "on"
9767          period              "1"
9768          dbl_ovrd            off
9769          use_behavioral_HDL      off
9770          use_rpm             off
9771          xl_use_area         off
9772          xl_area             "[0,0,0,0,0,0,0]"
9773          has_advanced_control    "0"
9774          sggui_pos           "20,20,356,630"
9775          block_type          "counter"
9776          block_version       "8.2.02"
9777          sg_icon_stat        "60,60,1,1,white,blue,0,46c73e85,right"
9778          sg_mask_display         "fprintf('','COMMENT: begin icon graphic"
9779"s');\npatch([0 60 60 0 ],[0 0 60 60 ],[0.77 0.82 0.91]);\npatch([14 4 18 4 14"
9780" 30 34 38 55 42 29 20 35 20 29 42 55 38 34 30 14 ],[6 16 30 44 54 54 50 54 54"
9781" 41 54 45 30 15 6 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 "
9782"0 60 60 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT"
9783": begin icon text');\ncolor('black');port_label('input',1,'rst');\ncolor('bla"
9784"ck');port_label('input',2,'en');\ncolor('black');port_label('output',1,'out')"
9785";\nfprintf('','COMMENT: end icon text');\n"
9786        }
9787        Block {
9788          BlockType           Reference
9789          Name            "Counter1"
9790          Ports           [2, 1]
9791          Position            [1135, 865, 1195, 925]
9792          SourceBlock         "xbsIndex_r4/Counter"
9793          SourceType          "Xilinx Counter Block"
9794          infoedit            "Hardware notes: Free running counters a"
9795"re the least expensive in hardware.  A count limited counter is implemented b"
9796"y combining a counter with a comparator."
9797          cnt_type            "Count Limited"
9798          cnt_to              "17435"
9799          operation           "Up"
9800          start_count         "0"
9801          cnt_by_val          "1"
9802          arith_type          "Unsigned"
9803          n_bits              "15"
9804          bin_pt              "0"
9805          load_pin            off
9806          rst             on
9807          en              on
9808          explicit_period         "on"
9809          period              "1"
9810          dbl_ovrd            off
9811          use_behavioral_HDL      off
9812          use_rpm             off
9813          xl_use_area         off
9814          xl_area             "[0,0,0,0,0,0,0]"
9815          has_advanced_control    "0"
9816          sggui_pos           "20,20,356,630"
9817          block_type          "counter"
9818          block_version       "8.2.02"
9819          sg_icon_stat        "60,60,1,1,white,blue,0,46c73e85,right"
9820          sg_mask_display         "fprintf('','COMMENT: begin icon graphic"
9821"s');\npatch([0 60 60 0 ],[0 0 60 60 ],[0.77 0.82 0.91]);\npatch([14 4 18 4 14"
9822" 30 34 38 55 42 29 20 35 20 29 42 55 38 34 30 14 ],[6 16 30 44 54 54 50 54 54"
9823" 41 54 45 30 15 6 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 "
9824"0 60 60 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT"
9825": begin icon text');\ncolor('black');port_label('input',1,'rst');\ncolor('bla"
9826"ck');port_label('input',2,'en');\ncolor('black');port_label('output',1,'out')"
9827";\nfprintf('','COMMENT: end icon text');\n"
9828        }
9829        Block {
9830          BlockType           Reference
9831          Name            "Delay"
9832          Ports           [1, 1]
9833          Position            [245, 277, 305, 333]
9834          SourceBlock         "xbsIndex_r4/Delay"
9835          SourceType          "Xilinx Delay Block"
9836          infoedit            "Hardware notes: A delay line is a chain"
9837", each link of which is an SRL16 followed by a flip-flop. If register retimin"
9838"g is enabled, the delay line is a chain of flip-flops."
9839          en              off
9840          latency             "4"
9841          dbl_ovrd            off
9842          reg_retiming        off
9843          xl_use_area         off
9844          xl_area             "[0,0,0,0,0,0,0]"
9845          has_advanced_control    "0"
9846          sggui_pos           "20,20,356,256"
9847          block_type          "delay"
9848          block_version       "8.2.02"
9849          sg_icon_stat        "60,56,1,1,white,blue,0,c2217bc4,right"
9850          sg_mask_display         "fprintf('','COMMENT: begin icon graphic"
9851"s');\npatch([0 60 60 0 ],[0 0 56 56 ],[0.77 0.82 0.91]);\npatch([15 6 19 6 15"
9852" 30 34 38 54 41 29 20 32 20 29 41 54 38 34 30 15 ],[6 15 28 41 50 50 46 50 50"
9853" 37 49 40 28 16 7 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 "
9854"0 56 56 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT"
9855": begin icon text');\ncolor('black');disp('z^{-4}','texmode','on');\nfprintf("
9856"'','COMMENT: end icon text');\n"
9857        }
9858        Block {
9859          BlockType           Reference
9860          Name            "From Register1"
9861          Ports           [0, 1]
9862          Position            [1440, 365, 1485, 415]
9863          ShowName            off
9864          AttributesFormatString  "From Register\\n<< %<shared_memory_name"
9865"> >>"
9866          SourceBlock         "xbsIndex_r4/From Register"
9867          SourceType          "Xilinx Shared Memory Based From Registe"
9868"r Block"
9869          infoedit            "Register block that reads data to a sha"
9870"red memory register.  Delay of one sample period."
9871          shared_memory_name      "'LCD_FirstStart'"
9872          init            "0"
9873          period              "1"
9874          ownership           "Locally owned and initialized"
9875          arith_type          "Unsigned"
9876          n_bits              "9"
9877          bin_pt              "0"
9878          dbl_ovrd            off
9879          xl_use_area         off
9880          xl_area             "[0,0,0,0,0,0,0]"
9881          has_advanced_control    "0"
9882          sggui_pos           "20,20,379,246"
9883          block_type          "fromreg"
9884          block_version       "8.2.02"
9885          sg_icon_stat        "45,50,1,1,white,blue,0,4b212927,right"
9886          sg_mask_display         "fprintf('','COMMENT: begin icon graphic"
9887"s');\npatch([0 60 60 0 ],[0 0 56 56 ],[0.77 0.82 0.91]);\npatch([15 6 19 6 15"
9888" 30 34 38 54 41 29 20 32 20 29 41 54 38 34 30 15 ],[6 15 28 41 50 50 46 50 50"
9889" 37 49 40 28 16 7 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 "
9890"0 56 56 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT"
9891": begin icon text');\ncolor('black');port_label('output',1,'dout');\nfprintf("
9892"'','COMMENT: end icon text');\n"
9893        }
9894        Block {
9895          BlockType           Reference
9896          Name            "From Register2"
9897          Ports           [0, 1]
9898          Position            [1525, 400, 1570, 450]
9899          ShowName            off
9900          AttributesFormatString  "From Register\\n<< %<shared_memory_name"
9901"> >>"
9902          SourceBlock         "xbsIndex_r4/From Register"
9903          SourceType          "Xilinx Shared Memory Based From Registe"
9904"r Block"
9905          infoedit            "Register block that reads data to a sha"
9906"red memory register.  Delay of one sample period."
9907          shared_memory_name      "'LCD_FirstEnd'"
9908          init            "131"
9909          period              "1"
9910          ownership           "Locally owned and initialized"
9911          arith_type          "Unsigned"
9912          n_bits              "9"
9913          bin_pt              "0"
9914          dbl_ovrd            off
9915          xl_use_area         off
9916          xl_area             "[0,0,0,0,0,0,0]"
9917          has_advanced_control    "0"
9918          sggui_pos           "20,20,379,246"
9919          block_type          "fromreg"
9920          block_version       "8.2.02"
9921          sg_icon_stat        "45,50,1,1,white,blue,0,4b212927,right"
9922          sg_mask_display         "fprintf('','COMMENT: begin icon graphic"
9923"s');\npatch([0 60 60 0 ],[0 0 56 56 ],[0.77 0.82 0.91]);\npatch([15 6 19 6 15"
9924" 30 34 38 54 41 29 20 32 20 29 41 54 38 34 30 15 ],[6 15 28 41 50 50 46 50 50"
9925" 37 49 40 28 16 7 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 "
9926"0 56 56 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT"
9927": begin icon text');\ncolor('black');port_label('output',1,'dout');\nfprintf("
9928"'','COMMENT: end icon text');\n"
9929        }
9930        Block {
9931          BlockType           Reference
9932          Name            "From Register3"
9933          Ports           [0, 1]
9934          Position            [1535, 665, 1580, 715]
9935          ShowName            off
9936          AttributesFormatString  "From Register\\n<< %<shared_memory_name"
9937"> >>"
9938          SourceBlock         "xbsIndex_r4/From Register"
9939          SourceType          "Xilinx Shared Memory Based From Registe"
9940"r Block"
9941          infoedit            "Register block that reads data to a sha"
9942"red memory register.  Delay of one sample period."
9943          shared_memory_name      "'LCD_BackgroundColor'"
9944          init            "0"
9945          period              "1"
9946          ownership           "Locally owned and initialized"
9947          arith_type          "Unsigned"
9948          n_bits              "9"
9949          bin_pt              "0"
9950          dbl_ovrd            off
9951          xl_use_area         off
9952          xl_area             "[0,0,0,0,0,0,0]"
9953          has_advanced_control    "0"
9954          sggui_pos           "20,20,379,246"
9955          block_type          "fromreg"
9956          block_version       "8.2.02"
9957          sg_icon_stat        "45,50,1,1,white,blue,0,4b212927,right"
9958          sg_mask_display         "fprintf('','COMMENT: begin icon graphic"
9959"s');\npatch([0 60 60 0 ],[0 0 56 56 ],[0.77 0.82 0.91]);\npatch([15 6 19 6 15"
9960" 30 34 38 54 41 29 20 32 20 29 41 54 38 34 30 15 ],[6 15 28 41 50 50 46 50 50"
9961" 37 49 40 28 16 7 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 "
9962"0 56 56 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT"
9963": begin icon text');\ncolor('black');port_label('output',1,'dout');\nfprintf("
9964"'','COMMENT: end icon text');\n"
9965        }
9966        Block {
9967          BlockType           Reference
9968          Name            "From Register4"
9969          Ports           [0, 1]
9970          Position            [1440, 515, 1485, 565]
9971          ShowName            off
9972          AttributesFormatString  "From Register\\n<< %<shared_memory_name"
9973"> >>"
9974          SourceBlock         "xbsIndex_r4/From Register"
9975          SourceType          "Xilinx Shared Memory Based From Registe"
9976"r Block"
9977          infoedit            "Register block that reads data to a sha"
9978"red memory register.  Delay of one sample period."
9979          shared_memory_name      "'LCD_SecondStart'"
9980          init            "0"
9981          period              "1"
9982          ownership           "Locally owned and initialized"
9983          arith_type          "Unsigned"
9984          n_bits              "9"
9985          bin_pt              "0"
9986          dbl_ovrd            off
9987          xl_use_area         off
9988          xl_area             "[0,0,0,0,0,0,0]"
9989          has_advanced_control    "0"
9990          sggui_pos           "20,20,379,246"
9991          block_type          "fromreg"
9992          block_version       "8.2.02"
9993          sg_icon_stat        "45,50,1,1,white,blue,0,4b212927,right"
9994          sg_mask_display         "fprintf('','COMMENT: begin icon graphic"
9995"s');\npatch([0 60 60 0 ],[0 0 56 56 ],[0.77 0.82 0.91]);\npatch([15 6 19 6 15"
9996" 30 34 38 54 41 29 20 32 20 29 41 54 38 34 30 15 ],[6 15 28 41 50 50 46 50 50"
9997" 37 49 40 28 16 7 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 "
9998"0 56 56 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT"
9999": begin icon text');\ncolor('black');port_label('output',1,'dout');\nfprintf("
10000"'','COMMENT: end icon text');\n"
10001        }
10002        Block {
10003          BlockType           Reference
10004          Name            "From Register5"
10005          Ports           [0, 1]
10006          Position            [1525, 545, 1570, 595]
10007          ShowName            off
10008          AttributesFormatString  "From Register\\n<< %<shared_memory_name"
10009"> >>"
10010          SourceBlock         "xbsIndex_r4/From Register"
10011          SourceType          "Xilinx Shared Memory Based From Registe"
10012"r Block"
10013          infoedit            "Register block that reads data to a sha"
10014"red memory register.  Delay of one sample period."
10015          shared_memory_name      "'LCD_SecondEnd'"
10016          init            "131"
10017          period              "1"
10018          ownership           "Locally owned and initialized"
10019          arith_type          "Unsigned"
10020          n_bits              "9"
10021          bin_pt              "0"
10022          dbl_ovrd            off
10023          xl_use_area         off
10024          xl_area             "[0,0,0,0,0,0,0]"
10025          has_advanced_control    "0"
10026          sggui_pos           "20,20,379,246"
10027          block_type          "fromreg"
10028          block_version       "8.2.02"
10029          sg_icon_stat        "45,50,1,1,white,blue,0,4b212927,right"
10030          sg_mask_display         "fprintf('','COMMENT: begin icon graphic"
10031"s');\npatch([0 60 60 0 ],[0 0 56 56 ],[0.77 0.82 0.91]);\npatch([15 6 19 6 15"
10032" 30 34 38 54 41 29 20 32 20 29 41 54 38 34 30 15 ],[6 15 28 41 50 50 46 50 50"
10033" 37 49 40 28 16 7 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 "
10034"0 56 56 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT"
10035": begin icon text');\ncolor('black');port_label('output',1,'dout');\nfprintf("
10036"'','COMMENT: end icon text');\n"
10037        }
10038        Block {
10039          BlockType           Reference
10040          Name            "From Register6"
10041          Ports           [0, 1]
10042          Position            [775, 195, 820, 245]
10043          NamePlacement       "alternate"
10044          ShowName            off
10045          AttributesFormatString  "From Register\\n<< %<shared_memory_name"
10046"> >>"
10047          SourceBlock         "xbsIndex_r4/From Register"
10048          SourceType          "Xilinx Shared Memory Based From Registe"
10049"r Block"
10050          infoedit            "Register block that reads data to a sha"
10051"red memory register.  Delay of one sample period."
10052          shared_memory_name      "'LCD_ConfigLocation'"
10053          init            "0"
10054          period              "1"
10055          ownership           "Locally owned and initialized"
10056          arith_type          "Unsigned"
10057          n_bits              "2"
10058          bin_pt              "0"
10059          dbl_ovrd            off
10060          xl_use_area         off
10061          xl_area             "[0,0,0,0,0,0,0]"
10062          has_advanced_control    "0"
10063          sggui_pos           "20,20,381,246"
10064          block_type          "fromreg"
10065          block_version       "8.2.02"
10066          sg_icon_stat        "45,50,1,1,white,blue,0,4b212927,right"
10067          sg_mask_display         "fprintf('','COMMENT: begin icon graphic"
10068"s');\npatch([0 60 60 0 ],[0 0 56 56 ],[0.77 0.82 0.91]);\npatch([15 6 19 6 15"
10069" 30 34 38 54 41 29 20 32 20 29 41 54 38 34 30 15 ],[6 15 28 41 50 50 46 50 50"
10070" 37 49 40 28 16 7 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 "
10071"0 56 56 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT"
10072": begin icon text');\ncolor('black');port_label('output',1,'dout');\nfprintf("
10073"'','COMMENT: end icon text');\n"
10074        }
10075        Block {
10076          BlockType           Reference
10077          Name            "Inverter1"
10078          Ports           [1, 1]
10079          Position            [1800, 406, 1855, 464]
10080          SourceBlock         "xbsIndex_r4/Inverter"
10081          SourceType          "Xilinx Inverter Block"
10082          infoedit            "Bitwise logical negation (one's complem"
10083"ent) operator."
10084          en              off
10085          latency             "0"
10086          dbl_ovrd            off
10087          xl_use_area         off
10088          xl_area             "[0,0,0,0,0,0,0]"
10089          has_advanced_control    "0"
10090          sggui_pos           "-1,-1,-1,-1"
10091          block_type          "inv"
10092          block_version       "8.2.02"
10093          sg_icon_stat        "55,58,1,1,white,blue,0,1ab4a85f,right"
10094          sg_mask_display         "fprintf('','COMMENT: begin icon graphic"
10095"s');\npatch([0 55 55 0 ],[0 0 58 58 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13"
10096" 28 32 36 52 40 28 19 32 19 28 40 52 36 32 28 13 ],[7 16 29 42 51 51 47 51 51"
10097" 39 51 42 29 16 7 19 7 7 11 7 7 ],[0.98 0.96 0.92]);\nplot([0 55 55 0 0 ],[0 "
10098"0 58 58 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT"
10099": begin icon text');\ncolor('black');disp('not');\nfprintf('','COMMENT: end i"
10100"con text');\n"
10101        }
10102        Block {
10103          BlockType           Reference
10104          Name            "Inverter2"
10105          Ports           [1, 1]
10106          Position            [735, 401, 790, 459]
10107          SourceBlock         "xbsIndex_r4/Inverter"
10108          SourceType          "Xilinx Inverter Block"
10109          infoedit            "Bitwise logical negation (one's complem"
10110"ent) operator."
10111          en              off
10112          latency             "0"
10113          dbl_ovrd            off
10114          xl_use_area         off
10115          xl_area             "[0,0,0,0,0,0,0]"
10116          has_advanced_control    "0"
10117          sggui_pos           "-1,-1,-1,-1"
10118          block_type          "inv"
10119          block_version       "8.2.02"
10120          sg_icon_stat        "55,58,1,1,white,blue,0,1ab4a85f,right"
10121          sg_mask_display         "fprintf('','COMMENT: begin icon graphic"
10122"s');\npatch([0 55 55 0 ],[0 0 58 58 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13"
10123" 28 32 36 52 40 28 19 32 19 28 40 52 36 32 28 13 ],[7 16 29 42 51 51 47 51 51"
10124" 39 51 42 29 16 7 19 7 7 11 7 7 ],[0.98 0.96 0.92]);\nplot([0 55 55 0 0 ],[0 "
10125"0 58 58 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT"
10126": begin icon text');\ncolor('black');disp('not');\nfprintf('','COMMENT: end i"
10127"con text');\n"
10128        }
10129        Block {
10130          BlockType           Reference
10131          Name            "Logical"
10132          Ports           [2, 1]
10133          Position            [130, 235, 185, 295]
10134          SourceBlock         "xbsIndex_r4/Logical"
10135          SourceType          "Xilinx Logical Block Block"
10136          logical_function        "OR"
10137          inputs              "2"
10138          en              off
10139          latency             "0"
10140          precision           "Full"
10141          arith_type          "Unsigned"
10142          n_bits              "16"
10143          bin_pt              "0"
10144          align_bp            on
10145          dbl_ovrd            off
10146          xl_use_area         off
10147          xl_area             "[0,0,0,0,0,0,0]"
10148          has_advanced_control    "0"
10149          sggui_pos           "-1,-1,-1,-1"
10150          block_type          "logical"
10151          block_version       "8.2.02"
10152          sg_icon_stat        "55,60,1,1,white,blue,0,f4a65842,right"
10153          sg_mask_display         "fprintf('','COMMENT: begin icon graphic"
10154"s');\npatch([0 55 55 0 ],[0 0 60 60 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13"
10155" 28 32 36 52 40 28 19 32 19 28 40 52 36 32 28 13 ],[8 17 30 43 52 52 48 52 52"
10156" 40 52 43 30 17 8 20 8 8 12 8 8 ],[0.98 0.96 0.92]);\nplot([0 55 55 0 0 ],[0 "
10157"0 60 60 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT"
10158": begin icon text');\ncolor('black');disp('\\newlineor\\newlinez^{-0}','texmo"
10159"de','on');\nfprintf('','COMMENT: end icon text');\n"
10160        }
10161        Block {
10162          BlockType           Reference
10163          Name            "Logical1"
10164          Ports           [2, 1]
10165          Position            [370, 360, 425, 420]
10166          SourceBlock         "xbsIndex_r4/Logical"
10167          SourceType          "Xilinx Logical Block Block"
10168          logical_function        "AND"
10169          inputs              "2"
10170          en              off
10171          latency             "0"
10172          precision           "Full"
10173          arith_type          "Unsigned"
10174          n_bits              "16"
10175          bin_pt              "0"
10176          align_bp            on
10177          dbl_ovrd            off
10178          xl_use_area         off
10179          xl_area             "[0,0,0,0,0,0,0]"
10180          has_advanced_control    "0"
10181          sggui_pos           "-1,-1,-1,-1"
10182          block_type          "logical"
10183          block_version       "8.2.02"
10184          sg_icon_stat        "55,60,1,1,white,blue,0,087b5522,right"
10185          sg_mask_display         "fprintf('','COMMENT: begin icon graphic"
10186"s');\npatch([0 55 55 0 ],[0 0 60 60 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13"
10187" 28 32 36 52 40 28 19 32 19 28 40 52 36 32 28 13 ],[8 17 30 43 52 52 48 52 52"
10188" 40 52 43 30 17 8 20 8 8 12 8 8 ],[0.98 0.96 0.92]);\nplot([0 55 55 0 0 ],[0 "
10189"0 60 60 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT"
10190": begin icon text');\ncolor('black');disp('\\newlineand\\newlinez^{-0}','texm"
10191"ode','on');\nfprintf('','COMMENT: end icon text');\n"
10192        }
10193        Block {
10194          BlockType           Reference
10195          Name            "Logical2"
10196          Ports           [2, 1]
10197          Position            [1005, 750, 1060, 810]
10198          SourceBlock         "xbsIndex_r4/Logical"
10199          SourceType          "Xilinx Logical Block Block"
10200          logical_function        "AND"
10201          inputs              "2"
10202          en              off
10203          latency             "0"
10204          precision           "Full"
10205          arith_type          "Unsigned"
10206          n_bits              "16"
10207          bin_pt              "0"
10208          align_bp            on
10209          dbl_ovrd            off
10210          xl_use_area         off
10211          xl_area             "[0,0,0,0,0,0,0]"
10212          has_advanced_control    "0"
10213          sggui_pos           "-1,-1,-1,-1"
10214          block_type          "logical"
10215          block_version       "8.2.02"
10216          sg_icon_stat        "55,60,1,1,white,blue,0,087b5522,right"
10217          sg_mask_display         "fprintf('','COMMENT: begin icon graphic"
10218"s');\npatch([0 55 55 0 ],[0 0 60 60 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13"
10219" 28 32 36 52 40 28 19 32 19 28 40 52 36 32 28 13 ],[8 17 30 43 52 52 48 52 52"
10220" 40 52 43 30 17 8 20 8 8 12 8 8 ],[0.98 0.96 0.92]);\nplot([0 55 55 0 0 ],[0 "
10221"0 60 60 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT"
10222": begin icon text');\ncolor('black');disp('\\newlineand\\newlinez^{-0}','texm"
10223"ode','on');\nfprintf('','COMMENT: end icon text');\n"
10224        }
10225        Block {
10226          BlockType           Reference
10227          Name            "Logical3"
10228          Ports           [2, 1]
10229          Position            [1120, 735, 1175, 795]
10230          SourceBlock         "xbsIndex_r4/Logical"
10231          SourceType          "Xilinx Logical Block Block"
10232          logical_function        "AND"
10233          inputs              "2"
10234          en              off
10235          latency             "0"
10236          precision           "Full"
10237          arith_type          "Unsigned"
10238          n_bits              "16"
10239          bin_pt              "0"
10240          align_bp            on
10241          dbl_ovrd            off
10242          xl_use_area         off
10243          xl_area             "[0,0,0,0,0,0,0]"
10244          has_advanced_control    "0"
10245          sggui_pos           "-1,-1,-1,-1"
10246          block_type          "logical"
10247          block_version       "8.2.02"
10248          sg_icon_stat        "55,60,1,1,white,blue,0,087b5522,right"
10249          sg_mask_display         "fprintf('','COMMENT: begin icon graphic"
10250"s');\npatch([0 55 55 0 ],[0 0 60 60 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13"
10251" 28 32 36 52 40 28 19 32 19 28 40 52 36 32 28 13 ],[8 17 30 43 52 52 48 52 52"
10252" 40 52 43 30 17 8 20 8 8 12 8 8 ],[0.98 0.96 0.92]);\nplot([0 55 55 0 0 ],[0 "
10253"0 60 60 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT"
10254": begin icon text');\ncolor('black');disp('\\newlineand\\newlinez^{-0}','texm"
10255"ode','on');\nfprintf('','COMMENT: end icon text');\n"
10256        }
10257        Block {
10258          BlockType           Reference
10259          Name            "Mux1"
10260          Ports           [3, 1]
10261          Position            [750, 493, 795, 597]
10262          SourceBlock         "xbsIndex_r4/Mux"
10263          SourceType          "Xilinx Bus Multiplexer Block"
10264          inputs              "2"
10265          en              off
10266          latency             "0"
10267          precision           "Full"
10268          arith_type          "Unsigned"
10269          n_bits              "16"
10270          bin_pt              "14"
10271          quantization        "Truncate"
10272          overflow            "Wrap"
10273          dbl_ovrd            off
10274          xl_use_area         off
10275          xl_area             "[0,0,0,0,0,0,0]"
10276          has_advanced_control    "0"
10277          sggui_pos           "-1,-1,-1,-1"
10278          block_type          "mux"
10279          block_version       "8.2.02"
10280          sg_icon_stat        "45,104,1,1,white,blue,3,613f58e1,right"
10281          sg_mask_display         "fprintf('','COMMENT: begin icon graphic"
10282"s');\npatch([0 45 45 0 ],[0 14.8571 89.1429 104 ],[0.77 0.82 0.91]);\npatch(["
10283"10 3 14 3 10 22 25 28 41 31 21 14 25 14 21 31 41 28 25 22 10 ],[34 41 52 63 7"
10284"0 70 67 70 70 60 70 63 52 41 34 44 34 34 37 34 34 ],[0.98 0.96 0.92]);\nplot("
10285"[0 45 45 0 0 ],[0 14.8571 89.1429 104 0 ]);\nfprintf('','COMMENT: end icon gr"
10286"aphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label"
10287"('input',1,'sel');\ncolor('black');port_label('input',2,'d0');\ncolor('black'"
10288");port_label('input',3,'d1');\ncolor('black');disp('\\bf{}','texmode','on');"
10289"\nfprintf('','COMMENT: end icon text');\n"
10290        }
10291        Block {
10292          BlockType           Reference
10293          Name            "Mux2"
10294          Ports           [3, 1]
10295          Position            [1070, 538, 1115, 642]
10296          SourceBlock         "xbsIndex_r4/Mux"
10297          SourceType          "Xilinx Bus Multiplexer Block"
10298          inputs              "2"
10299          en              off
10300          latency             "0"
10301          precision           "Full"
10302          arith_type          "Unsigned"
10303          n_bits              "16"
10304          bin_pt              "14"
10305          quantization        "Truncate"
10306          overflow            "Wrap"
10307          dbl_ovrd            off
10308          xl_use_area         off
10309          xl_area             "[0,0,0,0,0,0,0]"
10310          has_advanced_control    "0"
10311          sggui_pos           "-1,-1,-1,-1"
10312          block_type          "mux"
10313          block_version       "8.2.02"
10314          sg_icon_stat        "45,104,1,1,white,blue,3,613f58e1,right"
10315          sg_mask_display         "fprintf('','COMMENT: begin icon graphic"
10316"s');\npatch([0 45 45 0 ],[0 14.8571 89.1429 104 ],[0.77 0.82 0.91]);\npatch(["
10317"10 3 14 3 10 22 25 28 41 31 21 14 25 14 21 31 41 28 25 22 10 ],[34 41 52 63 7"
10318"0 70 67 70 70 60 70 63 52 41 34 44 34 34 37 34 34 ],[0.98 0.96 0.92]);\nplot("
10319"[0 45 45 0 0 ],[0 14.8571 89.1429 104 0 ]);\nfprintf('','COMMENT: end icon gr"
10320"aphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label"
10321"('input',1,'sel');\ncolor('black');port_label('input',2,'d0');\ncolor('black'"
10322");port_label('input',3,'d1');\ncolor('black');disp('\\bf{}','texmode','on');"
10323"\nfprintf('','COMMENT: end icon text');\n"
10324        }
10325        Block {
10326          BlockType           Reference
10327          Name            "Mux3"
10328          Ports           [10, 1]
10329          Position            [1610, 218, 1655, 712]
10330          SourceBlock         "xbsIndex_r4/Mux"
10331          SourceType          "Xilinx Bus Multiplexer Block"
10332          inputs              "9"
10333          en              off
10334          latency             "0"
10335          precision           "Full"
10336          arith_type          "Unsigned"
10337          n_bits              "16"
10338          bin_pt              "14"
10339          quantization        "Truncate"
10340          overflow            "Wrap"
10341          dbl_ovrd            off
10342          xl_use_area         off
10343          xl_area             "[0,0,0,0,0,0,0]"
10344          has_advanced_control    "0"
10345          sggui_pos           "-1,-1,-1,-1"
10346          block_type          "mux"
10347          block_version       "8.2.02"
10348          sg_icon_stat        "45,494,1,1,white,blue,3,62ea264b,right"
10349          sg_mask_display         "fprintf('','COMMENT: begin icon graphic"
10350"s');\npatch([0 45 45 0 ],[0 14.8571 89.1429 104 ],[0.77 0.82 0.91]);\npatch(["
10351"10 3 14 3 10 22 25 28 41 31 21 14 25 14 21 31 41 28 25 22 10 ],[34 41 52 63 7"
10352"0 70 67 70 70 60 70 63 52 41 34 44 34 34 37 34 34 ],[0.98 0.96 0.92]);\nplot("
10353"[0 45 45 0 0 ],[0 14.8571 89.1429 104 0 ]);\nfprintf('','COMMENT: end icon gr"
10354"aphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label"
10355"('input',1,'sel');\ncolor('black');port_label('input',2,'d0');\ncolor('black'"
10356");port_label('input',3,'d1');\ncolor('black');port_label('input',4,'d2');\nco"
10357"lor('black');port_label('input',5,'d3');\ncolor('black');port_label('input',6"
10358",'d4');\ncolor('black');port_label('input',7,'d5');\ncolor('black');port_labe"
10359"l('input',8,'d6');\ncolor('black');port_label('input',9,'d7');\ncolor('black'"
10360");port_label('input',10,'d8');\ncolor('black');disp('\\bf{}','texmode','on');"
10361"\nfprintf('','COMMENT: end icon text');\n"
10362        }
10363        Block {
10364          BlockType           SubSystem
10365          Name            "Neg Edge Detector"
10366          Ports           [1, 1]
10367          Position            [1340, 864, 1465, 926]
10368          MinAlgLoopOccurrences   off
10369          RTWSystemCode       "Auto"
10370          FunctionWithSeparateData off
10371          MaskHideContents        off
10372          System {
10373        Name            "Neg Edge Detector"
10374        Location        [971, 353, 1386, 494]
10375        Open            off
10376        ModelBrowserVisibility  off
10377        ModelBrowserWidth   200
10378        ScreenColor     "white"
10379        PaperOrientation    "landscape"
10380        PaperPositionMode   "auto"
10381        PaperType       "usletter"
10382        PaperUnits      "inches"
10383        TiledPaperMargins   "[0.500000, 0.500000, 0.500000, 0.5000"
10384"00]"
10385        TiledPageScale      1
10386        ShowPageBoundaries  off
10387        ZoomFactor      "100"
10388        Block {
10389          BlockType       Inport
10390          Name            "Input Signal"
10391          Position        [25, 33, 55, 47]
10392          IconDisplay         "Port number"
10393        }
10394        Block {
10395          BlockType       Reference
10396          Name            "Delay"
10397          Ports           [1, 1]
10398          Position        [170, 57, 230, 113]
10399          SourceBlock         "xbsIndex_r4/Delay"
10400          SourceType          "Xilinx Delay Block"
10401          infoedit        "Hardware notes: A delay line is a c"
10402"hain, each link of which is an SRL16 followed by a flip-flop. If register ret"
10403"iming is enabled, the delay line is a chain of flip-flops."
10404          en              off
10405          latency         "1"
10406          dbl_ovrd        off
10407          reg_retiming        off
10408          xl_use_area         off
10409          xl_area         "[0,0,0,0,0,0,0]"
10410          has_advanced_control    "0"
10411          sggui_pos       "-1,-1,-1,-1"
10412          block_type          "delay"
10413          block_version       "8.2.02"
10414          sg_icon_stat        "60,56,1,1,white,blue,0,fc531c0e,rig"
10415"ht"
10416          sg_mask_display     "fprintf('','COMMENT: begin icon gra"
10417"phics');\npatch([0 60 60 0 ],[0 0 56 56 ],[0.77 0.82 0.91]);\npatch([15 6 19 "
10418"6 15 30 34 38 54 41 29 20 32 20 29 41 54 38 34 30 15 ],[6 15 28 41 50 50 46 5"
10419"0 50 37 49 40 28 16 7 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ]"
10420",[0 0 56 56 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COM"
10421"MENT: begin icon text');\ncolor('black');disp('z^{-1}','texmode','on');\nfpri"
10422"ntf('','COMMENT: end icon text');\n"
10423        }
10424        Block {
10425          BlockType       Reference
10426          Name            "Inverter"
10427          Ports           [1, 1]
10428          Position        [100, 56, 155, 114]
10429          SourceBlock         "xbsIndex_r4/Inverter"
10430          SourceType          "Xilinx Inverter Block"
10431          infoedit        "Bitwise logical negation (one's com"
10432"plement) operator."
10433          en              off
10434          latency         "0"
10435          dbl_ovrd        off
10436          xl_use_area         off
10437          xl_area         "[0,0,0,0,0,0,0]"
10438          has_advanced_control    "0"
10439          sggui_pos       "20,20,356,237"
10440          block_type          "inv"
10441          block_version       "8.2.02"
10442          sg_icon_stat        "55,58,1,1,white,blue,0,1ab4a85f,rig"
10443"ht"
10444          sg_mask_display     "fprintf('','COMMENT: begin icon gra"
10445"phics');\npatch([0 55 55 0 ],[0 0 58 58 ],[0.77 0.82 0.91]);\npatch([13 4 17 "
10446"4 13 28 32 36 52 40 28 19 32 19 28 40 52 36 32 28 13 ],[7 16 29 42 51 51 47 5"
10447"1 51 39 51 42 29 16 7 19 7 7 11 7 7 ],[0.98 0.96 0.92]);\nplot([0 55 55 0 0 ]"
10448",[0 0 58 58 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COM"
10449"MENT: begin icon text');\ncolor('black');disp('not');\nfprintf('','COMMENT: e"
10450"nd icon text');\n"
10451        }
10452        Block {
10453          BlockType       Reference
10454          Name            "Logical"
10455          Ports           [2, 1]
10456          Position        [280, 25, 335, 85]
10457          SourceBlock         "xbsIndex_r4/Logical"
10458          SourceType          "Xilinx Logical Block Block"
10459          logical_function    "NOR"
10460          inputs          "2"
10461          en              off
10462          latency         "0"
10463          precision       "Full"
10464          arith_type          "Unsigned"
10465          n_bits          "16"
10466          bin_pt          "0"
10467          align_bp        on
10468          dbl_ovrd        off
10469          xl_use_area         off
10470          xl_area         "[0,0,0,0,0,0,0]"
10471          has_advanced_control    "0"
10472          sggui_pos       "-1,-1,-1,-1"
10473          block_type          "logical"
10474          block_version       "8.2.02"
10475          sg_icon_stat        "55,60,1,1,white,blue,0,0f2a8a4d,rig"
10476"ht"
10477          sg_mask_display     "fprintf('','COMMENT: begin icon gra"
10478"phics');\npatch([0 55 55 0 ],[0 0 60 60 ],[0.77 0.82 0.91]);\npatch([13 4 17 "
10479"4 13 28 32 36 52 40 28 19 32 19 28 40 52 36 32 28 13 ],[8 17 30 43 52 52 48 5"
10480"2 52 40 52 43 30 17 8 20 8 8 12 8 8 ],[0.98 0.96 0.92]);\nplot([0 55 55 0 0 ]"
10481",[0 0 60 60 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COM"
10482"MENT: begin icon text');\ncolor('black');disp('\\newlinenor\\newlinez^{-0}','"
10483"texmode','on');\nfprintf('','COMMENT: end icon text');\n"
10484        }
10485        Block {
10486          BlockType       Outport
10487          Name            "Rising Edge"
10488          Position        [385, 48, 415, 62]
10489          IconDisplay         "Port number"
10490          BusOutputAsStruct   off
10491        }
10492        Line {
10493          SrcBlock        "Input Signal"
10494          SrcPort         1
10495          Points          [0, 0; 15, 0]
10496          Branch {
10497            DstBlock            "Logical"
10498            DstPort         1
10499          }
10500          Branch {
10501            Points          [0, 45]
10502            DstBlock            "Inverter"
10503            DstPort         1
10504          }
10505        }
10506        Line {
10507          SrcBlock        "Delay"
10508          SrcPort         1
10509          Points          [15, 0; 0, -15]
10510          DstBlock        "Logical"
10511          DstPort         2
10512        }
10513        Line {
10514          SrcBlock        "Inverter"
10515          SrcPort         1
10516          DstBlock        "Delay"
10517          DstPort         1
10518        }
10519        Line {
10520          SrcBlock        "Logical"
10521          SrcPort         1
10522          DstBlock        "Rising Edge"
10523          DstPort         1
10524        }
10525          }
10526        }
10527        Block {
10528          BlockType           Reference
10529          Name            "Register"
10530          Ports           [3, 1]
10531          Position            [1585, 887, 1645, 943]
10532          SourceBlock         "xbsIndex_r4/Register"
10533          SourceType          "Xilinx Register Block"
10534          init            "0"
10535          rst             on
10536          en              on
10537          dbl_ovrd            off
10538          xl_use_area         off
10539          xl_area             "[0,0,0,0,0,0,0]"
10540          has_advanced_control    "0"
10541          sggui_pos           "-1,-1,-1,-1"
10542          block_type          "register"
10543          block_version       "8.2.02"
10544          sg_icon_stat        "60,56,1,1,white,blue,0,923c1847,right"
10545          sg_mask_display         "fprintf('','COMMENT: begin icon graphic"
10546"s');\npatch([0 60 60 0 ],[0 0 56 56 ],[0.77 0.82 0.91]);\npatch([15 6 19 6 15"
10547" 30 34 38 54 41 29 20 32 20 29 41 54 38 34 30 15 ],[6 15 28 41 50 50 46 50 50"
10548" 37 49 40 28 16 7 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 "
10549"0 56 56 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT"
10550": begin icon text');\ncolor('black');port_label('input',1,'d');\ncolor('black"
10551"');port_label('input',2,'rst');\ncolor('black');port_label('input',3,'en');\n"
10552"color('black');port_label('output',1,'q');\ncolor('black');disp('\\bf{z^{-1}}"
10553"','texmode','on');\nfprintf('','COMMENT: end icon text');\n"
10554        }
10555        Block {
10556          BlockType           Reference
10557          Name            "Relational"
10558          Ports           [2, 1]
10559          Position            [630, 362, 685, 418]
10560          SourceBlock         "xbsIndex_r4/Relational"
10561          SourceType          "Xilinx Arithmetic Relational Operator B"
10562"lock"
10563          mode            "a<=b"
10564          en              off
10565          latency             "1"
10566          dbl_ovrd            off
10567          xl_use_area         off
10568          xl_area             "[0,0,0,0,0,0,0]"
10569          has_advanced_control    "0"
10570          sggui_pos           "-1,-1,-1,-1"
10571          block_type          "relational"
10572          block_version       "8.2.02"
10573          sg_icon_stat        "55,56,1,1,white,blue,0,8e8b0a36,right"
10574          sg_mask_display         "fprintf('','COMMENT: begin icon graphic"
10575"s');\npatch([0 55 55 0 ],[0 0 56 56 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13"
10576" 28 32 36 52 40 28 19 32 19 28 40 52 36 32 28 13 ],[6 15 28 41 50 50 46 50 50"
10577" 38 50 41 28 15 6 18 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 55 55 0 0 ],[0 "
10578"0 56 56 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT"
10579": begin icon text');\ncolor('black');port_label('input',1,'a');\ncolor('black"
10580"');port_label('input',2,'b');\ncolor('black');disp('\\newline\\bf{a<=b}\\newl"
10581"inez^{-1}','texmode','on');\nfprintf('','COMMENT: end icon text');\n"
10582        }
10583        Block {
10584          BlockType           Reference
10585          Name            "Relational1"
10586          Ports           [2, 1]
10587          Position            [1035, 672, 1090, 728]
10588          SourceBlock         "xbsIndex_r4/Relational"
10589          SourceType          "Xilinx Arithmetic Relational Operator B"
10590"lock"
10591          mode            "a<b"
10592          en              off
10593          latency             "0"
10594          dbl_ovrd            off
10595          xl_use_area         off
10596          xl_area             "[0,0,0,0,0,0,0]"
10597          has_advanced_control    "0"
10598          sggui_pos           "-1,-1,-1,-1"
10599          block_type          "relational"
10600          block_version       "8.2.02"
10601          sg_icon_stat        "55,56,1,1,white,blue,0,52a6a481,right"
10602          sg_mask_display         "fprintf('','COMMENT: begin icon graphic"
10603"s');\npatch([0 55 55 0 ],[0 0 56 56 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13"
10604" 28 32 36 52 40 28 19 32 19 28 40 52 36 32 28 13 ],[6 15 28 41 50 50 46 50 50"
10605" 38 50 41 28 15 6 18 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 55 55 0 0 ],[0 "
10606"0 56 56 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT"
10607": begin icon text');\ncolor('black');port_label('input',1,'a');\ncolor('black"
10608"');port_label('input',2,'b');\ncolor('black');disp('\\newline\\bf{a<b}\\newli"
10609"nez^{-0}','texmode','on');\nfprintf('','COMMENT: end icon text');\n"
10610        }
10611        Block {
10612          BlockType           InportShadow
10613          Name            "Reset1"
10614          Position            [1505, 958, 1535, 972]
10615          Port            "4"
10616          IconDisplay         "Port number"
10617          LatchByDelayingOutsideSignal off
10618          LatchByCopyingInsideSignal off
10619        }
10620        Block {
10621          BlockType           InportShadow
10622          Name            "Reset2"
10623          Position            [1085, 873, 1115, 887]
10624          Port            "4"
10625          IconDisplay         "Port number"
10626          LatchByDelayingOutsideSignal off
10627          LatchByCopyingInsideSignal off
10628        }
10629        Block {
10630          BlockType           InportShadow
10631          Name            "Reset3"
10632          Position            [1210, 803, 1240, 817]
10633          Port            "4"
10634          IconDisplay         "Port number"
10635          LatchByDelayingOutsideSignal off
10636          LatchByCopyingInsideSignal off
10637        }
10638        Block {
10639          BlockType           Reference
10640          Name            "Slice2"
10641          Ports           [1, 1]
10642          Position            [1715, 470, 1775, 500]
10643          SourceBlock         "xbsIndex_r4/Slice"
10644          SourceType          "Xilinx Bit Slice Extractor Block"
10645          infoedit            "Extracts a given range of bits from eac"
10646"h input sample and presents it at the output.  The output type is ordinarily "
10647"unsigned with binary point at zero, but can be Boolean when the slice is one "
10648"bit wide.<P><P>Hardware notes: In hardware this block costs nothing."
10649          nbits           "8"
10650          boolean_output          off
10651          mode            "Lower Bit Location + Width"
10652          bit1            "0"
10653          base1           "MSB of Input"
10654          bit0            "0"
10655          base0           "LSB of Input"
10656          dbl_ovrd            off
10657          has_advanced_control    "0"
10658          sggui_pos           "20,20,442,407"
10659          block_type          "slice"
10660          block_version       "8.2.02"
10661          sg_icon_stat        "60,30,1,1,white,blue,0,b1026674,right"
10662          sg_mask_display         "fprintf('','COMMENT: begin icon graphic"
10663"s');\npatch([0 60 60 0 ],[0 0 30 30 ],[0.77 0.82 0.91]);\npatch([22 17 24 17 "
10664"22 30 32 34 42 35 29 24 30 24 29 35 42 34 32 30 22 ],[3 8 15 22 27 27 25 27 2"
10665"7 20 26 21 15 9 4 10 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0"
10666" 30 30 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT:"
10667" begin icon text');\ncolor('black');port_label('output',1,'[a:b]');\nfprintf("
10668"'','COMMENT: end icon text');\n"
10669        }
10670        Block {
10671          BlockType           Reference
10672          Name            "Slice3"
10673          Ports           [1, 1]
10674          Position            [1715, 420, 1775, 450]
10675          SourceBlock         "xbsIndex_r4/Slice"
10676          SourceType          "Xilinx Bit Slice Extractor Block"
10677          infoedit            "Extracts a given range of bits from eac"
10678"h input sample and presents it at the output.  The output type is ordinarily "
10679"unsigned with binary point at zero, but can be Boolean when the slice is one "
10680"bit wide.<P><P>Hardware notes: In hardware this block costs nothing."
10681          nbits           "1"
10682          boolean_output          off
10683          mode            "Lower Bit Location + Width"
10684          bit1            "0"
10685          base1           "MSB of Input"
10686          bit0            "8"
10687          base0           "LSB of Input"
10688          dbl_ovrd            off
10689          has_advanced_control    "0"
10690          sggui_pos           "20,20,442,407"
10691          block_type          "slice"
10692          block_version       "8.2.02"
10693          sg_icon_stat        "60,30,1,1,white,blue,0,b1026674,right"
10694          sg_mask_display         "fprintf('','COMMENT: begin icon graphic"
10695"s');\npatch([0 60 60 0 ],[0 0 30 30 ],[0.77 0.82 0.91]);\npatch([22 17 24 17 "
10696"22 30 32 34 42 35 29 24 30 24 29 35 42 34 32 30 22 ],[3 8 15 22 27 27 25 27 2"
10697"7 20 26 21 15 9 4 10 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0"
10698" 30 30 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT:"
10699" begin icon text');\ncolor('black');port_label('output',1,'[a:b]');\nfprintf("
10700"'','COMMENT: end icon text');\n"
10701        }
10702        Block {
10703          BlockType           Reference
10704          Name            "Slice4"
10705          Ports           [1, 1]
10706          Position            [1235, 880, 1295, 910]
10707          SourceBlock         "xbsIndex_r4/Slice"
10708          SourceType          "Xilinx Bit Slice Extractor Block"
10709          infoedit            "Extracts a given range of bits from eac"
10710"h input sample and presents it at the output.  The output type is ordinarily "
10711"unsigned with binary point at zero, but can be Boolean when the slice is one "
10712"bit wide.<P><P>Hardware notes: In hardware this block costs nothing."
10713          nbits           "1"
10714          boolean_output          off
10715          mode            "Upper Bit Location + Width"
10716          bit1            "0"
10717          base1           "MSB of Input"
10718          bit0            "1"
10719          base0           "LSB of Input"
10720          dbl_ovrd            off
10721          has_advanced_control    "0"
10722          sggui_pos           "20,20,442,407"
10723          block_type          "slice"
10724          block_version       "8.2.02"
10725          sg_icon_stat        "60,30,1,1,white,blue,0,b1026674,right"
10726          sg_mask_display         "fprintf('','COMMENT: begin icon graphic"
10727"s');\npatch([0 60 60 0 ],[0 0 30 30 ],[0.77 0.82 0.91]);\npatch([22 17 24 17 "
10728"22 30 32 34 42 35 29 24 30 24 29 35 42 34 32 30 22 ],[3 8 15 22 27 27 25 27 2"
10729"7 20 26 21 15 9 4 10 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0"
10730" 30 30 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT:"
10731" begin icon text');\ncolor('black');port_label('output',1,'[a:b]');\nfprintf("
10732"'','COMMENT: end icon text');\n"
10733        }
10734        Block {
10735          BlockType           Reference
10736          Name            "Slice5"
10737          Ports           [1, 1]
10738          Position            [1430, 275, 1490, 305]
10739          SourceBlock         "xbsIndex_r4/Slice"
10740          SourceType          "Xilinx Bit Slice Extractor Block"
10741          infoedit            "Extracts a given range of bits from eac"
10742"h input sample and presents it at the output.  The output type is ordinarily "
10743"unsigned with binary point at zero, but can be Boolean when the slice is one "
10744"bit wide.<P><P>Hardware notes: In hardware this block costs nothing."
10745          nbits           "9"
10746          boolean_output          off
10747          mode            "Lower Bit Location + Width"
10748          bit1            "0"
10749          base1           "MSB of Input"
10750          bit0            "0"
10751          base0           "LSB of Input"
10752          dbl_ovrd            off
10753          has_advanced_control    "0"
10754          sggui_pos           "20,20,442,407"
10755          block_type          "slice"
10756          block_version       "8.2.02"
10757          sg_icon_stat        "60,30,1,1,white,blue,0,b1026674,right"
10758          sg_mask_display         "fprintf('','COMMENT: begin icon graphic"
10759"s');\npatch([0 60 60 0 ],[0 0 30 30 ],[0.77 0.82 0.91]);\npatch([22 17 24 17 "
10760"22 30 32 34 42 35 29 24 30 24 29 35 42 34 32 30 22 ],[3 8 15 22 27 27 25 27 2"
10761"7 20 26 21 15 9 4 10 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0"
10762" 30 30 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT:"
10763" begin icon text');\ncolor('black');port_label('output',1,'[a:b]');\nfprintf("
10764"'','COMMENT: end icon text');\n"
10765        }
10766        Block {
10767          BlockType           Outport
10768          Name            "DataToSend"
10769          Position            [2005, 453, 2035, 467]
10770          IconDisplay         "Port number"
10771          BusOutputAsStruct       off
10772        }
10773        Block {
10774          BlockType           Outport
10775          Name            "StartTransfer"
10776          Position            [1165, 583, 1195, 597]
10777          Port            "2"
10778          IconDisplay         "Port number"
10779          BusOutputAsStruct       off
10780        }
10781        Block {
10782          BlockType           Outport
10783          Name            "CmdsDone"
10784          Position            [1695, 908, 1725, 922]
10785          Port            "3"
10786          IconDisplay         "Port number"
10787          BusOutputAsStruct       off
10788        }
10789        Line {
10790          SrcBlock            "From Register6"
10791          SrcPort             1
10792          DstBlock            "Concat"
10793          DstPort             1
10794        }
10795        Line {
10796          SrcBlock            "Concat"
10797          SrcPort             1
10798          DstBlock            "Command Memory"
10799          DstPort             1
10800        }
10801        Line {
10802          SrcBlock            "Slice3"
10803          SrcPort             1
10804          DstBlock            "Inverter1"
10805          DstPort             1
10806        }
10807        Line {
10808          SrcBlock            "Inverter1"
10809          SrcPort             1
10810          Points              [5, 0; 0, 10]
10811          DstBlock            "Concat2"
10812          DstPort             1
10813        }
10814        Line {
10815          SrcBlock            "Slice2"
10816          SrcPort             1
10817          Points              [90, 0; 0, -10]
10818          DstBlock            "Concat2"
10819          DstPort             2
10820        }
10821        Line {
10822          SrcBlock            "SendCmds"
10823          SrcPort             1
10824          Points              [15, 0]
10825          Branch {
10826        DstBlock        "Logical"
10827        DstPort         1
10828          }
10829          Branch {
10830        Points          [0, 95]
10831        DstBlock        "Accumulator"
10832        DstPort         2
10833          }
10834        }
10835        Line {
10836          SrcBlock            "TransferDone"
10837          SrcPort             1
10838          Points              [5, 0]
10839          Branch {
10840        DstBlock        "Logical"
10841        DstPort         2
10842          }
10843          Branch {
10844        Points          [0, 515]
10845        DstBlock        "Logical2"
10846        DstPort         2
10847          }
10848        }
10849        Line {
10850          SrcBlock            "Logical"
10851          SrcPort             1
10852          Points              [40, 0]
10853          Branch {
10854        DstBlock        "Delay"
10855        DstPort         1
10856          }
10857          Branch {
10858        DstBlock        "Counter"
10859        DstPort         2
10860          }
10861        }
10862        Line {
10863          SrcBlock            "Reset"
10864          SrcPort             1
10865          Points              [0, 0]
10866          DstBlock            "Counter"
10867          DstPort             1
10868        }
10869        Line {
10870          SrcBlock            "Delay"
10871          SrcPort             1
10872          Points              [25, 0; 0, 20]
10873          Branch {
10874        DstBlock        "Convert"
10875        DstPort         1
10876          }
10877          Branch {
10878        Points          [0, 50]
10879        Branch {
10880          DstBlock        "Logical1"
10881          DstPort         1
10882        }
10883        Branch {
10884          Points          [0, 205; 365, 0]
10885          Branch {
10886            DstBlock            "Mux1"
10887            DstPort         3
10888          }
10889          Branch {
10890            Points          [0, 45]
10891            DstBlock            "Mux2"
10892            DstPort         3
10893          }
10894        }
10895          }
10896        }
10897        Line {
10898          SrcBlock            "Accumulator"
10899          SrcPort             1
10900          Points              [20, 0; 0, 30]
10901          DstBlock            "Relational"
10902          DstPort             1
10903        }
10904        Line {
10905          SrcBlock            "Relational"
10906          SrcPort             1
10907          Points              [15, 0; 0, 40]
10908          Branch {
10909        DstBlock        "Inverter2"
10910        DstPort         1
10911          }
10912          Branch {
10913        Points          [0, 55]
10914        Branch {
10915          Points          [0, 25]
10916          DstBlock        "Mux1"
10917          DstPort         1
10918        }
10919        Branch {
10920          Points          [-360, 0; 0, -80]
10921          DstBlock        "Logical1"
10922          DstPort         2
10923        }
10924          }
10925        }
10926        Line {
10927          SrcBlock            "Convert"
10928          SrcPort             1
10929          DstBlock            "Accumulator"
10930          DstPort             1
10931        }
10932        Line {
10933          SrcBlock            "Constant2"
10934          SrcPort             1
10935          DstBlock            "Mux1"
10936          DstPort             2
10937        }
10938        Line {
10939          SrcBlock            "AddSub"
10940          SrcPort             1
10941          Points              [10, 0; 0, -20]
10942          DstBlock            "Relational"
10943          DstPort             2
10944        }
10945        Line {
10946          SrcBlock            "TotalNoOfCmds"
10947          SrcPort             1
10948          DstBlock            "AddSub"
10949          DstPort             1
10950        }
10951        Line {
10952          SrcBlock            "Constant3"
10953          SrcPort             1
10954          Points              [5, 0; 0, -15]
10955          DstBlock            "AddSub"
10956          DstPort             2
10957        }
10958        Line {
10959          SrcBlock            "Inverter2"
10960          SrcPort             1
10961          Points              [110, 0; 0, 125]
10962          Branch {
10963        Points          [0, 210]
10964        DstBlock        "Logical2"
10965        DstPort         1
10966          }
10967          Branch {
10968        DstBlock        "Mux2"
10969        DstPort         1
10970          }
10971        }
10972        Line {
10973          SrcBlock            "Logical1"
10974          SrcPort             1
10975          Points              [30, 0; 0, -25]
10976          DstBlock            "Accumulator"
10977          DstPort             3
10978        }
10979        Line {
10980          SrcBlock            "Logical2"
10981          SrcPort             1
10982          Points              [15, 0]
10983          Branch {
10984        DstBlock        "Logical3"
10985        DstPort         2
10986          }
10987          Branch {
10988        Points          [0, 130]
10989        DstBlock        "Counter1"
10990        DstPort         2
10991          }
10992        }
10993        Line {
10994          SrcBlock            "Accumulator1"
10995          SrcPort             1
10996          Points              [25, 0]
10997          Branch {
10998        Points          [0, -110; -340, 0; 0, 30]
10999        DstBlock        "Relational1"
11000        DstPort         1
11001          }
11002          Branch {
11003        Points          [150, 0; 0, -525]
11004        DstBlock        "Mux3"
11005        DstPort         1
11006          }
11007        }
11008        Line {
11009          SrcBlock            "Relational1"
11010          SrcPort             1
11011          Points              [5, 0; 0, 50]
11012          DstBlock            "Logical3"
11013          DstPort             1
11014        }
11015        Line {
11016          SrcBlock            "Logical3"
11017          SrcPort             1
11018          DstBlock            "Convert1"
11019          DstPort             1
11020        }
11021        Line {
11022          SrcBlock            "Constant4"
11023          SrcPort             1
11024          DstBlock            "Relational1"
11025          DstPort             2
11026        }
11027        Line {
11028          SrcBlock            "Convert1"
11029          SrcPort             1
11030          Points              [10, 0]
11031          DstBlock            "Accumulator1"
11032          DstPort             1
11033        }
11034        Line {
11035          SrcBlock            "Mux2"
11036          SrcPort             1
11037          DstBlock            "StartTransfer"
11038          DstPort             1
11039        }
11040        Line {
11041          SrcBlock            "Mux1"
11042          SrcPort             1
11043          Points              [70, 0; 0, 45]
11044          DstBlock            "Mux2"
11045          DstPort             2
11046        }
11047        Line {
11048          SrcBlock            "Counter1"
11049          SrcPort             1
11050          DstBlock            "Slice4"
11051          DstPort             1
11052        }
11053        Line {
11054          SrcBlock            "Neg Edge Detector"
11055          SrcPort             1
11056          DstBlock            "Convert2"
11057          DstPort             1
11058        }
11059        Line {
11060          SrcBlock            "Register"
11061          SrcPort             1
11062          DstBlock            "CmdsDone"
11063          DstPort             1
11064        }
11065        Line {
11066          SrcBlock            "Slice4"
11067          SrcPort             1
11068          DstBlock            "Neg Edge Detector"
11069          DstPort             1
11070        }
11071        Line {
11072          SrcBlock            "Convert2"
11073          SrcPort             1
11074          Points              [5, 0]
11075          Branch {
11076        DstBlock        "Register"
11077        DstPort         1
11078          }
11079          Branch {
11080        Points          [0, 40]
11081        DstBlock        "Register"
11082        DstPort         3
11083          }
11084        }
11085        Line {
11086          SrcBlock            "Reset1"
11087          SrcPort             1
11088          Points              [25, 0; 0, -50]
11089          DstBlock            "Register"
11090          DstPort             2
11091        }
11092        Line {
11093          SrcBlock            "Reset2"
11094          SrcPort             1
11095          DstBlock            "Counter1"
11096          DstPort             1
11097        }
11098        Line {
11099          SrcBlock            "Reset3"
11100          SrcPort             1
11101          Points              [0, -30]
11102          DstBlock            "Accumulator1"
11103          DstPort             2
11104        }
11105        Line {
11106          SrcBlock            "Constant5"
11107          SrcPort             1
11108          DstBlock            "Mux3"
11109          DstPort             3
11110        }
11111        Line {
11112          SrcBlock            "From Register1"
11113          SrcPort             1
11114          DstBlock            "Mux3"
11115          DstPort             4
11116        }
11117        Line {
11118          SrcBlock            "From Register2"
11119          SrcPort             1
11120          Points              [0, 15]
11121          DstBlock            "Mux3"
11122          DstPort             5
11123        }
11124        Line {
11125          SrcBlock            "Constant6"
11126          SrcPort             1
11127          DstBlock            "Mux3"
11128          DstPort             6
11129        }
11130        Line {
11131          SrcBlock            "From Register4"
11132          SrcPort             1
11133          DstBlock            "Mux3"
11134          DstPort             7
11135        }
11136        Line {
11137          SrcBlock            "From Register5"
11138          SrcPort             1
11139          Points              [10, 0; 0, 20]
11140          DstBlock            "Mux3"
11141          DstPort             8
11142        }
11143        Line {
11144          SrcBlock            "Slice5"
11145          SrcPort             1
11146          DstBlock            "Mux3"
11147          DstPort             2
11148        }
11149        Line {
11150          SrcBlock            "Mux3"
11151          SrcPort             1
11152          Points              [0, -10; 20, 0]
11153          Branch {
11154        Points          [0, -20]
11155        DstBlock        "Slice3"
11156        DstPort         1
11157          }
11158          Branch {
11159        Points          [0, 30]
11160        DstBlock        "Slice2"
11161        DstPort         1
11162          }
11163        }
11164        Line {
11165          SrcBlock            "Concat2"
11166          SrcPort             1
11167          DstBlock            "DataToSend"
11168          DstPort             1
11169        }
11170        Line {
11171          SrcBlock            "Constant11"
11172          SrcPort             1
11173          DstBlock            "Mux3"
11174          DstPort             9
11175        }
11176        Line {
11177          SrcBlock            "From Register3"
11178          SrcPort             1
11179          DstBlock            "Mux3"
11180          DstPort             10
11181        }
11182        Line {
11183          SrcBlock            "Command Memory"
11184          SrcPort             1
11185          Points              [120, 0; 0, 55]
11186          DstBlock            "Slice5"
11187          DstPort             1
11188        }
11189        Line {
11190          SrcBlock            "Counter"
11191          SrcPort             1
11192          DstBlock            "Concat"
11193          DstPort             2
11194        }
11195        Annotation {
11196          Name            "We invert the first bit because 0 means"
11197" command and 1 is data\nThe user inputs the opposite in the PowerPC"
11198          Position            [1532, 208]
11199        }
11200      }
11201    }
11202    Block {
11203      BlockType       Reference
11204      Name            "Convert"
11205      Ports           [1, 1]
11206      Position        [1200, 435, 1245, 465]
11207      SourceBlock         "xbsIndex_r4/Convert"
11208      SourceType          "Xilinx Type Converter Block"
11209      infoedit        "Hardware notes: rounding and saturating req"
11210"uire hardware resources; truncating and wrapping do not."
11211      arith_type          "Boolean"
11212      n_bits          "16"
11213      bin_pt          "14"
11214      quantization        "Truncate"
11215      overflow        "Wrap"
11216      latency         "0"
11217      dbl_ovrd        off
11218      pipeline        off
11219      xl_use_area         off
11220      xl_area         "[0,0,0,0,0,0,0]"
11221      has_advanced_control    "0"
11222      sggui_pos       "20,20,374,375"
11223      block_type          "convert"
11224      block_version       "8.2.02"
11225      sg_icon_stat        "45,30,1,1,white,blue,0,74901e60,right"
11226      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');"
11227"\npatch([0 45 45 0 ],[0 0 30 30 ],[0.77 0.82 0.91]);\npatch([15 10 17 10 15 2"
11228"3 25 27 35 28 22 17 23 17 22 28 35 27 25 23 15 ],[3 8 15 22 27 27 25 27 27 20"
11229" 26 21 15 9 4 10 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 45 45 0 0 ],[0 0 30 "
11230"30 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: beg"
11231"in icon text');\ncolor('black');port_label('output',1,'cast');\nfprintf('','C"
11232"OMMENT: end icon text');\n"
11233    }
11234    Block {
11235      BlockType       Reference
11236      Name            "Convert1"
11237      Ports           [1, 1]
11238      Position        [315, 845, 355, 875]
11239      SourceBlock         "xbsIndex_r4/Convert"
11240      SourceType          "Xilinx Type Converter Block"
11241      infoedit        "Hardware notes: rounding and saturating req"
11242"uire hardware resources; truncating and wrapping do not."
11243      arith_type          "Boolean"
11244      n_bits          "16"
11245      bin_pt          "14"
11246      quantization        "Truncate"
11247      overflow        "Wrap"
11248      latency         "0"
11249      dbl_ovrd        off
11250      pipeline        off
11251      xl_use_area         off
11252      xl_area         "[0,0,0,0,0,0,0]"
11253      has_advanced_control    "0"
11254      sggui_pos       "20,20,461,375"
11255      block_type          "convert"
11256      block_version       "8.2.02"
11257      sg_icon_stat        "40,30,1,1,white,blue,0,74901e60,right"
11258      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');"
11259"\npatch([0 45 45 0 ],[0 0 30 30 ],[0.77 0.82 0.91]);\npatch([15 10 17 10 15 2"
11260"3 25 27 35 28 22 17 23 17 22 28 35 27 25 23 15 ],[3 8 15 22 27 27 25 27 27 20"
11261" 26 21 15 9 4 10 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 45 45 0 0 ],[0 0 30 "
11262"30 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: beg"
11263"in icon text');\ncolor('black');port_label('output',1,'cast');\nfprintf('','C"
11264"OMMENT: end icon text');\n"
11265    }
11266    Block {
11267      BlockType       Reference
11268      Name            "Convert2"
11269      Ports           [1, 1]
11270      Position        [270, 325, 315, 355]
11271      SourceBlock         "xbsIndex_r4/Convert"
11272      SourceType          "Xilinx Type Converter Block"
11273      infoedit        "Hardware notes: rounding and saturating req"
11274"uire hardware resources; truncating and wrapping do not."
11275      arith_type          "Boolean"
11276      n_bits          "16"
11277      bin_pt          "14"
11278      quantization        "Truncate"
11279      overflow        "Wrap"
11280      latency         "0"
11281      dbl_ovrd        off
11282      pipeline        off
11283      xl_use_area         off
11284      xl_area         "[0,0,0,0,0,0,0]"
11285      has_advanced_control    "0"
11286      sggui_pos       "20,20,374,375"
11287      block_type          "convert"
11288      block_version       "8.2.02"
11289      sg_icon_stat        "45,30,1,1,white,blue,0,74901e60,right"
11290      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');"
11291"\npatch([0 45 45 0 ],[0 0 30 30 ],[0.77 0.82 0.91]);\npatch([15 10 17 10 15 2"
11292"3 25 27 35 28 22 17 23 17 22 28 35 27 25 23 15 ],[3 8 15 22 27 27 25 27 27 20"
11293" 26 21 15 9 4 10 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 45 45 0 0 ],[0 0 30 "
11294"30 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: beg"
11295"in icon text');\ncolor('black');port_label('output',1,'cast');\nfprintf('','C"
11296"OMMENT: end icon text');\n"
11297    }
11298    Block {
11299      BlockType       SubSystem
11300      Name            "DataROM"
11301      Ports           [3, 2]
11302      Position        [640, 662, 840, 898]
11303      MinAlgLoopOccurrences   off
11304      RTWSystemCode       "Auto"
11305      FunctionWithSeparateData off
11306      MaskHideContents    off
11307      System {
11308        Name            "DataROM"
11309        Location            [412, 77, 1856, 1062]
11310        Open            off
11311        ModelBrowserVisibility  off
11312        ModelBrowserWidth       200
11313        ScreenColor         "white"
11314        PaperOrientation        "landscape"
11315        PaperPositionMode       "auto"
11316        PaperType           "usletter"
11317        PaperUnits          "inches"
11318        TiledPaperMargins       [0.500000, 0.500000, 0.500000, 0.500000]
11319        TiledPageScale      1
11320        ShowPageBoundaries      off
11321        ZoomFactor          "105"
11322        Block {
11323          BlockType           Inport
11324          Name            "TransferDone"
11325          Position            [600, 813, 630, 827]
11326          IconDisplay         "Port number"
11327        }
11328        Block {
11329          BlockType           Inport
11330          Name            "CmdsDone"
11331          Position            [600, 843, 630, 857]
11332          Port            "2"
11333          IconDisplay         "Port number"
11334        }
11335        Block {
11336          BlockType           Inport
11337          Name            "Reset"
11338          Position            [60, 368, 90, 382]
11339          NamePlacement       "alternate"
11340          Port            "3"
11341          IconDisplay         "Port number"
11342        }
11343        Block {
11344          BlockType           Reference
11345          Name            "4LSB"
11346          Ports           [1, 1]
11347          Position            [575, 421, 620, 439]
11348          SourceBlock         "xbsIndex_r4/Slice"
11349          SourceType          "Xilinx Bit Slice Extractor Block"
11350          infoedit            "Extracts a given range of bits from eac"
11351"h input sample and presents it at the output.  The output type is ordinarily "
11352"unsigned with binary point at zero, but can be Boolean when the slice is one "
11353"bit wide.<P><P>Hardware notes: In hardware this block costs nothing."
11354          nbits           "4"
11355          boolean_output          off
11356          mode            "Lower Bit Location + Width"
11357          bit1            "0"
11358          base1           "MSB of Input"
11359          bit0            "0"
11360          base0           "LSB of Input"
11361          dbl_ovrd            off
11362          has_advanced_control    "0"
11363          sggui_pos           "20,20,449,407"
11364          block_type          "slice"
11365          block_version       "8.2.02"
11366          sg_icon_stat        "45,18,1,1,white,blue,0,b1026674,right"
11367          sg_mask_display         "fprintf('','COMMENT: begin icon graphic"
11368"s');\npatch([0 45 45 0 ],[0 0 18 18 ],[0.77 0.82 0.91]);\npatch([18 15 19 15 "
11369"18 23 24 25 30 26 22 19 23 19 22 26 30 25 24 23 18 ],[2 5 9 13 16 16 15 16 16"
11370" 12 16 13 9 5 2 6 2 2 3 2 2 ],[0.98 0.96 0.92]);\nplot([0 45 45 0 0 ],[0 0 18"
11371" 18 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: be"
11372"gin icon text');\ncolor('black');port_label('output',1,'[a:b]');\nfprintf('',"
11373"'COMMENT: end icon text');\n"
11374        }
11375        Block {
11376          BlockType           Reference
11377          Name            "4MSB"
11378          Ports           [1, 1]
11379          Position            [575, 381, 620, 399]
11380          SourceBlock         "xbsIndex_r4/Slice"
11381          SourceType          "Xilinx Bit Slice Extractor Block"
11382          infoedit            "Extracts a given range of bits from eac"
11383"h input sample and presents it at the output.  The output type is ordinarily "
11384"unsigned with binary point at zero, but can be Boolean when the slice is one "
11385"bit wide.<P><P>Hardware notes: In hardware this block costs nothing."
11386          nbits           "4"
11387          boolean_output          off
11388          mode            "Upper Bit Location + Width"
11389          bit1            "0"
11390          base1           "MSB of Input"
11391          bit0            "0"
11392          base0           "LSB of Input"
11393          dbl_ovrd            off
11394          has_advanced_control    "0"
11395          sggui_pos           "20,20,449,407"
11396          block_type          "slice"
11397          block_version       "8.2.02"
11398          sg_icon_stat        "45,18,1,1,white,blue,0,b1026674,right"
11399          sg_mask_display         "fprintf('','COMMENT: begin icon graphic"
11400"s');\npatch([0 45 45 0 ],[0 0 18 18 ],[0.77 0.82 0.91]);\npatch([18 15 19 15 "
11401"18 23 24 25 30 26 22 19 23 19 22 26 30 25 24 23 18 ],[2 5 9 13 16 16 15 16 16"
11402" 12 16 13 9 5 2 6 2 2 3 2 2 ],[0.98 0.96 0.92]);\nplot([0 45 45 0 0 ],[0 0 18"
11403" 18 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: be"
11404"gin icon text');\ncolor('black');port_label('output',1,'[a:b]');\nfprintf('',"
11405"'COMMENT: end icon text');\n"
11406        }
11407        Block {
11408          BlockType           Reference
11409          Name            "AddSub1"
11410          Ports           [2, 1]
11411          Position            [690, 346, 750, 404]
11412          SourceBlock         "xbsIndex_r4/AddSub"
11413          SourceType          "Xilinx Adder/Subtractor Block"
11414          mode            "Addition"
11415          use_carryin         off
11416          use_carryout        off
11417          en              off
11418          latency             "0"
11419          precision           "User Defined"
11420          arith_type          "Unsigned"
11421          n_bits              "4"
11422          bin_pt              "0"
11423          quantization        "Truncate"
11424          overflow            "Wrap"
11425          dbl_ovrd            off
11426          use_behavioral_HDL      off
11427          pipelined           off
11428          use_rpm             on
11429          xl_use_area         off
11430          xl_area             "[0,0,0,0,0,0,0]"
11431          has_advanced_control    "0"
11432          sggui_pos           "20,20,348,307"
11433          block_type          "addsub"
11434          block_version       "10.1.2"
11435          sg_icon_stat        "60,58,1,1,white,blue,0,36a47907,right"
11436          sg_mask_display         "fprintf('','COMMENT: begin icon graphic"
11437"s');\npatch([0 60 60 0 ],[0 0 58 58 ],[0.77 0.82 0.91]);\npatch([15 5 19 5 15"
11438" 30 34 38 54 41 29 20 34 20 29 41 54 38 34 30 15 ],[6 16 30 44 54 54 50 54 54"
11439" 41 53 44 30 16 7 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 "
11440"0 58 58 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT"
11441": begin icon text');\ncolor('black');port_label('input',1,'a');\ncolor('black"
11442"');port_label('input',2,'b');\ncolor('black');port_label('output',1,'\\bf{a +"
11443" b}','texmode','on');\nfprintf('','COMMENT: end icon text');\n"
11444        }
11445        Block {
11446          BlockType           Reference
11447          Name            "AddSub2"
11448          Ports           [2, 1]
11449          Position            [690, 416, 750, 474]
11450          SourceBlock         "xbsIndex_r4/AddSub"
11451          SourceType          "Xilinx Adder/Subtractor Block"
11452          mode            "Addition"
11453          use_carryin         off
11454          use_carryout        off
11455          en              off
11456          latency             "0"
11457          precision           "User Defined"
11458          arith_type          "Unsigned"
11459          n_bits              "4"
11460          bin_pt              "0"
11461          quantization        "Truncate"
11462          overflow            "Wrap"
11463          dbl_ovrd            off
11464          use_behavioral_HDL      off
11465          pipelined           off
11466          use_rpm             on
11467          xl_use_area         off
11468          xl_area             "[0,0,0,0,0,0,0]"
11469          has_advanced_control    "0"
11470          sggui_pos           "20,20,348,307"
11471          block_type          "addsub"
11472          block_version       "10.1.2"
11473          sg_icon_stat        "60,58,1,1,white,blue,0,36a47907,right"
11474          sg_mask_display         "fprintf('','COMMENT: begin icon graphic"
11475"s');\npatch([0 60 60 0 ],[0 0 58 58 ],[0.77 0.82 0.91]);\npatch([15 5 19 5 15"
11476" 30 34 38 54 41 29 20 34 20 29 41 54 38 34 30 15 ],[6 16 30 44 54 54 50 54 54"
11477" 41 53 44 30 16 7 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 "
11478"0 58 58 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT"
11479": begin icon text');\ncolor('black');port_label('input',1,'a');\ncolor('black"
11480"');port_label('input',2,'b');\ncolor('black');port_label('output',1,'\\bf{a +"
11481" b}','texmode','on');\nfprintf('','COMMENT: end icon text');\n"
11482        }
11483        Block {
11484          BlockType           SubSystem
11485          Name            "Character Buffer\nShared Memory"
11486          Ports           [1, 1]
11487          Position            [995, 356, 1095, 394]
11488          MinAlgLoopOccurrences   off
11489          RTWSystemCode       "Auto"
11490          FunctionWithSeparateData off
11491          MaskHideContents        off
11492          System {
11493        Name            "Character Buffer\nShared Memory"
11494        Location        [684, 176, 986, 377]
11495        Open            off
11496        ModelBrowserVisibility  off
11497        ModelBrowserWidth   200
11498        ScreenColor     "white"
11499        PaperOrientation    "landscape"
11500        PaperPositionMode   "auto"
11501        PaperType       "usletter"
11502        PaperUnits      "inches"
11503        TiledPaperMargins   "[0.500000, 0.500000, 0.500000, 0.5000"
11504"00]"
11505        TiledPageScale      1
11506        ShowPageBoundaries  off
11507        ZoomFactor      "181"
11508        Block {
11509          BlockType       Inport
11510          Name            "Addr_11b"
11511          Position        [15, 93, 45, 107]
11512          IconDisplay         "Port number"
11513        }
11514        Block {
11515          BlockType       Reference
11516          Name            "2LSB"
11517          Ports           [1, 1]
11518          Position        [280, 43, 320, 57]
11519          NamePlacement       "alternate"
11520          SourceBlock         "xbsIndex_r4/Slice"
11521          SourceType          "Xilinx Bit Slice Extractor Block"
11522          infoedit        "Extracts a given range of bits from"
11523" each input sample and presents it at the output.  The output type is ordinar"
11524"ily unsigned with binary point at zero, but can be Boolean when the slice is "
11525"one bit wide.<P><P>Hardware notes: In hardware this block costs nothing."
11526          nbits           "2"
11527          boolean_output      off
11528          mode            "Lower Bit Location + Width"
11529          bit1            "0"
11530          base1           "MSB of Input"
11531          bit0            "0"
11532          base0           "LSB of Input"
11533          dbl_ovrd        off
11534          has_advanced_control    "0"
11535          sggui_pos       "20,20,449,407"
11536          block_type          "slice"
11537          block_version       "8.2.02"
11538          sg_icon_stat        "40,14,1,1,white,blue,0,b1026674,rig"
11539"ht"
11540          sg_mask_display     "fprintf('','COMMENT: begin icon gra"
11541"phics');\npatch([0 60 60 0 ],[0 0 30 30 ],[0.77 0.82 0.91]);\npatch([22 17 24"
11542" 17 22 30 32 34 42 35 29 24 30 24 29 35 42 34 32 30 22 ],[3 8 15 22 27 27 25 "
11543"27 27 20 26 21 15 9 4 10 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],"
11544"[0 0 30 30 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMM"
11545"ENT: begin icon text');\ncolor('black');port_label('output',1,'[a:b]');\nfpri"
11546"ntf('','COMMENT: end icon text');\n"
11547        }
11548        Block {
11549          BlockType       Reference
11550          Name            "8LSB+0"
11551          Ports           [1, 1]
11552          Position        [700, 183, 740, 197]
11553          NamePlacement       "alternate"
11554          SourceBlock         "xbsIndex_r4/Slice"
11555          SourceType          "Xilinx Bit Slice Extractor Block"
11556          infoedit        "Extracts a given range of bits from"
11557" each input sample and presents it at the output.  The output type is ordinar"
11558"ily unsigned with binary point at zero, but can be Boolean when the slice is "
11559"one bit wide.<P><P>Hardware notes: In hardware this block costs nothing."
11560          nbits           "8"
11561          boolean_output      off
11562          mode            "Lower Bit Location + Width"
11563          bit1            "0"
11564          base1           "MSB of Input"
11565          bit0            "0"
11566          base0           "LSB of Input"
11567          dbl_ovrd        off
11568          has_advanced_control    "0"
11569          sggui_pos       "20,20,449,407"
11570          block_type          "slice"
11571          block_version       "8.2.02"
11572          sg_icon_stat        "40,14,1,1,white,blue,0,b1026674,rig"
11573"ht"
11574          sg_mask_display     "fprintf('','COMMENT: begin icon gra"
11575"phics');\npatch([0 60 60 0 ],[0 0 30 30 ],[0.77 0.82 0.91]);\npatch([22 17 24"
11576" 17 22 30 32 34 42 35 29 24 30 24 29 35 42 34 32 30 22 ],[3 8 15 22 27 27 25 "
11577"27 27 20 26 21 15 9 4 10 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],"
11578"[0 0 30 30 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMM"
11579"ENT: begin icon text');\ncolor('black');port_label('output',1,'[a:b]');\nfpri"
11580"ntf('','COMMENT: end icon text');\n"
11581        }
11582        Block {
11583          BlockType       Reference
11584          Name            "8LSB+16"
11585          Ports           [1, 1]
11586          Position        [700, 113, 740, 127]
11587          NamePlacement       "alternate"
11588          SourceBlock         "xbsIndex_r4/Slice"
11589          SourceType          "Xilinx Bit Slice Extractor Block"
11590          infoedit        "Extracts a given range of bits from"
11591" each input sample and presents it at the output.  The output type is ordinar"
11592"ily unsigned with binary point at zero, but can be Boolean when the slice is "
11593"one bit wide.<P><P>Hardware notes: In hardware this block costs nothing."
11594          nbits           "8"
11595          boolean_output      off
11596          mode            "Lower Bit Location + Width"
11597          bit1            "0"
11598          base1           "MSB of Input"
11599          bit0            "16"
11600          base0           "LSB of Input"
11601          dbl_ovrd        off
11602          has_advanced_control    "0"
11603          sggui_pos       "20,20,449,407"
11604          block_type          "slice"
11605          block_version       "8.2.02"
11606          sg_icon_stat        "40,14,1,1,white,blue,0,b1026674,rig"
11607"ht"
11608          sg_mask_display     "fprintf('','COMMENT: begin icon gra"
11609"phics');\npatch([0 60 60 0 ],[0 0 30 30 ],[0.77 0.82 0.91]);\npatch([22 17 24"
11610" 17 22 30 32 34 42 35 29 24 30 24 29 35 42 34 32 30 22 ],[3 8 15 22 27 27 25 "
11611"27 27 20 26 21 15 9 4 10 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],"
11612"[0 0 30 30 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMM"
11613"ENT: begin icon text');\ncolor('black');port_label('output',1,'[a:b]');\nfpri"
11614"ntf('','COMMENT: end icon text');\n"
11615        }
11616        Block {
11617          BlockType       Reference
11618          Name            "8LSB+24"
11619          Ports           [1, 1]
11620          Position        [700, 78, 740, 92]
11621          NamePlacement       "alternate"
11622          SourceBlock         "xbsIndex_r4/Slice"
11623          SourceType          "Xilinx Bit Slice Extractor Block"
11624          infoedit        "Extracts a given range of bits from"
11625" each input sample and presents it at the output.  The output type is ordinar"
11626"ily unsigned with binary point at zero, but can be Boolean when the slice is "
11627"one bit wide.<P><P>Hardware notes: In hardware this block costs nothing."
11628          nbits           "8"
11629          boolean_output      off
11630          mode            "Lower Bit Location + Width"
11631          bit1            "0"
11632          base1           "MSB of Input"
11633          bit0            "24"
11634          base0           "LSB of Input"
11635          dbl_ovrd        off
11636          has_advanced_control    "0"
11637          sggui_pos       "20,20,449,407"
11638          block_type          "slice"
11639          block_version       "8.2.02"
11640          sg_icon_stat        "40,14,1,1,white,blue,0,b1026674,rig"
11641"ht"
11642          sg_mask_display     "fprintf('','COMMENT: begin icon gra"
11643"phics');\npatch([0 60 60 0 ],[0 0 30 30 ],[0.77 0.82 0.91]);\npatch([22 17 24"
11644" 17 22 30 32 34 42 35 29 24 30 24 29 35 42 34 32 30 22 ],[3 8 15 22 27 27 25 "
11645"27 27 20 26 21 15 9 4 10 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],"
11646"[0 0 30 30 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMM"
11647"ENT: begin icon text');\ncolor('black');port_label('output',1,'[a:b]');\nfpri"
11648"ntf('','COMMENT: end icon text');\n"
11649        }
11650        Block {
11651          BlockType       Reference
11652          Name            "8LSB+8"
11653          Ports           [1, 1]
11654          Position        [700, 148, 740, 162]
11655          NamePlacement       "alternate"
11656          SourceBlock         "xbsIndex_r4/Slice"
11657          SourceType          "Xilinx Bit Slice Extractor Block"
11658          infoedit        "Extracts a given range of bits from"
11659" each input sample and presents it at the output.  The output type is ordinar"
11660"ily unsigned with binary point at zero, but can be Boolean when the slice is "
11661"one bit wide.<P><P>Hardware notes: In hardware this block costs nothing."
11662          nbits           "8"
11663          boolean_output      off
11664          mode            "Lower Bit Location + Width"
11665          bit1            "0"
11666          base1           "MSB of Input"
11667          bit0            "8"
11668          base0           "LSB of Input"
11669          dbl_ovrd        off
11670          has_advanced_control    "0"
11671          sggui_pos       "20,20,449,407"
11672          block_type          "slice"
11673          block_version       "8.2.02"
11674          sg_icon_stat        "40,14,1,1,white,blue,0,b1026674,rig"
11675"ht"
11676          sg_mask_display     "fprintf('','COMMENT: begin icon gra"
11677"phics');\npatch([0 60 60 0 ],[0 0 30 30 ],[0.77 0.82 0.91]);\npatch([22 17 24"
11678" 17 22 30 32 34 42 35 29 24 30 24 29 35 42 34 32 30 22 ],[3 8 15 22 27 27 25 "
11679"27 27 20 26 21 15 9 4 10 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],"
11680"[0 0 30 30 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMM"
11681"ENT: begin icon text');\ncolor('black');port_label('output',1,'[a:b]');\nfpri"
11682"ntf('','COMMENT: end icon text');\n"
11683        }
11684        Block {
11685          BlockType       Reference
11686          Name            "9MSB"
11687          Ports           [1, 1]
11688          Position        [280, 153, 320, 167]
11689          NamePlacement       "alternate"
11690          SourceBlock         "xbsIndex_r4/Slice"
11691          SourceType          "Xilinx Bit Slice Extractor Block"
11692          infoedit        "Extracts a given range of bits from"
11693" each input sample and presents it at the output.  The output type is ordinar"
11694"ily unsigned with binary point at zero, but can be Boolean when the slice is "
11695"one bit wide.<P><P>Hardware notes: In hardware this block costs nothing."
11696          nbits           "9"
11697          boolean_output      off
11698          mode            "Upper Bit Location + Width"
11699          bit1            "0"
11700          base1           "MSB of Input"
11701          bit0            "0"
11702          base0           "MSB of Input"
11703          dbl_ovrd        off
11704          has_advanced_control    "0"
11705          sggui_pos       "20,20,449,407"
11706          block_type          "slice"
11707          block_version       "8.2.02"
11708          sg_icon_stat        "40,14,1,1,white,blue,0,b1026674,rig"
11709"ht"
11710          sg_mask_display     "fprintf('','COMMENT: begin icon gra"
11711"phics');\npatch([0 60 60 0 ],[0 0 30 30 ],[0.77 0.82 0.91]);\npatch([22 17 24"
11712" 17 22 30 32 34 42 35 29 24 30 24 29 35 42 34 32 30 22 ],[3 8 15 22 27 27 25 "
11713"27 27 20 26 21 15 9 4 10 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],"
11714"[0 0 30 30 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMM"
11715"ENT: begin icon text');\ncolor('black');port_label('output',1,'[a:b]');\nfpri"
11716"ntf('','COMMENT: end icon text');\n"
11717        }
11718        Block {
11719          BlockType       Reference
11720          Name            "Constant"
11721          Ports           [0, 1]
11722          Position        [285, 177, 315, 203]
11723          ShowName        off
11724          SourceBlock         "xbsIndex_r4/Constant"
11725          SourceType          "Xilinx Constant Block Block"
11726          arith_type          "Unsigned"
11727          const           "0"
11728          n_bits          "32"
11729          bin_pt          "0"
11730          explicit_period     off
11731          period          "1"
11732          dsp48_infoedit      "The use of this block for DSP48 ins"
11733"tructions is deprecated.  Please use the Opmode block."
11734          equ             "P=C"
11735          opselect        "C"
11736          inp2            "PCIN>>17"
11737          opr             "+"
11738          inp1            "P"
11739          carry           "CIN"
11740          dbl_ovrd        off
11741          has_advanced_control    "0"
11742          sggui_pos       "20,20,400,346"
11743          block_type          "constant"
11744          block_version       "10.1.2"
11745          sg_icon_stat        "30,26,1,1,white,blue,0,72d575a1,rig"
11746"ht"
11747          sg_mask_display     "fprintf('','COMMENT: begin icon gra"
11748"phics');\npatch([0 55 55 0 ],[0 0 26 26 ],[0.77 0.82 0.91]);\npatch([20 16 22"
11749" 16 20 27 29 31 38 32 26 22 28 22 26 32 38 31 29 27 20 ],[3 7 13 19 23 23 21 "
11750"23 23 17 23 19 13 7 3 9 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 55 55 0 0 ],["
11751"0 0 26 26 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMME"
11752"NT: begin icon text');\ncolor('black');port_label('output',1,'0');\nfprintf('"
11753"','COMMENT: end icon text');\n"
11754        }
11755        Block {
11756          BlockType       Reference
11757          Name            "Constant1"
11758          Ports           [0, 1]
11759          Position        [285, 207, 315, 233]
11760          ShowName        off
11761          SourceBlock         "xbsIndex_r4/Constant"
11762          SourceType          "Xilinx Constant Block Block"
11763          arith_type          "Boolean"
11764          const           "0"
11765          n_bits          "8"
11766          bin_pt          "0"
11767          explicit_period     off
11768          period          "1"
11769          dsp48_infoedit      "The use of this block for DSP48 ins"
11770"tructions is deprecated.  Please use the Opmode block."
11771          equ             "P=C"
11772          opselect        "C"
11773          inp2            "PCIN>>17"
11774          opr             "+"
11775          inp1            "P"
11776          carry           "CIN"
11777          dbl_ovrd        off
11778          has_advanced_control    "0"
11779          sggui_pos       "20,20,400,346"
11780          block_type          "constant"
11781          block_version       "10.1.2"
11782          sg_icon_stat        "30,26,1,1,white,blue,0,72d575a1,rig"
11783"ht"
11784          sg_mask_display     "fprintf('','COMMENT: begin icon gra"
11785"phics');\npatch([0 55 55 0 ],[0 0 26 26 ],[0.77 0.82 0.91]);\npatch([20 16 22"
11786" 16 20 27 29 31 38 32 26 22 28 22 26 32 38 31 29 27 20 ],[3 7 13 19 23 23 21 "
11787"23 23 17 23 19 13 7 3 9 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 55 55 0 0 ],["
11788"0 0 26 26 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMME"
11789"NT: begin icon text');\ncolor('black');port_label('output',1,'0');\nfprintf('"
11790"','COMMENT: end icon text');\n"
11791        }
11792        Block {
11793          BlockType       Reference
11794          Name            "Mux"
11795          Ports           [5, 1]
11796          Position        [775, 35, 820, 205]
11797          SourceBlock         "xbsIndex_r4/Mux"
11798          SourceType          "Xilinx Bus Multiplexer Block"
11799          inputs          "4"
11800          en              off
11801          latency         "0"
11802          precision       "Full"
11803          arith_type          "Unsigned"
11804          n_bits          "16"
11805          bin_pt          "14"
11806          quantization        "Truncate"
11807          overflow        "Wrap"
11808          dbl_ovrd        off
11809          xl_use_area         off
11810          xl_area         "[0,0,0,0,0,0,0]"
11811          has_advanced_control    "0"
11812          sggui_pos       "20,20,348,303"
11813          block_type          "mux"
11814          block_version       "8.2.02"
11815          sg_icon_stat        "45,170,1,1,white,blue,3,27e96ab5,ri"
11816"ght"
11817          sg_mask_display     "fprintf('','COMMENT: begin icon gra"
11818"phics');\npatch([0 45 45 0 ],[0 14.8571 89.1429 104 ],[0.77 0.82 0.91]);\npat"
11819"ch([10 3 14 3 10 22 25 28 41 31 21 14 25 14 21 31 41 28 25 22 10 ],[34 41 52 "
11820"63 70 70 67 70 70 60 70 63 52 41 34 44 34 34 37 34 34 ],[0.98 0.96 0.92]);\np"
11821"lot([0 45 45 0 0 ],[0 14.8571 89.1429 104 0 ]);\nfprintf('','COMMENT: end ico"
11822"n graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_l"
11823"abel('input',1,'sel');\ncolor('black');port_label('input',2,'d0');\ncolor('bl"
11824"ack');port_label('input',3,'d1');\ncolor('black');port_label('input',4,'d2');"
11825"\ncolor('black');port_label('input',5,'d3');\ncolor('black');disp('\\bf{}','t"
11826"exmode','on');\nfprintf('','COMMENT: end icon text');\n"
11827        }
11828        Block {
11829          BlockType       Reference
11830          Name            "Shared Memory"
11831          Ports           [3, 1]
11832          Position        [365, 145, 445, 235]
11833          AttributesFormatString  "<< %<shared_memory_name> >>"
11834          SourceBlock         "xbsIndex_r4/Shared Memory"
11835          SourceType          "Xilinx Shared Memory Random Access "
11836"Memory Block"
11837          shared_memory_name      "'LCD_Characters'"
11838          depth           "2^9 % 2^11"
11839          ownership       "Locally Owned and Initialized"
11840          initVector          "sharedMem_characters_init"
11841          mutex           "Unprotected"
11842          mode            "Read and Write"
11843          write_mode          "Read After Write"
11844          time_out        "0"
11845          latency         "1"
11846          explicit_data_type      on
11847          arith_type          "Unsigned"
11848          n_bits          "32"
11849          bin_pt          "0"
11850          xl_use_area         off
11851          xl_area         "[0,0,0,0,0,0,0]"
11852          implementation      "Block RAM"
11853          use_rpm         "off"
11854          has_advanced_control    "0"
11855          sggui_pos       "321,494,384,381"
11856          block_type          "shmem"
11857          block_version       "10.1.2"
11858          sg_icon_stat        "80,90,1,1,white,blue,0,e2c38f9a,rig"
11859"ht"
11860          sg_mask_display     "fprintf('','COMMENT: begin icon gra"
11861"phics');\npatch([0 80 80 0 ],[0 0 90 90 ],[0.77 0.82 0.91]);\npatch([18 5 24 "
11862"5 18 39 45 51 74 56 39 27 46 27 39 56 74 51 45 39 18 ],[14 27 46 65 78 78 72 "
11863"78 78 60 77 65 46 27 15 32 14 14 20 14 14 ],[0.98 0.96 0.92]);\nplot([0 80 80"
11864" 0 0 ],[0 0 90 90 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('"
11865"','COMMENT: begin icon text');\ncolor('black');port_label('input',1,'addr');"
11866"\ncolor('black');port_label('input',2,'din');\ncolor('black');port_label('inp"
11867"ut',3,'we');\ncolor('black');port_label('output',1,'dout');\nfprintf('','COMM"
11868"ENT: end icon text');\n"
11869        }
11870        Block {
11871          BlockType       Outport
11872          Name            "Data_8b"
11873          Position        [885, 113, 915, 127]
11874          IconDisplay         "Port number"
11875          BusOutputAsStruct   off
11876        }
11877        Line {
11878          SrcBlock        "Constant"
11879          SrcPort         1
11880          DstBlock        "Shared Memory"
11881          DstPort         2
11882        }
11883        Line {
11884          SrcBlock        "Constant1"
11885          SrcPort         1
11886          DstBlock        "Shared Memory"
11887          DstPort         3
11888        }
11889        Line {
11890          SrcBlock        "Shared Memory"
11891          SrcPort         1
11892          Points          [210, 0]
11893          Branch {
11894            Points          [0, -35]
11895            Branch {
11896            Points          [0, -35]
11897            Branch {
11898            DstBlock            "8LSB+16"
11899            DstPort         1
11900            }
11901            Branch {
11902            Points          [0, -35]
11903            DstBlock            "8LSB+24"
11904            DstPort         1
11905            }
11906            }
11907            Branch {
11908            DstBlock            "8LSB+8"
11909            DstPort         1
11910            }
11911          }
11912          Branch {
11913            DstBlock            "8LSB+0"
11914            DstPort         1
11915          }
11916        }
11917        Line {
11918          SrcBlock        "2LSB"
11919          SrcPort         1
11920          DstBlock        "Mux"
11921          DstPort         1
11922        }
11923        Line {
11924          SrcBlock        "8LSB+24"
11925          SrcPort         1
11926          DstBlock        "Mux"
11927          DstPort         2
11928        }
11929        Line {
11930          SrcBlock        "8LSB+16"
11931          SrcPort         1
11932          DstBlock        "Mux"
11933          DstPort         3
11934        }
11935        Line {
11936          SrcBlock        "8LSB+8"
11937          SrcPort         1
11938          DstBlock        "Mux"
11939          DstPort         4
11940        }
11941        Line {
11942          SrcBlock        "8LSB+0"
11943          SrcPort         1
11944          DstBlock        "Mux"
11945          DstPort         5
11946        }
11947        Line {
11948          SrcBlock        "9MSB"
11949          SrcPort         1
11950          DstBlock        "Shared Memory"
11951          DstPort         1
11952        }
11953        Line {
11954          SrcBlock        "Addr_11b"
11955          SrcPort         1
11956          Points          [150, 0]
11957          Branch {
11958            Points          [0, -50]
11959            DstBlock            "2LSB"
11960            DstPort         1
11961          }
11962          Branch {
11963            Points          [0, 60]
11964            DstBlock            "9MSB"
11965            DstPort         1
11966          }
11967        }
11968        Line {
11969          SrcBlock        "Mux"
11970          SrcPort         1
11971          DstBlock        "Data_8b"
11972          DstPort         1
11973        }
11974        Annotation {
11975          Name            "We want this memory block to be 32-"
11976"bits on the PLB46,\nso user code can write it efficiently. But the logic in t"
11977"his\nmodel wants byte-addressable memory, so we decode\nthe 2LSB of the addre"
11978"ss here."
11979          Position        [417, 324]
11980        }
11981          }
11982        }
11983        Block {
11984          BlockType           SubSystem
11985          Name            "Character Map\nShared Memory"
11986          Ports           [1, 1]
11987          Position            [1300, 417, 1390, 453]
11988          MinAlgLoopOccurrences   off
11989          RTWSystemCode       "Auto"
11990          FunctionWithSeparateData off
11991          MaskHideContents        off
11992          System {
11993        Name            "Character Map\nShared Memory"
11994        Location        [1847, 723, 2806, 1348]
11995        Open            off
11996        ModelBrowserVisibility  off
11997        ModelBrowserWidth   200
11998        ScreenColor     "white"
11999        PaperOrientation    "landscape"
12000        PaperPositionMode   "auto"
12001        PaperType       "usletter"
12002        PaperUnits      "inches"
12003        TiledPaperMargins   "[0.500000, 0.500000, 0.500000, 0.5000"
12004"00]"
12005        TiledPageScale      1
12006        ShowPageBoundaries  off
12007        ZoomFactor      "147"
12008        Block {
12009          BlockType       Inport
12010          Name            "Addr_14b"
12011          Position        [100, 268, 130, 282]
12012          IconDisplay         "Port number"
12013        }
12014        Block {
12015          BlockType       Reference
12016          Name            "12MSB"
12017          Ports           [1, 1]
12018          Position        [220, 268, 260, 282]
12019          NamePlacement       "alternate"
12020          SourceBlock         "xbsIndex_r4/Slice"
12021          SourceType          "Xilinx Bit Slice Extractor Block"
12022          infoedit        "Extracts a given range of bits from"
12023" each input sample and presents it at the output.  The output type is ordinar"
12024"ily unsigned with binary point at zero, but can be Boolean when the slice is "
12025"one bit wide.<P><P>Hardware notes: In hardware this block costs nothing."
12026          nbits           "12"
12027          boolean_output      off
12028          mode            "Upper Bit Location + Width"
12029          bit1            "0"
12030          base1           "MSB of Input"
12031          bit0            "0"
12032          base0           "MSB of Input"
12033          dbl_ovrd        off
12034          has_advanced_control    "0"
12035          sggui_pos       "20,20,449,407"
12036          block_type          "slice"
12037          block_version       "8.2.02"
12038          sg_icon_stat        "40,14,1,1,white,blue,0,b1026674,rig"
12039"ht"
12040          sg_mask_display     "fprintf('','COMMENT: begin icon gra"
12041"phics');\npatch([0 60 60 0 ],[0 0 30 30 ],[0.77 0.82 0.91]);\npatch([22 17 24"
12042" 17 22 30 32 34 42 35 29 24 30 24 29 35 42 34 32 30 22 ],[3 8 15 22 27 27 25 "
12043"27 27 20 26 21 15 9 4 10 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],"
12044"[0 0 30 30 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMM"
12045"ENT: begin icon text');\ncolor('black');port_label('output',1,'[a:b]');\nfpri"
12046"ntf('','COMMENT: end icon text');\n"
12047        }
12048        Block {
12049          BlockType       Reference
12050          Name            "2LSB"
12051          Ports           [1, 1]
12052          Position        [220, 158, 260, 172]
12053          NamePlacement       "alternate"
12054          SourceBlock         "xbsIndex_r4/Slice"
12055          SourceType          "Xilinx Bit Slice Extractor Block"
12056          infoedit        "Extracts a given range of bits from"
12057" each input sample and presents it at the output.  The output type is ordinar"
12058"ily unsigned with binary point at zero, but can be Boolean when the slice is "
12059"one bit wide.<P><P>Hardware notes: In hardware this block costs nothing."
12060          nbits           "2"
12061          boolean_output      off
12062          mode            "Lower Bit Location + Width"
12063          bit1            "0"
12064          base1           "MSB of Input"
12065          bit0            "0"
12066          base0           "LSB of Input"
12067          dbl_ovrd        off
12068          has_advanced_control    "0"
12069          sggui_pos       "20,20,449,407"
12070          block_type          "slice"
12071          block_version       "8.2.02"
12072          sg_icon_stat        "40,14,1,1,white,blue,0,b1026674,rig"
12073"ht"
12074          sg_mask_display     "fprintf('','COMMENT: begin icon gra"
12075"phics');\npatch([0 60 60 0 ],[0 0 30 30 ],[0.77 0.82 0.91]);\npatch([22 17 24"
12076" 17 22 30 32 34 42 35 29 24 30 24 29 35 42 34 32 30 22 ],[3 8 15 22 27 27 25 "
12077"27 27 20 26 21 15 9 4 10 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],"
12078"[0 0 30 30 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMM"
12079"ENT: begin icon text');\ncolor('black');port_label('output',1,'[a:b]');\nfpri"
12080"ntf('','COMMENT: end icon text');\n"
12081        }
12082        Block {
12083          BlockType       Reference
12084          Name            "8LSB+0"
12085          Ports           [1, 1]
12086          Position        [550, 298, 590, 312]
12087          NamePlacement       "alternate"
12088          SourceBlock         "xbsIndex_r4/Slice"
12089          SourceType          "Xilinx Bit Slice Extractor Block"
12090          infoedit        "Extracts a given range of bits from"
12091" each input sample and presents it at the output.  The output type is ordinar"
12092"ily unsigned with binary point at zero, but can be Boolean when the slice is "
12093"one bit wide.<P><P>Hardware notes: In hardware this block costs nothing."
12094          nbits           "8"
12095          boolean_output      off
12096          mode            "Lower Bit Location + Width"
12097          bit1            "0"
12098          base1           "MSB of Input"
12099          bit0            "0"
12100          base0           "LSB of Input"
12101          dbl_ovrd        off
12102          has_advanced_control    "0"
12103          sggui_pos       "20,20,449,407"
12104          block_type          "slice"
12105          block_version       "8.2.02"
12106          sg_icon_stat        "40,14,1,1,white,blue,0,b1026674,rig"
12107"ht"
12108          sg_mask_display     "fprintf('','COMMENT: begin icon gra"
12109"phics');\npatch([0 60 60 0 ],[0 0 30 30 ],[0.77 0.82 0.91]);\npatch([22 17 24"
12110" 17 22 30 32 34 42 35 29 24 30 24 29 35 42 34 32 30 22 ],[3 8 15 22 27 27 25 "
12111"27 27 20 26 21 15 9 4 10 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],"
12112"[0 0 30 30 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMM"
12113"ENT: begin icon text');\ncolor('black');port_label('output',1,'[a:b]');\nfpri"
12114"ntf('','COMMENT: end icon text');\n"
12115        }
12116        Block {
12117          BlockType       Reference
12118          Name            "8LSB+16"
12119          Ports           [1, 1]
12120          Position        [550, 228, 590, 242]
12121          NamePlacement       "alternate"
12122          SourceBlock         "xbsIndex_r4/Slice"
12123          SourceType          "Xilinx Bit Slice Extractor Block"
12124          infoedit        "Extracts a given range of bits from"
12125" each input sample and presents it at the output.  The output type is ordinar"
12126"ily unsigned with binary point at zero, but can be Boolean when the slice is "
12127"one bit wide.<P><P>Hardware notes: In hardware this block costs nothing."
12128          nbits           "8"
12129          boolean_output      off
12130          mode            "Lower Bit Location + Width"
12131          bit1            "0"
12132          base1           "MSB of Input"
12133          bit0            "16"
12134          base0           "LSB of Input"
12135          dbl_ovrd        off
12136          has_advanced_control    "0"
12137          sggui_pos       "20,20,449,407"
12138          block_type          "slice"
12139          block_version       "8.2.02"
12140          sg_icon_stat        "40,14,1,1,white,blue,0,b1026674,rig"
12141"ht"
12142          sg_mask_display     "fprintf('','COMMENT: begin icon gra"
12143"phics');\npatch([0 60 60 0 ],[0 0 30 30 ],[0.77 0.82 0.91]);\npatch([22 17 24"
12144" 17 22 30 32 34 42 35 29 24 30 24 29 35 42 34 32 30 22 ],[3 8 15 22 27 27 25 "
12145"27 27 20 26 21 15 9 4 10 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],"
12146"[0 0 30 30 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMM"
12147"ENT: begin icon text');\ncolor('black');port_label('output',1,'[a:b]');\nfpri"
12148"ntf('','COMMENT: end icon text');\n"
12149        }
12150        Block {
12151          BlockType       Reference
12152          Name            "8LSB+24"
12153          Ports           [1, 1]
12154          Position        [550, 193, 590, 207]
12155          NamePlacement       "alternate"
12156          SourceBlock         "xbsIndex_r4/Slice"
12157          SourceType          "Xilinx Bit Slice Extractor Block"
12158          infoedit        "Extracts a given range of bits from"
12159" each input sample and presents it at the output.  The output type is ordinar"
12160"ily unsigned with binary point at zero, but can be Boolean when the slice is "
12161"one bit wide.<P><P>Hardware notes: In hardware this block costs nothing."
12162          nbits           "8"
12163          boolean_output      off
12164          mode            "Lower Bit Location + Width"
12165          bit1            "0"
12166          base1           "MSB of Input"
12167          bit0            "24"
12168          base0           "LSB of Input"
12169          dbl_ovrd        off
12170          has_advanced_control    "0"
12171          sggui_pos       "20,20,449,407"
12172          block_type          "slice"
12173          block_version       "8.2.02"
12174          sg_icon_stat        "40,14,1,1,white,blue,0,b1026674,rig"
12175"ht"
12176          sg_mask_display     "fprintf('','COMMENT: begin icon gra"
12177"phics');\npatch([0 60 60 0 ],[0 0 30 30 ],[0.77 0.82 0.91]);\npatch([22 17 24"
12178" 17 22 30 32 34 42 35 29 24 30 24 29 35 42 34 32 30 22 ],[3 8 15 22 27 27 25 "
12179"27 27 20 26 21 15 9 4 10 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],"
12180"[0 0 30 30 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMM"
12181"ENT: begin icon text');\ncolor('black');port_label('output',1,'[a:b]');\nfpri"
12182"ntf('','COMMENT: end icon text');\n"
12183        }
12184        Block {
12185          BlockType       Reference
12186          Name            "8LSB+8"
12187          Ports           [1, 1]
12188          Position        [550, 263, 590, 277]
12189          NamePlacement       "alternate"
12190          SourceBlock         "xbsIndex_r4/Slice"
12191          SourceType          "Xilinx Bit Slice Extractor Block"
12192          infoedit        "Extracts a given range of bits from"
12193" each input sample and presents it at the output.  The output type is ordinar"
12194"ily unsigned with binary point at zero, but can be Boolean when the slice is "
12195"one bit wide.<P><P>Hardware notes: In hardware this block costs nothing."
12196          nbits           "8"
12197          boolean_output      off
12198          mode            "Lower Bit Location + Width"
12199          bit1            "0"
12200          base1           "MSB of Input"
12201          bit0            "8"
12202          base0           "LSB of Input"
12203          dbl_ovrd        off
12204          has_advanced_control    "0"
12205          sggui_pos       "20,20,449,407"
12206          block_type          "slice"
12207          block_version       "8.2.02"
12208          sg_icon_stat        "40,14,1,1,white,blue,0,b1026674,rig"
12209"ht"
12210          sg_mask_display     "fprintf('','COMMENT: begin icon gra"
12211"phics');\npatch([0 60 60 0 ],[0 0 30 30 ],[0.77 0.82 0.91]);\npatch([22 17 24"
12212" 17 22 30 32 34 42 35 29 24 30 24 29 35 42 34 32 30 22 ],[3 8 15 22 27 27 25 "
12213"27 27 20 26 21 15 9 4 10 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],"
12214"[0 0 30 30 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMM"
12215"ENT: begin icon text');\ncolor('black');port_label('output',1,'[a:b]');\nfpri"
12216"ntf('','COMMENT: end icon text');\n"
12217        }
12218        Block {
12219          BlockType       Reference
12220          Name            "Constant"
12221          Ports           [0, 1]
12222          Position        [225, 292, 255, 318]
12223          ShowName        off
12224          SourceBlock         "xbsIndex_r4/Constant"
12225          SourceType          "Xilinx Constant Block Block"
12226          arith_type          "Unsigned"
12227          const           "0"
12228          n_bits          "32"
12229          bin_pt          "0"
12230          explicit_period     off
12231          period          "1"
12232          dsp48_infoedit      "The use of this block for DSP48 ins"
12233"tructions is deprecated.  Please use the Opmode block."
12234          equ             "P=C"
12235          opselect        "C"
12236          inp2            "PCIN>>17"
12237          opr             "+"
12238          inp1            "P"
12239          carry           "CIN"
12240          dbl_ovrd        off
12241          has_advanced_control    "0"
12242          sggui_pos       "20,20,400,346"
12243          block_type          "constant"
12244          block_version       "10.1.2"
12245          sg_icon_stat        "30,26,1,1,white,blue,0,72d575a1,rig"
12246"ht"
12247          sg_mask_display     "fprintf('','COMMENT: begin icon gra"
12248"phics');\npatch([0 55 55 0 ],[0 0 26 26 ],[0.77 0.82 0.91]);\npatch([20 16 22"
12249" 16 20 27 29 31 38 32 26 22 28 22 26 32 38 31 29 27 20 ],[3 7 13 19 23 23 21 "
12250"23 23 17 23 19 13 7 3 9 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 55 55 0 0 ],["
12251"0 0 26 26 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMME"
12252"NT: begin icon text');\ncolor('black');port_label('output',1,'0');\nfprintf('"
12253"','COMMENT: end icon text');\n"
12254        }
12255        Block {
12256          BlockType       Reference
12257          Name            "Constant1"
12258          Ports           [0, 1]
12259          Position        [225, 322, 255, 348]
12260          ShowName        off
12261          SourceBlock         "xbsIndex_r4/Constant"
12262          SourceType          "Xilinx Constant Block Block"
12263          arith_type          "Boolean"
12264          const           "0"
12265          n_bits          "8"
12266          bin_pt          "0"
12267          explicit_period     off
12268          period          "1"
12269          dsp48_infoedit      "The use of this block for DSP48 ins"
12270"tructions is deprecated.  Please use the Opmode block."
12271          equ             "P=C"
12272          opselect        "C"
12273          inp2            "PCIN>>17"
12274          opr             "+"
12275          inp1            "P"
12276          carry           "CIN"
12277          dbl_ovrd        off
12278          has_advanced_control    "0"
12279          sggui_pos       "20,20,400,346"
12280          block_type          "constant"
12281          block_version       "10.1.2"
12282          sg_icon_stat        "30,26,1,1,white,blue,0,72d575a1,rig"
12283"ht"
12284          sg_mask_display     "fprintf('','COMMENT: begin icon gra"
12285"phics');\npatch([0 55 55 0 ],[0 0 26 26 ],[0.77 0.82 0.91]);\npatch([20 16 22"
12286" 16 20 27 29 31 38 32 26 22 28 22 26 32 38 31 29 27 20 ],[3 7 13 19 23 23 21 "
12287"23 23 17 23 19 13 7 3 9 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 55 55 0 0 ],["
12288"0 0 26 26 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMME"
12289"NT: begin icon text');\ncolor('black');port_label('output',1,'0');\nfprintf('"
12290"','COMMENT: end icon text');\n"
12291        }
12292        Block {
12293          BlockType       Reference
12294          Name            "Mux"
12295          Ports           [5, 1]
12296          Position        [625, 150, 670, 320]
12297          SourceBlock         "xbsIndex_r4/Mux"
12298          SourceType          "Xilinx Bus Multiplexer Block"
12299          inputs          "4"
12300          en              off
12301          latency         "0"
12302          precision       "Full"
12303          arith_type          "Unsigned"
12304          n_bits          "16"
12305          bin_pt          "14"
12306          quantization        "Truncate"
12307          overflow        "Wrap"
12308          dbl_ovrd        off
12309          xl_use_area         off
12310          xl_area         "[0,0,0,0,0,0,0]"
12311          has_advanced_control    "0"
12312          sggui_pos       "20,20,348,303"
12313          block_type          "mux"
12314          block_version       "8.2.02"
12315          sg_icon_stat        "45,170,1,1,white,blue,3,27e96ab5,ri"
12316"ght"
12317          sg_mask_display     "fprintf('','COMMENT: begin icon gra"
12318"phics');\npatch([0 45 45 0 ],[0 14.8571 89.1429 104 ],[0.77 0.82 0.91]);\npat"
12319"ch([10 3 14 3 10 22 25 28 41 31 21 14 25 14 21 31 41 28 25 22 10 ],[34 41 52 "
12320"63 70 70 67 70 70 60 70 63 52 41 34 44 34 34 37 34 34 ],[0.98 0.96 0.92]);\np"
12321"lot([0 45 45 0 0 ],[0 14.8571 89.1429 104 0 ]);\nfprintf('','COMMENT: end ico"
12322"n graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_l"
12323"abel('input',1,'sel');\ncolor('black');port_label('input',2,'d0');\ncolor('bl"
12324"ack');port_label('input',3,'d1');\ncolor('black');port_label('input',4,'d2');"
12325"\ncolor('black');port_label('input',5,'d3');\ncolor('black');disp('\\bf{}','t"
12326"exmode','on');\nfprintf('','COMMENT: end icon text');\n"
12327        }
12328        Block {
12329          BlockType       Reference
12330          Name            "Shared Memory"
12331          Ports           [3, 1]
12332          Position        [305, 260, 385, 350]
12333          AttributesFormatString  "<< %<shared_memory_name> >>"
12334          SourceBlock         "xbsIndex_r4/Shared Memory"
12335          SourceType          "Xilinx Shared Memory Random Access "
12336"Memory Block"
12337          shared_memory_name      "'LCD_CharacterMap'"
12338          depth           "2^12 % 2^14"
12339          ownership       "Locally Owned and Initialized"
12340          initVector          "sharedMem_charactermap_init"
12341          mutex           "Unprotected"
12342          mode            "Read and Write"
12343          write_mode          "Read After Write"
12344          time_out        "0"
12345          latency         "1"
12346          explicit_data_type      on
12347          arith_type          "Unsigned"
12348          n_bits          "32"
12349          bin_pt          "0"
12350          xl_use_area         off
12351          xl_area         "[0,0,0,0,0,0,0]"
12352          implementation      "Block RAM"
12353          use_rpm         "off"
12354          has_advanced_control    "0"
12355          sggui_pos       "321,494,384,381"
12356          block_type          "shmem"
12357          block_version       "10.1.2"
12358          sg_icon_stat        "80,90,1,1,white,blue,0,e2c38f9a,rig"
12359"ht"
12360          sg_mask_display     "fprintf('','COMMENT: begin icon gra"
12361"phics');\npatch([0 80 80 0 ],[0 0 90 90 ],[0.77 0.82 0.91]);\npatch([18 5 24 "
12362"5 18 39 45 51 74 56 39 27 46 27 39 56 74 51 45 39 18 ],[14 27 46 65 78 78 72 "
12363"78 78 60 77 65 46 27 15 32 14 14 20 14 14 ],[0.98 0.96 0.92]);\nplot([0 80 80"
12364" 0 0 ],[0 0 90 90 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('"
12365"','COMMENT: begin icon text');\ncolor('black');port_label('input',1,'addr');"
12366"\ncolor('black');port_label('input',2,'din');\ncolor('black');port_label('inp"
12367"ut',3,'we');\ncolor('black');port_label('output',1,'dout');\nfprintf('','COMM"
12368"ENT: end icon text');\n"
12369        }
12370        Block {
12371          BlockType       Outport
12372          Name            "Data_8b"
12373          Position        [785, 228, 815, 242]
12374          IconDisplay         "Port number"
12375          BusOutputAsStruct   off
12376        }
12377        Line {
12378          SrcBlock        "Shared Memory"
12379          SrcPort         1
12380          Points          [110, 0]
12381          Branch {
12382            Points          [0, -35]
12383            Branch {
12384            Points          [0, -35]
12385            Branch {
12386            DstBlock            "8LSB+16"
12387            DstPort         1
12388            }
12389            Branch {
12390            Points          [0, -35]
12391            DstBlock            "8LSB+24"
12392            DstPort         1
12393            }
12394            }
12395            Branch {
12396            DstBlock            "8LSB+8"
12397            DstPort         1
12398            }
12399          }
12400          Branch {
12401            DstBlock            "8LSB+0"
12402            DstPort         1
12403          }
12404        }
12405        Line {
12406          SrcBlock        "Constant"
12407          SrcPort         1
12408          DstBlock        "Shared Memory"
12409          DstPort         2
12410        }
12411        Line {
12412          SrcBlock        "Constant1"
12413          SrcPort         1
12414          DstBlock        "Shared Memory"
12415          DstPort         3
12416        }
12417        Line {
12418          SrcBlock        "2LSB"
12419          SrcPort         1
12420          DstBlock        "Mux"
12421          DstPort         1
12422        }
12423        Line {
12424          SrcBlock        "Addr_14b"
12425          SrcPort         1
12426          Points          [55, 0]
12427          Branch {
12428            DstBlock            "12MSB"
12429            DstPort         1
12430          }
12431          Branch {
12432            Points          [0, -110]
12433            DstBlock            "2LSB"
12434            DstPort         1
12435          }
12436        }
12437        Line {
12438          SrcBlock        "8LSB+24"
12439          SrcPort         1
12440          DstBlock        "Mux"
12441          DstPort         2
12442        }
12443        Line {
12444          SrcBlock        "8LSB+16"
12445          SrcPort         1
12446          DstBlock        "Mux"
12447          DstPort         3
12448        }
12449        Line {
12450          SrcBlock        "8LSB+8"
12451          SrcPort         1
12452          DstBlock        "Mux"
12453          DstPort         4
12454        }
12455        Line {
12456          SrcBlock        "8LSB+0"
12457          SrcPort         1
12458          DstBlock        "Mux"
12459          DstPort         5
12460        }
12461        Line {
12462          SrcBlock        "12MSB"
12463          SrcPort         1
12464          DstBlock        "Shared Memory"
12465          DstPort         1
12466        }
12467        Line {
12468          SrcBlock        "Mux"
12469          SrcPort         1
12470          DstBlock        "Data_8b"
12471          DstPort         1
12472        }
12473        Annotation {
12474          Name            "We want this memory block to be 32-"
12475"bits on the PLB46,\nso user code can write it efficiently. But the logic in t"
12476"his\nmodel wants byte-addressable memory, so we decode\nthe 2LSB of the addre"
12477"ss here."
12478          Position        [337, 69]
12479        }
12480          }
12481        }
12482        Block {
12483          BlockType           Reference
12484          Name            "Concat"
12485          Ports           [2, 1]
12486          Position            [1175, 405, 1235, 465]
12487          SourceBlock         "xbsIndex_r4/Concat"
12488          SourceType          "Xilinx Bus Concatenator Block"
12489          infoedit            "Concatenates two or more inputs.  Outpu"
12490"t will be cast to an unsigned value with the binary point at zero."
12491          num_inputs          "2"
12492          dbl_ovrd            off
12493          has_advanced_control    "0"
12494          sggui_pos           "-1,-1,-1,-1"
12495          block_type          "concat"
12496          block_version       "8.2.02"
12497          sg_icon_stat        "60,60,1,1,white,blue,0,df1e5aba,right"
12498          sg_mask_display         "fprintf('','COMMENT: begin icon graphic"
12499"s');\npatch([0 60 60 0 ],[0 0 60 60 ],[0.77 0.82 0.91]);\npatch([14 4 18 4 14"
12500" 30 34 38 55 42 29 20 35 20 29 42 55 38 34 30 14 ],[6 16 30 44 54 54 50 54 54"
12501" 41 54 45 30 15 6 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 "
12502"0 60 60 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT"
12503": begin icon text');\ncolor('black');port_label('input',1,'hi');\ncolor('blac"
12504"k');port_label('input',2,'lo');\nfprintf('','COMMENT: end icon text');\n"
12505        }
12506        Block {
12507          BlockType           Reference
12508          Name            "Concat1"
12509          Ports           [3, 1]
12510          Position            [855, 345, 915, 405]
12511          SourceBlock         "xbsIndex_r4/Concat"
12512          SourceType          "Xilinx Bus Concatenator Block"
12513          infoedit            "Concatenates two or more inputs.  Outpu"
12514"t will be cast to an unsigned value with the binary point at zero."
12515          num_inputs          "3"
12516          dbl_ovrd            off
12517          has_advanced_control    "0"
12518          sggui_pos           "20,20,336,165"
12519          block_type          "concat"
12520          block_version       "8.2.02"
12521          sg_icon_stat        "60,60,1,1,white,blue,0,97cf21e1,right"
12522          sg_mask_display         "fprintf('','COMMENT: begin icon graphic"
12523"s');\npatch([0 60 60 0 ],[0 0 60 60 ],[0.77 0.82 0.91]);\npatch([14 4 18 4 14"
12524" 30 34 38 55 42 29 20 35 20 29 42 55 38 34 30 14 ],[6 16 30 44 54 54 50 54 54"
12525" 41 54 45 30 15 6 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 "
12526"0 60 60 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT"
12527": begin icon text');\ncolor('black');port_label('input',1,'hi');\ncolor('blac"
12528"k');port_label('input',3,'lo');\nfprintf('','COMMENT: end icon text');\n"
12529        }
12530        Block {
12531          BlockType           Reference
12532          Name            "Concat3"
12533          Ports           [2, 1]
12534          Position            [495, 620, 555, 680]
12535          SourceBlock         "xbsIndex_r4/Concat"
12536          SourceType          "Xilinx Bus Concatenator Block"
12537          infoedit            "Concatenates two or more inputs.  Outpu"
12538"t will be cast to an unsigned value with the binary point at zero."
12539          num_inputs          "2"
12540          dbl_ovrd            off
12541          has_advanced_control    "0"
12542          sggui_pos           "-1,-1,-1,-1"
12543          block_type          "concat"
12544          block_version       "8.2.02"
12545          sg_icon_stat        "60,60,1,1,white,blue,0,df1e5aba,right"
12546          sg_mask_display         "fprintf('','COMMENT: begin icon graphic"
12547"s');\npatch([0 60 60 0 ],[0 0 60 60 ],[0.77 0.82 0.91]);\npatch([14 4 18 4 14"
12548" 30 34 38 55 42 29 20 35 20 29 42 55 38 34 30 14 ],[6 16 30 44 54 54 50 54 54"
12549" 41 54 45 30 15 6 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 "
12550"0 60 60 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT"
12551": begin icon text');\ncolor('black');port_label('input',1,'hi');\ncolor('blac"
12552"k');port_label('input',2,'lo');\nfprintf('','COMMENT: end icon text');\n"
12553        }
12554        Block {
12555          BlockType           Reference
12556          Name            "Concat4"
12557          Ports           [2, 1]
12558          Position            [2230, 555, 2290, 615]
12559          SourceBlock         "xbsIndex_r4/Concat"
12560          SourceType          "Xilinx Bus Concatenator Block"
12561          infoedit            "Concatenates two or more inputs.  Outpu"
12562"t will be cast to an unsigned value with the binary point at zero."
12563          num_inputs          "2"
12564          dbl_ovrd            off
12565          has_advanced_control    "0"
12566          sggui_pos           "-1,-1,-1,-1"
12567          block_type          "concat"
12568          block_version       "8.2.02"
12569          sg_icon_stat        "60,60,1,1,white,blue,0,df1e5aba,right"
12570          sg_mask_display         "fprintf('','COMMENT: begin icon graphic"
12571"s');\npatch([0 60 60 0 ],[0 0 60 60 ],[0.77 0.82 0.91]);\npatch([14 4 18 4 14"
12572" 30 34 38 55 42 29 20 35 20 29 42 55 38 34 30 14 ],[6 16 30 44 54 54 50 54 54"
12573" 41 54 45 30 15 6 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 "
12574"0 60 60 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT"
12575": begin icon text');\ncolor('black');port_label('input',1,'hi');\ncolor('blac"
12576"k');port_label('input',2,'lo');\nfprintf('','COMMENT: end icon text');\n"
12577        }
12578        Block {
12579          BlockType           Reference
12580          Name            "Concat5"
12581          Ports           [2, 1]
12582          Position            [1555, 485, 1615, 545]
12583          SourceBlock         "xbsIndex_r4/Concat"
12584          SourceType          "Xilinx Bus Concatenator Block"
12585          infoedit            "Concatenates two or more inputs.  Outpu"
12586"t will be cast to an unsigned value with the binary point at zero."
12587          num_inputs          "2"
12588          dbl_ovrd            off
12589          has_advanced_control    "0"
12590          sggui_pos           "-1,-1,-1,-1"
12591          block_type          "concat"
12592          block_version       "8.2.02"
12593          sg_icon_stat        "60,60,1,1,white,blue,0,df1e5aba,right"
12594          sg_mask_display         "fprintf('','COMMENT: begin icon graphic"
12595"s');\npatch([0 60 60 0 ],[0 0 60 60 ],[0.77 0.82 0.91]);\npatch([14 4 18 4 14"
12596" 30 34 38 55 42 29 20 35 20 29 42 55 38 34 30 14 ],[6 16 30 44 54 54 50 54 54"
12597" 41 54 45 30 15 6 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 "
12598"0 60 60 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT"
12599": begin icon text');\ncolor('black');port_label('input',1,'hi');\ncolor('blac"
12600"k');port_label('input',2,'lo');\nfprintf('','COMMENT: end icon text');\n"
12601        }
12602        Block {
12603          BlockType           Reference
12604          Name            "Constant10"
12605          Ports           [0, 1]
12606          Position            [1470, 462, 1525, 488]
12607          SourceBlock         "xbsIndex_r4/Constant"
12608          SourceType          "Xilinx Constant Block Block"
12609          arith_type          "Unsigned"
12610          const           "0"
12611          n_bits              "1"
12612          bin_pt              "0"
12613          explicit_period         off
12614          period              "1"
12615          dsp48_infoedit          "The use of this block for DSP48 instruc"
12616"tions is deprecated.  Please use the Opmode block."
12617          equ             "P=C"
12618          opselect            "C"
12619          inp2            "PCIN>>17"
12620          opr             "+"
12621          inp1            "P"
12622          carry           "CIN"
12623          dbl_ovrd            off
12624          has_advanced_control    "0"
12625          sggui_pos           "-1,-1,-1,-1"
12626          block_type          "constant"
12627          block_version       "8.2.02"
12628          sg_icon_stat        "55,26,1,1,white,blue,0,72d575a1,right"
12629          sg_mask_display         "fprintf('','COMMENT: begin icon graphic"
12630"s');\npatch([0 55 55 0 ],[0 0 26 26 ],[0.77 0.82 0.91]);\npatch([20 16 22 16 "
12631"20 27 29 31 38 32 26 22 28 22 26 32 38 31 29 27 20 ],[3 7 13 19 23 23 21 23 2"
12632"3 17 23 19 13 7 3 9 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 55 55 0 0 ],[0 0 "
12633"26 26 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: "
12634"begin icon text');\ncolor('black');port_label('output',1,'0');\nfprintf('','C"
12635"OMMENT: end icon text');\n"
12636        }
12637        Block {
12638          BlockType           Reference
12639          Name            "Constant4"
12640          Ports           [0, 1]
12641          Position            [225, 607, 280, 633]
12642          SourceBlock         "xbsIndex_r4/Constant"
12643          SourceType          "Xilinx Constant Block Block"
12644          arith_type          "Unsigned"
12645          const           "0"
12646          n_bits              "1"
12647          bin_pt              "0"
12648          explicit_period         on
12649          period              "1"
12650          dsp48_infoedit          "The use of this block for DSP48 instruc"
12651"tions is deprecated.  Please use the Opmode block."
12652          equ             "P=C"
12653          opselect            "C"
12654          inp2            "PCIN>>17"
12655          opr             "+"
12656          inp1            "P"
12657          carry           "CIN"
12658          dbl_ovrd            off
12659          has_advanced_control    "0"
12660          sggui_pos           "-1,-1,-1,-1"
12661          block_type          "constant"
12662          block_version       "8.2.02"
12663          sg_icon_stat        "55,26,1,1,white,blue,0,72d575a1,right"
12664          sg_mask_display         "fprintf('','COMMENT: begin icon graphic"
12665"s');\npatch([0 55 55 0 ],[0 0 26 26 ],[0.77 0.82 0.91]);\npatch([20 16 22 16 "
12666"20 27 29 31 38 32 26 22 28 22 26 32 38 31 29 27 20 ],[3 7 13 19 23 23 21 23 2"
12667"3 17 23 19 13 7 3 9 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 55 55 0 0 ],[0 0 "
12668"26 26 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: "
12669"begin icon text');\ncolor('black');port_label('output',1,'0');\nfprintf('','C"
12670"OMMENT: end icon text');\n"
12671        }
12672        Block {
12673          BlockType           Reference
12674          Name            "Constant5"
12675          Ports           [0, 1]
12676          Position            [225, 737, 280, 763]
12677          SourceBlock         "xbsIndex_r4/Constant"
12678          SourceType          "Xilinx Constant Block Block"
12679          arith_type          "Unsigned"
12680          const           "0"
12681          n_bits              "1"
12682          bin_pt              "0"
12683          explicit_period         on
12684          period              "1"
12685          dsp48_infoedit          "The use of this block for DSP48 instruc"
12686"tions is deprecated.  Please use the Opmode block."
12687          equ             "P=C"
12688          opselect            "C"
12689          inp2            "PCIN>>17"
12690          opr             "+"
12691          inp1            "P"
12692          carry           "CIN"
12693          dbl_ovrd            off
12694          has_advanced_control    "0"
12695          sggui_pos           "-1,-1,-1,-1"
12696          block_type          "constant"
12697          block_version       "8.2.02"
12698          sg_icon_stat        "55,26,1,1,white,blue,0,72d575a1,right"
12699          sg_mask_display         "fprintf('','COMMENT: begin icon graphic"
12700"s');\npatch([0 55 55 0 ],[0 0 26 26 ],[0.77 0.82 0.91]);\npatch([20 16 22 16 "
12701"20 27 29 31 38 32 26 22 28 22 26 32 38 31 29 27 20 ],[3 7 13 19 23 23 21 23 2"
12702"3 17 23 19 13 7 3 9 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 55 55 0 0 ],[0 0 "
12703"26 26 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: "
12704"begin icon text');\ncolor('black');port_label('output',1,'0');\nfprintf('','C"
12705"OMMENT: end icon text');\n"
12706        }
12707        Block {
12708          BlockType           Reference
12709          Name            "Constant6"
12710          Ports           [0, 1]
12711          Position            [580, 657, 635, 683]
12712          SourceBlock         "xbsIndex_r4/Constant"
12713          SourceType          "Xilinx Constant Block Block"
12714          arith_type          "Unsigned"
12715          const           "0"
12716          n_bits              "3"
12717          bin_pt              "0"
12718          explicit_period         on
12719          period              "1"
12720          dsp48_infoedit          "The use of this block for DSP48 instruc"
12721"tions is deprecated.  Please use the Opmode block."
12722          equ             "P=C"
12723          opselect            "C"
12724          inp2            "PCIN>>17"
12725          opr             "+"
12726          inp1            "P"
12727          carry           "CIN"
12728          dbl_ovrd            off
12729          has_advanced_control    "0"
12730          sggui_pos           "-1,-1,-1,-1"
12731          block_type          "constant"
12732          block_version       "8.2.02"
12733          sg_icon_stat        "55,26,1,1,white,blue,0,72d575a1,right"
12734          sg_mask_display         "fprintf('','COMMENT: begin icon graphic"
12735"s');\npatch([0 55 55 0 ],[0 0 26 26 ],[0.77 0.82 0.91]);\npatch([20 16 22 16 "
12736"20 27 29 31 38 32 26 22 28 22 26 32 38 31 29 27 20 ],[3 7 13 19 23 23 21 23 2"
12737"3 17 23 19 13 7 3 9 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 55 55 0 0 ],[0 0 "
12738"26 26 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: "
12739"begin icon text');\ncolor('black');port_label('output',1,'0');\nfprintf('','C"
12740"OMMENT: end icon text');\n"
12741        }
12742        Block {
12743          BlockType           Reference
12744          Name            "Constant7"
12745          Ports           [0, 1]
12746          Position            [580, 697, 635, 723]
12747          SourceBlock         "xbsIndex_r4/Constant"
12748          SourceType          "Xilinx Constant Block Block"
12749          arith_type          "Unsigned"
12750          const           "4"
12751          n_bits              "3"
12752          bin_pt              "0"
12753          explicit_period         on
12754          period              "1"
12755          dsp48_infoedit          "The use of this block for DSP48 instruc"
12756"tions is deprecated.  Please use the Opmode block."
12757          equ             "P=C"
12758          opselect            "C"
12759          inp2            "PCIN>>17"
12760          opr             "+"
12761          inp1            "P"
12762          carry           "CIN"
12763          dbl_ovrd            off
12764          has_advanced_control    "0"
12765          sggui_pos           "-1,-1,-1,-1"
12766          block_type          "constant"
12767          block_version       "8.2.02"
12768          sg_icon_stat        "55,26,1,1,white,blue,0,b20b18e0,right"
12769          sg_mask_display         "fprintf('','COMMENT: begin icon graphic"
12770"s');\npatch([0 55 55 0 ],[0 0 26 26 ],[0.77 0.82 0.91]);\npatch([20 16 22 16 "
12771"20 27 29 31 38 32 26 22 28 22 26 32 38 31 29 27 20 ],[3 7 13 19 23 23 21 23 2"
12772"3 17 23 19 13 7 3 9 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 55 55 0 0 ],[0 0 "
12773"26 26 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: "
12774"begin icon text');\ncolor('black');port_label('output',1,'4');\nfprintf('','C"
12775"OMMENT: end icon text');\n"
12776        }
12777        Block {
12778          BlockType           Reference
12779          Name            "Constant8"
12780          Ports           [0, 1]
12781          Position            [580, 737, 635, 763]
12782          SourceBlock         "xbsIndex_r4/Constant"
12783          SourceType          "Xilinx Constant Block Block"
12784          arith_type          "Unsigned"
12785          const           "1"
12786          n_bits              "3"
12787          bin_pt              "0"
12788          explicit_period         on
12789          period              "1"
12790          dsp48_infoedit          "The use of this block for DSP48 instruc"
12791"tions is deprecated.  Please use the Opmode block."
12792          equ             "P=C"
12793          opselect            "C"
12794          inp2            "PCIN>>17"
12795          opr             "+"
12796          inp1            "P"
12797          carry           "CIN"
12798          dbl_ovrd            off
12799          has_advanced_control    "0"
12800          sggui_pos           "-1,-1,-1,-1"
12801          block_type          "constant"
12802          block_version       "8.2.02"
12803          sg_icon_stat        "55,26,1,1,white,blue,0,06094819,right"
12804          sg_mask_display         "fprintf('','COMMENT: begin icon graphic"
12805"s');\npatch([0 55 55 0 ],[0 0 26 26 ],[0.77 0.82 0.91]);\npatch([20 16 22 16 "
12806"20 27 29 31 38 32 26 22 28 22 26 32 38 31 29 27 20 ],[3 7 13 19 23 23 21 23 2"
12807"3 17 23 19 13 7 3 9 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 55 55 0 0 ],[0 0 "
12808"26 26 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: "
12809"begin icon text');\ncolor('black');port_label('output',1,'1');\nfprintf('','C"
12810"OMMENT: end icon text');\n"
12811        }
12812        Block {
12813          BlockType           Reference
12814          Name            "Constant9"
12815          Ports           [0, 1]
12816          Position            [960, 782, 1015, 808]
12817          SourceBlock         "xbsIndex_r4/Constant"
12818          SourceType          "Xilinx Constant Block Block"
12819          arith_type          "Unsigned"
12820          const           "0"
12821          n_bits              "1"
12822          bin_pt              "0"
12823          explicit_period         on
12824          period              "1"
12825          dsp48_infoedit          "The use of this block for DSP48 instruc"
12826"tions is deprecated.  Please use the Opmode block."
12827          equ             "P=C"
12828          opselect            "C"
12829          inp2            "PCIN>>17"
12830          opr             "+"
12831          inp1            "P"
12832          carry           "CIN"
12833          dbl_ovrd            off
12834          has_advanced_control    "0"
12835          sggui_pos           "-1,-1,-1,-1"
12836          block_type          "constant"
12837          block_version       "8.2.02"
12838          sg_icon_stat        "55,26,1,1,white,blue,0,72d575a1,right"
12839          sg_mask_display         "fprintf('','COMMENT: begin icon graphic"
12840"s');\npatch([0 55 55 0 ],[0 0 26 26 ],[0.77 0.82 0.91]);\npatch([20 16 22 16 "
12841"20 27 29 31 38 32 26 22 28 22 26 32 38 31 29 27 20 ],[3 7 13 19 23 23 21 23 2"
12842"3 17 23 19 13 7 3 9 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 55 55 0 0 ],[0 0 "
12843"26 26 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: "
12844"begin icon text');\ncolor('black');port_label('output',1,'0');\nfprintf('','C"
12845"OMMENT: end icon text');\n"
12846        }
12847        Block {
12848          BlockType           Reference
12849          Name            "Convert"
12850          Ports           [1, 1]
12851          Position            [405, 620, 450, 650]
12852          SourceBlock         "xbsIndex_r4/Convert"
12853          SourceType          "Xilinx Type Converter Block"
12854          infoedit            "Hardware notes: rounding and saturating"
12855" require hardware resources; truncating and wrapping do not."
12856          arith_type          "Unsigned"
12857          n_bits              "1"
12858          bin_pt              "0"
12859          quantization        "Truncate"
12860          overflow            "Wrap"
12861          latency             "0"
12862          dbl_ovrd            off
12863          pipeline            off
12864          xl_use_area         off
12865          xl_area             "[0,0,0,0,0,0,0]"
12866          has_advanced_control    "0"
12867          sggui_pos           "20,20,374,375"
12868          block_type          "convert"
12869          block_version       "8.2.02"
12870          sg_icon_stat        "45,30,1,1,white,blue,0,74901e60,right"
12871          sg_mask_display         "fprintf('','COMMENT: begin icon graphic"
12872"s');\npatch([0 45 45 0 ],[0 0 30 30 ],[0.77 0.82 0.91]);\npatch([15 10 17 10 "
12873"15 23 25 27 35 28 22 17 23 17 22 28 35 27 25 23 15 ],[3 8 15 22 27 27 25 27 2"
12874"7 20 26 21 15 9 4 10 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 45 45 0 0 ],[0 0"
12875" 30 30 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT:"
12876" begin icon text');\ncolor('black');port_label('output',1,'cast');\nfprintf('"
12877"','COMMENT: end icon text');\n"
12878        }
12879        Block {
12880          BlockType           Reference
12881          Name            "Convert1"
12882          Ports           [1, 1]
12883          Position            [395, 700, 440, 730]
12884          SourceBlock         "xbsIndex_r4/Convert"
12885          SourceType          "Xilinx Type Converter Block"
12886          infoedit            "Hardware notes: rounding and saturating"
12887" require hardware resources; truncating and wrapping do not."
12888          arith_type          "Unsigned"
12889          n_bits              "1"
12890          bin_pt              "0"
12891          quantization        "Truncate"
12892          overflow            "Wrap"
12893          latency             "0"
12894          dbl_ovrd            off
12895          pipeline            off
12896          xl_use_area         off
12897          xl_area             "[0,0,0,0,0,0,0]"
12898          has_advanced_control    "0"
12899          sggui_pos           "20,20,374,375"
12900          block_type          "convert"
12901          block_version       "8.2.02"
12902          sg_icon_stat        "45,30,1,1,white,blue,0,74901e60,right"
12903          sg_mask_display         "fprintf('','COMMENT: begin icon graphic"
12904"s');\npatch([0 45 45 0 ],[0 0 30 30 ],[0.77 0.82 0.91]);\npatch([15 10 17 10 "
12905"15 23 25 27 35 28 22 17 23 17 22 28 35 27 25 23 15 ],[3 8 15 22 27 27 25 27 2"
12906"7 20 26 21 15 9 4 10 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 45 45 0 0 ],[0 0"
12907" 30 30 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT:"
12908" begin icon text');\ncolor('black');port_label('output',1,'cast');\nfprintf('"
12909"','COMMENT: end icon text');\n"
12910        }
12911        Block {
12912          BlockType           Reference
12913          Name            "Counter"
12914          Ports           [3, 1]
12915          Position            [945, 660, 1005, 720]
12916          SourceBlock         "xbsIndex_r4/Counter"
12917          SourceType          "Xilinx Counter Block"
12918          infoedit            "Hardware notes: Free running counters a"
12919"re the least expensive in hardware.  A count limited counter is implemented b"
12920"y combining a counter with a comparator."
12921          cnt_type            "Free Running"
12922          cnt_to              "Inf"
12923          operation           "Up"
12924          start_count         "0"
12925          cnt_by_val          "1"
12926          arith_type          "Unsigned"
12927          n_bits              "3"
12928          bin_pt              "0"
12929          load_pin            on
12930          rst             off
12931          en              on
12932          explicit_period         "on"
12933          period              "1"
12934          dbl_ovrd            off
12935          use_behavioral_HDL      off
12936          use_rpm             off
12937          xl_use_area         off
12938          xl_area             "[0,0,0,0,0,0,0]"
12939          has_advanced_control    "0"
12940          sggui_pos           "20,20,356,630"
12941          block_type          "counter"
12942          block_version       "8.2.02"
12943          sg_icon_stat        "60,60,1,1,white,blue,0,904db1eb,right"
12944          sg_mask_display         "fprintf('','COMMENT: begin icon graphic"
12945"s');\npatch([0 60 60 0 ],[0 0 60 60 ],[0.77 0.82 0.91]);\npatch([14 4 18 4 14"
12946" 30 34 38 55 42 29 20 35 20 29 42 55 38 34 30 14 ],[6 16 30 44 54 54 50 54 54"
12947" 41 54 45 30 15 6 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 "
12948"0 60 60 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT"
12949": begin icon text');\ncolor('black');port_label('input',1,'load');\ncolor('bl"
12950"ack');port_label('input',2,'din');\ncolor('black');port_label('input',3,'en')"
12951";\ncolor('black');port_label('output',1,'out');\nfprintf('','COMMENT: end ico"
12952"n text');\n"
12953        }
12954        Block {
12955          BlockType           Reference
12956          Name            "Counter1"
12957          Ports           [2, 1]
12958          Position            [125, 360, 185, 420]
12959          SourceBlock         "xbsIndex_r4/Counter"
12960          SourceType          "Xilinx Counter Block"
12961          infoedit            "Hardware notes: Free running counters a"
12962"re the least expensive in hardware.  A count limited counter is implemented b"
12963"y combining a counter with a comparator."
12964          cnt_type            "Free Running"
12965          cnt_to              "Inf"
12966          operation           "Up"
12967          start_count         "2^14-1"
12968          cnt_by_val          "1"
12969          arith_type          "Unsigned"
12970          n_bits              "14"
12971          bin_pt              "0"
12972          load_pin            off
12973          rst             on
12974          en              on
12975          explicit_period         "on"
12976          period              "1"
12977          dbl_ovrd            off
12978          use_behavioral_HDL      off
12979          use_rpm             off
12980          xl_use_area         off
12981          xl_area             "[0,0,0,0,0,0,0]"
12982          has_advanced_control    "0"
12983          sggui_pos           "20,20,356,630"
12984          block_type          "counter"
12985          block_version       "8.2.02"
12986          sg_icon_stat        "60,60,1,1,white,blue,0,46c73e85,right"
12987          sg_mask_display         "fprintf('','COMMENT: begin icon graphic"
12988"s');\npatch([0 60 60 0 ],[0 0 60 60 ],[0.77 0.82 0.91]);\npatch([14 4 18 4 14"
12989" 30 34 38 55 42 29 20 35 20 29 42 55 38 34 30 14 ],[6 16 30 44 54 54 50 54 54"
12990" 41 54 45 30 15 6 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 "
12991"0 60 60 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT"
12992": begin icon text');\ncolor('black');port_label('input',1,'rst');\ncolor('bla"
12993"ck');port_label('input',2,'en');\ncolor('black');port_label('output',1,'out')"
12994";\nfprintf('','COMMENT: end icon text');\n"
12995        }
12996        Block {
12997          BlockType           Reference
12998          Name            "Delay"
12999          Ports           [1, 1]
13000          Position            [1015, 952, 1075, 1008]
13001          SourceBlock         "xbsIndex_r4/Delay"
13002          SourceType          "Xilinx Delay Block"
13003          infoedit            "Hardware notes: A delay line is a chain"
13004", each link of which is an SRL16 followed by a flip-flop. If register retimin"
13005"g is enabled, the delay line is a chain of flip-flops."
13006          en              off
13007          latency             "4"
13008          dbl_ovrd            off
13009          reg_retiming        off
13010          xl_use_area         off
13011          xl_area             "[0,0,0,0,0,0,0]"
13012          has_advanced_control    "0"
13013          sggui_pos           "20,20,356,256"
13014          block_type          "delay"
13015          block_version       "8.2.02"
13016          sg_icon_stat        "60,56,1,1,white,blue,0,c2217bc4,right"
13017          sg_mask_display         "fprintf('','COMMENT: begin icon graphic"
13018"s');\npatch([0 60 60 0 ],[0 0 56 56 ],[0.77 0.82 0.91]);\npatch([15 6 19 6 15"
13019" 30 34 38 54 41 29 20 32 20 29 41 54 38 34 30 15 ],[6 15 28 41 50 50 46 50 50"
13020" 37 49 40 28 16 7 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 "
13021"0 56 56 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT"
13022": begin icon text');\ncolor('black');disp('z^{-4}','texmode','on');\nfprintf("
13023"'','COMMENT: end icon text');\n"
13024        }
13025        Block {
13026          BlockType           Reference
13027          Name            "From Register1"
13028          Ports           [0, 1]
13029          Position            [1675, 595, 1720, 645]
13030          ShowName            off
13031          AttributesFormatString  "From Register\\n<< %<shared_memory_name"
13032"> >>"
13033          SourceBlock         "xbsIndex_r4/From Register"
13034          SourceType          "Xilinx Shared Memory Based From Registe"
13035"r Block"
13036          infoedit            "Register block that reads data to a sha"
13037"red memory register.  Delay of one sample period."
13038          shared_memory_name      "'LCD_ColSet'"
13039          init            "hex2dec('175')"
13040          period              "1"
13041          ownership           "Locally owned and initialized"
13042          arith_type          "Unsigned"
13043          n_bits              "9"
13044          bin_pt              "0"
13045          dbl_ovrd            off
13046          xl_use_area         off
13047          xl_area             "[0,0,0,0,0,0,0]"
13048          has_advanced_control    "0"
13049          sggui_pos           "20,20,379,246"
13050          block_type          "fromreg"
13051          block_version       "8.2.02"
13052          sg_icon_stat        "45,50,1,1,white,blue,0,4b212927,right"
13053          sg_mask_display         "fprintf('','COMMENT: begin icon graphic"
13054"s');\npatch([0 60 60 0 ],[0 0 56 56 ],[0.77 0.82 0.91]);\npatch([15 6 19 6 15"
13055" 30 34 38 54 41 29 20 32 20 29 41 54 38 34 30 15 ],[6 15 28 41 50 50 46 50 50"
13056" 37 49 40 28 16 7 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 "
13057"0 56 56 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT"
13058": begin icon text');\ncolor('black');port_label('output',1,'dout');\nfprintf("
13059"'','COMMENT: end icon text');\n"
13060        }
13061        Block {
13062          BlockType           Reference
13063          Name            "From Register2"
13064          Ports           [0, 1]
13065          Position            [1675, 700, 1720, 750]
13066          ShowName            off
13067          AttributesFormatString  "From Register\\n<< %<shared_memory_name"
13068"> >>"
13069          SourceBlock         "xbsIndex_r4/From Register"
13070          SourceType          "Xilinx Shared Memory Based From Registe"
13071"r Block"
13072          infoedit            "Register block that reads data to a sha"
13073"red memory register.  Delay of one sample period."
13074          shared_memory_name      "'LCD_RamWrite'"
13075          init            "hex2dec('15C')"
13076          period              "1"
13077          ownership           "Locally owned and initialized"
13078          arith_type          "Unsigned"
13079          n_bits              "9"
13080          bin_pt              "0"
13081          dbl_ovrd            off
13082          xl_use_area         off
13083          xl_area             "[0,0,0,0,0,0,0]"
13084          has_advanced_control    "0"
13085          sggui_pos           "20,20,379,246"
13086          block_type          "fromreg"
13087          block_version       "8.2.02"
13088          sg_icon_stat        "45,50,1,1,white,blue,0,4b212927,right"
13089          sg_mask_display         "fprintf('','COMMENT: begin icon graphic"
13090"s');\npatch([0 60 60 0 ],[0 0 56 56 ],[0.77 0.82 0.91]);\npatch([15 6 19 6 15"
13091" 30 34 38 54 41 29 20 32 20 29 41 54 38 34 30 15 ],[6 15 28 41 50 50 46 50 50"
13092" 37 49 40 28 16 7 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 "
13093"0 56 56 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT"
13094": begin icon text');\ncolor('black');port_label('output',1,'dout');\nfprintf("
13095"'','COMMENT: end icon text');\n"
13096        }
13097        Block {
13098          BlockType           Reference
13099          Name            "From Register3"
13100          Ports           [0, 1]
13101          Position            [1670, 490, 1715, 540]
13102          ShowName            off
13103          AttributesFormatString  "From Register\\n<< %<shared_memory_name"
13104"> >>"
13105          SourceBlock         "xbsIndex_r4/From Register"
13106          SourceType          "Xilinx Shared Memory Based From Registe"
13107"r Block"
13108          infoedit            "Register block that reads data to a sha"
13109"red memory register.  Delay of one sample period."
13110          shared_memory_name      "'LCD_RowSet'"
13111          init            "hex2dec('115')"
13112          period              "1"
13113          ownership           "Locally owned and initialized"
13114          arith_type          "Unsigned"
13115          n_bits              "9"
13116          bin_pt              "0"
13117          dbl_ovrd            off
13118          xl_use_area         off
13119          xl_area             "[0,0,0,0,0,0,0]"
13120          has_advanced_control    "0"
13121          sggui_pos           "20,20,379,246"
13122          block_type          "fromreg"
13123          block_version       "8.2.02"
13124          sg_icon_stat        "45,50,1,1,white,blue,0,4b212927,right"
13125          sg_mask_display         "fprintf('','COMMENT: begin icon graphic"
13126"s');\npatch([0 60 60 0 ],[0 0 56 56 ],[0.77 0.82 0.91]);\npatch([15 6 19 6 15"
13127" 30 34 38 54 41 29 20 32 20 29 41 54 38 34 30 15 ],[6 15 28 41 50 50 46 50 50"
13128" 37 49 40 28 16 7 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 "
13129"0 56 56 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT"
13130": begin icon text');\ncolor('black');port_label('output',1,'dout');\nfprintf("
13131"'','COMMENT: end icon text');\n"
13132        }
13133        Block {
13134          BlockType           Reference
13135          Name            "From Register4"
13136          Ports           [0, 1]
13137          Position            [730, 285, 775, 335]
13138          NamePlacement       "alternate"
13139          ShowName            off
13140          AttributesFormatString  "From Register\\n<< %<shared_memory_name"
13141"> >>"
13142          SourceBlock         "xbsIndex_r4/From Register"
13143          SourceType          "Xilinx Shared Memory Based From Registe"
13144"r Block"
13145          infoedit            "Register block that reads data to a sha"
13146"red memory register.  Delay of one sample period."
13147          shared_memory_name      "'LCD_CharactersSelect'"
13148          init            "0"
13149          period              "1"
13150          ownership           "Locally owned and initialized"
13151          arith_type          "Unsigned"
13152          n_bits              "3"
13153          bin_pt              "0"
13154          dbl_ovrd            off
13155          xl_use_area         off
13156          xl_area             "[0,0,0,0,0,0,0]"
13157          has_advanced_control    "0"
13158          sggui_pos           "20,20,379,246"
13159          block_type          "fromreg"
13160          block_version       "8.2.02"
13161          sg_icon_stat        "45,50,1,1,white,blue,0,4b212927,right"
13162          sg_mask_display         "fprintf('','COMMENT: begin icon graphic"
13163"s');\npatch([0 60 60 0 ],[0 0 56 56 ],[0.77 0.82 0.91]);\npatch([15 6 19 6 15"
13164" 30 34 38 54 41 29 20 32 20 29 41 54 38 34 30 15 ],[6 15 28 41 50 50 46 50 50"
13165" 37 49 40 28 16 7 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 "
13166"0 56 56 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT"
13167": begin icon text');\ncolor('black');port_label('output',1,'dout');\nfprintf("
13168"'','COMMENT: end icon text');\n"
13169        }
13170        Block {
13171          BlockType           Reference
13172          Name            "From Register5"
13173          Ports           [0, 1]
13174          Position            [465, 435, 510, 485]
13175          NamePlacement       "alternate"
13176          ShowName            off
13177          AttributesFormatString  "From Register\\n<< %<shared_memory_name"
13178"> >>"
13179          SourceBlock         "xbsIndex_r4/From Register"
13180          SourceType          "Xilinx Shared Memory Based From Registe"
13181"r Block"
13182          infoedit            "Register block that reads data to a sha"
13183"red memory register.  Delay of one sample period."
13184          shared_memory_name      "'LCD_CharacterOffset'"
13185          init            "0"
13186          period              "1"
13187          ownership           "Locally owned and initialized"
13188          arith_type          "Unsigned"
13189          n_bits              "4"
13190          bin_pt              "0"
13191          dbl_ovrd            off
13192          xl_use_area         off
13193          xl_area             "[0,0,0,0,0,0,0]"
13194          has_advanced_control    "0"
13195          sggui_pos           "20,20,381,246"
13196          block_type          "fromreg"
13197          block_version       "8.2.02"
13198          sg_icon_stat        "45,50,1,1,white,blue,0,4b212927,right"
13199          sg_mask_display         "fprintf('','COMMENT: begin icon graphic"
13200"s');\npatch([0 60 60 0 ],[0 0 56 56 ],[0.77 0.82 0.91]);\npatch([15 6 19 6 15"
13201" 30 34 38 54 41 29 20 32 20 29 41 54 38 34 30 15 ],[6 15 28 41 50 50 46 50 50"
13202" 37 49 40 28 16 7 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 "
13203"0 56 56 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT"
13204": begin icon text');\ncolor('black');port_label('output',1,'dout');\nfprintf("
13205"'','COMMENT: end icon text');\n"
13206        }
13207        Block {
13208          BlockType           Reference
13209          Name            "From Register7"
13210          Ports           [0, 1]
13211          Position            [555, 320, 600, 370]
13212          NamePlacement       "alternate"
13213          ShowName            off
13214          AttributesFormatString  "From Register\\n<< %<shared_memory_name"
13215"> >>"
13216          SourceBlock         "xbsIndex_r4/From Register"
13217          SourceType          "Xilinx Shared Memory Based From Registe"
13218"r Block"
13219          infoedit            "Register block that reads data to a sha"
13220"red memory register.  Delay of one sample period."
13221          shared_memory_name      "'LCD_LineOffset'"
13222          init            "0"
13223          period              "1"
13224          ownership           "Locally owned and initialized"
13225          arith_type          "Unsigned"
13226          n_bits              "4"
13227          bin_pt              "0"
13228          dbl_ovrd            off
13229          xl_use_area         off
13230          xl_area             "[0,0,0,0,0,0,0]"
13231          has_advanced_control    "0"
13232          sggui_pos           "20,20,381,246"
13233          block_type          "fromreg"
13234          block_version       "8.2.02"
13235          sg_icon_stat        "45,50,1,1,white,blue,0,4b212927,right"
13236          sg_mask_display         "fprintf('','COMMENT: begin icon graphic"
13237"s');\npatch([0 60 60 0 ],[0 0 56 56 ],[0.77 0.82 0.91]);\npatch([15 6 19 6 15"
13238" 30 34 38 54 41 29 20 32 20 29 41 54 38 34 30 15 ],[6 15 28 41 50 50 46 50 50"
13239" 37 49 40 28 16 7 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 "
13240"0 56 56 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT"
13241": begin icon text');\ncolor('black');port_label('output',1,'dout');\nfprintf("
13242"'','COMMENT: end icon text');\n"
13243        }
13244        Block {
13245          BlockType           Reference
13246          Name            "Inverter2"
13247          Ports           [1, 1]
13248          Position            [845, 804, 890, 846]
13249          Orientation         "left"
13250          SourceBlock         "xbsIndex_r4/Inverter"
13251          SourceType          "Xilinx Inverter Block"
13252          infoedit            "Bitwise logical negation (one's complem"
13253"ent) operator."
13254          en              off
13255          latency             "0"
13256          dbl_ovrd            off
13257          xl_use_area         off
13258          xl_area             "[0,0,0,0,0,0,0]"
13259          has_advanced_control    "0"
13260          sggui_pos           "-1,-1,-1,-1"
13261          block_type          "inv"
13262          block_version       "8.2.02"
13263          sg_icon_stat        "45,42,1,1,white,blue,0,1ab4a85f,left"
13264          sg_mask_display         "fprintf('','COMMENT: begin icon graphic"
13265"s');\npatch([0 55 55 0 ],[0 0 58 58 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13"
13266" 28 32 36 52 40 28 19 32 19 28 40 52 36 32 28 13 ],[7 16 29 42 51 51 47 51 51"
13267" 39 51 42 29 16 7 19 7 7 11 7 7 ],[0.98 0.96 0.92]);\nplot([0 55 55 0 0 ],[0 "
13268"0 58 58 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT"
13269": begin icon text');\ncolor('black');disp('not');\nfprintf('','COMMENT: end i"
13270"con text');\n"
13271        }
13272        Block {
13273          BlockType           Reference
13274          Name            "Inverter3"
13275          Ports           [1, 1]
13276          Position            [2130, 531, 2185, 589]
13277          SourceBlock         "xbsIndex_r4/Inverter"
13278          SourceType          "Xilinx Inverter Block"
13279          infoedit            "Bitwise logical negation (one's complem"
13280"ent) operator."
13281          en              off
13282          latency             "0"
13283          dbl_ovrd            off
13284          xl_use_area         off
13285          xl_area             "[0,0,0,0,0,0,0]"
13286          has_advanced_control    "0"
13287          sggui_pos           "-1,-1,-1,-1"
13288          block_type          "inv"
13289          block_version       "8.2.02"
13290          sg_icon_stat        "55,58,1,1,white,blue,0,1ab4a85f,right"
13291          sg_mask_display         "fprintf('','COMMENT: begin icon graphic"
13292"s');\npatch([0 55 55 0 ],[0 0 58 58 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13"
13293" 28 32 36 52 40 28 19 32 19 28 40 52 36 32 28 13 ],[7 16 29 42 51 51 47 51 51"
13294" 39 51 42 29 16 7 19 7 7 11 7 7 ],[0.98 0.96 0.92]);\nplot([0 55 55 0 0 ],[0 "
13295"0 58 58 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT"
13296": begin icon text');\ncolor('black');disp('not');\nfprintf('','COMMENT: end i"
13297"con text');\n"
13298        }
13299        Block {
13300          BlockType           Reference
13301          Name            "Logical"
13302          Ports           [2, 1]
13303          Position            [670, 805, 725, 865]
13304          SourceBlock         "xbsIndex_r4/Logical"
13305          SourceType          "Xilinx Logical Block Block"
13306          logical_function        "AND"
13307          inputs              "2"
13308          en              off
13309          latency             "0"
13310          precision           "Full"
13311          arith_type          "Unsigned"
13312          n_bits              "16"
13313          bin_pt              "0"
13314          align_bp            on
13315          dbl_ovrd            off
13316          xl_use_area         off
13317          xl_area             "[0,0,0,0,0,0,0]"
13318          has_advanced_control    "0"
13319          sggui_pos           "-1,-1,-1,-1"
13320          block_type          "logical"
13321          block_version       "8.2.02"
13322          sg_icon_stat        "55,60,1,1,white,blue,0,087b5522,right"
13323          sg_mask_display         "fprintf('','COMMENT: begin icon graphic"
13324"s');\npatch([0 55 55 0 ],[0 0 60 60 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13"
13325" 28 32 36 52 40 28 19 32 19 28 40 52 36 32 28 13 ],[8 17 30 43 52 52 48 52 52"
13326" 40 52 43 30 17 8 20 8 8 12 8 8 ],[0.98 0.96 0.92]);\nplot([0 55 55 0 0 ],[0 "
13327"0 60 60 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT"
13328": begin icon text');\ncolor('black');disp('\\newlineand\\newlinez^{-0}','texm"
13329"ode','on');\nfprintf('','COMMENT: end icon text');\n"
13330        }
13331        Block {
13332          BlockType           Reference
13333          Name            "Logical1"
13334          Ports           [2, 1]
13335          Position            [1135, 810, 1190, 870]
13336          SourceBlock         "xbsIndex_r4/Logical"
13337          SourceType          "Xilinx Logical Block Block"
13338          logical_function        "AND"
13339          inputs              "2"
13340          en              off
13341          latency             "0"
13342          precision           "Full"
13343          arith_type          "Unsigned"
13344          n_bits              "16"
13345          bin_pt              "0"
13346          align_bp            on
13347          dbl_ovrd            off
13348          xl_use_area         off
13349          xl_area             "[0,0,0,0,0,0,0]"
13350          has_advanced_control    "0"
13351          sggui_pos           "-1,-1,-1,-1"
13352          block_type          "logical"
13353          block_version       "8.2.02"
13354          sg_icon_stat        "55,60,1,1,white,blue,0,087b5522,right"
13355          sg_mask_display         "fprintf('','COMMENT: begin icon graphic"
13356"s');\npatch([0 55 55 0 ],[0 0 60 60 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13"
13357" 28 32 36 52 40 28 19 32 19 28 40 52 36 32 28 13 ],[8 17 30 43 52 52 48 52 52"
13358" 40 52 43 30 17 8 20 8 8 12 8 8 ],[0.98 0.96 0.92]);\nplot([0 55 55 0 0 ],[0 "
13359"0 60 60 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT"
13360": begin icon text');\ncolor('black');disp('\\newlineand\\newlinez^{-0}','texm"
13361"ode','on');\nfprintf('','COMMENT: end icon text');\n"
13362        }
13363        Block {
13364          BlockType           Reference
13365          Name            "Mux2"
13366          Ports           [5, 1]
13367          Position            [690, 638, 735, 742]
13368          SourceBlock         "xbsIndex_r4/Mux"
13369          SourceType          "Xilinx Bus Multiplexer Block"
13370          inputs              "4"
13371          en              off
13372          latency             "0"
13373          precision           "Full"
13374          arith_type          "Unsigned"
13375          n_bits              "16"
13376          bin_pt              "14"
13377          quantization        "Truncate"
13378          overflow            "Wrap"
13379          dbl_ovrd            off
13380          xl_use_area         off
13381          xl_area             "[0,0,0,0,0,0,0]"
13382          has_advanced_control    "0"
13383          sggui_pos           "-1,-1,-1,-1"
13384          block_type          "mux"
13385          block_version       "8.2.02"
13386          sg_icon_stat        "45,104,1,1,white,blue,3,27e96ab5,right"
13387          sg_mask_display         "fprintf('','COMMENT: begin icon graphic"
13388"s');\npatch([0 45 45 0 ],[0 14.8571 89.1429 104 ],[0.77 0.82 0.91]);\npatch(["
13389"10 3 14 3 10 22 25 28 41 31 21 14 25 14 21 31 41 28 25 22 10 ],[34 41 52 63 7"
13390"0 70 67 70 70 60 70 63 52 41 34 44 34 34 37 34 34 ],[0.98 0.96 0.92]);\nplot("
13391"[0 45 45 0 0 ],[0 14.8571 89.1429 104 0 ]);\nfprintf('','COMMENT: end icon gr"
13392"aphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label"
13393"('input',1,'sel');\ncolor('black');port_label('input',2,'d0');\ncolor('black'"
13394");port_label('input',3,'d1');\ncolor('black');port_label('input',4,'d2');\nco"
13395"lor('black');port_label('input',5,'d3');\ncolor('black');disp('\\bf{}','texmo"
13396"de','on');\nfprintf('','COMMENT: end icon text');\n"
13397        }
13398        Block {
13399          BlockType           Reference
13400          Name            "Mux3"
13401          Ports           [9, 1]
13402          Position            [1925, 429, 1975, 741]
13403          SourceBlock         "xbsIndex_r4/Mux"
13404          SourceType          "Xilinx Bus Multiplexer Block"
13405          inputs              "8"
13406          en              off
13407          latency             "0"
13408          precision           "Full"
13409          arith_type          "Unsigned"
13410          n_bits              "16"
13411          bin_pt              "14"
13412          quantization        "Truncate"
13413          overflow            "Wrap"
13414          dbl_ovrd            off
13415          xl_use_area         off
13416          xl_area             "[0,0,0,0,0,0,0]"
13417          has_advanced_control    "0"
13418          sggui_pos           "-1,-1,-1,-1"
13419          block_type          "mux"
13420          block_version       "8.2.02"
13421          sg_icon_stat        "50,312,1,1,white,blue,3,ee37f7b8,right"
13422          sg_mask_display         "fprintf('','COMMENT: begin icon graphic"
13423"s');\npatch([0 45 45 0 ],[0 14.8571 89.1429 104 ],[0.77 0.82 0.91]);\npatch(["
13424"10 3 14 3 10 22 25 28 41 31 21 14 25 14 21 31 41 28 25 22 10 ],[34 41 52 63 7"
13425"0 70 67 70 70 60 70 63 52 41 34 44 34 34 37 34 34 ],[0.98 0.96 0.92]);\nplot("
13426"[0 45 45 0 0 ],[0 14.8571 89.1429 104 0 ]);\nfprintf('','COMMENT: end icon gr"
13427"aphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label"
13428"('input',1,'sel');\ncolor('black');port_label('input',2,'d0');\ncolor('black'"
13429");port_label('input',3,'d1');\ncolor('black');port_label('input',4,'d2');\nco"
13430"lor('black');port_label('input',5,'d3');\ncolor('black');port_label('input',6"
13431",'d4');\ncolor('black');port_label('input',7,'d5');\ncolor('black');port_labe"
13432"l('input',8,'d6');\ncolor('black');port_label('input',9,'d7');\ncolor('black'"
13433");disp('\\bf{}','texmode','on');\nfprintf('','COMMENT: end icon text');\n"
13434        }
13435        Block {
13436          BlockType           SubSystem
13437          Name            "Pos Edge Detector"
13438          Ports           [1, 1]
13439          Position            [770, 579, 895, 641]
13440          MinAlgLoopOccurrences   off
13441          RTWSystemCode       "Auto"
13442          FunctionWithSeparateData off
13443          MaskHideContents        off
13444          System {
13445        Name            "Pos Edge Detector"
13446        Location        [971, 353, 1386, 494]
13447        Open            off
13448        ModelBrowserVisibility  off
13449        ModelBrowserWidth   200
13450        ScreenColor     "white"
13451        PaperOrientation    "landscape"
13452        PaperPositionMode   "auto"
13453        PaperType       "usletter"
13454        PaperUnits      "inches"
13455        TiledPaperMargins   "[0.500000, 0.500000, 0.500000, 0.5000"
13456"00]"
13457        TiledPageScale      1
13458        ShowPageBoundaries  off
13459        ZoomFactor      "100"
13460        Block {
13461          BlockType       Inport
13462          Name            "Input Signal"
13463          Position        [25, 33, 55, 47]
13464          IconDisplay         "Port number"
13465        }
13466        Block {
13467          BlockType       Reference
13468          Name            "Delay"
13469          Ports           [1, 1]
13470          Position        [170, 57, 230, 113]
13471          SourceBlock         "xbsIndex_r4/Delay"
13472          SourceType          "Xilinx Delay Block"
13473          infoedit        "Hardware notes: A delay line is a c"
13474"hain, each link of which is an SRL16 followed by a flip-flop. If register ret"
13475"iming is enabled, the delay line is a chain of flip-flops."
13476          en              off
13477          latency         "1"
13478          dbl_ovrd        off
13479          reg_retiming        off
13480          xl_use_area         off
13481          xl_area         "[0,0,0,0,0,0,0]"
13482          has_advanced_control    "0"
13483          sggui_pos       "-1,-1,-1,-1"
13484          block_type          "delay"
13485          block_version       "8.2.02"
13486          sg_icon_stat        "60,56,1,1,white,blue,0,fc531c0e,rig"
13487"ht"
13488          sg_mask_display     "fprintf('','COMMENT: begin icon gra"
13489"phics');\npatch([0 60 60 0 ],[0 0 56 56 ],[0.77 0.82 0.91]);\npatch([15 6 19 "
13490"6 15 30 34 38 54 41 29 20 32 20 29 41 54 38 34 30 15 ],[6 15 28 41 50 50 46 5"
13491"0 50 37 49 40 28 16 7 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ]"
13492",[0 0 56 56 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COM"
13493"MENT: begin icon text');\ncolor('black');disp('z^{-1}','texmode','on');\nfpri"
13494"ntf('','COMMENT: end icon text');\n"
13495        }
13496        Block {
13497          BlockType       Reference
13498          Name            "Inverter"
13499          Ports           [1, 1]
13500          Position        [100, 56, 155, 114]
13501          SourceBlock         "xbsIndex_r4/Inverter"
13502          SourceType          "Xilinx Inverter Block"
13503          infoedit        "Bitwise logical negation (one's com"
13504"plement) operator."
13505          en              off
13506          latency         "0"
13507          dbl_ovrd        off
13508          xl_use_area         off
13509          xl_area         "[0,0,0,0,0,0,0]"
13510          has_advanced_control    "0"
13511          sggui_pos       "20,20,356,237"
13512          block_type          "inv"
13513          block_version       "8.2.02"
13514          sg_icon_stat        "55,58,1,1,white,blue,0,1ab4a85f,rig"
13515"ht"
13516          sg_mask_display     "fprintf('','COMMENT: begin icon gra"
13517"phics');\npatch([0 55 55 0 ],[0 0 58 58 ],[0.77 0.82 0.91]);\npatch([13 4 17 "
13518"4 13 28 32 36 52 40 28 19 32 19 28 40 52 36 32 28 13 ],[7 16 29 42 51 51 47 5"
13519"1 51 39 51 42 29 16 7 19 7 7 11 7 7 ],[0.98 0.96 0.92]);\nplot([0 55 55 0 0 ]"
13520",[0 0 58 58 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COM"
13521"MENT: begin icon text');\ncolor('black');disp('not');\nfprintf('','COMMENT: e"
13522"nd icon text');\n"
13523        }
13524        Block {
13525          BlockType       Reference
13526          Name            "Logical"
13527          Ports           [2, 1]
13528          Position        [280, 25, 335, 85]
13529          SourceBlock         "xbsIndex_r4/Logical"
13530          SourceType          "Xilinx Logical Block Block"
13531          logical_function    "AND"
13532          inputs          "2"
13533          en              off
13534          latency         "0"
13535          precision       "Full"
13536          arith_type          "Unsigned"
13537          n_bits          "16"
13538          bin_pt          "0"
13539          align_bp        on
13540          dbl_ovrd        off
13541          xl_use_area         off
13542          xl_area         "[0,0,0,0,0,0,0]"
13543          has_advanced_control    "0"
13544          sggui_pos       "-1,-1,-1,-1"
13545          block_type          "logical"
13546          block_version       "8.2.02"
13547          sg_icon_stat        "55,60,1,1,white,blue,0,087b5522,rig"
13548"ht"
13549          sg_mask_display     "fprintf('','COMMENT: begin icon gra"
13550"phics');\npatch([0 55 55 0 ],[0 0 60 60 ],[0.77 0.82 0.91]);\npatch([13 4 17 "
13551"4 13 28 32 36 52 40 28 19 32 19 28 40 52 36 32 28 13 ],[8 17 30 43 52 52 48 5"
13552"2 52 40 52 43 30 17 8 20 8 8 12 8 8 ],[0.98 0.96 0.92]);\nplot([0 55 55 0 0 ]"
13553",[0 0 60 60 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COM"
13554"MENT: begin icon text');\ncolor('black');disp('\\newlineand\\newlinez^{-0}','"
13555"texmode','on');\nfprintf('','COMMENT: end icon text');\n"
13556        }
13557        Block {
13558          BlockType       Outport
13559          Name            "Rising Edge"
13560          Position        [385, 48, 415, 62]
13561          IconDisplay         "Port number"
13562          BusOutputAsStruct   off
13563        }
13564        Line {
13565          SrcBlock        "Input Signal"
13566          SrcPort         1
13567          Points          [0, 0; 15, 0]
13568          Branch {
13569            DstBlock            "Logical"
13570            DstPort         1
13571          }
13572          Branch {
13573            Points          [0, 45]
13574            DstBlock            "Inverter"
13575            DstPort         1
13576          }
13577        }
13578        Line {
13579          SrcBlock        "Delay"
13580          SrcPort         1
13581          Points          [15, 0; 0, -15]
13582          DstBlock        "Logical"
13583          DstPort         2
13584        }
13585        Line {
13586          SrcBlock        "Inverter"
13587          SrcPort         1
13588          DstBlock        "Delay"
13589          DstPort         1
13590        }
13591        Line {
13592          SrcBlock        "Logical"
13593          SrcPort         1
13594          DstBlock        "Rising Edge"
13595          DstPort         1
13596        }
13597          }
13598        }
13599        Block {
13600          BlockType           Reference
13601          Name            "Register"
13602          Ports           [1, 1]
13603          Position            [1020, 485, 1065, 525]
13604          SourceBlock         "xbsIndex_r4/Register"
13605          SourceType          "Xilinx Register Block"
13606          init            "0"
13607          rst             off
13608          en              off
13609          dbl_ovrd            off
13610          xl_use_area         off
13611          xl_area             "[0,0,0,0,0,0,0]"
13612          has_advanced_control    "0"
13613          sggui_pos           "20,20,348,193"
13614          block_type          "register"
13615          block_version       "10.1.2"
13616          sg_icon_stat        "45,40,1,1,white,blue,0,ac6b57db,right"
13617          sg_mask_display         "fprintf('','COMMENT: begin icon graphic"
13618"s');\npatch([0 60 60 0 ],[0 0 56 56 ],[0.77 0.82 0.91]);\npatch([15 6 19 6 15"
13619" 30 34 38 54 41 29 20 32 20 29 41 54 38 34 30 15 ],[6 15 28 41 50 50 46 50 50"
13620" 37 49 40 28 16 7 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 "
13621"0 56 56 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT"
13622": begin icon text');\ncolor('black');port_label('input',1,'d');\ncolor('black"
13623"');port_label('output',1,'q');\ncolor('black');disp('\\bf{z^{-1}}','texmode',"
13624"'on');\nfprintf('','COMMENT: end icon text');\n"
13625        }
13626        Block {
13627          BlockType           Reference
13628          Name            "Relational"
13629          Ports           [2, 1]
13630          Position            [315, 557, 370, 613]
13631          SourceBlock         "xbsIndex_r4/Relational"
13632          SourceType          "Xilinx Arithmetic Relational Operator B"
13633"lock"
13634          mode            "a=b"
13635          en              off
13636          latency             "0"
13637          dbl_ovrd            off
13638          xl_use_area         off
13639          xl_area             "[0,0,0,0,0,0,0]"
13640          has_advanced_control    "0"
13641          sggui_pos           "-1,-1,-1,-1"
13642          block_type          "relational"
13643          block_version       "8.2.02"
13644          sg_icon_stat        "55,56,1,1,white,blue,0,1b68ef8e,right"
13645          sg_mask_display         "fprintf('','COMMENT: begin icon graphic"
13646"s');\npatch([0 55 55 0 ],[0 0 56 56 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13"
13647" 28 32 36 52 40 28 19 32 19 28 40 52 36 32 28 13 ],[6 15 28 41 50 50 46 50 50"
13648" 38 50 41 28 15 6 18 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 55 55 0 0 ],[0 "
13649"0 56 56 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT"
13650": begin icon text');\ncolor('black');port_label('input',1,'a');\ncolor('black"
13651"');port_label('input',2,'b');\ncolor('black');disp('\\newline\\bf{a=b}\\newli"
13652"nez^{-0}','texmode','on');\nfprintf('','COMMENT: end icon text');\n"
13653        }
13654        Block {
13655          BlockType           Reference
13656          Name            "Relational1"
13657          Ports           [2, 1]
13658          Position            [315, 687, 370, 743]
13659          SourceBlock         "xbsIndex_r4/Relational"
13660          SourceType          "Xilinx Arithmetic Relational Operator B"
13661"lock"
13662          mode            "a=b"
13663          en              off
13664          latency             "0"
13665          dbl_ovrd            off
13666          xl_use_area         off
13667          xl_area             "[0,0,0,0,0,0,0]"
13668          has_advanced_control    "0"
13669          sggui_pos           "-1,-1,-1,-1"
13670          block_type          "relational"
13671          block_version       "8.2.02"
13672          sg_icon_stat        "55,56,1,1,white,blue,0,1b68ef8e,right"
13673          sg_mask_display         "fprintf('','COMMENT: begin icon graphic"
13674"s');\npatch([0 55 55 0 ],[0 0 56 56 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13"
13675" 28 32 36 52 40 28 19 32 19 28 40 52 36 32 28 13 ],[6 15 28 41 50 50 46 50 50"
13676" 38 50 41 28 15 6 18 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 55 55 0 0 ],[0 "
13677"0 56 56 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT"
13678": begin icon text');\ncolor('black');port_label('input',1,'a');\ncolor('black"
13679"');port_label('input',2,'b');\ncolor('black');disp('\\newline\\bf{a=b}\\newli"
13680"nez^{-0}','texmode','on');\nfprintf('','COMMENT: end icon text');\n"
13681        }
13682        Block {
13683          BlockType           Reference
13684          Name            "Relational2"
13685          Ports           [2, 1]
13686          Position            [1040, 752, 1095, 808]
13687          SourceBlock         "xbsIndex_r4/Relational"
13688          SourceType          "Xilinx Arithmetic Relational Operator B"
13689"lock"
13690          mode            "a=b"
13691          en              off
13692          latency             "0"
13693          dbl_ovrd            off
13694          xl_use_area         off
13695          xl_area             "[0,0,0,0,0,0,0]"
13696          has_advanced_control    "0"
13697          sggui_pos           "-1,-1,-1,-1"
13698          block_type          "relational"
13699          block_version       "8.2.02"
13700          sg_icon_stat        "55,56,1,1,white,blue,0,1b68ef8e,right"
13701          sg_mask_display         "fprintf('','COMMENT: begin icon graphic"
13702"s');\npatch([0 55 55 0 ],[0 0 56 56 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13"
13703" 28 32 36 52 40 28 19 32 19 28 40 52 36 32 28 13 ],[6 15 28 41 50 50 46 50 50"
13704" 38 50 41 28 15 6 18 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 55 55 0 0 ],[0 "
13705"0 56 56 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT"
13706": begin icon text');\ncolor('black');port_label('input',1,'a');\ncolor('black"
13707"');port_label('input',2,'b');\ncolor('black');disp('\\newline\\bf{a=b}\\newli"
13708"nez^{-0}','texmode','on');\nfprintf('','COMMENT: end icon text');\n"
13709        }
13710        Block {
13711          BlockType           Scope
13712          Name            "Scope2"
13713          Ports           [2]
13714          Position            [2090, 823, 2155, 967]
13715          Floating            off
13716          Location            [1, 45, 1913, 1113]
13717          Open            off
13718          NumInputPorts       "2"
13719          ZoomMode            "xonly"
13720          List {
13721        ListType        AxesTitles
13722        axes1           "%<SignalLabel>"
13723        axes2           "%<SignalLabel>"
13724          }
13725          YMin            "0~-5"
13726          YMax            "500~5"
13727          SaveName            "ScopeData2"
13728          DataFormat          "StructureWithTime"
13729          LimitDataPoints         off
13730          SampleTime          "0"
13731        }
13732        Block {
13733          BlockType           Reference
13734          Name            "Slice10"
13735          Ports           [1, 1]
13736          Position            [1735, 555, 1795, 585]
13737          SourceBlock         "xbsIndex_r4/Slice"
13738          SourceType          "Xilinx Bit Slice Extractor Block"
13739          infoedit            "Extracts a given range of bits from eac"
13740"h input sample and presents it at the output.  The output type is ordinarily "
13741"unsigned with binary point at zero, but can be Boolean when the slice is one "
13742"bit wide.<P><P>Hardware notes: In hardware this block costs nothing."
13743          nbits           "4"
13744          boolean_output          off
13745          mode            "Upper Bit Location + Width"
13746          bit1            "0"
13747          base1           "MSB of Input"
13748          bit0            "0"
13749          base0           "LSB of Input"
13750          dbl_ovrd            off
13751          has_advanced_control    "0"
13752          sggui_pos           "20,20,442,407"
13753          block_type          "slice"
13754          block_version       "8.2.02"
13755          sg_icon_stat        "60,30,1,1,white,blue,0,b1026674,right"
13756          sg_mask_display         "fprintf('','COMMENT: begin icon graphic"
13757"s');\npatch([0 60 60 0 ],[0 0 30 30 ],[0.77 0.82 0.91]);\npatch([22 17 24 17 "
13758"22 30 32 34 42 35 29 24 30 24 29 35 42 34 32 30 22 ],[3 8 15 22 27 27 25 27 2"
13759"7 20 26 21 15 9 4 10 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0"
13760" 30 30 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT:"
13761" begin icon text');\ncolor('black');port_label('output',1,'[a:b]');\nfprintf("
13762"'','COMMENT: end icon text');\n"
13763        }
13764        Block {
13765          BlockType           Reference
13766          Name            "Slice11"
13767          Ports           [1, 1]
13768          Position            [1735, 660, 1795, 690]
13769          SourceBlock         "xbsIndex_r4/Slice"
13770          SourceType          "Xilinx Bit Slice Extractor Block"
13771          infoedit            "Extracts a given range of bits from eac"
13772"h input sample and presents it at the output.  The output type is ordinarily "
13773"unsigned with binary point at zero, but can be Boolean when the slice is one "
13774"bit wide.<P><P>Hardware notes: In hardware this block costs nothing."
13775          nbits           "4"
13776          boolean_output          off
13777          mode            "Lower Bit Location + Width"
13778          bit1            "4"
13779          base1           "MSB of Input"
13780          bit0            "6"
13781          base0           "LSB of Input"
13782          dbl_ovrd            off
13783          has_advanced_control    "0"
13784          sggui_pos           "20,20,442,407"
13785          block_type          "slice"
13786          block_version       "8.2.02"
13787          sg_icon_stat        "60,30,1,1,white,blue,0,b1026674,right"
13788          sg_mask_display         "fprintf('','COMMENT: begin icon graphic"
13789"s');\npatch([0 60 60 0 ],[0 0 30 30 ],[0.77 0.82 0.91]);\npatch([22 17 24 17 "
13790"22 30 32 34 42 35 29 24 30 24 29 35 42 34 32 30 22 ],[3 8 15 22 27 27 25 27 2"
13791"7 20 26 21 15 9 4 10 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0"
13792" 30 30 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT:"
13793" begin icon text');\ncolor('black');port_label('output',1,'[a:b]');\nfprintf("
13794"'','COMMENT: end icon text');\n"
13795        }
13796        Block {
13797          BlockType           Reference
13798          Name            "Slice4"
13799          Ports           [1, 1]
13800          Position            [290, 375, 350, 405]
13801          NamePlacement       "alternate"
13802          SourceBlock         "xbsIndex_r4/Slice"
13803          SourceType          "Xilinx Bit Slice Extractor Block"
13804          infoedit            "Extracts a given range of bits from eac"
13805"h input sample and presents it at the output.  The output type is ordinarily "
13806"unsigned with binary point at zero, but can be Boolean when the slice is one "
13807"bit wide.<P><P>Hardware notes: In hardware this block costs nothing."
13808          nbits           "8"
13809          boolean_output          off
13810          mode            "Lower Bit Location + Width"
13811          bit1            "0"
13812          base1           "MSB of Input"
13813          bit0            "6"
13814          base0           "LSB of Input"
13815          dbl_ovrd            off
13816          has_advanced_control    "0"
13817          sggui_pos           "20,20,449,407"
13818          block_type          "slice"
13819          block_version       "8.2.02"
13820          sg_icon_stat        "60,30,1,1,white,blue,0,b1026674,right"
13821          sg_mask_display         "fprintf('','COMMENT: begin icon graphic"
13822"s');\npatch([0 60 60 0 ],[0 0 30 30 ],[0.77 0.82 0.91]);\npatch([22 17 24 17 "
13823"22 30 32 34 42 35 29 24 30 24 29 35 42 34 32 30 22 ],[3 8 15 22 27 27 25 27 2"
13824"7 20 26 21 15 9 4 10 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0"
13825" 30 30 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT:"
13826" begin icon text');\ncolor('black');port_label('output',1,'[a:b]');\nfprintf("
13827"'','COMMENT: end icon text');\n"
13828        }
13829        Block {
13830          BlockType           Reference
13831          Name            "Slice5"
13832          Ports           [1, 1]
13833          Position            [290, 490, 350, 520]
13834          SourceBlock         "xbsIndex_r4/Slice"
13835          SourceType          "Xilinx Bit Slice Extractor Block"
13836          infoedit            "Extracts a given range of bits from eac"
13837"h input sample and presents it at the output.  The output type is ordinarily "
13838"unsigned with binary point at zero, but can be Boolean when the slice is one "
13839"bit wide.<P><P>Hardware notes: In hardware this block costs nothing."
13840          nbits           "6"
13841          boolean_output          off
13842          mode            "Lower Bit Location + Width"
13843          bit1            "0"
13844          base1           "MSB of Input"
13845          bit0            "0"
13846          base0           "LSB of Input"
13847          dbl_ovrd            off
13848          has_advanced_control    "0"
13849          sggui_pos           "20,20,449,407"
13850          block_type          "slice"
13851          block_version       "8.2.02"
13852          sg_icon_stat        "60,30,1,1,white,blue,0,b1026674,right"
13853          sg_mask_display         "fprintf('','COMMENT: begin icon graphic"
13854"s');\npatch([0 60 60 0 ],[0 0 30 30 ],[0.77 0.82 0.91]);\npatch([22 17 24 17 "
13855"22 30 32 34 42 35 29 24 30 24 29 35 42 34 32 30 22 ],[3 8 15 22 27 27 25 27 2"
13856"7 20 26 21 15 9 4 10 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0"
13857" 30 30 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT:"
13858" begin icon text');\ncolor('black');port_label('output',1,'[a:b]');\nfprintf("
13859"'','COMMENT: end icon text');\n"
13860        }
13861        Block {
13862          BlockType           Reference
13863          Name            "Slice6"
13864          Ports           [1, 1]
13865          Position            [220, 555, 280, 585]
13866          SourceBlock         "xbsIndex_r4/Slice"
13867          SourceType          "Xilinx Bit Slice Extractor Block"
13868          infoedit            "Extracts a given range of bits from eac"
13869"h input sample and presents it at the output.  The output type is ordinarily "
13870"unsigned with binary point at zero, but can be Boolean when the slice is one "
13871"bit wide.<P><P>Hardware notes: In hardware this block costs nothing."
13872          nbits           "6"
13873          boolean_output          off
13874          mode            "Lower Bit Location + Width"
13875          bit1            "0"
13876          base1           "MSB of Input"
13877          bit0            "0"
13878          base0           "LSB of Input"
13879          dbl_ovrd            off
13880          has_advanced_control    "0"
13881          sggui_pos           "20,20,442,407"
13882          block_type          "slice"
13883          block_version       "8.2.02"
13884          sg_icon_stat        "60,30,1,1,white,blue,0,b1026674,right"
13885          sg_mask_display         "fprintf('','COMMENT: begin icon graphic"
13886"s');\npatch([0 60 60 0 ],[0 0 30 30 ],[0.77 0.82 0.91]);\npatch([22 17 24 17 "
13887"22 30 32 34 42 35 29 24 30 24 29 35 42 34 32 30 22 ],[3 8 15 22 27 27 25 27 2"
13888"7 20 26 21 15 9 4 10 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0"
13889" 30 30 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT:"
13890" begin icon text');\ncolor('black');port_label('output',1,'[a:b]');\nfprintf("
13891"'','COMMENT: end icon text');\n"
13892        }
13893        Block {
13894          BlockType           Reference
13895          Name            "Slice7"
13896          Ports           [1, 1]
13897          Position            [220, 685, 280, 715]
13898          SourceBlock         "xbsIndex_r4/Slice"
13899          SourceType          "Xilinx Bit Slice Extractor Block"
13900          infoedit            "Extracts a given range of bits from eac"
13901"h input sample and presents it at the output.  The output type is ordinarily "
13902"unsigned with binary point at zero, but can be Boolean when the slice is one "
13903"bit wide.<P><P>Hardware notes: In hardware this block costs nothing."
13904          nbits           "4"
13905          boolean_output          off
13906          mode            "Lower Bit Location + Width"
13907          bit1            "0"
13908          base1           "MSB of Input"
13909          bit0            "6"
13910          base0           "LSB of Input"
13911          dbl_ovrd            off
13912          has_advanced_control    "0"
13913          sggui_pos           "20,20,442,407"
13914          block_type          "slice"
13915          block_version       "8.2.02"
13916          sg_icon_stat        "60,30,1,1,white,blue,0,b1026674,right"
13917          sg_mask_display         "fprintf('','COMMENT: begin icon graphic"
13918"s');\npatch([0 60 60 0 ],[0 0 30 30 ],[0.77 0.82 0.91]);\npatch([22 17 24 17 "
13919"22 30 32 34 42 35 29 24 30 24 29 35 42 34 32 30 22 ],[3 8 15 22 27 27 25 27 2"
13920"7 20 26 21 15 9 4 10 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0"
13921" 30 30 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT:"
13922" begin icon text');\ncolor('black');port_label('output',1,'[a:b]');\nfprintf("
13923"'','COMMENT: end icon text');\n"
13924        }
13925        Block {
13926          BlockType           Reference
13927          Name            "Slice8"
13928          Ports           [1, 1]
13929          Position            [2045, 595, 2105, 625]
13930          SourceBlock         "xbsIndex_r4/Slice"
13931          SourceType          "Xilinx Bit Slice Extractor Block"
13932          infoedit            "Extracts a given range of bits from eac"
13933"h input sample and presents it at the output.  The output type is ordinarily "
13934"unsigned with binary point at zero, but can be Boolean when the slice is one "
13935"bit wide.<P><P>Hardware notes: In hardware this block costs nothing."
13936          nbits           "8"
13937          boolean_output          off
13938          mode            "Lower Bit Location + Width"
13939          bit1            "0"
13940          base1           "MSB of Input"
13941          bit0            "0"
13942          base0           "LSB of Input"
13943          dbl_ovrd            off
13944          has_advanced_control    "0"
13945          sggui_pos           "20,20,442,407"
13946          block_type          "slice"
13947          block_version       "8.2.02"
13948          sg_icon_stat        "60,30,1,1,white,blue,0,b1026674,right"
13949          sg_mask_display         "fprintf('','COMMENT: begin icon graphic"
13950"s');\npatch([0 60 60 0 ],[0 0 30 30 ],[0.77 0.82 0.91]);\npatch([22 17 24 17 "
13951"22 30 32 34 42 35 29 24 30 24 29 35 42 34 32 30 22 ],[3 8 15 22 27 27 25 27 2"
13952"7 20 26 21 15 9 4 10 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0"
13953" 30 30 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT:"
13954" begin icon text');\ncolor('black');port_label('output',1,'[a:b]');\nfprintf("
13955"'','COMMENT: end icon text');\n"
13956        }
13957        Block {
13958          BlockType           Reference
13959          Name            "Slice9"
13960          Ports           [1, 1]
13961          Position            [2045, 545, 2105, 575]
13962          SourceBlock         "xbsIndex_r4/Slice"
13963          SourceType          "Xilinx Bit Slice Extractor Block"
13964          infoedit            "Extracts a given range of bits from eac"
13965"h input sample and presents it at the output.  The output type is ordinarily "
13966"unsigned with binary point at zero, but can be Boolean when the slice is one "
13967"bit wide.<P><P>Hardware notes: In hardware this block costs nothing."
13968          nbits           "1"
13969          boolean_output          off
13970          mode            "Lower Bit Location + Width"
13971          bit1            "0"
13972          base1           "MSB of Input"
13973          bit0            "8"
13974          base0           "LSB of Input"
13975          dbl_ovrd            off
13976          has_advanced_control    "0"
13977          sggui_pos           "20,20,442,407"
13978          block_type          "slice"
13979          block_version       "8.2.02"
13980          sg_icon_stat        "60,30,1,1,white,blue,0,b1026674,right"
13981          sg_mask_display         "fprintf('','COMMENT: begin icon graphic"
13982"s');\npatch([0 60 60 0 ],[0 0 30 30 ],[0.77 0.82 0.91]);\npatch([22 17 24 17 "
13983"22 30 32 34 42 35 29 24 30 24 29 35 42 34 32 30 22 ],[3 8 15 22 27 27 25 27 2"
13984"7 20 26 21 15 9 4 10 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0"
13985" 30 30 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT:"
13986" begin icon text');\ncolor('black');port_label('output',1,'[a:b]');\nfprintf("
13987"'','COMMENT: end icon text');\n"
13988        }
13989        Block {
13990          BlockType           SubSystem
13991          Name            "Subsystem"
13992          Ports           [3, 1]
13993          Position            [850, 703, 900, 777]
13994          MinAlgLoopOccurrences   off
13995          RTWSystemCode       "Auto"
13996          FunctionWithSeparateData off
13997          MaskHideContents        off
13998          System {
13999        Name            "Subsystem"
14000        Location        [1049, 695, 1274, 807]
14001        Open            off
14002        ModelBrowserVisibility  off
14003        ModelBrowserWidth   200
14004        ScreenColor     "white"
14005        PaperOrientation    "landscape"
14006        PaperPositionMode   "auto"
14007        PaperType       "usletter"
14008        PaperUnits      "inches"
14009        TiledPaperMargins   "[0.500000, 0.500000, 0.500000, 0.5000"
14010"00]"
14011        TiledPageScale      1
14012        ShowPageBoundaries  off
14013        ZoomFactor      "100"
14014        Block {
14015          BlockType       Inport
14016          Name            "6bitval"
14017          Position        [35, 148, 65, 162]
14018          IconDisplay         "Port number"
14019        }
14020        Block {
14021          BlockType       Inport
14022          Name            "TransferDone"
14023          Position        [35, 178, 65, 192]
14024          Port            "2"
14025          IconDisplay         "Port number"
14026        }
14027        Block {
14028          BlockType       Inport
14029          Name            "Not0"
14030          Position        [35, 233, 65, 247]
14031          Port            "3"
14032          IconDisplay         "Port number"
14033        }
14034        Block {
14035          BlockType       Reference
14036          Name            "Delay"
14037          Ports           [1, 1]
14038          Position        [115, 166, 160, 204]
14039          SourceBlock         "xbsIndex_r4/Delay"
14040          SourceType          "Xilinx Delay Block"
14041          infoedit        "Hardware notes: A delay line is a c"
14042"hain, each link of which is an SRL16 followed by a flip-flop. If register ret"
14043"iming is enabled, the delay line is a chain of flip-flops."
14044          en              off
14045          latency         "1"
14046          dbl_ovrd        off
14047          reg_retiming        off
14048          xl_use_area         off
14049          xl_area         "[0,0,0,0,0,0,0]"
14050          has_advanced_control    "0"
14051          sggui_pos       "-1,-1,-1,-1"
14052          block_type          "delay"
14053          block_version       "8.2.02"
14054          sg_icon_stat        "45,38,1,1,white,blue,0,fc531c0e,rig"
14055"ht"
14056          sg_mask_display     "fprintf('','COMMENT: begin icon gra"
14057"phics');\npatch([0 60 60 0 ],[0 0 56 56 ],[0.77 0.82 0.91]);\npatch([15 6 19 "
14058"6 15 30 34 38 54 41 29 20 32 20 29 41 54 38 34 30 15 ],[6 15 28 41 50 50 46 5"
14059"0 50 37 49 40 28 16 7 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ]"
14060",[0 0 56 56 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COM"
14061"MENT: begin icon text');\ncolor('black');disp('z^{-1}','texmode','on');\nfpri"
14062"ntf('','COMMENT: end icon text');\n"
14063        }
14064        Block {
14065          BlockType       Reference
14066          Name            "Logical1"
14067          Ports           [2, 1]
14068          Position        [220, 225, 275, 285]
14069          SourceBlock         "xbsIndex_r4/Logical"
14070          SourceType          "Xilinx Logical Block Block"
14071          logical_function    "AND"
14072          inputs          "2"
14073          en              off
14074          latency         "0"
14075          precision       "Full"
14076          arith_type          "Unsigned"
14077          n_bits          "16"
14078          bin_pt          "0"
14079          align_bp        on
14080          dbl_ovrd        off
14081          xl_use_area         off
14082          xl_area         "[0,0,0,0,0,0,0]"
14083          has_advanced_control    "0"
14084          sggui_pos       "-1,-1,-1,-1"
14085          block_type          "logical"
14086          block_version       "8.2.02"
14087          sg_icon_stat        "55,60,1,1,white,blue,0,087b5522,rig"
14088"ht"
14089          sg_mask_display     "fprintf('','COMMENT: begin icon gra"
14090"phics');\npatch([0 55 55 0 ],[0 0 60 60 ],[0.77 0.82 0.91]);\npatch([13 4 17 "
14091"4 13 28 32 36 52 40 28 19 32 19 28 40 52 36 32 28 13 ],[8 17 30 43 52 52 48 5"
14092"2 52 40 52 43 30 17 8 20 8 8 12 8 8 ],[0.98 0.96 0.92]);\nplot([0 55 55 0 0 ]"
14093",[0 0 60 60 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COM"
14094"MENT: begin icon text');\ncolor('black');disp('\\newlineand\\newlinez^{-0}','"
14095"texmode','on');\nfprintf('','COMMENT: end icon text');\n"
14096        }
14097        Block {
14098          BlockType       Reference
14099          Name            "Logical2"
14100          Ports           [2, 1]
14101          Position        [220, 140, 275, 200]
14102          SourceBlock         "xbsIndex_r4/Logical"
14103          SourceType          "Xilinx Logical Block Block"
14104          logical_function    "AND"
14105          inputs          "2"
14106          en              off
14107          latency         "0"
14108          precision       "Full"
14109          arith_type          "Unsigned"
14110          n_bits          "16"
14111          bin_pt          "0"
14112          align_bp        on
14113          dbl_ovrd        off
14114          xl_use_area         off
14115          xl_area         "[0,0,0,0,0,0,0]"
14116          has_advanced_control    "0"
14117          sggui_pos       "-1,-1,-1,-1"
14118          block_type          "logical"
14119          block_version       "8.2.02"
14120          sg_icon_stat        "55,60,1,1,white,blue,0,087b5522,rig"
14121"ht"
14122          sg_mask_display     "fprintf('','COMMENT: begin icon gra"
14123"phics');\npatch([0 55 55 0 ],[0 0 60 60 ],[0.77 0.82 0.91]);\npatch([13 4 17 "
14124"4 13 28 32 36 52 40 28 19 32 19 28 40 52 36 32 28 13 ],[8 17 30 43 52 52 48 5"
14125"2 52 40 52 43 30 17 8 20 8 8 12 8 8 ],[0.98 0.96 0.92]);\nplot([0 55 55 0 0 ]"
14126",[0 0 60 60 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COM"
14127"MENT: begin icon text');\ncolor('black');disp('\\newlineand\\newlinez^{-0}','"
14128"texmode','on');\nfprintf('','COMMENT: end icon text');\n"
14129        }
14130        Block {
14131          BlockType       Reference
14132          Name            "Logical3"
14133          Ports           [2, 1]
14134          Position        [320, 180, 375, 240]
14135          SourceBlock         "xbsIndex_r4/Logical"
14136          SourceType          "Xilinx Logical Block Block"
14137          logical_function    "OR"
14138          inputs          "2"
14139          en              off
14140          latency         "0"
14141          precision       "Full"
14142          arith_type          "Unsigned"
14143          n_bits          "16"
14144          bin_pt          "0"
14145          align_bp        on
14146          dbl_ovrd        off
14147          xl_use_area         off
14148          xl_area         "[0,0,0,0,0,0,0]"
14149          has_advanced_control    "0"
14150          sggui_pos       "-1,-1,-1,-1"
14151          block_type          "logical"
14152          block_version       "8.2.02"
14153          sg_icon_stat        "55,60,1,1,white,blue,0,f4a65842,rig"
14154"ht"
14155          sg_mask_display     "fprintf('','COMMENT: begin icon gra"
14156"phics');\npatch([0 55 55 0 ],[0 0 60 60 ],[0.77 0.82 0.91]);\npatch([13 4 17 "
14157"4 13 28 32 36 52 40 28 19 32 19 28 40 52 36 32 28 13 ],[8 17 30 43 52 52 48 5"
14158"2 52 40 52 43 30 17 8 20 8 8 12 8 8 ],[0.98 0.96 0.92]);\nplot([0 55 55 0 0 ]"
14159",[0 0 60 60 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COM"
14160"MENT: begin icon text');\ncolor('black');disp('\\newlineor\\newlinez^{-0}','t"
14161"exmode','on');\nfprintf('','COMMENT: end icon text');\n"
14162        }
14163        Block {
14164          BlockType       Outport
14165          Name            "Out1"
14166          Position        [420, 203, 450, 217]
14167          IconDisplay         "Port number"
14168          BusOutputAsStruct   off
14169        }
14170        Line {
14171          SrcBlock        "6bitval"
14172          SrcPort         1
14173          DstBlock        "Logical2"
14174          DstPort         1
14175        }
14176        Line {
14177          SrcBlock        "TransferDone"
14178          SrcPort         1
14179          DstBlock        "Delay"
14180          DstPort         1
14181        }
14182        Line {
14183          SrcBlock        "Logical3"
14184          SrcPort         1
14185          Points          [0, 0]
14186          DstBlock        "Out1"
14187          DstPort         1
14188        }
14189        Line {
14190          SrcBlock        "Logical2"
14191          SrcPort         1
14192          Points          [15, 0; 0, 25]
14193          DstBlock        "Logical3"
14194          DstPort         1
14195        }
14196        Line {
14197          SrcBlock        "Logical1"
14198          SrcPort         1
14199          Points          [15, 0; 0, -30]
14200          DstBlock        "Logical3"
14201          DstPort         2
14202        }
14203        Line {
14204          SrcBlock        "Not0"
14205          SrcPort         1
14206          DstBlock        "Logical1"
14207          DstPort         1
14208        }
14209        Line {
14210          SrcBlock        "Delay"
14211          SrcPort         1
14212          Points          [20, 0]
14213          Branch {
14214            DstBlock            "Logical2"
14215            DstPort         2
14216          }
14217          Branch {
14218            Points          [0, 85]
14219            DstBlock            "Logical1"
14220            DstPort         2
14221          }
14222        }
14223          }
14224        }
14225        Block {
14226          BlockType           SubSystem
14227          Name            "Subsystem1"
14228          Ports           [1, 2]
14229          Position            [1810, 531, 1890, 604]
14230          MinAlgLoopOccurrences   off
14231          RTWSystemCode       "Auto"
14232          FunctionWithSeparateData off
14233          MaskHideContents        off
14234          System {
14235        Name            "Subsystem1"
14236        Location        [1219, 835, 1479, 1042]
14237        Open            off
14238        ModelBrowserVisibility  off
14239        ModelBrowserWidth   200
14240        ScreenColor     "white"
14241        PaperOrientation    "landscape"
14242        PaperPositionMode   "auto"
14243        PaperType       "usletter"
14244        PaperUnits      "inches"
14245        TiledPaperMargins   "[0.500000, 0.500000, 0.500000, 0.5000"
14246"00]"
14247        TiledPageScale      1
14248        ShowPageBoundaries  off
14249        ZoomFactor      "100"
14250        Block {
14251          BlockType       Inport
14252          Name            "4bits"
14253          Position        [35, 43, 65, 57]
14254          IconDisplay         "Port number"
14255        }
14256        Block {
14257          BlockType       Reference
14258          Name            "AddSub"
14259          Ports           [2, 1]
14260          Position        [310, 51, 370, 109]
14261          SourceBlock         "xbsIndex_r4/AddSub"
14262          SourceType          "Xilinx Adder/Subtractor Block"
14263          mode            "Addition"
14264          use_carryin         off
14265          use_carryout        off
14266          en              off
14267          latency         "0"
14268          precision       "Full"
14269          arith_type          "Unsigned"
14270          n_bits          "16"
14271          bin_pt          "14"
14272          quantization        "Truncate"
14273          overflow        "Wrap"
14274          dbl_ovrd        off
14275          use_behavioral_HDL      off
14276          pipelined       off
14277          use_rpm         on
14278          xl_use_area         off
14279          xl_area         "[0,0,0,0,0,0,0]"
14280          has_advanced_control    "0"
14281          sggui_pos       "-1,-1,-1,-1"
14282          block_type          "addsub"
14283          block_version       "8.2.02"
14284          sg_icon_stat        "60,58,1,1,white,blue,0,36a47907,rig"
14285"ht"
14286          sg_mask_display     "fprintf('','COMMENT: begin icon gra"
14287"phics');\npatch([0 60 60 0 ],[0 0 58 58 ],[0.77 0.82 0.91]);\npatch([15 5 19 "
14288"5 15 30 34 38 54 41 29 20 34 20 29 41 54 38 34 30 15 ],[6 16 30 44 54 54 50 5"
14289"4 54 41 53 44 30 16 7 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ]"
14290",[0 0 58 58 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COM"
14291"MENT: begin icon text');\ncolor('black');port_label('input',1,'a');\ncolor('b"
14292"lack');port_label('input',2,'b');\ncolor('black');port_label('output',1,'\\bf"
14293"{a + b}','texmode','on');\nfprintf('','COMMENT: end icon text');\n"
14294        }
14295        Block {
14296          BlockType       Reference
14297          Name            "AddSub1"
14298          Ports           [2, 1]
14299          Position        [310, 151, 370, 209]
14300          SourceBlock         "xbsIndex_r4/AddSub"
14301          SourceType          "Xilinx Adder/Subtractor Block"
14302          mode            "Addition"
14303          use_carryin         off
14304          use_carryout        off
14305          en              off
14306          latency         "0"
14307          precision       "Full"
14308          arith_type          "Unsigned"
14309          n_bits          "16"
14310          bin_pt          "14"
14311          quantization        "Truncate"
14312          overflow        "Wrap"
14313          dbl_ovrd        off
14314          use_behavioral_HDL      off
14315          pipelined       off
14316          use_rpm         on
14317          xl_use_area         off
14318          xl_area         "[0,0,0,0,0,0,0]"
14319          has_advanced_control    "0"
14320          sggui_pos       "-1,-1,-1,-1"
14321          block_type          "addsub"
14322          block_version       "8.2.02"
14323          sg_icon_stat        "60,58,1,1,white,blue,0,36a47907,rig"
14324"ht"
14325          sg_mask_display     "fprintf('','COMMENT: begin icon gra"
14326"phics');\npatch([0 60 60 0 ],[0 0 58 58 ],[0.77 0.82 0.91]);\npatch([15 5 19 "
14327"5 15 30 34 38 54 41 29 20 34 20 29 41 54 38 34 30 15 ],[6 16 30 44 54 54 50 5"
14328"4 54 41 53 44 30 16 7 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ]"
14329",[0 0 58 58 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COM"
14330"MENT: begin icon text');\ncolor('black');port_label('input',1,'a');\ncolor('b"
14331"lack');port_label('input',2,'b');\ncolor('black');port_label('output',1,'\\bf"
14332"{a + b}','texmode','on');\nfprintf('','COMMENT: end icon text');\n"
14333        }
14334        Block {
14335          BlockType       Reference
14336          Name            "Concat6"
14337          Ports           [2, 1]
14338          Position        [190, 35, 250, 95]
14339          SourceBlock         "xbsIndex_r4/Concat"
14340          SourceType          "Xilinx Bus Concatenator Block"
14341          infoedit        "Concatenates two or more inputs.  O"
14342"utput will be cast to an unsigned value with the binary point at zero."
14343          num_inputs          "2"
14344          dbl_ovrd        off
14345          has_advanced_control    "0"
14346          sggui_pos       "-1,-1,-1,-1"
14347          block_type          "concat"
14348          block_version       "8.2.02"
14349          sg_icon_stat        "60,60,1,1,white,blue,0,df1e5aba,rig"
14350"ht"
14351          sg_mask_display     "fprintf('','COMMENT: begin icon gra"
14352"phics');\npatch([0 60 60 0 ],[0 0 60 60 ],[0.77 0.82 0.91]);\npatch([14 4 18 "
14353"4 14 30 34 38 55 42 29 20 35 20 29 42 55 38 34 30 14 ],[6 16 30 44 54 54 50 5"
14354"4 54 41 54 45 30 15 6 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ]"
14355",[0 0 60 60 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COM"
14356"MENT: begin icon text');\ncolor('black');port_label('input',1,'hi');\ncolor('"
14357"black');port_label('input',2,'lo');\nfprintf('','COMMENT: end icon text');\n"
14358        }
14359        Block {
14360          BlockType       Reference
14361          Name            "Constant"
14362          Ports           [0, 1]
14363          Position        [75, 67, 130, 93]
14364          SourceBlock         "xbsIndex_r4/Constant"
14365          SourceType          "Xilinx Constant Block Block"
14366          arith_type          "Unsigned"
14367          const           "0"
14368          n_bits          "3"
14369          bin_pt          "0"
14370          explicit_period     on
14371          period          "1"
14372          dsp48_infoedit      "The use of this block for DSP48 ins"
14373"tructions is deprecated.  Please use the Opmode block."
14374          equ             "P=C"
14375          opselect        "C"
14376          inp2            "PCIN>>17"
14377          opr             "+"
14378          inp1            "P"
14379          carry           "CIN"
14380          dbl_ovrd        off
14381          has_advanced_control    "0"
14382          sggui_pos       "-1,-1,-1,-1"
14383          block_type          "constant"
14384          block_version       "8.2.02"
14385          sg_icon_stat        "55,26,1,1,white,blue,0,72d575a1,rig"
14386"ht"
14387          sg_mask_display     "fprintf('','COMMENT: begin icon gra"
14388"phics');\npatch([0 55 55 0 ],[0 0 26 26 ],[0.77 0.82 0.91]);\npatch([20 16 22"
14389" 16 20 27 29 31 38 32 26 22 28 22 26 32 38 31 29 27 20 ],[3 7 13 19 23 23 21 "
14390"23 23 17 23 19 13 7 3 9 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 55 55 0 0 ],["
14391"0 0 26 26 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMME"
14392"NT: begin icon text');\ncolor('black');port_label('output',1,'0');\nfprintf('"
14393"','COMMENT: end icon text');\n"
14394        }
14395        Block {
14396          BlockType       Reference
14397          Name            "Constant1"
14398          Ports           [0, 1]
14399          Position        [190, 127, 245, 153]
14400          SourceBlock         "xbsIndex_r4/Constant"
14401          SourceType          "Xilinx Constant Block Block"
14402          arith_type          "Unsigned"
14403          const           "3"
14404          n_bits          "8"
14405          bin_pt          "0"
14406          explicit_period     on
14407          period          "1"
14408          dsp48_infoedit      "The use of this block for DSP48 ins"
14409"tructions is deprecated.  Please use the Opmode block."
14410          equ             "P=C"
14411          opselect        "C"
14412          inp2            "PCIN>>17"
14413          opr             "+"
14414          inp1            "P"
14415          carry           "CIN"
14416          dbl_ovrd        off
14417          has_advanced_control    "0"
14418          sggui_pos       "-1,-1,-1,-1"
14419          block_type          "constant"
14420          block_version       "8.2.02"
14421          sg_icon_stat        "55,26,1,1,white,blue,0,bdb1da60,rig"
14422"ht"
14423          sg_mask_display     "fprintf('','COMMENT: begin icon gra"
14424"phics');\npatch([0 55 55 0 ],[0 0 26 26 ],[0.77 0.82 0.91]);\npatch([20 16 22"
14425" 16 20 27 29 31 38 32 26 22 28 22 26 32 38 31 29 27 20 ],[3 7 13 19 23 23 21 "
14426"23 23 17 23 19 13 7 3 9 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 55 55 0 0 ],["
14427"0 0 26 26 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMME"
14428"NT: begin icon text');\ncolor('black');port_label('output',1,'3');\nfprintf('"
14429"','COMMENT: end icon text');\n"
14430        }
14431        Block {
14432          BlockType       Reference
14433          Name            "Constant2"
14434          Ports           [0, 1]
14435          Position        [190, 182, 245, 208]
14436          SourceBlock         "xbsIndex_r4/Constant"
14437          SourceType          "Xilinx Constant Block Block"
14438          arith_type          "Unsigned"
14439          const           "10"
14440          n_bits          "8"
14441          bin_pt          "0"
14442          explicit_period     on
14443          period          "1"
14444          dsp48_infoedit      "The use of this block for DSP48 ins"
14445"tructions is deprecated.  Please use the Opmode block."
14446          equ             "P=C"
14447          opselect        "C"
14448          inp2            "PCIN>>17"
14449          opr             "+"
14450          inp1            "P"
14451          carry           "CIN"
14452          dbl_ovrd        off
14453          has_advanced_control    "0"
14454          sggui_pos       "-1,-1,-1,-1"
14455          block_type          "constant"
14456          block_version       "8.2.02"
14457          sg_icon_stat        "55,26,1,1,white,blue,0,037f1011,rig"
14458"ht"
14459          sg_mask_display     "fprintf('','COMMENT: begin icon gra"
14460"phics');\npatch([0 55 55 0 ],[0 0 26 26 ],[0.77 0.82 0.91]);\npatch([20 16 22"
14461" 16 20 27 29 31 38 32 26 22 28 22 26 32 38 31 29 27 20 ],[3 7 13 19 23 23 21 "
14462"23 23 17 23 19 13 7 3 9 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 55 55 0 0 ],["
14463"0 0 26 26 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMME"
14464"NT: begin icon text');\ncolor('black');port_label('output',1,'10');\nfprintf("
14465"'','COMMENT: end icon text');\n"
14466        }
14467        Block {
14468          BlockType       Outport
14469          Name            "Start"
14470          Position        [430, 73, 460, 87]
14471          IconDisplay         "Port number"
14472          BusOutputAsStruct   off
14473        }
14474        Block {
14475          BlockType       Outport
14476          Name            "End"
14477          Position        [430, 173, 460, 187]
14478          Port            "2"
14479          IconDisplay         "Port number"
14480          BusOutputAsStruct   off
14481        }
14482        Line {
14483          SrcBlock        "4bits"
14484          SrcPort         1
14485          DstBlock        "Concat6"
14486          DstPort         1
14487        }
14488        Line {
14489          SrcBlock        "Constant"
14490          SrcPort         1
14491          DstBlock        "Concat6"
14492          DstPort         2
14493        }
14494        Line {
14495          SrcBlock        "Concat6"
14496          SrcPort         1
14497          Points          [25, 0]
14498          Branch {
14499            DstBlock            "AddSub"
14500            DstPort         1
14501          }
14502          Branch {
14503            Points          [0, 100]
14504            DstBlock            "AddSub1"
14505            DstPort         1
14506          }
14507        }
14508        Line {
14509          SrcBlock        "Constant1"
14510          SrcPort         1
14511          Points          [45, 0]
14512          DstBlock        "AddSub"
14513          DstPort         2
14514        }
14515        Line {
14516          SrcBlock        "Constant2"
14517          SrcPort         1
14518          DstBlock        "AddSub1"
14519          DstPort         2
14520        }
14521        Line {
14522          SrcBlock        "AddSub"
14523          SrcPort         1
14524          DstBlock        "Start"
14525          DstPort         1
14526        }
14527        Line {
14528          SrcBlock        "AddSub1"
14529          SrcPort         1
14530          DstBlock        "End"
14531          DstPort         1
14532        }
14533          }
14534        }
14535        Block {
14536          BlockType           SubSystem
14537          Name            "Subsystem2"
14538          Ports           [1, 2]
14539          Position            [1810, 636, 1890, 709]
14540          MinAlgLoopOccurrences   off
14541          RTWSystemCode       "Auto"
14542          FunctionWithSeparateData off
14543          MaskHideContents        off
14544          System {
14545        Name            "Subsystem2"
14546        Location        [431, 85, 1875, 1086]
14547        Open            off
14548        ModelBrowserVisibility  off
14549        ModelBrowserWidth   200
14550        ScreenColor     "white"
14551        PaperOrientation    "landscape"
14552        PaperPositionMode   "auto"
14553        PaperType       "usletter"
14554        PaperUnits      "inches"
14555        TiledPaperMargins   "[0.500000, 0.500000, 0.500000, 0.5000"
14556"00]"
14557        TiledPageScale      1
14558        ShowPageBoundaries  off
14559        ZoomFactor      "100"
14560        Block {
14561          BlockType       Inport
14562          Name            "4bits"
14563          Position        [35, 43, 65, 57]
14564          IconDisplay         "Port number"
14565        }
14566        Block {
14567          BlockType       Reference
14568          Name            "AddSub"
14569          Ports           [2, 1]
14570          Position        [310, 51, 370, 109]
14571          SourceBlock         "xbsIndex_r4/AddSub"
14572          SourceType          "Xilinx Adder/Subtractor Block"
14573          mode            "Addition"
14574          use_carryin         off
14575          use_carryout        off
14576          en              off
14577          latency         "0"
14578          precision       "Full"
14579          arith_type          "Unsigned"
14580          n_bits          "16"
14581          bin_pt          "14"
14582          quantization        "Truncate"
14583          overflow        "Wrap"
14584          dbl_ovrd        off
14585          use_behavioral_HDL      off
14586          pipelined       off
14587          use_rpm         on
14588          xl_use_area         off
14589          xl_area         "[0,0,0,0,0,0,0]"
14590          has_advanced_control    "0"
14591          sggui_pos       "-1,-1,-1,-1"
14592          block_type          "addsub"
14593          block_version       "8.2.02"
14594          sg_icon_stat        "60,58,1,1,white,blue,0,36a47907,rig"
14595"ht"
14596          sg_mask_display     "fprintf('','COMMENT: begin icon gra"
14597"phics');\npatch([0 60 60 0 ],[0 0 58 58 ],[0.77 0.82 0.91]);\npatch([15 5 19 "
14598"5 15 30 34 38 54 41 29 20 34 20 29 41 54 38 34 30 15 ],[6 16 30 44 54 54 50 5"
14599"4 54 41 53 44 30 16 7 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ]"
14600",[0 0 58 58 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COM"
14601"MENT: begin icon text');\ncolor('black');port_label('input',1,'a');\ncolor('b"
14602"lack');port_label('input',2,'b');\ncolor('black');port_label('output',1,'\\bf"
14603"{a + b}','texmode','on');\nfprintf('','COMMENT: end icon text');\n"
14604        }
14605        Block {
14606          BlockType       Reference
14607          Name            "AddSub1"
14608          Ports           [2, 1]
14609          Position        [310, 151, 370, 209]
14610          SourceBlock         "xbsIndex_r4/AddSub"
14611          SourceType          "Xilinx Adder/Subtractor Block"
14612          mode            "Addition"
14613          use_carryin         off
14614          use_carryout        off
14615          en              off
14616          latency         "0"
14617          precision       "Full"
14618          arith_type          "Unsigned"
14619          n_bits          "16"
14620          bin_pt          "14"
14621          quantization        "Truncate"
14622          overflow        "Wrap"
14623          dbl_ovrd        off
14624          use_behavioral_HDL      off
14625          pipelined       off
14626          use_rpm         on
14627          xl_use_area         off
14628          xl_area         "[0,0,0,0,0,0,0]"
14629          has_advanced_control    "0"
14630          sggui_pos       "-1,-1,-1,-1"
14631          block_type          "addsub"
14632          block_version       "8.2.02"
14633          sg_icon_stat        "60,58,1,1,white,blue,0,36a47907,rig"
14634"ht"
14635          sg_mask_display     "fprintf('','COMMENT: begin icon gra"
14636"phics');\npatch([0 60 60 0 ],[0 0 58 58 ],[0.77 0.82 0.91]);\npatch([15 5 19 "
14637"5 15 30 34 38 54 41 29 20 34 20 29 41 54 38 34 30 15 ],[6 16 30 44 54 54 50 5"
14638"4 54 41 53 44 30 16 7 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ]"
14639",[0 0 58 58 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COM"
14640"MENT: begin icon text');\ncolor('black');port_label('input',1,'a');\ncolor('b"
14641"lack');port_label('input',2,'b');\ncolor('black');port_label('output',1,'\\bf"
14642"{a + b}','texmode','on');\nfprintf('','COMMENT: end icon text');\n"
14643        }
14644        Block {
14645          BlockType       Reference
14646          Name            "Concat6"
14647          Ports           [2, 1]
14648          Position        [190, 35, 250, 95]
14649          SourceBlock         "xbsIndex_r4/Concat"
14650          SourceType          "Xilinx Bus Concatenator Block"
14651          infoedit        "Concatenates two or more inputs.  O"
14652"utput will be cast to an unsigned value with the binary point at zero."
14653          num_inputs          "2"
14654          dbl_ovrd        off
14655          has_advanced_control    "0"
14656          sggui_pos       "-1,-1,-1,-1"
14657          block_type          "concat"
14658          block_version       "8.2.02"
14659          sg_icon_stat        "60,60,1,1,white,blue,0,df1e5aba,rig"
14660"ht"
14661          sg_mask_display     "fprintf('','COMMENT: begin icon gra"
14662"phics');\npatch([0 60 60 0 ],[0 0 60 60 ],[0.77 0.82 0.91]);\npatch([14 4 18 "
14663"4 14 30 34 38 55 42 29 20 35 20 29 42 55 38 34 30 14 ],[6 16 30 44 54 54 50 5"
14664"4 54 41 54 45 30 15 6 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ]"
14665",[0 0 60 60 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COM"
14666"MENT: begin icon text');\ncolor('black');port_label('input',1,'hi');\ncolor('"
14667"black');port_label('input',2,'lo');\nfprintf('','COMMENT: end icon text');\n"
14668        }
14669        Block {
14670          BlockType       Reference
14671          Name            "Constant"
14672          Ports           [0, 1]
14673          Position        [75, 67, 130, 93]
14674          SourceBlock         "xbsIndex_r4/Constant"
14675          SourceType          "Xilinx Constant Block Block"
14676          arith_type          "Unsigned"
14677          const           "0"
14678          n_bits          "3"
14679          bin_pt          "0"
14680          explicit_period     on
14681          period          "1"
14682          dsp48_infoedit      "The use of this block for DSP48 ins"
14683"tructions is deprecated.  Please use the Opmode block."
14684          equ             "P=C"
14685          opselect        "C"
14686          inp2            "PCIN>>17"
14687          opr             "+"
14688          inp1            "P"
14689          carry           "CIN"
14690          dbl_ovrd        off
14691          has_advanced_control    "0"
14692          sggui_pos       "-1,-1,-1,-1"
14693          block_type          "constant"
14694          block_version       "8.2.02"
14695          sg_icon_stat        "55,26,1,1,white,blue,0,72d575a1,rig"
14696"ht"
14697          sg_mask_display     "fprintf('','COMMENT: begin icon gra"
14698"phics');\npatch([0 55 55 0 ],[0 0 26 26 ],[0.77 0.82 0.91]);\npatch([20 16 22"
14699" 16 20 27 29 31 38 32 26 22 28 22 26 32 38 31 29 27 20 ],[3 7 13 19 23 23 21 "
14700"23 23 17 23 19 13 7 3 9 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 55 55 0 0 ],["
14701"0 0 26 26 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMME"
14702"NT: begin icon text');\ncolor('black');port_label('output',1,'0');\nfprintf('"
14703"','COMMENT: end icon text');\n"
14704        }
14705        Block {
14706          BlockType       Reference
14707          Name            "Constant1"
14708          Ports           [0, 1]
14709          Position        [190, 127, 245, 153]
14710          SourceBlock         "xbsIndex_r4/Constant"
14711          SourceType          "Xilinx Constant Block Block"
14712          arith_type          "Unsigned"
14713          const           "3"
14714          n_bits          "8"
14715          bin_pt          "0"
14716          explicit_period     on
14717          period          "1"
14718          dsp48_infoedit      "The use of this block for DSP48 ins"
14719"tructions is deprecated.  Please use the Opmode block."
14720          equ             "P=C"
14721          opselect        "C"
14722          inp2            "PCIN>>17"
14723          opr             "+"
14724          inp1            "P"
14725          carry           "CIN"
14726          dbl_ovrd        off
14727          has_advanced_control    "0"
14728          sggui_pos       "-1,-1,-1,-1"
14729          block_type          "constant"
14730          block_version       "8.2.02"
14731          sg_icon_stat        "55,26,1,1,white,blue,0,bdb1da60,rig"
14732"ht"
14733          sg_mask_display     "fprintf('','COMMENT: begin icon gra"
14734"phics');\npatch([0 55 55 0 ],[0 0 26 26 ],[0.77 0.82 0.91]);\npatch([20 16 22"
14735" 16 20 27 29 31 38 32 26 22 28 22 26 32 38 31 29 27 20 ],[3 7 13 19 23 23 21 "
14736"23 23 17 23 19 13 7 3 9 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 55 55 0 0 ],["
14737"0 0 26 26 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMME"
14738"NT: begin icon text');\ncolor('black');port_label('output',1,'3');\nfprintf('"
14739"','COMMENT: end icon text');\n"
14740        }
14741        Block {
14742          BlockType       Reference
14743          Name            "Constant2"
14744          Ports           [0, 1]
14745          Position        [190, 182, 245, 208]
14746          SourceBlock         "xbsIndex_r4/Constant"
14747          SourceType          "Xilinx Constant Block Block"
14748          arith_type          "Unsigned"
14749          const           "10"
14750          n_bits          "8"
14751          bin_pt          "0"
14752          explicit_period     on
14753          period          "1"
14754          dsp48_infoedit      "The use of this block for DSP48 ins"
14755"tructions is deprecated.  Please use the Opmode block."
14756          equ             "P=C"
14757          opselect        "C"
14758          inp2            "PCIN>>17"
14759          opr             "+"
14760          inp1            "P"
14761          carry           "CIN"
14762          dbl_ovrd        off
14763          has_advanced_control    "0"
14764          sggui_pos       "-1,-1,-1,-1"
14765          block_type          "constant"
14766          block_version       "8.2.02"
14767          sg_icon_stat        "55,26,1,1,white,blue,0,037f1011,rig"
14768"ht"
14769          sg_mask_display     "fprintf('','COMMENT: begin icon gra"
14770"phics');\npatch([0 55 55 0 ],[0 0 26 26 ],[0.77 0.82 0.91]);\npatch([20 16 22"
14771" 16 20 27 29 31 38 32 26 22 28 22 26 32 38 31 29 27 20 ],[3 7 13 19 23 23 21 "
14772"23 23 17 23 19 13 7 3 9 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 55 55 0 0 ],["
14773"0 0 26 26 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMME"
14774"NT: begin icon text');\ncolor('black');port_label('output',1,'10');\nfprintf("
14775"'','COMMENT: end icon text');\n"
14776        }
14777        Block {
14778          BlockType       Outport
14779          Name            "Start"
14780          Position        [430, 73, 460, 87]
14781          IconDisplay         "Port number"
14782          BusOutputAsStruct   off
14783        }
14784        Block {
14785          BlockType       Outport
14786          Name            "End"
14787          Position        [430, 173, 460, 187]
14788          Port            "2"
14789          IconDisplay         "Port number"
14790          BusOutputAsStruct   off
14791        }
14792        Line {
14793          SrcBlock        "AddSub1"
14794          SrcPort         1
14795          DstBlock        "End"
14796          DstPort         1
14797        }
14798        Line {
14799          SrcBlock        "AddSub"
14800          SrcPort         1
14801          DstBlock        "Start"
14802          DstPort         1
14803        }
14804        Line {
14805          SrcBlock        "Constant2"
14806          SrcPort         1
14807          DstBlock        "AddSub1"
14808          DstPort         2
14809        }
14810        Line {
14811          SrcBlock        "Constant1"
14812          SrcPort         1
14813          Points          [45, 0]
14814          DstBlock        "AddSub"
14815          DstPort         2
14816        }
14817        Line {
14818          SrcBlock        "Concat6"
14819          SrcPort         1
14820          Points          [25, 0]
14821          Branch {
14822            Points          [0, 100]
14823            DstBlock            "AddSub1"
14824            DstPort         1
14825          }
14826          Branch {
14827            DstBlock            "AddSub"
14828            DstPort         1
14829          }
14830        }
14831        Line {
14832          SrcBlock        "Constant"
14833          SrcPort         1
14834          DstBlock        "Concat6"
14835          DstPort         2
14836        }
14837        Line {
14838          SrcBlock        "4bits"
14839          SrcPort         1
14840          DstBlock        "Concat6"
14841          DstPort         1
14842        }
14843          }
14844        }
14845        Block {
14846          BlockType           Outport
14847          Name            "Data"
14848          Position            [2340, 578, 2370, 592]
14849          IconDisplay         "Port number"
14850          BusOutputAsStruct       off
14851        }
14852        Block {
14853          BlockType           Outport
14854          Name            "StartTransfer"
14855          Position            [1145, 973, 1175, 987]
14856          Port            "2"
14857          IconDisplay         "Port number"
14858          BusOutputAsStruct       off
14859        }
14860        Line {
14861          SrcBlock            "Register"
14862          SrcPort             1
14863          Points              [0, -55]
14864          DstBlock            "Concat"
14865          DstPort             2
14866        }
14867        Line {
14868          SrcBlock            "Slice5"
14869          SrcPort             1
14870          DstBlock            "Register"
14871          DstPort             1
14872        }
14873        Line {
14874          SrcBlock            "Concat"
14875          SrcPort             1
14876          DstBlock            "Character Map\nShared Memory"
14877          DstPort             1
14878        }
14879        Line {
14880          SrcBlock            "Character Buffer\nShared Memory"
14881          SrcPort             1
14882          Points              [15, 0; 0, 45]
14883          DstBlock            "Concat"
14884          DstPort             1
14885        }
14886        Line {
14887          SrcBlock            "Counter1"
14888          SrcPort             1
14889          Points              [15, 0]
14890          Branch {
14891        DstBlock        "Slice4"
14892        DstPort         1
14893          }
14894          Branch {
14895        Points          [0, 60]
14896        Branch {
14897          Points          [70, 0]
14898          DstBlock        "Slice5"
14899          DstPort         1
14900        }
14901        Branch {
14902          Points          [0, 120]
14903          Branch {
14904            DstBlock            "Slice6"
14905            DstPort         1
14906          }
14907          Branch {
14908            Points          [0, 130]
14909            Branch {
14910            DstBlock            "Slice7"
14911            DstPort         1
14912            }
14913            Branch {
14914            Points          [0, 330; 1445, 0; 0, -355]
14915            Branch {
14916            DstBlock            "Slice11"
14917            DstPort         1
14918            }
14919            Branch {
14920            Points          [0, -105]
14921            DstBlock            "Slice10"
14922            DstPort         1
14923            }
14924            }
14925          }
14926        }
14927          }
14928        }
14929        Line {
14930          SrcBlock            "Slice4"
14931          SrcPort             1
14932          Points              [200, 0]
14933          Branch {
14934        DstBlock        "4MSB"
14935        DstPort         1
14936          }
14937          Branch {
14938        Points          [0, 40]
14939        DstBlock        "4LSB"
14940        DstPort         1
14941          }
14942        }
14943        Line {
14944          SrcBlock            "TransferDone"
14945          SrcPort             1
14946          DstBlock            "Logical"
14947          DstPort             1
14948        }
14949        Line {
14950          SrcBlock            "CmdsDone"
14951          SrcPort             1
14952          DstBlock            "Logical"
14953          DstPort             2
14954        }
14955        Line {
14956          SrcBlock            "Reset"
14957          SrcPort             1
14958          DstBlock            "Counter1"
14959          DstPort             1
14960        }
14961        Line {
14962          SrcBlock            "Delay"
14963          SrcPort             1
14964          Points              [35, 0]
14965          Branch {
14966        DstBlock        "StartTransfer"
14967        DstPort         1
14968          }
14969          Branch {
14970        Points          [0, -50]
14971        DstBlock        "Scope2"
14972        DstPort         2
14973          }
14974        }
14975        Line {
14976          SrcBlock            "Slice6"
14977          SrcPort             1
14978          DstBlock            "Relational"
14979          DstPort             1
14980        }
14981        Line {
14982          SrcBlock            "Constant4"
14983          SrcPort             1
14984          Points              [5, 0; 0, -20]
14985          DstBlock            "Relational"
14986          DstPort             2
14987        }
14988        Line {
14989          SrcBlock            "Slice7"
14990          SrcPort             1
14991          DstBlock            "Relational1"
14992          DstPort             1
14993        }
14994        Line {
14995          SrcBlock            "Constant5"
14996          SrcPort             1
14997          Points              [5, 0; 0, -20]
14998          DstBlock            "Relational1"
14999          DstPort             2
15000        }
15001        Line {
15002          SrcBlock            "Relational"
15003          SrcPort             1
15004          Points              [15, 0; 0, 25]
15005          Branch {
15006        DstBlock        "Convert"
15007        DstPort         1
15008          }
15009          Branch {
15010        Points          [360, 0]
15011        Branch {
15012          DstBlock        "Pos Edge Detector"
15013          DstPort         1
15014        }
15015        Branch {
15016          Points          [0, 105]
15017          DstBlock        "Subsystem"
15018          DstPort         1
15019        }
15020          }
15021        }
15022        Line {
15023          SrcBlock            "Relational1"
15024          SrcPort             1
15025          DstBlock            "Convert1"
15026          DstPort             1
15027        }
15028        Line {
15029          SrcBlock            "Convert"
15030          SrcPort             1
15031          DstBlock            "Concat3"
15032          DstPort             1
15033        }
15034        Line {
15035          SrcBlock            "Convert1"
15036          SrcPort             1
15037          Points              [15, 0; 0, -50]
15038          DstBlock            "Concat3"
15039          DstPort             2
15040        }
15041        Line {
15042          SrcBlock            "Mux2"
15043          SrcPort             1
15044          DstBlock            "Counter"
15045          DstPort             2
15046        }
15047        Line {
15048          SrcBlock            "Concat3"
15049          SrcPort             1
15050          DstBlock            "Mux2"
15051          DstPort             1
15052        }
15053        Line {
15054          SrcBlock            "Constant6"
15055          SrcPort             1
15056          Points              [15, 0]
15057          Branch {
15058        DstBlock        "Mux2"
15059        DstPort         2
15060          }
15061          Branch {
15062        Points          [0, 20]
15063        DstBlock        "Mux2"
15064        DstPort         3
15065          }
15066        }
15067        Line {
15068          SrcBlock            "Constant7"
15069          SrcPort             1
15070          DstBlock            "Mux2"
15071          DstPort             4
15072        }
15073        Line {
15074          SrcBlock            "Constant8"
15075          SrcPort             1
15076          Points              [20, 0; 0, -20]
15077          DstBlock            "Mux2"
15078          DstPort             5
15079        }
15080        Line {
15081          SrcBlock            "Logical"
15082          SrcPort             1
15083          Points              [35, 0]
15084          Branch {
15085        Points          [0, 20]
15086        Branch {
15087          Points          [0, 125]
15088          DstBlock        "Delay"
15089          DstPort         1
15090        }
15091        Branch {
15092          DstBlock        "Logical1"
15093          DstPort         2
15094        }
15095          }
15096          Branch {
15097        Points          [0, -95]
15098        DstBlock        "Subsystem"
15099        DstPort         2
15100          }
15101        }
15102        Line {
15103          SrcBlock            "Counter"
15104          SrcPort             1
15105          Points              [5, 0; 0, 15]
15106          Branch {
15107        Points          [0, 60]
15108        DstBlock        "Relational2"
15109        DstPort         1
15110          }
15111          Branch {
15112        Points          [620, 0; 0, -260]
15113        DstBlock        "Mux3"
15114        DstPort         1
15115          }
15116        }
15117        Line {
15118          SrcBlock            "Constant9"
15119          SrcPort             1
15120          DstBlock            "Relational2"
15121          DstPort             2
15122        }
15123        Line {
15124          SrcBlock            "Relational2"
15125          SrcPort             1
15126          Points              [10, 0; 0, 45]
15127          Branch {
15128        DstBlock        "Logical1"
15129        DstPort         1
15130          }
15131          Branch {
15132        DstBlock        "Inverter2"
15133        DstPort         1
15134          }
15135        }
15136        Line {
15137          SrcBlock            "Logical1"
15138          SrcPort             1
15139          Points              [15, 0; 0, 65; -1130, 0; 0, -500]
15140          DstBlock            "Counter1"
15141          DstPort             2
15142        }
15143        Line {
15144          SrcBlock            "Pos Edge Detector"
15145          SrcPort             1
15146          Points              [15, 0; 0, 60]
15147          DstBlock            "Counter"
15148          DstPort             1
15149        }
15150        Line {
15151          SrcBlock            "Subsystem"
15152          SrcPort             1
15153          Points              [5, 0; 0, -30]
15154          DstBlock            "Counter"
15155          DstPort             3
15156        }
15157        Line {
15158          SrcBlock            "Inverter2"
15159          SrcPort             1
15160          Points              [-30, 0; 0, -60]
15161          DstBlock            "Subsystem"
15162          DstPort             3
15163        }
15164        Line {
15165          SrcBlock            "Mux3"
15166          SrcPort             1
15167          Points              [10, 0]
15168          Branch {
15169        Points          [15, 0]
15170        Branch {
15171          Points          [0, 25]
15172          DstBlock        "Slice8"
15173          DstPort         1
15174        }
15175        Branch {
15176          Points          [0, -25]
15177          DstBlock        "Slice9"
15178          DstPort         1
15179        }
15180          }
15181          Branch {
15182        Points          [0, 275]
15183        DstBlock        "Scope2"
15184        DstPort         1
15185          }
15186        }
15187        Line {
15188          SrcBlock            "Slice9"
15189          SrcPort             1
15190          DstBlock            "Inverter3"
15191          DstPort             1
15192        }
15193        Line {
15194          SrcBlock            "Inverter3"
15195          SrcPort             1
15196          Points              [5, 0; 0, 10]
15197          DstBlock            "Concat4"
15198          DstPort             1
15199        }
15200        Line {
15201          SrcBlock            "Slice8"
15202          SrcPort             1
15203          Points              [90, 0; 0, -10]
15204          DstBlock            "Concat4"
15205          DstPort             2
15206        }
15207        Line {
15208          SrcBlock            "Concat4"
15209          SrcPort             1
15210          DstBlock            "Data"
15211          DstPort             1
15212        }
15213        Line {
15214          SrcBlock            "Concat5"
15215          SrcPort             1
15216          Points              [0, -35]
15217          DstBlock            "Mux3"
15218          DstPort             2
15219        }
15220        Line {
15221          SrcBlock            "Constant10"
15222          SrcPort             1
15223          Points              [5, 0; 0, 25]
15224          DstBlock            "Concat5"
15225          DstPort             1
15226        }
15227        Line {
15228          SrcBlock            "Character Map\nShared Memory"
15229          SrcPort             1
15230          Points              [25, 0; 0, 95]
15231          DstBlock            "Concat5"
15232          DstPort             2
15233        }
15234        Line {
15235          SrcBlock            "From Register3"
15236          SrcPort             1
15237          DstBlock            "Mux3"
15238          DstPort             3
15239        }
15240        Line {
15241          SrcBlock            "From Register1"
15242          SrcPort             1
15243          DstBlock            "Mux3"
15244          DstPort             6
15245        }
15246        Line {
15247          SrcBlock            "From Register2"
15248          SrcPort             1
15249          DstBlock            "Mux3"
15250          DstPort             9
15251        }
15252        Line {
15253          SrcBlock            "Subsystem1"
15254          SrcPort             1
15255          DstBlock            "Mux3"
15256          DstPort             4
15257        }
15258        Line {
15259          SrcBlock            "Subsystem1"
15260          SrcPort             2
15261          DstBlock            "Mux3"
15262          DstPort             5
15263        }
15264        Line {
15265          SrcBlock            "Subsystem2"
15266          SrcPort             1
15267          DstBlock            "Mux3"
15268          DstPort             7
15269        }
15270        Line {
15271          SrcBlock            "Subsystem2"
15272          SrcPort             2
15273          DstBlock            "Mux3"
15274          DstPort             8
15275        }
15276        Line {
15277          SrcBlock            "Slice10"
15278          SrcPort             1
15279          DstBlock            "Subsystem1"
15280          DstPort             1
15281        }
15282        Line {
15283          SrcBlock            "Slice11"
15284          SrcPort             1
15285          DstBlock            "Subsystem2"
15286          DstPort             1
15287        }
15288        Line {
15289          SrcBlock            "Concat1"
15290          SrcPort             1
15291          DstBlock            "Character Buffer\nShared Memory"
15292          DstPort             1
15293        }
15294        Line {
15295          SrcBlock            "From Register4"
15296          SrcPort             1
15297          Points              [35, 0; 0, 45]
15298          DstBlock            "Concat1"
15299          DstPort             1
15300        }
15301        Line {
15302          SrcBlock            "AddSub1"
15303          SrcPort             1
15304          DstBlock            "Concat1"
15305          DstPort             2
15306        }
15307        Line {
15308          SrcBlock            "From Register7"
15309          SrcPort             1
15310          Points              [35, 0; 0, 15]
15311          DstBlock            "AddSub1"
15312          DstPort             1
15313        }
15314        Line {
15315          SrcBlock            "4MSB"
15316          SrcPort             1
15317          DstBlock            "AddSub1"
15318          DstPort             2
15319        }
15320        Line {
15321          SrcBlock            "4LSB"
15322          SrcPort             1
15323          DstBlock            "AddSub2"
15324          DstPort             1
15325        }
15326        Line {
15327          SrcBlock            "From Register5"
15328          SrcPort             1
15329          DstBlock            "AddSub2"
15330          DstPort             2
15331        }
15332        Line {
15333          SrcBlock            "AddSub2"
15334          SrcPort             1
15335          Points              [45, 0; 0, -50]
15336          DstBlock            "Concat1"
15337          DstPort             3
15338        }
15339        Annotation {
15340          Name            "We invert the first bit because 0 means"
15341" command and 1 is data\nThe user inputs the opposite in the PowerPC"
15342          Position            [2147, 503]
15343        }
15344      }
15345    }
15346    Block {
15347      BlockType       Reference
15348      Name            "Delay"
15349      Ports           [1, 1]
15350      Position        [450, 27, 510, 83]
15351      SourceBlock         "xbsIndex_r4/Delay"
15352      SourceType          "Xilinx Delay Block"
15353      infoedit        "Hardware notes: A delay line is a chain, ea"
15354"ch link of which is an SRL16 followed by a flip-flop. If register retiming is"
15355" enabled, the delay line is a chain of flip-flops."
15356      en              off
15357      latency         "1"
15358      dbl_ovrd        off
15359      reg_retiming        off
15360      xl_use_area         off
15361      xl_area         "[0,0,0,0,0,0,0]"
15362      has_advanced_control    "0"
15363      sggui_pos       "20,20,356,269"
15364      block_type          "delay"
15365      block_version       "8.2.02"
15366      sg_icon_stat        "60,56,1,1,white,blue,0,fc531c0e,right"
15367      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');"
15368"\npatch([0 60 60 0 ],[0 0 56 56 ],[0.77 0.82 0.91]);\npatch([15 6 19 6 15 30 "
15369"34 38 54 41 29 20 32 20 29 41 54 38 34 30 15 ],[6 15 28 41 50 50 46 50 50 37 "
15370"49 40 28 16 7 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 56"
15371" 56 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: be"
15372"gin icon text');\ncolor('black');disp('z^{-1}','texmode','on');\nfprintf('','"
15373"COMMENT: end icon text');\n"
15374    }
15375    Block {
15376      BlockType       Reference
15377      Name            "From Register"
15378      Ports           [0, 1]
15379      Position        [115, 875, 160, 925]
15380      ShowName        off
15381      AttributesFormatString  "From Register\\n<< %<shared_memory_name> >>"
15382      SourceBlock         "xbsIndex_r4/From Register"
15383      SourceType          "Xilinx Shared Memory Based From Register Bl"
15384"ock"
15385      infoedit        "Register block that reads data to a shared "
15386"memory register.  Delay of one sample period."
15387      shared_memory_name      "'LCD_Reset'"
15388      init            "0"
15389      period          "1"
15390      ownership       "Locally owned and initialized"
15391      arith_type          "Unsigned"
15392      n_bits          "1"
15393      bin_pt          "0"
15394      dbl_ovrd        off
15395      xl_use_area         off
15396      xl_area         "[0,0,0,0,0,0,0]"
15397      has_advanced_control    "0"
15398      sggui_pos       "20,20,379,246"
15399      block_type          "fromreg"
15400      block_version       "8.2.02"
15401      sg_icon_stat        "45,50,1,1,white,blue,0,4b212927,right"
15402      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');"
15403"\npatch([0 60 60 0 ],[0 0 56 56 ],[0.77 0.82 0.91]);\npatch([15 6 19 6 15 30 "
15404"34 38 54 41 29 20 32 20 29 41 54 38 34 30 15 ],[6 15 28 41 50 50 46 50 50 37 "
15405"49 40 28 16 7 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 56"
15406" 56 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: be"
15407"gin icon text');\ncolor('black');port_label('output',1,'dout');\nfprintf('','"
15408"COMMENT: end icon text');\n"
15409    }
15410    Block {
15411      BlockType       Reference
15412      Name            "From Register3"
15413      Ports           [0, 1]
15414      Position        [535, 455, 580, 505]
15415      ShowName        off
15416      AttributesFormatString  "From Register\\n<< %<shared_memory_name> >>"
15417      SourceBlock         "xbsIndex_r4/From Register"
15418      SourceType          "Xilinx Shared Memory Based From Register Bl"
15419"ock"
15420      infoedit        "Register block that reads data to a shared "
15421"memory register.  Delay of one sample period."
15422      shared_memory_name      "'LCD_TotalCmdTransfer'"
15423      init            "10"
15424      period          "1"
15425      ownership       "Locally owned and initialized"
15426      arith_type          "Unsigned"
15427      n_bits          "8"
15428      bin_pt          "0"
15429      dbl_ovrd        off
15430      xl_use_area         off
15431      xl_area         "[0,0,0,0,0,0,0]"
15432      has_advanced_control    "0"
15433      sggui_pos       "20,20,379,246"
15434      block_type          "fromreg"
15435      block_version       "8.2.02"
15436      sg_icon_stat        "45,50,1,1,white,blue,0,4b212927,right"
15437      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');"
15438"\npatch([0 60 60 0 ],[0 0 56 56 ],[0.77 0.82 0.91]);\npatch([15 6 19 6 15 30 "
15439"34 38 54 41 29 20 32 20 29 41 54 38 34 30 15 ],[6 15 28 41 50 50 46 50 50 37 "
15440"49 40 28 16 7 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 56"
15441" 56 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: be"
15442"gin icon text');\ncolor('black');port_label('output',1,'dout');\nfprintf('','"
15443"COMMENT: end icon text');\n"
15444    }
15445    Block {
15446      BlockType       Reference
15447      Name            "From Register4"
15448      Ports           [0, 1]
15449      Position        [1125, 425, 1170, 475]
15450      ShowName        off
15451      AttributesFormatString  "From Register\\n<< %<shared_memory_name> >>"
15452      SourceBlock         "xbsIndex_r4/From Register"
15453      SourceType          "Xilinx Shared Memory Based From Register Bl"
15454"ock"
15455      infoedit        "Register block that reads data to a shared "
15456"memory register.  Delay of one sample period."
15457      shared_memory_name      "'LCD_DividerSelect'"
15458      init            "0"
15459      period          "1"
15460      ownership       "Locally owned and initialized"
15461      arith_type          "Unsigned"
15462      n_bits          "1"
15463      bin_pt          "0"
15464      dbl_ovrd        off
15465      xl_use_area         off
15466      xl_area         "[0,0,0,0,0,0,0]"
15467      has_advanced_control    "0"
15468      sggui_pos       "20,20,379,246"
15469      block_type          "fromreg"
15470      block_version       "8.2.02"
15471      sg_icon_stat        "45,50,1,1,white,blue,0,4b212927,right"
15472      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');"
15473"\npatch([0 60 60 0 ],[0 0 56 56 ],[0.77 0.82 0.91]);\npatch([15 6 19 6 15 30 "
15474"34 38 54 41 29 20 32 20 29 41 54 38 34 30 15 ],[6 15 28 41 50 50 46 50 50 37 "
15475"49 40 28 16 7 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 56"
15476" 56 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: be"
15477"gin icon text');\ncolor('black');port_label('output',1,'dout');\nfprintf('','"
15478"COMMENT: end icon text');\n"
15479    }
15480    Block {
15481      BlockType       Reference
15482      Name            "From Register5"
15483      Ports           [0, 1]
15484      Position        [195, 315, 240, 365]
15485      ShowName        off
15486      AttributesFormatString  "From Register\\n<< %<shared_memory_name> >>"
15487      SourceBlock         "xbsIndex_r4/From Register"
15488      SourceType          "Xilinx Shared Memory Based From Register Bl"
15489"ock"
15490      infoedit        "Register block that reads data to a shared "
15491"memory register.  Delay of one sample period."
15492      shared_memory_name      "'LCD_Send'"
15493      init            "0"
15494      period          "1"
15495      ownership       "Locally owned and initialized"
15496      arith_type          "Unsigned"
15497      n_bits          "1"
15498      bin_pt          "0"
15499      dbl_ovrd        off
15500      xl_use_area         off
15501      xl_area         "[0,0,0,0,0,0,0]"
15502      has_advanced_control    "0"
15503      sggui_pos       "20,20,379,246"
15504      block_type          "fromreg"
15505      block_version       "8.2.02"
15506      sg_icon_stat        "45,50,1,1,white,blue,0,4b212927,right"
15507      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');"
15508"\npatch([0 60 60 0 ],[0 0 56 56 ],[0.77 0.82 0.91]);\npatch([15 6 19 6 15 30 "
15509"34 38 54 41 29 20 32 20 29 41 54 38 34 30 15 ],[6 15 28 41 50 50 46 50 50 37 "
15510"49 40 28 16 7 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 56"
15511" 56 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: be"
15512"gin icon text');\ncolor('black');port_label('output',1,'dout');\nfprintf('','"
15513"COMMENT: end icon text');\n"
15514    }
15515    Block {
15516      BlockType       Reference
15517      Name            "From Register6"
15518      Ports           [0, 1]
15519      Position        [345, 30, 390, 80]
15520      ShowName        off
15521      AttributesFormatString  "From Register\\n<< %<shared_memory_name> >>"
15522      SourceBlock         "xbsIndex_r4/From Register"
15523      SourceType          "Xilinx Shared Memory Based From Register Bl"
15524"ock"
15525      infoedit        "Register block that reads data to a shared "
15526"memory register.  Delay of one sample period."
15527      shared_memory_name      "'LCD_ResetLCD'"
15528      init            "1"
15529      period          "1"
15530      ownership       "Locally owned and initialized"
15531      arith_type          "Unsigned"
15532      n_bits          "1"
15533      bin_pt          "0"
15534      dbl_ovrd        off
15535      xl_use_area         off
15536      xl_area         "[0,0,0,0,0,0,0]"
15537      has_advanced_control    "0"
15538      sggui_pos       "20,20,381,246"
15539      block_type          "fromreg"
15540      block_version       "8.2.02"
15541      sg_icon_stat        "45,50,1,1,white,blue,0,4b212927,right"
15542      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');"
15543"\npatch([0 60 60 0 ],[0 0 56 56 ],[0.77 0.82 0.91]);\npatch([15 6 19 6 15 30 "
15544"34 38 54 41 29 20 32 20 29 41 54 38 34 30 15 ],[6 15 28 41 50 50 46 50 50 37 "
15545"49 40 28 16 7 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 56"
15546" 56 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: be"
15547"gin icon text');\ncolor('black');port_label('output',1,'dout');\nfprintf('','"
15548"COMMENT: end icon text');\n"
15549    }
15550    Block {
15551      BlockType       Reference
15552      Name            "Logical1"
15553      Ports           [2, 1]
15554      Position        [205, 830, 260, 890]
15555      SourceBlock         "xbsIndex_r4/Logical"
15556      SourceType          "Xilinx Logical Block Block"
15557      logical_function    "OR"
15558      inputs          "2"
15559      en              off
15560      latency         "0"
15561      precision       "Full"
15562      arith_type          "Unsigned"
15563      n_bits          "16"
15564      bin_pt          "0"
15565      align_bp        on
15566      dbl_ovrd        off
15567      xl_use_area         off
15568      xl_area         "[0,0,0,0,0,0,0]"
15569      has_advanced_control    "0"
15570      sggui_pos       "-1,-1,-1,-1"
15571      block_type          "logical"
15572      block_version       "8.2.02"
15573      sg_icon_stat        "55,60,1,1,white,blue,0,f4a65842,right"
15574      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');"
15575"\npatch([0 55 55 0 ],[0 0 60 60 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13 28 "
15576"32 36 52 40 28 19 32 19 28 40 52 36 32 28 13 ],[8 17 30 43 52 52 48 52 52 40 "
15577"52 43 30 17 8 20 8 8 12 8 8 ],[0.98 0.96 0.92]);\nplot([0 55 55 0 0 ],[0 0 60"
15578" 60 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: be"
15579"gin icon text');\ncolor('black');disp('\\newlineor\\newlinez^{-0}','texmode',"
15580"'on');\nfprintf('','COMMENT: end icon text');\n"
15581    }
15582    Block {
15583      BlockType       Reference
15584      Name            "Mux"
15585      Ports           [3, 1]
15586      Position        [1170, 278, 1215, 382]
15587      NamePlacement       "alternate"
15588      SourceBlock         "xbsIndex_r4/Mux"
15589      SourceType          "Xilinx Bus Multiplexer Block"
15590      inputs          "2"
15591      en              off
15592      latency         "0"
15593      precision       "Full"
15594      arith_type          "Unsigned"
15595      n_bits          "16"
15596      bin_pt          "14"
15597      quantization        "Truncate"
15598      overflow        "Wrap"
15599      dbl_ovrd        off
15600      xl_use_area         off
15601      xl_area         "[0,0,0,0,0,0,0]"
15602      has_advanced_control    "0"
15603      sggui_pos       "-1,-1,-1,-1"
15604      block_type          "mux"
15605      block_version       "8.2.02"
15606      sg_icon_stat        "45,104,1,1,white,blue,3,613f58e1,right"
15607      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');"
15608"\npatch([0 45 45 0 ],[0 14.8571 89.1429 104 ],[0.77 0.82 0.91]);\npatch([10 3"
15609" 14 3 10 22 25 28 41 31 21 14 25 14 21 31 41 28 25 22 10 ],[34 41 52 63 70 70"
15610" 67 70 70 60 70 63 52 41 34 44 34 34 37 34 34 ],[0.98 0.96 0.92]);\nplot([0 4"
15611"5 45 0 0 ],[0 14.8571 89.1429 104 0 ]);\nfprintf('','COMMENT: end icon graphi"
15612"cs');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('in"
15613"put',1,'sel');\ncolor('black');port_label('input',2,'d0');\ncolor('black');po"
15614"rt_label('input',3,'d1');\ncolor('black');disp('\\bf{}','texmode','on');\nfpr"
15615"intf('','COMMENT: end icon text');\n"
15616    }
15617    Block {
15618      BlockType       Reference
15619      Name            "Mux1"
15620      Ports           [3, 1]
15621      Position        [965, 338, 1010, 442]
15622      SourceBlock         "xbsIndex_r4/Mux"
15623      SourceType          "Xilinx Bus Multiplexer Block"
15624      inputs          "2"
15625      en              off
15626      latency         "0"
15627      precision       "Full"
15628      arith_type          "Unsigned"
15629      n_bits          "16"
15630      bin_pt          "14"
15631      quantization        "Truncate"
15632      overflow        "Wrap"
15633      dbl_ovrd        off
15634      xl_use_area         off
15635      xl_area         "[0,0,0,0,0,0,0]"
15636      has_advanced_control    "0"
15637      sggui_pos       "-1,-1,-1,-1"
15638      block_type          "mux"
15639      block_version       "8.2.02"
15640      sg_icon_stat        "45,104,1,1,white,blue,3,613f58e1,right"
15641      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');"
15642"\npatch([0 45 45 0 ],[0 14.8571 89.1429 104 ],[0.77 0.82 0.91]);\npatch([10 3"
15643" 14 3 10 22 25 28 41 31 21 14 25 14 21 31 41 28 25 22 10 ],[34 41 52 63 70 70"
15644" 67 70 70 60 70 63 52 41 34 44 34 34 37 34 34 ],[0.98 0.96 0.92]);\nplot([0 4"
15645"5 45 0 0 ],[0 14.8571 89.1429 104 0 ]);\nfprintf('','COMMENT: end icon graphi"
15646"cs');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('in"
15647"put',1,'sel');\ncolor('black');port_label('input',2,'d0');\ncolor('black');po"
15648"rt_label('input',3,'d1');\ncolor('black');disp('\\bf{}','texmode','on');\nfpr"
15649"intf('','COMMENT: end icon text');\n"
15650    }
15651    Block {
15652      BlockType       SubSystem
15653      Name            "Pos Edge Detector"
15654      Ports           [1, 1]
15655      Position        [360, 309, 485, 371]
15656      MinAlgLoopOccurrences   off
15657      RTWSystemCode       "Auto"
15658      FunctionWithSeparateData off
15659      MaskHideContents    off
15660      System {
15661        Name            "Pos Edge Detector"
15662        Location            [971, 353, 1386, 494]
15663        Open            off
15664        ModelBrowserVisibility  off
15665        ModelBrowserWidth       200
15666        ScreenColor         "white"
15667        PaperOrientation        "landscape"
15668        PaperPositionMode       "auto"
15669        PaperType           "usletter"
15670        PaperUnits          "inches"
15671        TiledPaperMargins       [0.500000, 0.500000, 0.500000, 0.500000]
15672        TiledPageScale      1
15673        ShowPageBoundaries      off
15674        ZoomFactor          "100"
15675        Block {
15676          BlockType           Inport
15677          Name            "Input Signal"
15678          Position            [25, 33, 55, 47]
15679          IconDisplay         "Port number"
15680        }
15681        Block {
15682          BlockType           Reference
15683          Name            "Delay"
15684          Ports           [1, 1]
15685          Position            [170, 57, 230, 113]
15686          SourceBlock         "xbsIndex_r4/Delay"
15687          SourceType          "Xilinx Delay Block"
15688          infoedit            "Hardware notes: A delay line is a chain"
15689", each link of which is an SRL16 followed by a flip-flop. If register retimin"
15690"g is enabled, the delay line is a chain of flip-flops."
15691          en              off
15692          latency             "1"
15693          dbl_ovrd            off
15694          reg_retiming        off
15695          xl_use_area         off
15696          xl_area             "[0,0,0,0,0,0,0]"
15697          has_advanced_control    "0"
15698          sggui_pos           "-1,-1,-1,-1"
15699          block_type          "delay"
15700          block_version       "8.2.02"
15701          sg_icon_stat        "60,56,1,1,white,blue,0,fc531c0e,right"
15702          sg_mask_display         "fprintf('','COMMENT: begin icon graphic"
15703"s');\npatch([0 60 60 0 ],[0 0 56 56 ],[0.77 0.82 0.91]);\npatch([15 6 19 6 15"
15704" 30 34 38 54 41 29 20 32 20 29 41 54 38 34 30 15 ],[6 15 28 41 50 50 46 50 50"
15705" 37 49 40 28 16 7 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 "
15706"0 56 56 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT"
15707": begin icon text');\ncolor('black');disp('z^{-1}','texmode','on');\nfprintf("
15708"'','COMMENT: end icon text');\n"
15709        }
15710        Block {
15711          BlockType           Reference
15712          Name            "Inverter"
15713          Ports           [1, 1]
15714          Position            [100, 56, 155, 114]
15715          SourceBlock         "xbsIndex_r4/Inverter"
15716          SourceType          "Xilinx Inverter Block"
15717          infoedit            "Bitwise logical negation (one's complem"
15718"ent) operator."
15719          en              off
15720          latency             "0"
15721          dbl_ovrd            off
15722          xl_use_area         off
15723          xl_area             "[0,0,0,0,0,0,0]"
15724          has_advanced_control    "0"
15725          sggui_pos           "20,20,356,237"
15726          block_type          "inv"
15727          block_version       "8.2.02"
15728          sg_icon_stat        "55,58,1,1,white,blue,0,1ab4a85f,right"
15729          sg_mask_display         "fprintf('','COMMENT: begin icon graphic"
15730"s');\npatch([0 55 55 0 ],[0 0 58 58 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13"
15731" 28 32 36 52 40 28 19 32 19 28 40 52 36 32 28 13 ],[7 16 29 42 51 51 47 51 51"
15732" 39 51 42 29 16 7 19 7 7 11 7 7 ],[0.98 0.96 0.92]);\nplot([0 55 55 0 0 ],[0 "
15733"0 58 58 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT"
15734": begin icon text');\ncolor('black');disp('not');\nfprintf('','COMMENT: end i"
15735"con text');\n"
15736        }
15737        Block {
15738          BlockType           Reference
15739          Name            "Logical"
15740          Ports           [2, 1]
15741          Position            [280, 25, 335, 85]
15742          SourceBlock         "xbsIndex_r4/Logical"
15743          SourceType          "Xilinx Logical Block Block"
15744          logical_function        "AND"
15745          inputs              "2"
15746          en              off
15747          latency             "0"
15748          precision           "Full"
15749          arith_type          "Unsigned"
15750          n_bits              "16"
15751          bin_pt              "0"
15752          align_bp            on
15753          dbl_ovrd            off
15754          xl_use_area         off
15755          xl_area             "[0,0,0,0,0,0,0]"
15756          has_advanced_control    "0"
15757          sggui_pos           "-1,-1,-1,-1"
15758          block_type          "logical"
15759          block_version       "8.2.02"
15760          sg_icon_stat        "55,60,1,1,white,blue,0,087b5522,right"
15761          sg_mask_display         "fprintf('','COMMENT: begin icon graphic"
15762"s');\npatch([0 55 55 0 ],[0 0 60 60 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13"
15763" 28 32 36 52 40 28 19 32 19 28 40 52 36 32 28 13 ],[8 17 30 43 52 52 48 52 52"
15764" 40 52 43 30 17 8 20 8 8 12 8 8 ],[0.98 0.96 0.92]);\nplot([0 55 55 0 0 ],[0 "
15765"0 60 60 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT"
15766": begin icon text');\ncolor('black');disp('\\newlineand\\newlinez^{-0}','texm"
15767"ode','on');\nfprintf('','COMMENT: end icon text');\n"
15768        }
15769        Block {
15770          BlockType           Outport
15771          Name            "Rising Edge"
15772          Position            [385, 48, 415, 62]
15773          IconDisplay         "Port number"
15774          BusOutputAsStruct       off
15775        }
15776        Line {
15777          SrcBlock            "Input Signal"
15778          SrcPort             1
15779          Points              [0, 0; 15, 0]
15780          Branch {
15781        DstBlock        "Logical"
15782        DstPort         1
15783          }
15784          Branch {
15785        Points          [0, 45]
15786        DstBlock        "Inverter"
15787        DstPort         1
15788          }
15789        }
15790        Line {
15791          SrcBlock            "Delay"
15792          SrcPort             1
15793          Points              [15, 0; 0, -15]
15794          DstBlock            "Logical"
15795          DstPort             2
15796        }
15797        Line {
15798          SrcBlock            "Inverter"
15799          SrcPort             1
15800          DstBlock            "Delay"
15801          DstPort             1
15802        }
15803        Line {
15804          SrcBlock            "Logical"
15805          SrcPort             1
15806          DstBlock            "Rising Edge"
15807          DstPort             1
15808        }
15809      }
15810    }
15811    Block {
15812      BlockType       Reference
15813      Name            "Reset"
15814      Ports           [1, 1]
15815      Position        [100, 810, 165, 830]
15816      SourceBlock         "xbsIndex_r4/Gateway In"
15817      SourceType          "Xilinx Gateway In Block"
15818      infoedit        "Gateway in block.  Converts inputs of type "
15819"Simulink integer, double and fixed point to  Xilinx fixed point type.<P><P>Ha"
15820"rdware notes:  In hardware these blocks become top level input ports."
15821      arith_type          "Unsigned"
15822      n_bits          "1"
15823      bin_pt          "0"
15824      quantization        "Truncate"
15825      overflow        "Wrap"
15826      period          "1"
15827      dbl_ovrd        off
15828      timing_constraint   "None"
15829      locs_specified      off
15830      LOCs            "{}"
15831      xl_use_area         off
15832      xl_area         "[0,0,0,0,0,0,0]"
15833      has_advanced_control    "0"
15834      sggui_pos       "20,20,356,432"
15835      block_type          "gatewayin"
15836      block_version       "8.2.02"
15837      sg_icon_stat        "65,20,1,1,white,yellow,0,bc55d28f,right"
15838      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');"
15839"\npatch([0 65 65 0 ],[0 0 20 20 ],[0.95 0.93 0.65]);\npatch([27 24 29 24 27 3"
15840"2 33 34 40 36 32 29 34 29 32 36 40 34 33 32 27 ],[2 5 10 15 18 18 17 18 18 14"
15841" 18 15 10 5 2 6 2 2 3 2 2 ],[0.98 0.96 0.92]);\nplot([0 65 65 0 0 ],[0 0 20 2"
15842"0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begi"
15843"n icon text');\ncolor('black');port_label('input',1,'\\fontsize{11pt}\\bf In "
15844"','texmode','on');\ncolor('black');port_label('output',1,' ');\nfprintf('','C"
15845"OMMENT: end icon text');\n"
15846    }
15847    Block {
15848      BlockType       Reference
15849      Name            "ResetLCD"
15850      Ports           [1, 1]
15851      Position        [575, 45, 635, 65]
15852      SourceBlock         "xbsIndex_r4/Gateway Out"
15853      SourceType          "Xilinx Gateway Out Block"
15854      infoedit        "Gateway out block.  Converts Xilinx fixed p"
15855"oint inputs into ouputs of type Simulink integer, double, or fixed point.<P><"
15856"P>Hardware notes:  In hardware these blocks become top level output ports or "
15857"are discarded, depending on how they are configured."
15858      hdl_port        on
15859      timing_constraint   "None"
15860      locs_specified      off
15861      LOCs            "{}"
15862      xl_use_area         off
15863      xl_area         "[0,0,0,0,0,0,0]"
15864      has_advanced_control    "0"
15865      sggui_pos       "-1,-1,-1,-1"
15866      block_type          "gatewayout"
15867      block_version       "10.1.2"
15868      sg_icon_stat        "60,20,1,1,white,yellow,0,38220381,right"
15869      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');"
15870"\npatch([0 60 60 0 ],[0 0 20 20 ],[0.95 0.93 0.65]);\npatch([24 21 26 21 24 2"
15871"9 30 31 37 33 29 26 31 26 29 33 37 31 30 29 24 ],[2 5 10 15 18 18 17 18 18 14"
15872" 18 15 10 5 2 6 2 2 3 2 2 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 20 2"
15873"0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begi"
15874"n icon text');\ncolor('black');port_label('input',1,' ');\ncolor('black');por"
15875"t_label('output',1,'\\fontsize{11pt}\\bf Out ','texmode','on');\nfprintf('','"
15876"COMMENT: end icon text');\n"
15877    }
15878    Block {
15879      BlockType       Reference
15880      Name            "SCL"
15881      Ports           [1, 1]
15882      Position        [1475, 380, 1535, 400]
15883      SourceBlock         "xbsIndex_r4/Gateway Out"
15884      SourceType          "Xilinx Gateway Out Block"
15885      infoedit        "Gateway out block.  Converts Xilinx fixed p"
15886"oint inputs into ouputs of type Simulink integer, double, or fixed point.<P><"
15887"P>Hardware notes:  In hardware these blocks become top level output ports or "
15888"are discarded, depending on how they are configured."
15889      hdl_port        on
15890      timing_constraint   "None"
15891      locs_specified      off
15892      LOCs            "{}"
15893      xl_use_area         off
15894      xl_area         "[0,0,0,0,0,0,0]"
15895      has_advanced_control    "0"
15896      sggui_pos       "-1,-1,-1,-1"
15897      block_type          "gatewayout"
15898      block_version       "10.1.2"
15899      sg_icon_stat        "60,20,1,1,white,yellow,0,38220381,right"
15900      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');"
15901"\npatch([0 60 60 0 ],[0 0 20 20 ],[0.95 0.93 0.65]);\npatch([24 21 26 21 24 2"
15902"9 30 31 37 33 29 26 31 26 29 33 37 31 30 29 24 ],[2 5 10 15 18 18 17 18 18 14"
15903" 18 15 10 5 2 6 2 2 3 2 2 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 20 2"
15904"0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begi"
15905"n icon text');\ncolor('black');port_label('input',1,' ');\ncolor('black');por"
15906"t_label('output',1,'\\fontsize{11pt}\\bf Out ','texmode','on');\nfprintf('','"
15907"COMMENT: end icon text');\n"
15908    }
15909    Block {
15910      BlockType       Reference
15911      Name            "SDI"
15912      Ports           [1, 1]
15913      Position        [1475, 320, 1535, 340]
15914      SourceBlock         "xbsIndex_r4/Gateway Out"
15915      SourceType          "Xilinx Gateway Out Block"
15916      infoedit        "Gateway out block.  Converts Xilinx fixed p"
15917"oint inputs into ouputs of type Simulink integer, double, or fixed point.<P><"
15918"P>Hardware notes:  In hardware these blocks become top level output ports or "
15919"are discarded, depending on how they are configured."
15920      hdl_port        on
15921      timing_constraint   "None"
15922      locs_specified      off
15923      LOCs            "{}"
15924      xl_use_area         off
15925      xl_area         "[0,0,0,0,0,0,0]"
15926      has_advanced_control    "0"
15927      sggui_pos       "-1,-1,-1,-1"
15928      block_type          "gatewayout"
15929      block_version       "10.1.2"
15930      sg_icon_stat        "60,20,1,1,white,yellow,0,38220381,right"
15931      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');"
15932"\npatch([0 60 60 0 ],[0 0 20 20 ],[0.95 0.93 0.65]);\npatch([24 21 26 21 24 2"
15933"9 30 31 37 33 29 26 31 26 29 33 37 31 30 29 24 ],[2 5 10 15 18 18 17 18 18 14"
15934" 18 15 10 5 2 6 2 2 3 2 2 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0 20 2"
15935"0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begi"
15936"n icon text');\ncolor('black');port_label('input',1,' ');\ncolor('black');por"
15937"t_label('output',1,'\\fontsize{11pt}\\bf Out ','texmode','on');\nfprintf('','"
15938"COMMENT: end icon text');\n"
15939    }
15940    Block {
15941      BlockType       SubSystem
15942      Name            "SPI"
15943      Ports           [4, 4]
15944      Position        [1265, 298, 1440, 542]
15945      MinAlgLoopOccurrences   off
15946      RTWSystemCode       "Auto"
15947      FunctionWithSeparateData off
15948      MaskHideContents    off
15949      System {
15950        Name            "SPI"
15951        Location            [352, 74, 1796, 1059]
15952        Open            off
15953        ModelBrowserVisibility  off
15954        ModelBrowserWidth       200
15955        ScreenColor         "white"
15956        PaperOrientation        "landscape"
15957        PaperPositionMode       "auto"
15958        PaperType           "usletter"
15959        PaperUnits          "inches"
15960        TiledPaperMargins       [0.500000, 0.500000, 0.500000, 0.500000]
15961        TiledPageScale      1
15962        ShowPageBoundaries      off
15963        ZoomFactor          "100"
15964        Block {
15965          BlockType           Inport
15966          Name            "Data_to_transfer"
15967          Position            [1295, 533, 1325, 547]
15968          IconDisplay         "Port number"
15969        }
15970        Block {
15971          BlockType           Inport
15972          Name            "Send"
15973          Position            [55, 338, 85, 352]
15974          Port            "2"
15975          IconDisplay         "Port number"
15976        }
15977        Block {
15978          BlockType           Inport
15979          Name            "DividerSelect"
15980          Position            [575, 168, 605, 182]
15981          Port            "3"
15982          IconDisplay         "Port number"
15983        }
15984        Block {
15985          BlockType           Inport
15986          Name            "Reset"
15987          Position            [120, 433, 150, 447]
15988          Port            "4"
15989          IconDisplay         "Port number"
15990        }
15991        Block {
15992          BlockType           Reference
15993          Name            "Constant"
15994          Ports           [0, 1]
15995          Position            [300, 257, 355, 283]
15996          SourceBlock         "xbsIndex_r4/Constant"
15997          SourceType          "Xilinx Constant Block Block"
15998          arith_type          "Unsigned"
15999          const           "15"
16000          n_bits              "4"
16001          bin_pt              "0"
16002          explicit_period         on
16003          period              "1"
16004          dsp48_infoedit          "The use of this block for DSP48 instruc"
16005"tions is deprecated.  Please use the Opmode block."
16006          equ             "P=C"
16007          opselect            "C"
16008          inp2            "PCIN>>17"
16009          opr             "+"
16010          inp1            "P"
16011          carry           "CIN"
16012          dbl_ovrd            off
16013          has_advanced_control    "0"
16014          sggui_pos           "-1,-1,-1,-1"
16015          block_type          "constant"
16016          block_version       "8.2.02"
16017          sg_icon_stat        "55,26,1,1,white,blue,0,f7427cc9,right"
16018          sg_mask_display         "fprintf('','COMMENT: begin icon graphic"
16019"s');\npatch([0 55 55 0 ],[0 0 26 26 ],[0.77 0.82 0.91]);\npatch([20 16 22 16 "
16020"20 27 29 31 38 32 26 22 28 22 26 32 38 31 29 27 20 ],[3 7 13 19 23 23 21 23 2"
16021"3 17 23 19 13 7 3 9 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 55 55 0 0 ],[0 0 "
16022"26 26 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: "
16023"begin icon text');\ncolor('black');port_label('output',1,'15');\nfprintf('','"
16024"COMMENT: end icon text');\n"
16025        }
16026        Block {
16027          BlockType           Reference
16028          Name            "Convert"
16029          Ports           [1, 1]
16030          Position            [1105, 275, 1145, 305]
16031          SourceBlock         "xbsIndex_r4/Convert"
16032          SourceType          "Xilinx Type Converter Block"
16033          infoedit            "Hardware notes: rounding and saturating"
16034" require hardware resources; truncating and wrapping do not."
16035          arith_type          "Boolean"
16036          n_bits              "16"
16037          bin_pt              "14"
16038          quantization        "Truncate"
16039          overflow            "Wrap"
16040          latency             "0"
16041          dbl_ovrd            off
16042          pipeline            off
16043          xl_use_area         off
16044          xl_area             "[0,0,0,0,0,0,0]"
16045          has_advanced_control    "0"
16046          sggui_pos           "20,20,374,375"
16047          block_type          "convert"
16048          block_version       "8.2.02"
16049          sg_icon_stat        "40,30,1,1,white,blue,0,74901e60,right"
16050          sg_mask_display         "fprintf('','COMMENT: begin icon graphic"
16051"s');\npatch([0 45 45 0 ],[0 0 30 30 ],[0.77 0.82 0.91]);\npatch([15 10 17 10 "
16052"15 23 25 27 35 28 22 17 23 17 22 28 35 27 25 23 15 ],[3 8 15 22 27 27 25 27 2"
16053"7 20 26 21 15 9 4 10 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 45 45 0 0 ],[0 0"
16054" 30 30 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT:"
16055" begin icon text');\ncolor('black');port_label('output',1,'cast');\nfprintf('"
16056"','COMMENT: end icon text');\n"
16057        }
16058        Block {
16059          BlockType           Reference
16060          Name            "Convert1"
16061          Ports           [1, 1]
16062          Position            [1640, 275, 1680, 305]
16063          SourceBlock         "xbsIndex_r4/Convert"
16064          SourceType          "Xilinx Type Converter Block"
16065          infoedit            "Hardware notes: rounding and saturating"
16066" require hardware resources; truncating and wrapping do not."
16067          arith_type          "Boolean"
16068          n_bits              "16"
16069          bin_pt              "14"
16070          quantization        "Truncate"
16071          overflow            "Wrap"
16072          latency             "0"
16073          dbl_ovrd            off
16074          pipeline            off
16075          xl_use_area         off
16076          xl_area             "[0,0,0,0,0,0,0]"
16077          has_advanced_control    "0"
16078          sggui_pos           "20,20,374,375"
16079          block_type          "convert"
16080          block_version       "8.2.02"
16081          sg_icon_stat        "40,30,1,1,white,blue,0,74901e60,right"
16082          sg_mask_display         "fprintf('','COMMENT: begin icon graphic"
16083"s');\npatch([0 45 45 0 ],[0 0 30 30 ],[0.77 0.82 0.91]);\npatch([15 10 17 10 "
16084"15 23 25 27 35 28 22 17 23 17 22 28 35 27 25 23 15 ],[3 8 15 22 27 27 25 27 2"
16085"7 20 26 21 15 9 4 10 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 45 45 0 0 ],[0 0"
16086" 30 30 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT:"
16087" begin icon text');\ncolor('black');port_label('output',1,'cast');\nfprintf('"
16088"','COMMENT: end icon text');\n"
16089        }
16090        Block {
16091          BlockType           Reference
16092          Name            "Counter"
16093          Ports           [2, 1]
16094          Position            [1195, 260, 1255, 320]
16095          SourceBlock         "xbsIndex_r4/Counter"
16096          SourceType          "Xilinx Counter Block"
16097          infoedit            "Hardware notes: Free running counters a"
16098"re the least expensive in hardware.  A count limited counter is implemented b"
16099"y combining a counter with a comparator."
16100          cnt_type            "Count Limited"
16101          cnt_to              "8"
16102          operation           "Up"
16103          start_count         "0"
16104          cnt_by_val          "1"
16105          arith_type          "Unsigned"
16106          n_bits              "4"
16107          bin_pt              "0"
16108          load_pin            off
16109          rst             on
16110          en              on
16111          explicit_period         "on"
16112          period              "1"
16113          dbl_ovrd            off
16114          use_behavioral_HDL      off
16115          use_rpm             off
16116          xl_use_area         off
16117          xl_area             "[0,0,0,0,0,0,0]"
16118          has_advanced_control    "0"
16119          sggui_pos           "20,20,356,630"
16120          block_type          "counter"
16121          block_version       "8.2.02"
16122          sg_icon_stat        "60,60,1,1,white,blue,0,46c73e85,right"
16123          sg_mask_display         "fprintf('','COMMENT: begin icon graphic"
16124"s');\npatch([0 60 60 0 ],[0 0 60 60 ],[0.77 0.82 0.91]);\npatch([14 4 18 4 14"
16125" 30 34 38 55 42 29 20 35 20 29 42 55 38 34 30 14 ],[6 16 30 44 54 54 50 54 54"
16126" 41 54 45 30 15 6 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 "
16127"0 60 60 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT"
16128": begin icon text');\ncolor('black');port_label('input',1,'rst');\ncolor('bla"
16129"ck');port_label('input',2,'en');\ncolor('black');port_label('output',1,'out')"
16130";\nfprintf('','COMMENT: end icon text');\n"
16131        }
16132        Block {
16133          BlockType           Reference
16134          Name            "Counter1"
16135          Ports           [4, 1]
16136          Position            [410, 257, 470, 318]
16137          SourceBlock         "xbsIndex_r4/Counter"
16138          SourceType          "Xilinx Counter Block"
16139          infoedit            "Hardware notes: Free running counters a"
16140"re the least expensive in hardware.  A count limited counter is implemented b"
16141"y combining a counter with a comparator."
16142          cnt_type            "Free Running"
16143          cnt_to              "8"
16144          operation           "Up"
16145          start_count         "15"
16146          cnt_by_val          "1"
16147          arith_type          "Unsigned"
16148          n_bits              "4"
16149          bin_pt              "0"
16150          load_pin            on
16151          rst             on
16152          en              on
16153          explicit_period         "on"
16154          period              "1"
16155          dbl_ovrd            off
16156          use_behavioral_HDL      off
16157          use_rpm             off
16158          xl_use_area         off
16159          xl_area             "[0,0,0,0,0,0,0]"
16160          has_advanced_control    "0"
16161          sggui_pos           "20,20,356,630"
16162          block_type          "counter"
16163          block_version       "8.2.02"
16164          sg_icon_stat        "60,61,1,1,white,blue,0,a6c633f8,right"
16165          sg_mask_display         "fprintf('','COMMENT: begin icon graphic"
16166"s');\npatch([0 60 60 0 ],[0 0 61 61 ],[0.77 0.82 0.91]);\npatch([14 4 18 4 14"
16167" 30 34 38 55 42 29 20 35 20 29 42 55 38 34 30 14 ],[7 17 31 45 55 55 51 55 55"
16168" 42 55 46 31 16 7 20 7 7 11 7 7 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 "
16169"0 61 61 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT"
16170": begin icon text');\ncolor('black');port_label('input',1,'load');\ncolor('bl"
16171"ack');port_label('input',2,'din');\ncolor('black');port_label('input',3,'rst'"
16172");\ncolor('black');port_label('input',4,'en');\ncolor('black');port_label('ou"
16173"tput',1,'out');\nfprintf('','COMMENT: end icon text');\n"
16174        }
16175        Block {
16176          BlockType           SubSystem
16177          Name            "Data_Latch"
16178          Ports           [4, 1]
16179          Position            [1385, 467, 1530, 658]
16180          MinAlgLoopOccurrences   off
16181          RTWSystemCode       "Auto"
16182          FunctionWithSeparateData off
16183          MaskHideContents        off
16184          System {
16185        Name            "Data_Latch"
16186        Location        [1132, 605, 1392, 867]
16187        Open            off
16188        ModelBrowserVisibility  off
16189        ModelBrowserWidth   200
16190        ScreenColor     "white"
16191        PaperOrientation    "landscape"
16192        PaperPositionMode   "auto"
16193        PaperType       "usletter"
16194        PaperUnits      "inches"
16195        TiledPaperMargins   "[0.500000, 0.500000, 0.500000, 0.5000"
16196"00]"
16197        TiledPageScale      1
16198        ShowPageBoundaries  off
16199        ZoomFactor      "100"
16200        Block {
16201          BlockType       Inport
16202          Name            "Bit_select"
16203          Position        [590, 108, 620, 122]
16204          IconDisplay         "Port number"
16205        }
16206        Block {
16207          BlockType       Inport
16208          Name            "Data to transmit"
16209          Position        [360, 343, 390, 357]
16210          Port            "2"
16211          IconDisplay         "Port number"
16212        }
16213        Block {
16214          BlockType       Inport
16215          Name            "LatchData"
16216          Position        [360, 423, 390, 437]
16217          Port            "3"
16218          IconDisplay         "Port number"
16219        }
16220        Block {
16221          BlockType       Inport
16222          Name            "Reset"
16223          Position        [360, 383, 390, 397]
16224          Port            "4"
16225          IconDisplay         "Port number"
16226        }
16227        Block {
16228          BlockType       Reference
16229          Name            "Mux"
16230          Ports           [10, 1]
16231          Position        [725, 85, 775, 640]
16232          SourceBlock         "xbsIndex_r4/Mux"
16233          SourceType          "Xilinx Bus Multiplexer Block"
16234          inputs          "9"
16235          en              off
16236          latency         "1"
16237          precision       "Full"
16238          arith_type          "Unsigned"
16239          n_bits          "16"
16240          bin_pt          "14"
16241          quantization        "Truncate"
16242          overflow        "Wrap"
16243          dbl_ovrd        off
16244          xl_use_area         off
16245          xl_area         "[0,0,0,0,0,0,0]"
16246          has_advanced_control    "0"
16247          sggui_pos       "-1,-1,-1,-1"
16248          block_type          "mux"
16249          block_version       "8.2.02"
16250          sg_icon_stat        "50,555,1,1,white,blue,3,2c6c21d6,ri"
16251"ght"
16252          sg_mask_display     "fprintf('','COMMENT: begin icon gra"
16253"phics');\npatch([0 50 50 0 ],[0 79.2857 475.714 555 ],[0.77 0.82 0.91]);\npat"
16254"ch([11 3 15 3 11 24 28 32 46 35 24 16 28 16 24 35 46 32 28 24 11 ],[258 266 2"
16255"78 290 298 298 294 298 298 287 298 290 278 266 258 269 258 258 262 258 258 ],"
16256"[0.98 0.96 0.92]);\nplot([0 50 50 0 0 ],[0 79.2857 475.714 555 0 ]);\nfprintf"
16257"('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\n"
16258"color('black');port_label('input',1,'sel');\ncolor('black');port_label('input"
16259"',2,'d0');\ncolor('black');port_label('input',3,'d1');\ncolor('black');port_l"
16260"abel('input',4,'d2');\ncolor('black');port_label('input',5,'d3');\ncolor('bla"
16261"ck');port_label('input',6,'d4');\ncolor('black');port_label('input',7,'d5');"
16262"\ncolor('black');port_label('input',8,'d6');\ncolor('black');port_label('inpu"
16263"t',9,'d7');\ncolor('black');port_label('input',10,'d8');\ncolor('black');disp"
16264"('\\bf{  z^{-1}}','texmode','on');\nfprintf('','COMMENT: end icon text');\n"
16265        }
16266        Block {
16267          BlockType       Reference
16268          Name            "Register"
16269          Ports           [3, 1]
16270          Position        [450, 362, 510, 418]
16271          SourceBlock         "xbsIndex_r4/Register"
16272          SourceType          "Xilinx Register Block"
16273          init            "0"
16274          rst             on
16275          en              on
16276          dbl_ovrd        off
16277          xl_use_area         off
16278          xl_area         "[0,0,0,0,0,0,0]"
16279          has_advanced_control    "0"
16280          sggui_pos       "-1,-1,-1,-1"
16281          block_type          "register"
16282          block_version       "8.2.02"
16283          sg_icon_stat        "60,56,1,1,white,blue,0,923c1847,rig"
16284"ht"
16285          sg_mask_display     "fprintf('','COMMENT: begin icon gra"
16286"phics');\npatch([0 60 60 0 ],[0 0 56 56 ],[0.77 0.82 0.91]);\npatch([15 6 19 "
16287"6 15 30 34 38 54 41 29 20 32 20 29 41 54 38 34 30 15 ],[6 15 28 41 50 50 46 5"
16288"0 50 37 49 40 28 16 7 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ]"
16289",[0 0 56 56 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COM"
16290"MENT: begin icon text');\ncolor('black');port_label('input',1,'d');\ncolor('b"
16291"lack');port_label('input',2,'rst');\ncolor('black');port_label('input',3,'en'"
16292");\ncolor('black');port_label('output',1,'q');\ncolor('black');disp('\\bf{z^{"
16293"-1}}','texmode','on');\nfprintf('','COMMENT: end icon text');\n"
16294        }
16295        Block {
16296          BlockType       Reference
16297          Name            "Slice"
16298          Ports           [1, 1]
16299          Position        [605, 155, 665, 185]
16300          SourceBlock         "xbsIndex_r4/Slice"
16301          SourceType          "Xilinx Bit Slice Extractor Block"
16302          infoedit        "Extracts a given range of bits from"
16303" each input sample and presents it at the output.  The output type is ordinar"
16304"ily unsigned with binary point at zero, but can be Boolean when the slice is "
16305"one bit wide.<P><P>Hardware notes: In hardware this block costs nothing."
16306          nbits           "1"
16307          boolean_output      off
16308          mode            "Lower Bit Location + Width"
16309          bit1            "0"
16310          base1           "MSB of Input"
16311          bit0            "8"
16312          base0           "LSB of Input"
16313          dbl_ovrd        off
16314          has_advanced_control    "0"
16315          sggui_pos       "20,20,442,407"
16316          block_type          "slice"
16317          block_version       "8.2.02"
16318          sg_icon_stat        "60,30,1,1,white,blue,0,b1026674,rig"
16319"ht"
16320          sg_mask_display     "fprintf('','COMMENT: begin icon gra"
16321"phics');\npatch([0 60 60 0 ],[0 0 30 30 ],[0.77 0.82 0.91]);\npatch([22 17 24"
16322" 17 22 30 32 34 42 35 29 24 30 24 29 35 42 34 32 30 22 ],[3 8 15 22 27 27 25 "
16323"27 27 20 26 21 15 9 4 10 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],"
16324"[0 0 30 30 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMM"
16325"ENT: begin icon text');\ncolor('black');port_label('output',1,'[a:b]');\nfpri"
16326"ntf('','COMMENT: end icon text');\n"
16327        }
16328        Block {
16329          BlockType       Reference
16330          Name            "Slice1"
16331          Ports           [1, 1]
16332          Position        [605, 210, 665, 240]
16333          SourceBlock         "xbsIndex_r4/Slice"
16334          SourceType          "Xilinx Bit Slice Extractor Block"
16335          infoedit        "Extracts a given range of bits from"
16336" each input sample and presents it at the output.  The output type is ordinar"
16337"ily unsigned with binary point at zero, but can be Boolean when the slice is "
16338"one bit wide.<P><P>Hardware notes: In hardware this block costs nothing."
16339          nbits           "1"
16340          boolean_output      off
16341          mode            "Lower Bit Location + Width"
16342          bit1            "0"
16343          base1           "MSB of Input"
16344          bit0            "7"
16345          base0           "LSB of Input"
16346          dbl_ovrd        off
16347          has_advanced_control    "0"
16348          sggui_pos       "20,20,442,407"
16349          block_type          "slice"
16350          block_version       "8.2.02"
16351          sg_icon_stat        "60,30,1,1,white,blue,0,b1026674,rig"
16352"ht"
16353          sg_mask_display     "fprintf('','COMMENT: begin icon gra"
16354"phics');\npatch([0 60 60 0 ],[0 0 30 30 ],[0.77 0.82 0.91]);\npatch([22 17 24"
16355" 17 22 30 32 34 42 35 29 24 30 24 29 35 42 34 32 30 22 ],[3 8 15 22 27 27 25 "
16356"27 27 20 26 21 15 9 4 10 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],"
16357"[0 0 30 30 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMM"
16358"ENT: begin icon text');\ncolor('black');port_label('output',1,'[a:b]');\nfpri"
16359"ntf('','COMMENT: end icon text');\n"
16360        }
16361        Block {
16362          BlockType       Reference
16363          Name            "Slice2"
16364          Ports           [1, 1]
16365          Position        [605, 265, 665, 295]
16366          SourceBlock         "xbsIndex_r4/Slice"
16367          SourceType          "Xilinx Bit Slice Extractor Block"
16368          infoedit        "Extracts a given range of bits from"
16369" each input sample and presents it at the output.  The output type is ordinar"
16370"ily unsigned with binary point at zero, but can be Boolean when the slice is "
16371"one bit wide.<P><P>Hardware notes: In hardware this block costs nothing."
16372          nbits           "1"
16373          boolean_output      off
16374          mode            "Lower Bit Location + Width"
16375          bit1            "0"
16376          base1           "MSB of Input"
16377          bit0            "6"
16378          base0           "LSB of Input"
16379          dbl_ovrd        off
16380          has_advanced_control    "0"
16381          sggui_pos       "20,20,442,407"
16382          block_type          "slice"
16383          block_version       "8.2.02"
16384          sg_icon_stat        "60,30,1,1,white,blue,0,b1026674,rig"
16385"ht"
16386          sg_mask_display     "fprintf('','COMMENT: begin icon gra"
16387"phics');\npatch([0 60 60 0 ],[0 0 30 30 ],[0.77 0.82 0.91]);\npatch([22 17 24"
16388" 17 22 30 32 34 42 35 29 24 30 24 29 35 42 34 32 30 22 ],[3 8 15 22 27 27 25 "
16389"27 27 20 26 21 15 9 4 10 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],"
16390"[0 0 30 30 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMM"
16391"ENT: begin icon text');\ncolor('black');port_label('output',1,'[a:b]');\nfpri"
16392"ntf('','COMMENT: end icon text');\n"
16393        }
16394        Block {
16395          BlockType       Reference
16396          Name            "Slice3"
16397          Ports           [1, 1]
16398          Position        [605, 320, 665, 350]
16399          SourceBlock         "xbsIndex_r4/Slice"
16400          SourceType          "Xilinx Bit Slice Extractor Block"
16401          infoedit        "Extracts a given range of bits from"
16402" each input sample and presents it at the output.  The output type is ordinar"
16403"ily unsigned with binary point at zero, but can be Boolean when the slice is "
16404"one bit wide.<P><P>Hardware notes: In hardware this block costs nothing."
16405          nbits           "1"
16406          boolean_output      off
16407          mode            "Lower Bit Location + Width"
16408          bit1            "0"
16409          base1           "MSB of Input"
16410          bit0            "5"
16411          base0           "LSB of Input"
16412          dbl_ovrd        off
16413          has_advanced_control    "0"
16414          sggui_pos       "20,20,442,407"
16415          block_type          "slice"
16416          block_version       "8.2.02"
16417          sg_icon_stat        "60,30,1,1,white,blue,0,b1026674,rig"
16418"ht"
16419          sg_mask_display     "fprintf('','COMMENT: begin icon gra"
16420"phics');\npatch([0 60 60 0 ],[0 0 30 30 ],[0.77 0.82 0.91]);\npatch([22 17 24"
16421" 17 22 30 32 34 42 35 29 24 30 24 29 35 42 34 32 30 22 ],[3 8 15 22 27 27 25 "
16422"27 27 20 26 21 15 9 4 10 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],"
16423"[0 0 30 30 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMM"
16424"ENT: begin icon text');\ncolor('black');port_label('output',1,'[a:b]');\nfpri"
16425"ntf('','COMMENT: end icon text');\n"
16426        }
16427        Block {
16428          BlockType       Reference
16429          Name            "Slice4"
16430          Ports           [1, 1]
16431          Position        [605, 375, 665, 405]
16432          SourceBlock         "xbsIndex_r4/Slice"
16433          SourceType          "Xilinx Bit Slice Extractor Block"
16434          infoedit        "Extracts a given range of bits from"
16435" each input sample and presents it at the output.  The output type is ordinar"
16436"ily unsigned with binary point at zero, but can be Boolean when the slice is "
16437"one bit wide.<P><P>Hardware notes: In hardware this block costs nothing."
16438          nbits           "1"
16439          boolean_output      off
16440          mode            "Lower Bit Location + Width"
16441          bit1            "0"
16442          base1           "MSB of Input"
16443          bit0            "4"
16444          base0           "LSB of Input"
16445          dbl_ovrd        off
16446          has_advanced_control    "0"
16447          sggui_pos       "20,20,442,407"
16448          block_type          "slice"
16449          block_version       "8.2.02"
16450          sg_icon_stat        "60,30,1,1,white,blue,0,b1026674,rig"
16451"ht"
16452          sg_mask_display     "fprintf('','COMMENT: begin icon gra"
16453"phics');\npatch([0 60 60 0 ],[0 0 30 30 ],[0.77 0.82 0.91]);\npatch([22 17 24"
16454" 17 22 30 32 34 42 35 29 24 30 24 29 35 42 34 32 30 22 ],[3 8 15 22 27 27 25 "
16455"27 27 20 26 21 15 9 4 10 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],"
16456"[0 0 30 30 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMM"
16457"ENT: begin icon text');\ncolor('black');port_label('output',1,'[a:b]');\nfpri"
16458"ntf('','COMMENT: end icon text');\n"
16459        }
16460        Block {
16461          BlockType       Reference
16462          Name            "Slice5"
16463          Ports           [1, 1]
16464          Position        [605, 430, 665, 460]
16465          SourceBlock         "xbsIndex_r4/Slice"
16466          SourceType          "Xilinx Bit Slice Extractor Block"
16467          infoedit        "Extracts a given range of bits from"
16468" each input sample and presents it at the output.  The output type is ordinar"
16469"ily unsigned with binary point at zero, but can be Boolean when the slice is "
16470"one bit wide.<P><P>Hardware notes: In hardware this block costs nothing."
16471          nbits           "1"
16472          boolean_output      off
16473          mode            "Lower Bit Location + Width"
16474          bit1            "0"
16475          base1           "MSB of Input"
16476          bit0            "3"
16477          base0           "LSB of Input"
16478          dbl_ovrd        off
16479          has_advanced_control    "0"
16480          sggui_pos       "20,20,442,407"
16481          block_type          "slice"
16482          block_version       "8.2.02"
16483          sg_icon_stat        "60,30,1,1,white,blue,0,b1026674,rig"
16484"ht"
16485          sg_mask_display     "fprintf('','COMMENT: begin icon gra"
16486"phics');\npatch([0 60 60 0 ],[0 0 30 30 ],[0.77 0.82 0.91]);\npatch([22 17 24"
16487" 17 22 30 32 34 42 35 29 24 30 24 29 35 42 34 32 30 22 ],[3 8 15 22 27 27 25 "
16488"27 27 20 26 21 15 9 4 10 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],"
16489"[0 0 30 30 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMM"
16490"ENT: begin icon text');\ncolor('black');port_label('output',1,'[a:b]');\nfpri"
16491"ntf('','COMMENT: end icon text');\n"
16492        }
16493        Block {
16494          BlockType       Reference
16495          Name            "Slice6"
16496          Ports           [1, 1]
16497          Position        [605, 485, 665, 515]
16498          SourceBlock         "xbsIndex_r4/Slice"
16499          SourceType          "Xilinx Bit Slice Extractor Block"
16500          infoedit        "Extracts a given range of bits from"
16501" each input sample and presents it at the output.  The output type is ordinar"
16502"ily unsigned with binary point at zero, but can be Boolean when the slice is "
16503"one bit wide.<P><P>Hardware notes: In hardware this block costs nothing."
16504          nbits           "1"
16505          boolean_output      off
16506          mode            "Lower Bit Location + Width"
16507          bit1            "0"
16508          base1           "MSB of Input"
16509          bit0            "2"
16510          base0           "LSB of Input"
16511          dbl_ovrd        off
16512          has_advanced_control    "0"
16513          sggui_pos       "20,20,442,407"
16514          block_type          "slice"
16515          block_version       "8.2.02"
16516          sg_icon_stat        "60,30,1,1,white,blue,0,b1026674,rig"
16517"ht"
16518          sg_mask_display     "fprintf('','COMMENT: begin icon gra"
16519"phics');\npatch([0 60 60 0 ],[0 0 30 30 ],[0.77 0.82 0.91]);\npatch([22 17 24"
16520" 17 22 30 32 34 42 35 29 24 30 24 29 35 42 34 32 30 22 ],[3 8 15 22 27 27 25 "
16521"27 27 20 26 21 15 9 4 10 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],"
16522"[0 0 30 30 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMM"
16523"ENT: begin icon text');\ncolor('black');port_label('output',1,'[a:b]');\nfpri"
16524"ntf('','COMMENT: end icon text');\n"
16525        }
16526        Block {
16527          BlockType       Reference
16528          Name            "Slice7"
16529          Ports           [1, 1]
16530          Position        [605, 540, 665, 570]
16531          SourceBlock         "xbsIndex_r4/Slice"
16532          SourceType          "Xilinx Bit Slice Extractor Block"
16533          infoedit        "Extracts a given range of bits from"
16534" each input sample and presents it at the output.  The output type is ordinar"
16535"ily unsigned with binary point at zero, but can be Boolean when the slice is "
16536"one bit wide.<P><P>Hardware notes: In hardware this block costs nothing."
16537          nbits           "1"
16538          boolean_output      off
16539          mode            "Lower Bit Location + Width"
16540          bit1            "0"
16541          base1           "MSB of Input"
16542          bit0            "1"
16543          base0           "LSB of Input"
16544          dbl_ovrd        off
16545          has_advanced_control    "0"
16546          sggui_pos       "20,20,442,407"
16547          block_type          "slice"
16548          block_version       "8.2.02"
16549          sg_icon_stat        "60,30,1,1,white,blue,0,b1026674,rig"
16550"ht"
16551          sg_mask_display     "fprintf('','COMMENT: begin icon gra"
16552"phics');\npatch([0 60 60 0 ],[0 0 30 30 ],[0.77 0.82 0.91]);\npatch([22 17 24"
16553" 17 22 30 32 34 42 35 29 24 30 24 29 35 42 34 32 30 22 ],[3 8 15 22 27 27 25 "
16554"27 27 20 26 21 15 9 4 10 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],"
16555"[0 0 30 30 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMM"
16556"ENT: begin icon text');\ncolor('black');port_label('output',1,'[a:b]');\nfpri"
16557"ntf('','COMMENT: end icon text');\n"
16558        }
16559        Block {
16560          BlockType       Reference
16561          Name            "Slice8"
16562          Ports           [1, 1]
16563          Position        [605, 595, 665, 625]
16564          SourceBlock         "xbsIndex_r4/Slice"
16565          SourceType          "Xilinx Bit Slice Extractor Block"
16566          infoedit        "Extracts a given range of bits from"
16567" each input sample and presents it at the output.  The output type is ordinar"
16568"ily unsigned with binary point at zero, but can be Boolean when the slice is "
16569"one bit wide.<P><P>Hardware notes: In hardware this block costs nothing."
16570          nbits           "1"
16571          boolean_output      off
16572          mode            "Lower Bit Location + Width"
16573          bit1            "0"
16574          base1           "MSB of Input"
16575          bit0            "0"
16576          base0           "LSB of Input"
16577          dbl_ovrd        off
16578          has_advanced_control    "0"
16579          sggui_pos       "20,20,442,407"
16580          block_type          "slice"
16581          block_version       "8.2.02"
16582          sg_icon_stat        "60,30,1,1,white,blue,0,b1026674,rig"
16583"ht"
16584          sg_mask_display     "fprintf('','COMMENT: begin icon gra"
16585"phics');\npatch([0 60 60 0 ],[0 0 30 30 ],[0.77 0.82 0.91]);\npatch([22 17 24"
16586" 17 22 30 32 34 42 35 29 24 30 24 29 35 42 34 32 30 22 ],[3 8 15 22 27 27 25 "
16587"27 27 20 26 21 15 9 4 10 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],"
16588"[0 0 30 30 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMM"
16589"ENT: begin icon text');\ncolor('black');port_label('output',1,'[a:b]');\nfpri"
16590"ntf('','COMMENT: end icon text');\n"
16591        }
16592        Block {
16593          BlockType       Outport
16594          Name            "SPI_data"
16595          Position        [875, 358, 905, 372]
16596          IconDisplay         "Port number"
16597          BusOutputAsStruct   off
16598        }
16599        Line {
16600          SrcBlock        "Bit_select"
16601          SrcPort         1
16602          DstBlock        "Mux"
16603          DstPort         1
16604        }
16605        Line {
16606          SrcBlock        "Slice"
16607          SrcPort         1
16608          DstBlock        "Mux"
16609          DstPort         2
16610        }
16611        Line {
16612          SrcBlock        "Slice1"
16613          SrcPort         1
16614          DstBlock        "Mux"
16615          DstPort         3
16616        }
16617        Line {
16618          SrcBlock        "Slice2"
16619          SrcPort         1
16620          DstBlock        "Mux"
16621          DstPort         4
16622        }
16623        Line {
16624          SrcBlock        "Slice3"
16625          SrcPort         1
16626          DstBlock        "Mux"
16627          DstPort         5
16628        }
16629        Line {
16630          SrcBlock        "Slice4"
16631          SrcPort         1
16632          DstBlock        "Mux"
16633          DstPort         6
16634        }
16635        Line {
16636          SrcBlock        "Slice5"
16637          SrcPort         1
16638          DstBlock        "Mux"
16639          DstPort         7
16640        }
16641        Line {
16642          SrcBlock        "Slice6"
16643          SrcPort         1
16644          DstBlock        "Mux"
16645          DstPort         8
16646        }
16647        Line {
16648          SrcBlock        "Slice7"
16649          SrcPort         1
16650          DstBlock        "Mux"
16651          DstPort         9
16652        }
16653        Line {
16654          SrcBlock        "Slice8"
16655          SrcPort         1
16656          DstBlock        "Mux"
16657          DstPort         10
16658        }
16659        Line {
16660          SrcBlock        "Data to transmit"
16661          SrcPort         1
16662          Points          [30, 0; 0, 20]
16663          DstBlock        "Register"
16664          DstPort         1
16665        }
16666        Line {
16667          SrcBlock        "Mux"
16668          SrcPort         1
16669          DstBlock        "SPI_data"
16670          DstPort         1
16671        }
16672        Line {
16673          SrcBlock        "Register"
16674          SrcPort         1
16675          Points          [30, 0]
16676          Branch {
16677            Points          [0, -55]
16678            Branch {
16679            Points          [0, -55]
16680            Branch {
16681            Points          [0, -55]
16682            Branch {
16683            Points          [0, -55]
16684            DstBlock            "Slice"
16685            DstPort         1
16686            }
16687            Branch {
16688            DstBlock            "Slice1"
16689            DstPort         1
16690            }
16691            }
16692            Branch {
16693            DstBlock            "Slice2"
16694            DstPort         1
16695            }
16696            }
16697            Branch {
16698            DstBlock            "Slice3"
16699            DstPort         1
16700            }
16701          }
16702          Branch {
16703            DstBlock            "Slice4"
16704            DstPort         1
16705          }
16706          Branch {
16707            Points          [0, 55]
16708            Branch {
16709            DstBlock            "Slice5"
16710            DstPort         1
16711            }
16712            Branch {
16713            Points          [0, 55]
16714            Branch {
16715            DstBlock            "Slice6"
16716            DstPort         1
16717            }
16718            Branch {
16719            Points          [0, 55]
16720            Branch {
16721            Points          [0, 55]
16722            DstBlock            "Slice8"
16723            DstPort         1
16724            }
16725            Branch {
16726            DstBlock            "Slice7"
16727            DstPort         1
16728            }
16729            }
16730            }
16731          }
16732        }
16733        Line {
16734          SrcBlock        "Reset"
16735          SrcPort         1
16736          DstBlock        "Register"
16737          DstPort         2
16738        }
16739        Line {
16740          SrcBlock        "LatchData"
16741          SrcPort         1
16742          Points          [30, 0; 0, -20]
16743          DstBlock        "Register"
16744          DstPort         3
16745        }
16746        Annotation {
16747          Name            "Splits 9 bit data into one bit samp"
16748"les without changing sample rate"
16749          Position        [664, 674]
16750        }
16751          }
16752        }
16753        Block {
16754          BlockType           Reference
16755          Name            "Delay"
16756          Ports           [1, 1]
16757          Position            [935, 262, 995, 318]
16758          SourceBlock         "xbsIndex_r4/Delay"
16759          SourceType          "Xilinx Delay Block"
16760          infoedit            "Hardware notes: A delay line is a chain"
16761", each link of which is an SRL16 followed by a flip-flop. If register retimin"
16762"g is enabled, the delay line is a chain of flip-flops."
16763          en              off
16764          latency             "2"
16765          dbl_ovrd            off
16766          reg_retiming        off
16767          xl_use_area         off
16768          xl_area             "[0,0,0,0,0,0,0]"
16769          has_advanced_control    "0"
16770          sggui_pos           "20,20,356,256"
16771          block_type          "delay"
16772          block_version       "8.2.02"
16773          sg_icon_stat        "60,56,1,1,white,blue,0,0a7a6cf1,right"
16774          sg_mask_display         "fprintf('','COMMENT: begin icon graphic"
16775"s');\npatch([0 60 60 0 ],[0 0 56 56 ],[0.77 0.82 0.91]);\npatch([15 6 19 6 15"
16776" 30 34 38 54 41 29 20 32 20 29 41 54 38 34 30 15 ],[6 15 28 41 50 50 46 50 50"
16777" 37 49 40 28 16 7 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 "
16778"0 56 56 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT"
16779": begin icon text');\ncolor('black');disp('z^{-2}','texmode','on');\nfprintf("
16780"'','COMMENT: end icon text');\n"
16781        }
16782        Block {
16783          BlockType           Reference
16784          Name            "Delay1"
16785          Ports           [1, 1]
16786          Position            [935, 337, 995, 393]
16787          SourceBlock         "xbsIndex_r4/Delay"
16788          SourceType          "Xilinx Delay Block"
16789          infoedit            "Hardware notes: A delay line is a chain"
16790", each link of which is an SRL16 followed by a flip-flop. If register retimin"
16791"g is enabled, the delay line is a chain of flip-flops."
16792          en              off
16793          latency             "6"
16794          dbl_ovrd            off
16795          reg_retiming        off
16796          xl_use_area         off
16797          xl_area             "[0,0,0,0,0,0,0]"
16798          has_advanced_control    "0"
16799          sggui_pos           "20,20,356,256"
16800          block_type          "delay"
16801          block_version       "8.2.02"
16802          sg_icon_stat        "60,56,1,1,white,blue,0,23386583,right"
16803          sg_mask_display         "fprintf('','COMMENT: begin icon graphic"
16804"s');\npatch([0 60 60 0 ],[0 0 56 56 ],[0.77 0.82 0.91]);\npatch([15 6 19 6 15"
16805" 30 34 38 54 41 29 20 32 20 29 41 54 38 34 30 15 ],[6 15 28 41 50 50 46 50 50"
16806" 37 49 40 28 16 7 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 "
16807"0 56 56 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT"
16808": begin icon text');\ncolor('black');disp('z^{-6}','texmode','on');\nfprintf("
16809"'','COMMENT: end icon text');\n"
16810        }
16811        Block {
16812          BlockType           Reference
16813          Name            "Inverter"
16814          Ports           [1, 1]
16815          Position            [415, 361, 470, 419]
16816          SourceBlock         "xbsIndex_r4/Inverter"
16817          SourceType          "Xilinx Inverter Block"
16818          infoedit            "Bitwise logical negation (one's complem"
16819"ent) operator."
16820          en              off
16821          latency             "0"
16822          dbl_ovrd            off
16823          xl_use_area         off
16824          xl_area             "[0,0,0,0,0,0,0]"
16825          has_advanced_control    "0"
16826          sggui_pos           "-1,-1,-1,-1"
16827          block_type          "inv"
16828          block_version       "8.2.02"
16829          sg_icon_stat        "55,58,1,1,white,blue,0,1ab4a85f,right"
16830          sg_mask_display         "fprintf('','COMMENT: begin icon graphic"
16831"s');\npatch([0 55 55 0 ],[0 0 58 58 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13"
16832" 28 32 36 52 40 28 19 32 19 28 40 52 36 32 28 13 ],[7 16 29 42 51 51 47 51 51"
16833" 39 51 42 29 16 7 19 7 7 11 7 7 ],[0.98 0.96 0.92]);\nplot([0 55 55 0 0 ],[0 "
16834"0 58 58 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT"
16835": begin icon text');\ncolor('black');disp('not');\nfprintf('','COMMENT: end i"
16836"con text');\n"
16837        }
16838        Block {
16839          BlockType           Reference
16840          Name            "Inverter1"
16841          Ports           [1, 1]
16842          Position            [1390, 261, 1445, 319]
16843          SourceBlock         "xbsIndex_r4/Inverter"
16844          SourceType          "Xilinx Inverter Block"
16845          infoedit            "Bitwise logical negation (one's complem"
16846"ent) operator."
16847          en              off
16848          latency             "0"
16849          dbl_ovrd            off
16850          xl_use_area         off
16851          xl_area             "[0,0,0,0,0,0,0]"
16852          has_advanced_control    "0"
16853          sggui_pos           "-1,-1,-1,-1"
16854          block_type          "inv"
16855          block_version       "8.2.02"
16856          sg_icon_stat        "55,58,1,1,white,blue,0,1ab4a85f,right"
16857          sg_mask_display         "fprintf('','COMMENT: begin icon graphic"
16858"s');\npatch([0 55 55 0 ],[0 0 58 58 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13"
16859" 28 32 36 52 40 28 19 32 19 28 40 52 36 32 28 13 ],[7 16 29 42 51 51 47 51 51"
16860" 39 51 42 29 16 7 19 7 7 11 7 7 ],[0.98 0.96 0.92]);\nplot([0 55 55 0 0 ],[0 "
16861"0 58 58 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT"
16862": begin icon text');\ncolor('black');disp('not');\nfprintf('','COMMENT: end i"
16863"con text');\n"
16864        }
16865        Block {
16866          BlockType           Reference
16867          Name            "Logical"
16868          Ports           [2, 1]
16869          Position            [145, 300, 200, 360]
16870          SourceBlock         "xbsIndex_r4/Logical"
16871          SourceType          "Xilinx Logical Block Block"
16872          logical_function        "OR"
16873          inputs              "2"
16874          en              off
16875          latency             "0"
16876          precision           "Full"
16877          arith_type          "Unsigned"
16878          n_bits              "16"
16879          bin_pt              "0"
16880          align_bp            on
16881          dbl_ovrd            off
16882          xl_use_area         off
16883          xl_area             "[0,0,0,0,0,0,0]"
16884          has_advanced_control    "0"
16885          sggui_pos           "-1,-1,-1,-1"
16886          block_type          "logical"
16887          block_version       "8.2.02"
16888          sg_icon_stat        "55,60,1,1,white,blue,0,f4a65842,right"
16889          sg_mask_display         "fprintf('','COMMENT: begin icon graphic"
16890"s');\npatch([0 55 55 0 ],[0 0 60 60 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13"
16891" 28 32 36 52 40 28 19 32 19 28 40 52 36 32 28 13 ],[8 17 30 43 52 52 48 52 52"
16892" 40 52 43 30 17 8 20 8 8 12 8 8 ],[0.98 0.96 0.92]);\nplot([0 55 55 0 0 ],[0 "
16893"0 60 60 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT"
16894": begin icon text');\ncolor('black');disp('\\newlineor\\newlinez^{-0}','texmo"
16895"de','on');\nfprintf('','COMMENT: end icon text');\n"
16896        }
16897        Block {
16898          BlockType           Reference
16899          Name            "Mux"
16900          Ports           [3, 1]
16901          Position            [670, 238, 715, 342]
16902          SourceBlock         "xbsIndex_r4/Mux"
16903          SourceType          "Xilinx Bus Multiplexer Block"
16904          inputs              "2"
16905          en              off
16906          latency             "0"
16907          precision           "Full"
16908          arith_type          "Unsigned"
16909          n_bits              "16"
16910          bin_pt              "14"
16911          quantization        "Truncate"
16912          overflow            "Wrap"
16913          dbl_ovrd            off
16914          xl_use_area         off
16915          xl_area             "[0,0,0,0,0,0,0]"
16916          has_advanced_control    "0"
16917          sggui_pos           "-1,-1,-1,-1"
16918          block_type          "mux"
16919          block_version       "8.2.02"
16920          sg_icon_stat        "45,104,1,1,white,blue,3,613f58e1,right"
16921          sg_mask_display         "fprintf('','COMMENT: begin icon graphic"
16922"s');\npatch([0 45 45 0 ],[0 14.8571 89.1429 104 ],[0.77 0.82 0.91]);\npatch(["
16923"10 3 14 3 10 22 25 28 41 31 21 14 25 14 21 31 41 28 25 22 10 ],[34 41 52 63 7"
16924"0 70 67 70 70 60 70 63 52 41 34 44 34 34 37 34 34 ],[0.98 0.96 0.92]);\nplot("
16925"[0 45 45 0 0 ],[0 14.8571 89.1429 104 0 ]);\nfprintf('','COMMENT: end icon gr"
16926"aphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label"
16927"('input',1,'sel');\ncolor('black');port_label('input',2,'d0');\ncolor('black'"
16928");port_label('input',3,'d1');\ncolor('black');disp('\\bf{}','texmode','on');"
16929"\nfprintf('','COMMENT: end icon text');\n"
16930        }
16931        Block {
16932          BlockType           Reference
16933          Name            "Mux1"
16934          Ports           [3, 1]
16935          Position            [1045, 238, 1090, 342]
16936          SourceBlock         "xbsIndex_r4/Mux"
16937          SourceType          "Xilinx Bus Multiplexer Block"
16938          inputs              "2"
16939          en              off
16940          latency             "0"
16941          precision           "Full"
16942          arith_type          "Unsigned"
16943          n_bits              "16"
16944          bin_pt              "14"
16945          quantization        "Truncate"
16946          overflow            "Wrap"
16947          dbl_ovrd            off
16948          xl_use_area         off
16949          xl_area             "[0,0,0,0,0,0,0]"
16950          has_advanced_control    "0"
16951          sggui_pos           "-1,-1,-1,-1"
16952          block_type          "mux"
16953          block_version       "8.2.02"
16954          sg_icon_stat        "45,104,1,1,white,blue,3,613f58e1,right"
16955          sg_mask_display         "fprintf('','COMMENT: begin icon graphic"
16956"s');\npatch([0 45 45 0 ],[0 14.8571 89.1429 104 ],[0.77 0.82 0.91]);\npatch(["
16957"10 3 14 3 10 22 25 28 41 31 21 14 25 14 21 31 41 28 25 22 10 ],[34 41 52 63 7"
16958"0 70 67 70 70 60 70 63 52 41 34 44 34 34 37 34 34 ],[0.98 0.96 0.92]);\nplot("
16959"[0 45 45 0 0 ],[0 14.8571 89.1429 104 0 ]);\nfprintf('','COMMENT: end icon gr"
16960"aphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label"
16961"('input',1,'sel');\ncolor('black');port_label('input',2,'d0');\ncolor('black'"
16962");port_label('input',3,'d1');\ncolor('black');disp('\\bf{}','texmode','on');"
16963"\nfprintf('','COMMENT: end icon text');\n"
16964        }
16965        Block {
16966          BlockType           SubSystem
16967          Name            "Pos Edge Detector"
16968          Ports           [1, 1]
16969          Position            [775, 259, 900, 321]
16970          MinAlgLoopOccurrences   off
16971          RTWSystemCode       "Auto"
16972          FunctionWithSeparateData off
16973          MaskHideContents        off
16974          System {
16975        Name            "Pos Edge Detector"
16976        Location        [971, 353, 1386, 494]
16977        Open            off
16978        ModelBrowserVisibility  off
16979        ModelBrowserWidth   200
16980        ScreenColor     "white"
16981        PaperOrientation    "landscape"
16982        PaperPositionMode   "auto"
16983        PaperType       "usletter"
16984        PaperUnits      "inches"
16985        TiledPaperMargins   "[0.500000, 0.500000, 0.500000, 0.5000"
16986"00]"
16987        TiledPageScale      1
16988        ShowPageBoundaries  off
16989        ZoomFactor      "100"
16990        Block {
16991          BlockType       Inport
16992          Name            "Input Signal"
16993          Position        [25, 33, 55, 47]
16994          IconDisplay         "Port number"
16995        }
16996        Block {
16997          BlockType       Reference
16998          Name            "Delay"
16999          Ports           [1, 1]
17000          Position        [170, 57, 230, 113]
17001          SourceBlock         "xbsIndex_r4/Delay"
17002          SourceType          "Xilinx Delay Block"
17003          infoedit        "Hardware notes: A delay line is a c"
17004"hain, each link of which is an SRL16 followed by a flip-flop. If register ret"
17005"iming is enabled, the delay line is a chain of flip-flops."
17006          en              off
17007          latency         "1"
17008          dbl_ovrd        off
17009          reg_retiming        off
17010          xl_use_area         off
17011          xl_area         "[0,0,0,0,0,0,0]"
17012          has_advanced_control    "0"
17013          sggui_pos       "-1,-1,-1,-1"
17014          block_type          "delay"
17015          block_version       "8.2.02"
17016          sg_icon_stat        "60,56,1,1,white,blue,0,fc531c0e,rig"
17017"ht"
17018          sg_mask_display     "fprintf('','COMMENT: begin icon gra"
17019"phics');\npatch([0 60 60 0 ],[0 0 56 56 ],[0.77 0.82 0.91]);\npatch([15 6 19 "
17020"6 15 30 34 38 54 41 29 20 32 20 29 41 54 38 34 30 15 ],[6 15 28 41 50 50 46 5"
17021"0 50 37 49 40 28 16 7 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ]"
17022",[0 0 56 56 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COM"
17023"MENT: begin icon text');\ncolor('black');disp('z^{-1}','texmode','on');\nfpri"
17024"ntf('','COMMENT: end icon text');\n"
17025        }
17026        Block {
17027          BlockType       Reference
17028          Name            "Inverter"
17029          Ports           [1, 1]
17030          Position        [100, 56, 155, 114]
17031          SourceBlock         "xbsIndex_r4/Inverter"
17032          SourceType          "Xilinx Inverter Block"
17033          infoedit        "Bitwise logical negation (one's com"
17034"plement) operator."
17035          en              off
17036          latency         "0"
17037          dbl_ovrd        off
17038          xl_use_area         off
17039          xl_area         "[0,0,0,0,0,0,0]"
17040          has_advanced_control    "0"
17041          sggui_pos       "20,20,356,237"
17042          block_type          "inv"
17043          block_version       "8.2.02"
17044          sg_icon_stat        "55,58,1,1,white,blue,0,1ab4a85f,rig"
17045"ht"
17046          sg_mask_display     "fprintf('','COMMENT: begin icon gra"
17047"phics');\npatch([0 55 55 0 ],[0 0 58 58 ],[0.77 0.82 0.91]);\npatch([13 4 17 "
17048"4 13 28 32 36 52 40 28 19 32 19 28 40 52 36 32 28 13 ],[7 16 29 42 51 51 47 5"
17049"1 51 39 51 42 29 16 7 19 7 7 11 7 7 ],[0.98 0.96 0.92]);\nplot([0 55 55 0 0 ]"
17050",[0 0 58 58 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COM"
17051"MENT: begin icon text');\ncolor('black');disp('not');\nfprintf('','COMMENT: e"
17052"nd icon text');\n"
17053        }
17054        Block {
17055          BlockType       Reference
17056          Name            "Logical"
17057          Ports           [2, 1]
17058          Position        [280, 25, 335, 85]
17059          SourceBlock         "xbsIndex_r4/Logical"
17060          SourceType          "Xilinx Logical Block Block"
17061          logical_function    "AND"
17062          inputs          "2"
17063          en              off
17064          latency         "0"
17065          precision       "Full"
17066          arith_type          "Unsigned"
17067          n_bits          "16"
17068          bin_pt          "0"
17069          align_bp        on
17070          dbl_ovrd        off
17071          xl_use_area         off
17072          xl_area         "[0,0,0,0,0,0,0]"
17073          has_advanced_control    "0"
17074          sggui_pos       "-1,-1,-1,-1"
17075          block_type          "logical"
17076          block_version       "8.2.02"
17077          sg_icon_stat        "55,60,1,1,white,blue,0,087b5522,rig"
17078"ht"
17079          sg_mask_display     "fprintf('','COMMENT: begin icon gra"
17080"phics');\npatch([0 55 55 0 ],[0 0 60 60 ],[0.77 0.82 0.91]);\npatch([13 4 17 "
17081"4 13 28 32 36 52 40 28 19 32 19 28 40 52 36 32 28 13 ],[8 17 30 43 52 52 48 5"
17082"2 52 40 52 43 30 17 8 20 8 8 12 8 8 ],[0.98 0.96 0.92]);\nplot([0 55 55 0 0 ]"
17083",[0 0 60 60 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COM"
17084"MENT: begin icon text');\ncolor('black');disp('\\newlineand\\newlinez^{-0}','"
17085"texmode','on');\nfprintf('','COMMENT: end icon text');\n"
17086        }
17087        Block {
17088          BlockType       Outport
17089          Name            "Rising Edge"
17090          Position        [385, 48, 415, 62]
17091          IconDisplay         "Port number"
17092          BusOutputAsStruct   off
17093        }
17094        Line {
17095          SrcBlock        "Input Signal"
17096          SrcPort         1
17097          Points          [0, 0; 15, 0]
17098          Branch {
17099            DstBlock            "Logical"
17100            DstPort         1
17101          }
17102          Branch {
17103            Points          [0, 45]
17104            DstBlock            "Inverter"
17105            DstPort         1
17106          }
17107        }
17108        Line {
17109          SrcBlock        "Delay"
17110          SrcPort         1
17111          Points          [15, 0; 0, -15]
17112          DstBlock        "Logical"
17113          DstPort         2
17114        }
17115        Line {
17116          SrcBlock        "Inverter"
17117          SrcPort         1
17118          DstBlock        "Delay"
17119          DstPort         1
17120        }
17121        Line {
17122          SrcBlock        "Logical"
17123          SrcPort         1
17124          DstBlock        "Rising Edge"
17125          DstPort         1
17126        }
17127          }
17128        }
17129        Block {
17130          BlockType           SubSystem
17131          Name            "Pos Edge Detector2"
17132          Ports           [1, 1]
17133          Position            [1480, 259, 1605, 321]
17134          MinAlgLoopOccurrences   off
17135          RTWSystemCode       "Auto"
17136          FunctionWithSeparateData off
17137          MaskHideContents        off
17138          System {
17139        Name            "Pos Edge Detector2"
17140        Location        [336, 80, 1780, 1081]
17141        Open            off
17142        ModelBrowserVisibility  off
17143        ModelBrowserWidth   200
17144        ScreenColor     "white"
17145        PaperOrientation    "landscape"
17146        PaperPositionMode   "auto"
17147        PaperType       "usletter"
17148        PaperUnits      "inches"
17149        TiledPaperMargins   "[0.500000, 0.500000, 0.500000, 0.5000"
17150"00]"
17151        TiledPageScale      1
17152        ShowPageBoundaries  off
17153        ZoomFactor      "100"
17154        Block {
17155          BlockType       Inport
17156          Name            "Input Signal"
17157          Position        [25, 33, 55, 47]
17158          IconDisplay         "Port number"
17159        }
17160        Block {
17161          BlockType       Reference
17162          Name            "Delay"
17163          Ports           [1, 1]
17164          Position        [170, 57, 230, 113]
17165          SourceBlock         "xbsIndex_r4/Delay"
17166          SourceType          "Xilinx Delay Block"
17167          infoedit        "Hardware notes: A delay line is a c"
17168"hain, each link of which is an SRL16 followed by a flip-flop. If register ret"
17169"iming is enabled, the delay line is a chain of flip-flops."
17170          en              off
17171          latency         "1"
17172          dbl_ovrd        off
17173          reg_retiming        off
17174          xl_use_area         off
17175          xl_area         "[0,0,0,0,0,0,0]"
17176          has_advanced_control    "0"
17177          sggui_pos       "-1,-1,-1,-1"
17178          block_type          "delay"
17179          block_version       "8.2.02"
17180          sg_icon_stat        "60,56,1,1,white,blue,0,fc531c0e,rig"
17181"ht"
17182          sg_mask_display     "fprintf('','COMMENT: begin icon gra"
17183"phics');\npatch([0 60 60 0 ],[0 0 56 56 ],[0.77 0.82 0.91]);\npatch([15 6 19 "
17184"6 15 30 34 38 54 41 29 20 32 20 29 41 54 38 34 30 15 ],[6 15 28 41 50 50 46 5"
17185"0 50 37 49 40 28 16 7 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ]"
17186",[0 0 56 56 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COM"
17187"MENT: begin icon text');\ncolor('black');disp('z^{-1}','texmode','on');\nfpri"
17188"ntf('','COMMENT: end icon text');\n"
17189        }
17190        Block {
17191          BlockType       Reference
17192          Name            "Inverter"
17193          Ports           [1, 1]
17194          Position        [100, 56, 155, 114]
17195          SourceBlock         "xbsIndex_r4/Inverter"
17196          SourceType          "Xilinx Inverter Block"
17197          infoedit        "Bitwise logical negation (one's com"
17198"plement) operator."
17199          en              off
17200          latency         "0"
17201          dbl_ovrd        off
17202          xl_use_area         off
17203          xl_area         "[0,0,0,0,0,0,0]"
17204          has_advanced_control    "0"
17205          sggui_pos       "20,20,356,237"
17206          block_type          "inv"
17207          block_version       "8.2.02"
17208          sg_icon_stat        "55,58,1,1,white,blue,0,1ab4a85f,rig"
17209"ht"
17210          sg_mask_display     "fprintf('','COMMENT: begin icon gra"
17211"phics');\npatch([0 55 55 0 ],[0 0 58 58 ],[0.77 0.82 0.91]);\npatch([13 4 17 "
17212"4 13 28 32 36 52 40 28 19 32 19 28 40 52 36 32 28 13 ],[7 16 29 42 51 51 47 5"
17213"1 51 39 51 42 29 16 7 19 7 7 11 7 7 ],[0.98 0.96 0.92]);\nplot([0 55 55 0 0 ]"
17214",[0 0 58 58 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COM"
17215"MENT: begin icon text');\ncolor('black');disp('not');\nfprintf('','COMMENT: e"
17216"nd icon text');\n"
17217        }
17218        Block {
17219          BlockType       Reference
17220          Name            "Logical"
17221          Ports           [2, 1]
17222          Position        [280, 25, 335, 85]
17223          SourceBlock         "xbsIndex_r4/Logical"
17224          SourceType          "Xilinx Logical Block Block"
17225          logical_function    "AND"
17226          inputs          "2"
17227          en              off
17228          latency         "0"
17229          precision       "Full"
17230          arith_type          "Unsigned"
17231          n_bits          "16"
17232          bin_pt          "0"
17233          align_bp        on
17234          dbl_ovrd        off
17235          xl_use_area         off
17236          xl_area         "[0,0,0,0,0,0,0]"
17237          has_advanced_control    "0"
17238          sggui_pos       "-1,-1,-1,-1"
17239          block_type          "logical"
17240          block_version       "8.2.02"
17241          sg_icon_stat        "55,60,1,1,white,blue,0,087b5522,rig"
17242"ht"
17243          sg_mask_display     "fprintf('','COMMENT: begin icon gra"
17244"phics');\npatch([0 55 55 0 ],[0 0 60 60 ],[0.77 0.82 0.91]);\npatch([13 4 17 "
17245"4 13 28 32 36 52 40 28 19 32 19 28 40 52 36 32 28 13 ],[8 17 30 43 52 52 48 5"
17246"2 52 40 52 43 30 17 8 20 8 8 12 8 8 ],[0.98 0.96 0.92]);\nplot([0 55 55 0 0 ]"
17247",[0 0 60 60 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COM"
17248"MENT: begin icon text');\ncolor('black');disp('\\newlineand\\newlinez^{-0}','"
17249"texmode','on');\nfprintf('','COMMENT: end icon text');\n"
17250        }
17251        Block {
17252          BlockType       Outport
17253          Name            "Rising Edge"
17254          Position        [385, 48, 415, 62]
17255          IconDisplay         "Port number"
17256          BusOutputAsStruct   off
17257        }
17258        Line {
17259          SrcBlock        "Input Signal"
17260          SrcPort         1
17261          Points          [0, 0; 15, 0]
17262          Branch {
17263            DstBlock            "Logical"
17264            DstPort         1
17265          }
17266          Branch {
17267            Points          [0, 45]
17268            DstBlock            "Inverter"
17269            DstPort         1
17270          }
17271        }
17272        Line {
17273          SrcBlock        "Delay"
17274          SrcPort         1
17275          Points          [15, 0; 0, -15]
17276          DstBlock        "Logical"
17277          DstPort         2
17278        }
17279        Line {
17280          SrcBlock        "Inverter"
17281          SrcPort         1
17282          DstBlock        "Delay"
17283          DstPort         1
17284        }
17285        Line {
17286          SrcBlock        "Logical"
17287          SrcPort         1
17288          DstBlock        "Rising Edge"
17289          DstPort         1
17290        }
17291          }
17292        }
17293        Block {
17294          BlockType           Reference
17295          Name            "Register"
17296          Ports           [3, 1]
17297          Position            [230, 282, 290, 338]
17298          SourceBlock         "xbsIndex_r4/Register"
17299          SourceType          "Xilinx Register Block"
17300          init            "0"
17301          rst             on
17302          en              on
17303          dbl_ovrd            off
17304          xl_use_area         off
17305          xl_area             "[0,0,0,0,0,0,0]"
17306          has_advanced_control    "0"
17307          sggui_pos           "-1,-1,-1,-1"
17308          block_type          "register"
17309          block_version       "8.2.02"
17310          sg_icon_stat        "60,56,1,1,white,blue,0,923c1847,right"
17311          sg_mask_display         "fprintf('','COMMENT: begin icon graphic"
17312"s');\npatch([0 60 60 0 ],[0 0 56 56 ],[0.77 0.82 0.91]);\npatch([15 6 19 6 15"
17313" 30 34 38 54 41 29 20 32 20 29 41 54 38 34 30 15 ],[6 15 28 41 50 50 46 50 50"
17314" 37 49 40 28 16 7 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 "
17315"0 56 56 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT"
17316": begin icon text');\ncolor('black');port_label('input',1,'d');\ncolor('black"
17317"');port_label('input',2,'rst');\ncolor('black');port_label('input',3,'en');\n"
17318"color('black');port_label('output',1,'q');\ncolor('black');disp('\\bf{z^{-1}}"
17319"','texmode','on');\nfprintf('','COMMENT: end icon text');\n"
17320        }
17321        Block {
17322          BlockType           Reference
17323          Name            "Slice"
17324          Ports           [1, 1]
17325          Position            [515, 275, 575, 305]
17326          SourceBlock         "xbsIndex_r4/Slice"
17327          SourceType          "Xilinx Bit Slice Extractor Block"
17328          infoedit            "Extracts a given range of bits from eac"
17329"h input sample and presents it at the output.  The output type is ordinarily "
17330"unsigned with binary point at zero, but can be Boolean when the slice is one "
17331"bit wide.<P><P>Hardware notes: In hardware this block costs nothing."
17332          nbits           "1"
17333          boolean_output          off
17334          mode            "Lower Bit Location + Width"
17335          bit1            "0"
17336          base1           "MSB of Input"
17337          bit0            "2"
17338          base0           "LSB of Input"
17339          dbl_ovrd            off
17340          has_advanced_control    "0"
17341          sggui_pos           "20,20,442,407"
17342          block_type          "slice"
17343          block_version       "8.2.02"
17344          sg_icon_stat        "60,30,1,1,white,blue,0,b1026674,right"
17345          sg_mask_display         "fprintf('','COMMENT: begin icon graphic"
17346"s');\npatch([0 60 60 0 ],[0 0 30 30 ],[0.77 0.82 0.91]);\npatch([22 17 24 17 "
17347"22 30 32 34 42 35 29 24 30 24 29 35 42 34 32 30 22 ],[3 8 15 22 27 27 25 27 2"
17348"7 20 26 21 15 9 4 10 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0"
17349" 30 30 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT:"
17350" begin icon text');\ncolor('black');port_label('output',1,'[a:b]');\nfprintf("
17351"'','COMMENT: end icon text');\n"
17352        }
17353        Block {
17354          BlockType           Reference
17355          Name            "Slice1"
17356          Ports           [1, 1]
17357          Position            [1300, 275, 1360, 305]
17358          SourceBlock         "xbsIndex_r4/Slice"
17359          SourceType          "Xilinx Bit Slice Extractor Block"
17360          infoedit            "Extracts a given range of bits from eac"
17361"h input sample and presents it at the output.  The output type is ordinarily "
17362"unsigned with binary point at zero, but can be Boolean when the slice is one "
17363"bit wide.<P><P>Hardware notes: In hardware this block costs nothing."
17364          nbits           "1"
17365          boolean_output          off
17366          mode            "Lower Bit Location + Width"
17367          bit1            "0"
17368          base1           "MSB of Input"
17369          bit0            "3"
17370          base0           "LSB of Input"
17371          dbl_ovrd            off
17372          has_advanced_control    "0"
17373          sggui_pos           "20,20,442,407"
17374          block_type          "slice"
17375          block_version       "8.2.02"
17376          sg_icon_stat        "60,30,1,1,white,blue,0,b1026674,right"
17377          sg_mask_display         "fprintf('','COMMENT: begin icon graphic"
17378"s');\npatch([0 60 60 0 ],[0 0 30 30 ],[0.77 0.82 0.91]);\npatch([22 17 24 17 "
17379"22 30 32 34 42 35 29 24 30 24 29 35 42 34 32 30 22 ],[3 8 15 22 27 27 25 27 2"
17380"7 20 26 21 15 9 4 10 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0"
17381" 30 30 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT:"
17382" begin icon text');\ncolor('black');port_label('output',1,'[a:b]');\nfprintf("
17383"'','COMMENT: end icon text');\n"
17384        }
17385        Block {
17386          BlockType           Reference
17387          Name            "Slice2"
17388          Ports           [1, 1]
17389          Position            [515, 325, 575, 355]
17390          SourceBlock         "xbsIndex_r4/Slice"
17391          SourceType          "Xilinx Bit Slice Extractor Block"
17392          infoedit            "Extracts a given range of bits from eac"
17393"h input sample and presents it at the output.  The output type is ordinarily "
17394"unsigned with binary point at zero, but can be Boolean when the slice is one "
17395"bit wide.<P><P>Hardware notes: In hardware this block costs nothing."
17396          nbits           "1"
17397          boolean_output          off
17398          mode            "Lower Bit Location + Width"
17399          bit1            "0"
17400          base1           "MSB of Input"
17401          bit0            "3"
17402          base0           "LSB of Input"
17403          dbl_ovrd            off
17404          has_advanced_control    "0"
17405          sggui_pos           "20,20,442,407"
17406          block_type          "slice"
17407          block_version       "8.2.02"
17408          sg_icon_stat        "60,30,1,1,white,blue,0,b1026674,right"
17409          sg_mask_display         "fprintf('','COMMENT: begin icon graphic"
17410"s');\npatch([0 60 60 0 ],[0 0 30 30 ],[0.77 0.82 0.91]);\npatch([22 17 24 17 "
17411"22 30 32 34 42 35 29 24 30 24 29 35 42 34 32 30 22 ],[3 8 15 22 27 27 25 27 2"
17412"7 20 26 21 15 9 4 10 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 60 60 0 0 ],[0 0"
17413" 30 30 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT:"
17414" begin icon text');\ncolor('black');port_label('output',1,'[a:b]');\nfprintf("
17415"'','COMMENT: end icon text');\n"
17416        }
17417        Block {
17418          BlockType           Outport
17419          Name            "Data"
17420          Position            [1585, 558, 1615, 572]
17421          IconDisplay         "Port number"
17422          BusOutputAsStruct       off
17423        }
17424        Block {
17425          BlockType           Outport
17426          Name            "SCL"
17427          Position            [795, 368, 825, 382]
17428          Port            "2"
17429          IconDisplay         "Port number"
17430          BusOutputAsStruct       off
17431        }
17432        Block {
17433          BlockType           Outport
17434          Name            "CS#"
17435          Position            [495, 383, 525, 397]
17436          Port            "3"
17437          IconDisplay         "Port number"
17438          BusOutputAsStruct       off
17439        }
17440        Block {
17441          BlockType           Outport
17442          Name            "Done"
17443          Position            [1735, 283, 1765, 297]
17444          Port            "4"
17445          IconDisplay         "Port number"
17446          BusOutputAsStruct       off
17447        }
17448        Line {
17449          SrcBlock            "Counter1"
17450          SrcPort             1
17451          Points              [10, 0]
17452          Branch {
17453        DstBlock        "Slice"
17454        DstPort         1
17455          }
17456          Branch {
17457        Points          [0, 50]
17458        DstBlock        "Slice2"
17459        DstPort         1
17460          }
17461        }
17462        Line {
17463          SrcBlock            "Pos Edge Detector"
17464          SrcPort             1
17465          Points              [10, 0]
17466          Branch {
17467        DstBlock        "Delay"
17468        DstPort         1
17469          }
17470          Branch {
17471        Points          [0, 75]
17472        DstBlock        "Delay1"
17473        DstPort         1
17474          }
17475        }
17476        Line {
17477          SrcBlock            "Counter"
17478          SrcPort             1
17479          Points              [15, 0]
17480          Branch {
17481        DstBlock        "Slice1"
17482        DstPort         1
17483          }
17484          Branch {
17485        Points          [0, 205]
17486        DstBlock        "Data_Latch"
17487        DstPort         1
17488          }
17489        }
17490        Line {
17491          SrcBlock            "Register"
17492          SrcPort             1
17493          Points              [95, 0]
17494          Branch {
17495        Points          [0, 80]
17496        DstBlock        "Inverter"
17497        DstPort         1
17498          }
17499          Branch {
17500        DstBlock        "Counter1"
17501        DstPort         4
17502          }
17503        }
17504        Line {
17505          SrcBlock            "Send"
17506          SrcPort             1
17507          Points              [5, 0]
17508          Branch {
17509        Points          [30, 0]
17510        Branch {
17511          DstBlock        "Logical"
17512          DstPort         2
17513        }
17514        Branch {
17515          Points          [0, -55]
17516          DstBlock        "Register"
17517          DstPort         1
17518        }
17519          }
17520          Branch {
17521        Points          [0, 240]
17522        DstBlock        "Data_Latch"
17523        DstPort         3
17524          }
17525        }
17526        Line {
17527          SrcBlock            "Slice1"
17528          SrcPort             1
17529          DstBlock            "Inverter1"
17530          DstPort             1
17531        }
17532        Line {
17533          SrcBlock            "Pos Edge Detector2"
17534          SrcPort             1
17535          DstBlock            "Convert1"
17536          DstPort             1
17537        }
17538        Line {
17539          SrcBlock            "Inverter"
17540          SrcPort             1
17541          Points              [0, 0]
17542          DstBlock            "CS#"
17543          DstPort             1
17544        }
17545        Line {
17546          SrcBlock            "Mux"
17547          SrcPort             1
17548          Points              [25, 0]
17549          Branch {
17550        DstBlock        "Pos Edge Detector"
17551        DstPort         1
17552          }
17553          Branch {
17554        Points          [0, 85]
17555        DstBlock        "SCL"
17556        DstPort         1
17557          }
17558        }
17559        Line {
17560          SrcBlock            "DividerSelect"
17561          SrcPort             1
17562          Points              [25, 0]
17563          Branch {
17564        Points          [0, 0; 0, 80]
17565        DstBlock        "Mux"
17566        DstPort         1
17567          }
17568          Branch {
17569        Points          [380, 0; 0, 80]
17570        DstBlock        "Mux1"
17571        DstPort         1
17572          }
17573        }
17574        Line {
17575          SrcBlock            "Slice"
17576          SrcPort             1
17577          DstBlock            "Mux"
17578          DstPort             2
17579        }
17580        Line {
17581          SrcBlock            "Slice2"
17582          SrcPort             1
17583          Points              [35, 0; 0, -15]
17584          DstBlock            "Mux"
17585          DstPort             3
17586        }
17587        Line {
17588          SrcBlock            "Delay"
17589          SrcPort             1
17590          DstBlock            "Mux1"
17591          DstPort             2
17592        }
17593        Line {
17594          SrcBlock            "Delay1"
17595          SrcPort             1
17596          Points              [15, 0; 0, -40]
17597          DstBlock            "Mux1"
17598          DstPort             3
17599        }
17600        Line {
17601          SrcBlock            "Mux1"
17602          SrcPort             1
17603          DstBlock            "Convert"
17604          DstPort             1
17605        }
17606        Line {
17607          SrcBlock            "Data_Latch"
17608          SrcPort             1
17609          Points              [0, 0]
17610          DstBlock            "Data"
17611          DstPort             1
17612        }
17613        Line {
17614          SrcBlock            "Data_to_transfer"
17615          SrcPort             1
17616          Points              [0, 0]
17617          DstBlock            "Data_Latch"
17618          DstPort             2
17619        }
17620        Line {
17621          SrcBlock            "Constant"
17622          SrcPort             1
17623          Points              [10, 0; 0, 10]
17624          DstBlock            "Counter1"
17625          DstPort             2
17626        }
17627        Line {
17628          SrcBlock            "Logical"
17629          SrcPort             1
17630          DstBlock            "Register"
17631          DstPort             3
17632        }
17633        Line {
17634          SrcBlock            "Convert"
17635          SrcPort             1
17636          Points              [15, 0; 0, 15]
17637          DstBlock            "Counter"
17638          DstPort             2
17639        }
17640        Line {
17641          SrcBlock            "Reset"
17642          SrcPort             1
17643          Points              [55, 0]
17644          Branch {
17645        Points          [0, -130]
17646        DstBlock        "Register"
17647        DstPort         2
17648          }
17649          Branch {
17650        Points          [165, 0]
17651        Branch {
17652          Points          [0, -145]
17653          DstBlock        "Counter1"
17654          DstPort         3
17655        }
17656        Branch {
17657          Points          [800, 0]
17658          Branch {
17659            Points          [0, -165]
17660            DstBlock            "Counter"
17661            DstPort         1
17662          }
17663          Branch {
17664            Points          [0, 190]
17665            DstBlock            "Data_Latch"
17666            DstPort         4
17667          }
17668        }
17669          }
17670        }
17671        Line {
17672          SrcBlock            "Convert1"
17673          SrcPort             1
17674          Points              [15, 0]
17675          Branch {
17676        DstBlock        "Done"
17677        DstPort         1
17678          }
17679          Branch {
17680        Points          [0, -65; -1305, 0]
17681        Branch {
17682          DstBlock        "Counter1"
17683          DstPort         1
17684        }
17685        Branch {
17686          Points          [-280, 0; 0, 90]
17687          DstBlock        "Logical"
17688          DstPort         1
17689        }
17690          }
17691        }
17692        Line {
17693          SrcBlock            "Inverter1"
17694          SrcPort             1
17695          DstBlock            "Pos Edge Detector2"
17696          DstPort             1
17697        }
17698      }
17699    }
17700    Block {
17701      BlockType       Scope
17702      Name            "Scope"
17703      Ports           [6]
17704      Position        [1675, 321, 1740, 464]
17705      Floating        off
17706      Location        [1, 45, 1913, 1113]
17707      Open            off
17708      NumInputPorts       "6"
17709      ZoomMode        "xonly"
17710      List {
17711        ListType            AxesTitles
17712        axes1           "%<SignalLabel>"
17713        axes2           "%<SignalLabel>"
17714        axes3           "%<SignalLabel>"
17715        axes4           "%<SignalLabel>"
17716        axes5           "%<SignalLabel>"
17717        axes6           "%<SignalLabel>"
17718      }
17719      YMin            "-5~-5~-5~-5~-5~-5"
17720      YMax            "5~5~5~5~5~5"
17721      DataFormat          "StructureWithTime"
17722      SampleTime          "0"
17723    }
17724    Block {
17725      BlockType       Step
17726      Name            "Step1"
17727      Position        [25, 805, 55, 835]
17728      Time            "10"
17729      Before          "1"
17730      After           "0"
17731      SampleTime          "1"
17732    }
17733    Line {
17734      SrcBlock        "Convert2"
17735      SrcPort         1
17736      DstBlock        "Pos Edge Detector"
17737      DstPort         1
17738    }
17739    Line {
17740      SrcBlock        "Pos Edge Detector"
17741      SrcPort         1
17742      DstBlock        "CommandROM"
17743      DstPort         1
17744    }
17745    Line {
17746      SrcBlock        "Convert"
17747      SrcPort         1
17748      DstBlock        "SPI"
17749      DstPort         3
17750    }
17751    Line {
17752      SrcBlock        "SPI"
17753      SrcPort         4
17754      Points          [35, 0]
17755      Branch {
17756        Points          [90, 0; 0, -105]
17757        DstBlock            "Scope"
17758        DstPort         4
17759      }
17760      Branch {
17761        Points          [0, 85; -980, 0]
17762        Branch {
17763          Points              [0, -200]
17764          DstBlock            "CommandROM"
17765          DstPort             2
17766        }
17767        Branch {
17768          Points              [0, 105]
17769          DstBlock            "DataROM"
17770          DstPort             1
17771        }
17772      }
17773    }
17774    Line {
17775      SrcBlock        "SPI"
17776      SrcPort         1
17777      DstBlock        "SDI"
17778      DstPort         1
17779    }
17780    Line {
17781      SrcBlock        "SPI"
17782      SrcPort         2
17783      DstBlock        "SCL"
17784      DstPort         1
17785    }
17786    Line {
17787      SrcBlock        "SPI"
17788      SrcPort         3
17789      DstBlock        "CS"
17790      DstPort         1
17791    }
17792    Line {
17793      SrcBlock        "Step1"
17794      SrcPort         1
17795      DstBlock        "Reset"
17796      DstPort         1
17797    }
17798    Line {
17799      SrcBlock        "Reset"
17800      SrcPort         1
17801      Points          [15, 0; 0, 25]
17802      DstBlock        "Logical1"
17803      DstPort         1
17804    }
17805    Line {
17806      SrcBlock        "From Register"
17807      SrcPort         1
17808      Points          [20, 0; 0, -25]
17809      DstBlock        "Logical1"
17810      DstPort         2
17811    }
17812    Line {
17813      SrcBlock        "Logical1"
17814      SrcPort         1
17815      DstBlock        "Convert1"
17816      DstPort         1
17817    }
17818    Line {
17819      SrcBlock        "From Register3"
17820      SrcPort         1
17821      Points          [40, 0]
17822      DstBlock        "CommandROM"
17823      DstPort         3
17824    }
17825    Line {
17826      SrcBlock        "From Register4"
17827      SrcPort         1
17828      DstBlock        "Convert"
17829      DstPort         1
17830    }
17831    Line {
17832      SrcBlock        "SDI"
17833      SrcPort         1
17834      DstBlock        "Scope"
17835      DstPort         1
17836    }
17837    Line {
17838      SrcBlock        "SCL"
17839      SrcPort         1
17840      Points          [5, 0; 0, -35]
17841      DstBlock        "Scope"
17842      DstPort         2
17843    }
17844    Line {
17845      SrcBlock        "CS"
17846      SrcPort         1
17847      Points          [20, 0; 0, -70]
17848      DstBlock        "Scope"
17849      DstPort         3
17850    }
17851    Line {
17852      SrcBlock        "CommandROM"
17853      SrcPort         3
17854      Points          [0, -5; 30, 0]
17855      Branch {
17856        Points          [0, 130; -430, 0; 0, 155]
17857        DstBlock            "DataROM"
17858        DstPort         2
17859      }
17860      Branch {
17861        Points          [0, -140]
17862        Branch {
17863          DstBlock            "Mux1"
17864          DstPort             1
17865        }
17866        Branch {
17867          Points              [0, -60]
17868          DstBlock            "Mux"
17869          DstPort             1
17870        }
17871      }
17872    }
17873    Line {
17874      SrcBlock        "Convert1"
17875      SrcPort         1
17876      Points          [35, 0]
17877      Branch {
17878        DstBlock            "DataROM"
17879        DstPort         3
17880      }
17881      Branch {
17882        Points          [0, -295; 220, 0]
17883        Branch {
17884          Points              [0, -60]
17885          DstBlock            "CommandROM"
17886          DstPort             4
17887        }
17888        Branch {
17889          Points              [620, 0; 0, -55]
17890          DstBlock            "SPI"
17891          DstPort             4
17892        }
17893      }
17894    }
17895    Line {
17896      SrcBlock        "Mux"
17897      SrcPort         1
17898      DstBlock        "SPI"
17899      DstPort         1
17900    }
17901    Line {
17902      SrcBlock        "Mux1"
17903      SrcPort         1
17904      DstBlock        "SPI"
17905      DstPort         2
17906    }
17907    Line {
17908      SrcBlock        "CommandROM"
17909      SrcPort         1
17910      Points          [0, -20]
17911      DstBlock        "Mux"
17912      DstPort         2
17913    }
17914    Line {
17915      SrcBlock        "CommandROM"
17916      SrcPort         2
17917      Points          [95, 0; 0, -35]
17918      DstBlock        "Mux1"
17919      DstPort         2
17920    }
17921    Line {
17922      SrcBlock        "DataROM"
17923      SrcPort         1
17924      Points          [255, 0; 0, -355]
17925      DstBlock        "Mux"
17926      DstPort         3
17927    }
17928    Line {
17929      SrcBlock        "DataROM"
17930      SrcPort         2
17931      Points          [105, 0]
17932      DstBlock        "Mux1"
17933      DstPort         3
17934    }
17935    Line {
17936      SrcBlock        "From Register5"
17937      SrcPort         1
17938      DstBlock        "Convert2"
17939      DstPort         1
17940    }
17941    Line {
17942      SrcBlock        "Delay"
17943      SrcPort         1
17944      DstBlock        "ResetLCD"
17945      DstPort         1
17946    }
17947    Line {
17948      SrcBlock        "From Register6"
17949      SrcPort         1
17950      DstBlock        "Delay"
17951      DstPort         1
17952    }
17953    Annotation {
17954      Name            "Use gateway for simulation"
17955      Position        [235, 286]
17956    }
17957      }
17958    }
17959    Annotation {
17960      Name            "TODO:\n-Add interrupt control\n  -Maskable per "
17961"input"
17962      Position            [202, 74]
17963      HorizontalAlignment     "left"
17964    }
17965  }
17966}
17967MatData {
17968  NumRecords          1
17969  DataRecord {
17970    Tag             DataTag0
17971    Data            "  %)30     .    R'X   8    (     @         %    "
17972"\"     $    !     0         %  0 !@    $    ,    <V%V960 =V]R:P        X    X"
17973"/P  !@    @    \"          4    (     0    $    !          4 !  ,     0   !@ "
17974"  !S:&%R960       !C;VUP:6QA=&EO;@ .    8 0   8    (     @         %    \"   "
17975"  $    !     0         %  0 $P    $   \"8    8V]M<&EL871I;VX          &-O;7!I"
17976";&%T:6]N7VQU=     !S:6UU;&EN:U]P97)I;V0     :6YC<E]N971L:7-T         '1R:6U?="
17977"F)I=',           !D8FQ?;W9R9               9&5P<F5C871E9%]C;VYT<F]L &)L;V-K7V"
17978"EC;VY?9&ES<&QA>0 .    .     8    (    !          %    \"     $    '     0    "
17979"     0    !P   '1A<F=E=#( #@   , !   &    \"     (         !0    @    !     0"
17980"    $         !0 $  <    !    #@   &ME>7,   !V86QU97,    .    P     8    (   "
17981"  0         %    \"     $    \"     0         .    0     8    (    !         "
17982" %    \"     $    +     0         0    \"P   $A$3\"!.971L:7-T       .    2   "
17983"  8    (    !          %    \"     $    8     0         0    &    $5X<&]R=\"!"
17984"A<R!A('!C;W)E('1O($5$2PX   \"H    !@    @    !          4    (     0    (    "
17985"!          X    X    !@    @    $          4    (     0    <    !         !  "
17986"   '    =&%R9V5T,0 .    .     8    (    !          %    \"     $    '     0  "
17987"       0    !P   '1A<F=E=#( #@   #     &    \"     0         !0    @    !    "
17988" 0    $         $  ! #$    .    ,     8    (    !          %    \"     $    #"
17989"     0         0  , ;V9F  X   !(    !@    @    $          4    (     0   !<  "
17990"  !         !     7    179E<GEW:&5R92!I;B!3=6)3>7-T96T #@   $@    &    \"    "
17991" 0         !0    @    !    &     $         $    !@   !!8V-O<F1I;F<@=&\\@0FQO8"
17992"VL@36%S:W,.    ,     8    (    !          %    \"     $    #     0         0 "
17993" , ;V9F  X    X    !@    @    $          4    (     0    <    !         !    "
17994" '    1&5F875L=  .    >#H   8    (     @         %    \"     $    !     0    "
17995"     %  0 \"     $    0    =&%R9V5T,0!T87)G970R  X   \"P&0  !@    @    \"    "
17996"      4    (     0    $    !          4 !  >     0   /P#  !I;F9O961I=        "
17997"                     !X:6QI;GAF86UI;'D                       !P87)T          "
17998"                        !S<&5E9                                 !P86-K86=E   "
17999"                           !S>6YT:&5S:7-?=&]O;%]S9V%D=F%N8V5D      !S>6YT:&5S"
18000":7-?=&]O;                     !D:7)E8W1O<GD                           !T97-T8"
18001"F5N8VA?<V=A9'9A;F-E9             !T97-T8F5N8V@                           !S>7"
18002"-C;&M?<&5R:6]D                      !I;F-R7VYE=&QI<W1?<V=A9'9A;F-E9         !"
18003"T<FEM7W9B:71S7W-G861V86YC960           !D8FQ?;W9R9%]S9V%D=F%N8V5D            "
18004"  !C;W)E7V=E;F5R871I;VY?<V=A9'9A;F-E9     !C;W)E7V=E;F5R871I;VX              "
18005"     !R=6Y?8V]R96=E;E]S9V%D=F%N8V5D          !R=6Y?8V]R96=E;@                "
18006"        !D97!R96-A=&5D7V-O;G1R;VQ?<V=A9'9A;F-E9 !E=F%L7V9I96QD               "
18007"           !H87-?861V86YC961?8V]N=')O;             !S9V=U:5]P;W,             "
18008"              !B;&]C:U]T>7!E                          !B;&]C:U]V97)S:6]N     "
18009"                 !S9U]I8V]N7W-T870                       !S9U]M87-K7V1I<W!L87"
18010"D                   !S9U]L:7-T7V-O;G1E;G1S                  !S9U]B;&]C:V=U:5]"
18011"X;6P                   !C;&]C:U]L;V,                           !C;&]C:U]W<F%P"
18012"<&5R                      !D8VU?:6YP=71?8VQO8VM?<&5R:6]D          !S>6YT:&5S:"
18013"7-?;&%N9W5A9V4               !C95]C;'(                               !P<F5S97"
18014")V95]H:65R87)C:'D                     #@   $@    &    \"     0         !0    "
18015"@    !    $0    $         $    !$    @4WES=&5M($=E;F5R871O<@         .    .  "
18016"   8    (    !          %    \"     $    '     0         0    !P   '9I<G1E>#0"
18017" #@   #@    &    \"     0         !0    @    !    \"     $         $     @   "
18018"!X8S1V<W@S-0X    P    !@    @    $          4    (     0    ,    !         ! "
18019"  P M,3  #@   #@    &    \"     0         !0    @    !    !0    $         $  "
18020"   4   !F9C8V.     X    P    !@    @    $          4    (               !    "
18021"     !          #@   #     &    \"     0         !0    @    !     P    $     "
18022"    $  # %A35  .    0     8    (    !          %    \"     $    )     0      "
18023"   0    \"0   \"XO;F5T;&ES=          .    ,     8    (    !          %    \" "
18024"               0         0          X    P    !@    @    $          4    (   "
18025"  0    ,    !         !   P!O9F8 #@   #     &    \"     0         !0    @    "
18026"!     @    $         $  \" #$P   .    ,     8    (    !          %    \"     "
18027"           0         0          X    P    !@    @    $          4    (       "
18028"        !         !          #@   #     &    \"     0         !0    @        "
18029"       $         $          .    ,     8    (    !          %    \"          "
18030"      0         0          X   !(    !@    @    $          4    (     0   !@ "
18031"   !         !     8    06-C;W)D:6YG('1O($)L;V-K($UA<VMS#@   #     &    \"   "
18032"  0         !0    @               $         $          .    ,     8    (    !"
18033"          %    \"     $    #     0         0  , ;V9F  X    P    !@    @    $ "
18034"         4    (               !         !          #@   #     &    \"     0  "
18035"       !0    @    !     0    $         $  ! #     .    ,     8    (    !     "
18036"     %    \"     $    !     0         0  $ ,     X   !     !@    @    $      "
18037"    4    (     0    L    !         !     +    +3$L+3$L+3$L+3$       X    X   "
18038" !@    @    $          4    (     0    8    !         !     &    <WES9V5N   ."
18039"    .     8    (    !          %    \"     $    &     0         0    !@   #@N"
18040",BXP,@  #@   %     &    \"     0         !0    @    !    '0    $         $   "
18041" !T    U,2PU,\"PM,2PM,2QR960L8F5I9V4L,\"PP-S<S-     X   #  0  !@    @    $   "
18042"       4    (     0   (X!   !         !    \". 0  9G!R:6YT9B@G)RPG0T]-345.5#H"
18043"@8F5G:6X@:6-O;B!G<F%P:&EC<R<I.PIP871C:\"A;,\" U,2 U,2 P(%TL6S @,\" U,\" U,\"!"
18044"=+%LP+CDS(# N.3(@,\"XX-ETI.PIP871C:\"A;,3(@-\" Q-B T(#$R(#(U(#(Y(#,S(#0W(#,V("
18045"#(U(#$W(#(Y(#$W(#(U(#,V(#0W(#,S(#(Y(#(U(#$R(%TL6S4@,3,@,C4@,S<@-#4@-#4@-#$@-#"
18046"4@-#4@,S0@-#4@,S<@,C4@,3,@-2 Q-B U(#4@.2 U(#4@72Q;,\"XV(# N,B P+C(U72D[\"G!L;"
18047"W0H6S @,\" U,2 U,2 P(%TL6S @-3 @-3 @,\" P(%TI.PIF<')I;G1F*\"<G+\"=#3TU-14Y4.B"
18048"!E;F0@:6-O;B!G<F%P:&EC<R<I.PIF<')I;G1F*\"<G+\"=#3TU-14Y4.B!B96=I;B!I8V]N('1E>"
18049"'0G*3L*9G!R:6YT9B@G)RPG0T]-345.5#H@96YD(&EC;VX@=&5X=\"<I.PH   X    P    !@   "
18050" @    $          4    (               !         !          #@   / +   &    \""
18051"     0         !0    @    !    NPL   $         $    +L+   \\(2TM(\" J(\"!#;W!"
18052"Y<FEG:'0@*&,I(#(P,#4L(%AI;&EN>\"P@26YC+B @06QL(%)I9VAT<R!297-E<G9E9\"X@(\" @("
18053"\" @(\" @(\" M+3X\\(2TM(\" J(\"!297!R;V1U8W1I;VX@;W(@<F5U<V4L(&EN(&%N>2!F;W)M"
18054"+\"!W:71H;W5T('1H92!E>'!L:6-I=\"!W<FET=&5N(\" M+3X\\(2TM(\" J(\"!C;VYS96YT(&]"
18055"F(%AI;&EN>\"P@26YC+BP@:7,@<W1R:6-T;'D@<')O:&EB:71E9\"X@(\" @(\" @(\" @(\" @("
18056"\" @(\" M+3X\\<WES9V5N8FQO8VL@:&%S7W5S97)D871A/2)T<G5E(B!T86<](F=E;E@B(&)L;V-"
18057"K7W1Y<&4](G-Y<V=E;B(@<VEM=6QI;FMN86UE/2(@4WES=&5M($=E;F5R871O<B(@/@H@/&EC;VX@"
18058"=VED=&@](C4Q(B!B9U]C;VQO<CTB8F5I9V4B(&AE:6=H=#TB-3 B(&-A<'1I;VY?9F]R;6%T/2)3>"
18059"7-T96U<;D=E;F5R871O<B(@=VUA<FM?8V]L;W(](G)E9\"(@+SX*(#QC86QL8F%C:W,@1&5L971E1"
18060"F-N/2)X;%-Y<V=E;D=522@G9&5L971E)RP@9V-S+\"!G8V)H*3LB($]P96Y&8VX](GAL4WES9V5N1"
18061"U5)*\"=S=&%R='5P)RQG8W,L9V-B:\"DB($UO9&5L0VQO<V5&8VX](GAL4WES9V5N1U5)*\"=#;&]"
18062"S92<L9V-S+&=C8F@I(B!0;W-T4V%V949C;CTB>&Q3>7-G96Y'54DH)U-A=F4G*2(@+SX*(#QL:6)R"
18063"87)I97,^\"B @/&QI8G)A<GD@;F%M93TB>&)S26YD97@B(\"\\^\"B @/&QI8G)A<GD@;F%M93TB>"
18064"&)S0F%S:6,B(\"\\^\"B @/&QI8G)A<GD@;F%M93TB>&)S5&]O;',B(\"\\^\"B \\+VQI8G)A<FE"
18065"E<SX*(#QS=6)S>7-T96U?;6]D96P@9FEL93TB<WES=&5M7V=E;F5R871O<E]S=6)S>7-T96TN;61L"
18066"(B O/@H@/&)L;V-K9W5I(&QA8F5L/2)8:6QI;G@@4WES=&5M($=E;F5R871O<B(@/@H@(#QE9&ET8"
18067"F]X(&5V86QU871E/2)F86QS92(@;75L=&E?;&EN93TB=')U92(@;F%M93TB:6YF;V5D:70B(')E86"
18068"1?;VYL>3TB=')U92(@9&5F875L=#TB(%-Y<W1E;2!'96YE<F%T;W(B(\"\\^\"B @/&5D:71B;W@@"
18069"979A;'5A=&4](F9A;'-E(B!N86UE/2)X:6QI;GAF86UI;'DB(&1E9F%U;'0](E9I<G1E>#0B(&QA8"
18070"F5L/2)8:6QI;G@@9F%M:6QY(B O/@H@(#QE9&ET8F]X(&5V86QU871E/2)F86QS92(@;F%M93TB<&"
18071"%R=\"(@9&5F875L=#TB>&,T=G-X,S4B(&QA8F5L/2)087)T(B O/@H@(#QE9&ET8F]X(&5V86QU87"
18072"1E/2)F86QS92(@;F%M93TB<W!E960B(&1E9F%U;'0](BTQ,\"(@;&%B96P](E-P965D(B O/@H@(#"
18073"QE9&ET8F]X(&5V86QU871E/2)F86QS92(@;F%M93TB<&%C:V%G92(@9&5F875L=#TB9F8V-C@B(&Q"
18074"A8F5L/2)086-K86=E(B O/@H@(#QL:7-T8F]X(&5V86QU871E/2)T<G5E(B!N86UE/2)S>6YT:&5S"
18075":7-?=&]O;\"(@9&5F875L=#TB6%-4(B!L86)E;#TB4WEN=&AE<VES('1O;VPB(#X*(\" @/&ET96T"
18076"@=F%L=64](E-P96-T<G5M(B O/@H@(\" \\:71E;2!V86QU93TB4WEN<&QI9GDB(\"\\^\"B @(#Q"
18077"I=&5M('9A;'5E/2)3>6YP;&EF>2!0<F\\B(\"\\^\"B @(#QI=&5M('9A;'5E/2)84U0B(\"\\^\""
18078"B @(#QI=&5M('9A;'5E/2)0<F5C:7-I;VXB(\"\\^\"B @/\"]L:7-T8F]X/@H@(#QE9&ET8F]X(&"
18079"5V86QU871E/2)F86QS92(@;F%M93TB9&ER96-T;W)Y(B!D969A=6QT/2(N+VYE=&QI<W0B(&QA8F5"
18080"L/2)487)G970@9&ER96-T;W)Y(B O/@H@(#QC:&5C:V)O>\"!E=F%L=6%T93TB=')U92(@;F%M93T"
18081"B=&5S=&)E;F-H(B!D969A=6QT/2)O9F8B(&QA8F5L/2)497-T8F5N8V@B(\"\\^\"B @/&5D:71B;"
18082"W@@979A;'5A=&4](G1R=64B(&YA;64](G-I;75L:6YK7W!E<FEO9\"(@9&5F875L=#TB,2(@;&%B9"
18083"6P](E-I;75L:6YK('!E<FEO9\"(@+SX*(\" \\961I=&)O>\"!E=F%L=6%T93TB=')U92(@;F%M93"
18084"TB<WES8VQK7W!E<FEO9\"(@9&5F875L=#TB,3 B(&QA8F5L/2)3>7-T96T@8VQO8VL@<&5R:6]D(B"
18085" O/@H@(#QC:&5C:V)O>\"!E=F%L=6%T93TB=')U92(@;F%M93TB:6YC<E]N971L:7-T(B!D969A=6"
18086"QT/2)O9F8B(&QA8F5L/2));F-R96UE;G1A;\"!N971L:7-T:6YG(B O/@H@(#QL:7-T8F]X(&5V86"
18087"QU871E/2)T<G5E(B!N86UE/2)T<FEM7W9B:71S(B!D969A=6QT/2)%=F5R>7=H97)E(&EN(%-U8E-"
18088"Y<W1E;2(@;&%B96P](E1R:6T@=F%L:60@8FET<R(@/@H@(\" \\:71E;2!V86QU93TB06-C;W)D:6"
18089"YG('1O($)L;V-K($UA<VMS(B O/@H@(\" \\:71E;2!V86QU93TB179E<GEW:&5R92!I;B!3=6)3>"
18090"7-T96TB(\"\\^\"B @(#QI=&5M('9A;'5E/2).;R!7:&5R92!I;B!3=6)3>7-T96TB(\"\\^\"B @"
18091"/\"]L:7-T8F]X/@H@(#QL:7-T8F]X(&5V86QU871E/2)T<G5E(B!N86UE/2)D8FQ?;W9R9\"(@9&5"
18092"F875L=#TB06-C;W)D:6YG('1O($)L;V-K($UA<VMS(B!L86)E;#TB3W9E<G)I9&4@=VET:\"!D;W5"
18093"B;&5S(B ^\"B @(#QI=&5M('9A;'5E/2)!8V-O<F1I;F<@=&\\@0FQO8VL@36%S:W,B(\"\\^\"B "
18094"@(#QI=&5M('9A;'5E/2)%=F5R>7=H97)E(&EN(%-U8E-Y<W1E;2(@+SX*(\" @/&ET96T@=F%L=64"
18095"](DYO(%=H97)E(&EN(%-U8E-Y<W1E;2(@+SX*(\" \\+VQI<W1B;W@^\"B @/&QI<W1B;W@@979A;"
18096"'5A=&4](G1R=64B(&YA;64](F-O<F5?9V5N97)A=&EO;B(@9&5F875L=#TB06-C;W)D:6YG('1O($"
18097")L;V-K($UA<VMS(B!L86)E;#TB1V5N97)A=&4@8V]R97,B(#X*(\" @/&ET96T@=F%L=64](D%C8V"
18098"]R9&EN9R!T;R!\";&]C:R!-87-K<R(@+SX*(\" @/&ET96T@=F%L=64](D5V97)Y=VAE<F4@079A:"
18099"6QA8FQE(B O/@H@(\" \\:71E;2!V86QU93TB3F]T($YE961E9\" M($%L<F5A9'D@1V5N97)A=&5"
18100"D(B O/@H@(#PO;&ES=&)O>#X*(\" \\8VAE8VMB;W@@979A;'5A=&4](G1R=64B(&YA;64](G)U;E"
18101"]C;W)E9V5N(B!D969A=6QT/2)O9F8B(&QA8F5L/2)2=6X@0V]R94=E;B(@+SX*(\" \\8VAE8VMB;"
18102"W@@979A;'5A=&4](G1R=64B(&YA;64](F1E<')E8V%T961?8V]N=')O;\"(@9&5F875L=#TB;V9F("
18103"B!L86)E;#TB4VAO=R!D97!R96-A=&5D(&-O;G1R;VQS(B O/@H@(#QH:61D96YV87(@979A;'5A=&"
18104"4](G1R=64B(&YA;64](F5V86Q?9FEE;&0B(&1E9F%U;'0](C B(\"\\^\"B \\+V)L;V-K9W5I/@H"
18105"\\+W-Y<V=E;F)L;V-K/@H       X    P    !@    @    $          4    (           "
18106"    !         !          #@   $     &    \"     0         !0    @    !    #0 "
18107"   $         $     T   !#;&]C:R!%;F%B;&5S    #@   #     &    \"     0        "
18108" !0    @    !     P    $         $  # #$P,  .    ,     8    (    !          %"
18109"    \"     $    $     0         0  0 5DA$3 X    X    !@    @    &          4 "
18110"   (     0    $    !          D    (               .    .     8    (    !@   "
18111"      %    \"     $    !     0         )    \"               #@   ' @   &    "
18112"\"     (         !0    @    !     0    $         !0 $ !X    !    L 0  &EN9F]E"
18113"9&ET                             'AI;&EN>&9A;6EL>0                       '!A<"
18114"G0                                  '-P965D                                 '"
18115"!A8VMA9V4                              '-Y;G1H97-I<U]T;V]L7W-G861V86YC960    "
18116"  '-Y;G1H97-I<U]T;V]L                     &1I<F5C=&]R>0                      "
18117"     '1E<W1B96YC:%]S9V%D=F%N8V5D             '1E<W1B96YC:                    "
18118"        '-Y<V-L:U]P97)I;V0                      &EN8W)?;F5T;&ES=%]S9V%D=F%N8V"
18119"5D         '1R:6U?=F)I='-?<V=A9'9A;F-E9            &1B;%]O=G)D7W-G861V86YC960"
18120"              &-O<F5?9V5N97)A=&EO;E]S9V%D=F%N8V5D     &-O<F5?9V5N97)A=&EO;@  "
18121"                 ')U;E]C;W)E9V5N7W-G861V86YC960          ')U;E]C;W)E9V5N     "
18122"                    &1E<')E8V%T961?8V]N=')O;%]S9V%D=F%N8V5D &5V86Q?9FEE;&0   "
18123"                       &AA<U]A9'9A;F-E9%]C;VYT<F]L             '-G9W5I7W!O<P "
18124"                          &)L;V-K7W1Y<&4                          &)L;V-K7W9E"
18125"<G-I;VX                      '-G7VEC;VY?<W1A=                        '-G7VUA<"
18126"VM?9&ES<&QA>0                   '-G7VQI<W1?8V]N=&5N=',                  '-G7V"
18127")L;V-K9W5I7WAM;                    &-L;V-K7VQO8P                           &-"
18128"L;V-K7W=R87!P97(                      &1C;5]I;G!U=%]C;&]C:U]P97)I;V0         "
18129" '-Y;G1H97-I<U]L86YG=6%G90               &-E7V-L<@                           "
18130"    '!R97-E<G9E7VAI97)A<F-H>0               '9E<G-I;VX                       "
18131"       '!O<W1G96YE<F%T:6]N7V9C;@               '-E='1I;F=S7V9C;@             "
18132"          '!R96-O;7!I;&5?9F-N                     '5P9&%T95]F8VX             "
18133"             'AL961K<V5T=&EN9W-D871A                  X   !(    !@    @    $ "
18134"         4    (     0   !$    !         !     1    (%-Y<W1E;2!'96YE<F%T;W(   "
18135"      #@   #@    &    \"     0         !0    @    !    \"     $         $    "
18136" @   !V:7)T97@R< X    X    !@    @    $          4    (     0    <    !      "
18137"   !     '    >&,R=G W,  .    ,     8    (    !          %    \"     $    \" "
18138"    0         0  ( +38   X    X    !@    @    $          4    (     0    8   "
18139" !         !     &    9F8Q-3$W   .    ,     8    (    !          %    \"     "
18140"           0         0          X    P    !@    @    $          4    (     0 "
18141"   ,    !         !   P!84U0 #@   $@    &    \"     0         !0    @    !   "
18142" $@    $         $    !(    N+W!L8C0V7W5S97)I;U]V,#D        .    ,     8    ("
18143"    !          %    \"                0         0          X    P    !@    @ "
18144"   $          4    (     0    ,    !         !   P!O9F8 #@   #     &    \"   "
18145"  0         !0    @    !     @    $         $  \" #$P   .    ,     8    (    "
18146"!          %    \"                0         0          X    P    !@    @    $"
18147"          4    (               !         !          #@   #     &    \"     0 "
18148"        !0    @               $         $          .    ,     8    (    !    "
18149"      %    \"                0         0          X   !(    !@    @    $     "
18150"     4    (     0   !@    !         !     8    06-C;W)D:6YG('1O($)L;V-K($UA<V"
18151"MS#@   #     &    \"     0         !0    @               $         $         "
18152" .    ,     8    (    !          %    \"     $    #     0         0  , ;V9F  "
18153"X    P    !@    @    $          4    (               !         !          #@ "
18154"  #     &    \"     0         !0    @    !     0    $         $  ! #     .   "
18155" ,     8    (    !          %    \"     $    !     0         0  $ ,     X   !"
18156"     !@    @    $          4    (     0    L    !         !     +    +3$L+3$L"
18157"+3$L+3$       X    X    !@    @    $          4    (     0    8    !         "
18158"!     &    <WES9V5N   .    .     8    (    !          %    \"     $    &     "
18159"0         0    !@   #@N,BXP,@  #@   %     &    \"     0         !0    @    ! "
18160"   '0    $         $    !T    U,2PU,\"PM,2PM,2QR960L8F5I9V4L,\"PP-S<S-     X "
18161"  #  0  !@    @    $          4    (     0   (X!   !         !    \". 0  9G!R"
18162":6YT9B@G)RPG0T]-345.5#H@8F5G:6X@:6-O;B!G<F%P:&EC<R<I.PIP871C:\"A;,\" U,2 U,2 "
18163"P(%TL6S @,\" U,\" U,\"!=+%LP+CDS(# N.3(@,\"XX-ETI.PIP871C:\"A;,3(@-\" Q-B T(#"
18164"$R(#(U(#(Y(#,S(#0W(#,V(#(U(#$W(#(Y(#$W(#(U(#,V(#0W(#,S(#(Y(#(U(#$R(%TL6S4@,3,"
18165"@,C4@,S<@-#4@-#4@-#$@-#4@-#4@,S0@-#4@,S<@,C4@,3,@-2 Q-B U(#4@.2 U(#4@72Q;,\"X"
18166"V(# N,B P+C(U72D[\"G!L;W0H6S @,\" U,2 U,2 P(%TL6S @-3 @-3 @,\" P(%TI.PIF<')I;"
18167"G1F*\"<G+\"=#3TU-14Y4.B!E;F0@:6-O;B!G<F%P:&EC<R<I.PIF<')I;G1F*\"<G+\"=#3TU-14"
18168"Y4.B!B96=I;B!I8V]N('1E>'0G*3L*9G!R:6YT9B@G)RPG0T]-345.5#H@96YD(&EC;VX@=&5X=\""
18169"<I.PH   X    P    !@    @    $          4    (               !         !     "
18170"     #@   / +   &    \"     0         !0    @    !    NPL   $         $    +L"
18171"+   \\(2TM(\" J(\"!#;W!Y<FEG:'0@*&,I(#(P,#4L(%AI;&EN>\"P@26YC+B @06QL(%)I9VAT"
18172"<R!297-E<G9E9\"X@(\" @(\" @(\" @(\" M+3X\\(2TM(\" J(\"!297!R;V1U8W1I;VX@;W(@<"
18173"F5U<V4L(&EN(&%N>2!F;W)M+\"!W:71H;W5T('1H92!E>'!L:6-I=\"!W<FET=&5N(\" M+3X\\(2"
18174"TM(\" J(\"!C;VYS96YT(&]F(%AI;&EN>\"P@26YC+BP@:7,@<W1R:6-T;'D@<')O:&EB:71E9\"X"
18175"@(\" @(\" @(\" @(\" @(\" @(\" M+3X\\<WES9V5N8FQO8VL@:&%S7W5S97)D871A/2)T<G5E("
18176"B!T86<](F=E;E@B(&)L;V-K7W1Y<&4](G-Y<V=E;B(@<VEM=6QI;FMN86UE/2(@4WES=&5M($=E;F"
18177"5R871O<B(@/@H@/&EC;VX@=VED=&@](C4Q(B!B9U]C;VQO<CTB8F5I9V4B(&AE:6=H=#TB-3 B(&-"
18178"A<'1I;VY?9F]R;6%T/2)3>7-T96U<;D=E;F5R871O<B(@=VUA<FM?8V]L;W(](G)E9\"(@+SX*(#Q"
18179"C86QL8F%C:W,@1&5L971E1F-N/2)X;%-Y<V=E;D=522@G9&5L971E)RP@9V-S+\"!G8V)H*3LB($]"
18180"P96Y&8VX](GAL4WES9V5N1U5)*\"=S=&%R='5P)RQG8W,L9V-B:\"DB($UO9&5L0VQO<V5&8VX](G"
18181"AL4WES9V5N1U5)*\"=#;&]S92<L9V-S+&=C8F@I(B!0;W-T4V%V949C;CTB>&Q3>7-G96Y'54DH)U"
18182"-A=F4G*2(@+SX*(#QL:6)R87)I97,^\"B @/&QI8G)A<GD@;F%M93TB>&)S26YD97@B(\"\\^\"B "
18183"@/&QI8G)A<GD@;F%M93TB>&)S0F%S:6,B(\"\\^\"B @/&QI8G)A<GD@;F%M93TB>&)S5&]O;',B("
18184"\"\\^\"B \\+VQI8G)A<FEE<SX*(#QS=6)S>7-T96U?;6]D96P@9FEL93TB<WES=&5M7V=E;F5R87"
18185"1O<E]S=6)S>7-T96TN;61L(B O/@H@/&)L;V-K9W5I(&QA8F5L/2)8:6QI;G@@4WES=&5M($=E;F5"
18186"R871O<B(@/@H@(#QE9&ET8F]X(&5V86QU871E/2)F86QS92(@;75L=&E?;&EN93TB=')U92(@;F%M"
18187"93TB:6YF;V5D:70B(')E861?;VYL>3TB=')U92(@9&5F875L=#TB(%-Y<W1E;2!'96YE<F%T;W(B("
18188"\"\\^\"B @/&5D:71B;W@@979A;'5A=&4](F9A;'-E(B!N86UE/2)X:6QI;GAF86UI;'DB(&1E9F%"
18189"U;'0](E9I<G1E>#0B(&QA8F5L/2)8:6QI;G@@9F%M:6QY(B O/@H@(#QE9&ET8F]X(&5V86QU871E"
18190"/2)F86QS92(@;F%M93TB<&%R=\"(@9&5F875L=#TB>&,T=G-X,S4B(&QA8F5L/2)087)T(B O/@H@"
18191"(#QE9&ET8F]X(&5V86QU871E/2)F86QS92(@;F%M93TB<W!E960B(&1E9F%U;'0](BTQ,\"(@;&%B"
18192"96P](E-P965D(B O/@H@(#QE9&ET8F]X(&5V86QU871E/2)F86QS92(@;F%M93TB<&%C:V%G92(@9"
18193"&5F875L=#TB9F8V-C@B(&QA8F5L/2)086-K86=E(B O/@H@(#QL:7-T8F]X(&5V86QU871E/2)T<G"
18194"5E(B!N86UE/2)S>6YT:&5S:7-?=&]O;\"(@9&5F875L=#TB6%-4(B!L86)E;#TB4WEN=&AE<VES('"
18195"1O;VPB(#X*(\" @/&ET96T@=F%L=64](E-P96-T<G5M(B O/@H@(\" \\:71E;2!V86QU93TB4WEN"
18196"<&QI9GDB(\"\\^\"B @(#QI=&5M('9A;'5E/2)3>6YP;&EF>2!0<F\\B(\"\\^\"B @(#QI=&5M('"
18197"9A;'5E/2)84U0B(\"\\^\"B @(#QI=&5M('9A;'5E/2)0<F5C:7-I;VXB(\"\\^\"B @/\"]L:7-T"
18198"8F]X/@H@(#QE9&ET8F]X(&5V86QU871E/2)F86QS92(@;F%M93TB9&ER96-T;W)Y(B!D969A=6QT/"
18199"2(N+VYE=&QI<W0B(&QA8F5L/2)487)G970@9&ER96-T;W)Y(B O/@H@(#QC:&5C:V)O>\"!E=F%L="
18200"6%T93TB=')U92(@;F%M93TB=&5S=&)E;F-H(B!D969A=6QT/2)O9F8B(&QA8F5L/2)497-T8F5N8V"
18201"@B(\"\\^\"B @/&5D:71B;W@@979A;'5A=&4](G1R=64B(&YA;64](G-I;75L:6YK7W!E<FEO9\"("
18202"@9&5F875L=#TB,2(@;&%B96P](E-I;75L:6YK('!E<FEO9\"(@+SX*(\" \\961I=&)O>\"!E=F%L"
18203"=6%T93TB=')U92(@;F%M93TB<WES8VQK7W!E<FEO9\"(@9&5F875L=#TB,3 B(&QA8F5L/2)3>7-T"
18204"96T@8VQO8VL@<&5R:6]D(B O/@H@(#QC:&5C:V)O>\"!E=F%L=6%T93TB=')U92(@;F%M93TB:6YC"
18205"<E]N971L:7-T(B!D969A=6QT/2)O9F8B(&QA8F5L/2));F-R96UE;G1A;\"!N971L:7-T:6YG(B O"
18206"/@H@(#QL:7-T8F]X(&5V86QU871E/2)T<G5E(B!N86UE/2)T<FEM7W9B:71S(B!D969A=6QT/2)%="
18207"F5R>7=H97)E(&EN(%-U8E-Y<W1E;2(@;&%B96P](E1R:6T@=F%L:60@8FET<R(@/@H@(\" \\:71E"
18208";2!V86QU93TB06-C;W)D:6YG('1O($)L;V-K($UA<VMS(B O/@H@(\" \\:71E;2!V86QU93TB179"
18209"E<GEW:&5R92!I;B!3=6)3>7-T96TB(\"\\^\"B @(#QI=&5M('9A;'5E/2).;R!7:&5R92!I;B!3="
18210"6)3>7-T96TB(\"\\^\"B @/\"]L:7-T8F]X/@H@(#QL:7-T8F]X(&5V86QU871E/2)T<G5E(B!N86"
18211"UE/2)D8FQ?;W9R9\"(@9&5F875L=#TB06-C;W)D:6YG('1O($)L;V-K($UA<VMS(B!L86)E;#TB3W"
18212"9E<G)I9&4@=VET:\"!D;W5B;&5S(B ^\"B @(#QI=&5M('9A;'5E/2)!8V-O<F1I;F<@=&\\@0FQO"
18213"8VL@36%S:W,B(\"\\^\"B @(#QI=&5M('9A;'5E/2)%=F5R>7=H97)E(&EN(%-U8E-Y<W1E;2(@+S"
18214"X*(\" @/&ET96T@=F%L=64](DYO(%=H97)E(&EN(%-U8E-Y<W1E;2(@+SX*(\" \\+VQI<W1B;W@^"
18215"\"B @/&QI<W1B;W@@979A;'5A=&4](G1R=64B(&YA;64](F-O<F5?9V5N97)A=&EO;B(@9&5F875L"
18216"=#TB06-C;W)D:6YG('1O($)L;V-K($UA<VMS(B!L86)E;#TB1V5N97)A=&4@8V]R97,B(#X*(\" @"
18217"/&ET96T@=F%L=64](D%C8V]R9&EN9R!T;R!\";&]C:R!-87-K<R(@+SX*(\" @/&ET96T@=F%L=64"
18218"](D5V97)Y=VAE<F4@079A:6QA8FQE(B O/@H@(\" \\:71E;2!V86QU93TB3F]T($YE961E9\" M("
18219"$%L<F5A9'D@1V5N97)A=&5D(B O/@H@(#PO;&ES=&)O>#X*(\" \\8VAE8VMB;W@@979A;'5A=&4]"
18220"(G1R=64B(&YA;64](G)U;E]C;W)E9V5N(B!D969A=6QT/2)O9F8B(&QA8F5L/2)2=6X@0V]R94=E;"
18221"B(@+SX*(\" \\8VAE8VMB;W@@979A;'5A=&4](G1R=64B(&YA;64](F1E<')E8V%T961?8V]N=')O"
18222";\"(@9&5F875L=#TB;V9F(B!L86)E;#TB4VAO=R!D97!R96-A=&5D(&-O;G1R;VQS(B O/@H@(#QH"
18223":61D96YV87(@979A;'5A=&4](G1R=64B(&YA;64](F5V86Q?9FEE;&0B(&1E9F%U;'0](C B(\"\\"
18224"^\"B \\+V)L;V-K9W5I/@H\\+W-Y<V=E;F)L;V-K/@H       X    P    !@    @    $     "
18225"     4    (               !         !          #@   $     &    \"     0      "
18226"   !0    @    !    #0    $         $     T   !#;&]C:R!%;F%B;&5S    #@   #    "
18227" &    \"     0         !0    @    !     P    $         $  # #$P,  .    ,     "
18228"8    (    !          %    \"     $    $     0         0  0 5DA$3 X    X    !@"
18229"    @    &          4    (     0    $    !          D    (               .   "
18230" .     8    (    !@         %    \"     $    !     0         )    \"         "
18231"      #@   #@    &    \"     0         !0    @    !    !@    $         $     "
18232"8    Y+C(N,#$   X   !     !@    @    $          4    (     0    P    !       "
18233"  !     ,    >&QE9&MP;W-T9V5N      X   !     !@    @    $          4    (    "
18234" 0    T    !         !     -    >&QE9&MS971T:6YG<P    X   !     !@    @    $ "
18235"         4    (     0    \\    !         !     /    >&QE9&MP<F5C;VUP:6QE  X  "
18236" !     !@    @    $          4    (     0    T    !         !     -    >&QE9&"
18237"MU<&1A=&5F;@    X   \"@!   !@    @    \"          4    (     0    $    !     "
18238"     4 !  8     0   #@!  !E>'!O<G0                       !E>'!O<G1D:7(       "
18239"            !S96QE8W1I;VYT86<               !E>'!O<G1D:7)P871H              !"
18240"M86IO<@                        !M:6YO<@                        !H=U]C;VUP871I"
18241"8FEL:71Y          !M86I?<VQI9&5R                  !M:6YO<E]S;&ED97(          "
18242"     !H=U]C;VUP871I8FEL:71Y7W-L:61E<@!I<T1E=F5L;W!M96YT              !U<V5#=7"
18243"-T;VU\"=7-);G1E<F9A8V4   !C=7-T;VU\"=7-);G1E<F9A8V5686QU90 .    .     8    ( "
18244"   !@         %    \"     $    !     0         )    \"               #@   #  "
18245"   &    \"     0         !0    @               $         $          .    0   "
18246"  8    (    !          %    \"     $    0     0         0    $    '1A<F=E=%]D"
18247":7)E8W1O<GD.    2     8    (    !          %    \"     $    2     0         0"
18248"    $@   \"XO<&QB-#9?=7-E<FEO7W8P.0        X    P    !@    @    $          4 "
18249"   (     0    $    !         !   0 Q    #@   #     &    \"     0         !0  "
18250"  @    !     @    $         $  \" # Q   .    ,     8    (    !          %    "
18251"\"     $    !     0         0  $ 80    X    X    !@    @    &          4    ("
18252"     0    $    !          D    (            \\#\\.    .     8    (    !@     "
18253"    %    \"     $    !     0         )    \"    *Y'X7H4KO\\_#@   #@    &    "
18254"\"     8         !0    @    !     0    $         \"0    @          $!80 X    "
18255"X    !@    @    &          4    (     0    $    !          D    (            "
18256"   .    .     8    (    !@         %    \"     $    !     0         )    \"  "
18257"             #@   #     &    \"     8         !0    @               $        "
18258" \"0         .    .#\\   8    (     @         %    \"     $    !     0       "
18259"  %  0 #     $    8    <VAA<F5D        8V]M<&EL871I;VX #@   & $   &    \"    "
18260" (         !0    @    !     0    $         !0 $ !,    !    F    &-O;7!I;&%T:6"
18261"]N          !C;VUP:6QA=&EO;E]L=70     <VEM=6QI;FM?<&5R:6]D     &EN8W)?;F5T;&E"
18262"S=         !T<FEM7W9B:71S            9&)L7V]V<F0              &1E<')E8V%T961?"
18263"8V]N=')O; !B;&]C:U]I8V]N7V1I<W!L87D #@   #@    &    \"     0         !0    @ "
18264"   !    !P    $         $     <   !T87)G970R  X   #  0  !@    @    \"        "
18265"  4    (     0    $    !          4 !  '     0    X   !K97ES    =F%L=65S    #"
18266"@   ,     &    \"     $         !0    @    !     @    $         #@   $     & "
18267"   \"     0         !0    @    !    \"P    $         $     L   !(1$P@3F5T;&ES"
18268"=       #@   $@    &    \"     0         !0    @    !    &     $         $   "
18269" !@   !%>'!O<G0@87,@82!P8V]R92!T;R!%1$L.    J     8    (     0         %    "
18270"\"     $    \"     0         .    .     8    (    !          %    \"     $   "
18271" '     0         0    !P   '1A<F=E=#$ #@   #@    &    \"     0         !0    "
18272"@    !    !P    $         $     <   !T87)G970R  X    P    !@    @    $       "
18273"   4    (     0    $    !         !   0 Q    #@   #     &    \"     0        "
18274" !0    @    !     P    $         $  # &]F9@ .    2     8    (    !          %"
18275"    \"     $    7     0         0    %P   $5V97)Y=VAE<F4@:6X@4W5B4WES=&5M  X "
18276"  !(    !@    @    $          4    (     0   !@    !         !     8    06-C;"
18277"W)D:6YG('1O($)L;V-K($UA<VMS#@   #     &    \"     0         !0    @    !     "
18278"P    $         $  # &]F9@ .    .     8    (    !          %    \"     $    ' "
18279"    0         0    !P   $1E9F%U;'0 #@   '@Z   &    \"     (         !0    @  "
18280"  !     0    $         !0 $  @    !    $    '1A<F=E=#$ =&%R9V5T,@ .    L!D   "
18281"8    (     @         %    \"     $    !     0         %  0 '@    $   #\\ P  :"
18282"6YF;V5D:70                             >&EL:6YX9F%M:6QY                      "
18283"  <&%R=                                   <W!E960                            "
18284"     <&%C:V%G90                              <WEN=&AE<VES7W1O;VQ?<V=A9'9A;F-E"
18285"9       <WEN=&AE<VES7W1O;VP                     9&ER96-T;W)Y                 "
18286"           =&5S=&)E;F-H7W-G861V86YC960             =&5S=&)E;F-H              "
18287"              <WES8VQK7W!E<FEO9                       :6YC<E]N971L:7-T7W-G861"
18288"V86YC960         =')I;5]V8FET<U]S9V%D=F%N8V5D            9&)L7V]V<F1?<V=A9'9A"
18289";F-E9               8V]R95]G96YE<F%T:6]N7W-G861V86YC960     8V]R95]G96YE<F%T:"
18290"6]N                    <G5N7V-O<F5G96Y?<V=A9'9A;F-E9           <G5N7V-O<F5G96"
18291"X                         9&5P<F5C871E9%]C;VYT<F]L7W-G861V86YC960 979A;%]F:65"
18292"L9                           :&%S7V%D=F%N8V5D7V-O;G1R;VP             <V=G=6E?"
18293"<&]S                            8FQO8VM?='EP90                          8FQO8"
18294"VM?=F5R<VEO;@                      <V=?:6-O;E]S=&%T                        <V"
18295"=?;6%S:U]D:7-P;&%Y                    <V=?;&ES=%]C;VYT96YT<P                 "
18296" <V=?8FQO8VMG=6E?>&UL                    8VQO8VM?;&]C                        "
18297"    8VQO8VM?=W)A<'!E<@                      9&-M7VEN<'5T7V-L;V-K7W!E<FEO9    "
18298"       <WEN=&AE<VES7VQA;F=U86=E                8V5?8VQR                      "
18299"          <')E<V5R=F5?:&EE<F%R8VAY                      X   !(    !@    @    "
18300"$          4    (     0   !$    !         !     1    (%-Y<W1E;2!'96YE<F%T;W( "
18301"        #@   #@    &    \"     0         !0    @    !    !P    $         $   "
18302"  <   !V:7)T97@T  X    X    !@    @    $          4    (     0    @    !     "
18303"    !     (    >&,T=G-X,S4.    ,     8    (    !          %    \"     $    # "
18304"    0         0  , +3$P  X    X    !@    @    $          4    (     0    4   "
18305" !         !     %    9F8V-C@    .    ,     8    (    !          %    \"     "
18306"           0         0          X    P    !@    @    $          4    (     0 "
18307"   ,    !         !   P!84U0 #@   $     &    \"     0         !0    @    !   "
18308" \"0    $         $     D    N+VYE=&QI<W0         #@   #     &    \"     0   "
18309"      !0    @               $         $          .    ,     8    (    !      "
18310"    %    \"     $    #     0         0  , ;V9F  X    P    !@    @    $       "
18311"   4    (     0    (    !         !   @ Q,   #@   #     &    \"     0        "
18312" !0    @               $         $          .    ,     8    (    !          %"
18313"    \"                0         0          X    P    !@    @    $          4 "
18314"   (               !         !          #@   #     &    \"     0         !0  "
18315"  @               $         $          .    2     8    (    !          %    "
18316"\"     $    8     0         0    &    $%C8V]R9&EN9R!T;R!\";&]C:R!-87-K<PX    "
18317"P    !@    @    $          4    (               !         !          #@   #  "
18318"   &    \"     0         !0    @    !     P    $         $  # &]F9@ .    ,   "
18319"  8    (    !          %    \"                0         0          X    P    "
18320"!@    @    $          4    (     0    $    !         !   0 P    #@   #     & "
18321"   \"     0         !0    @    !     0    $         $  ! #     .    0     8  "
18322"  (    !          %    \"     $    +     0         0    \"P   \"TQ+\"TQ+\"TQ+"
18323"\"TQ       .    .     8    (    !          %    \"     $    &     0         0"
18324"    !@   '-Y<V=E;@  #@   #@    &    \"     0         !0    @    !    !@    $ "
18325"        $     8    X+C(N,#(   X   !0    !@    @    $          4    (     0   "
18326"!T    !         !     =    -3$L-3 L+3$L+3$L<F5D+&)E:6=E+# L,#<W,S0    .    P "
18327"$   8    (    !          %    \"     $   \". 0   0         0    C@$  &9P<FEN="
18328"&8H)R<L)T-/34U%3E0Z(&)E9VEN(&EC;VX@9W)A<&AI8W,G*3L*<&%T8V@H6S @-3$@-3$@,\"!=+"
18329"%LP(# @-3 @-3 @72Q;,\"XY,R P+CDR(# N.#9=*3L*<&%T8V@H6S$R(#0@,38@-\" Q,B R-2 R"
18330".2 S,R T-R S-B R-2 Q-R R.2 Q-R R-2 S-B T-R S,R R.2 R-2 Q,B!=+%LU(#$S(#(U(#,W("
18331"#0U(#0U(#0Q(#0U(#0U(#,T(#0U(#,W(#(U(#$S(#4@,38@-2 U(#D@-2 U(%TL6S N-B P+C(@,"
18332"\"XR-5TI.PIP;&]T*%LP(# @-3$@-3$@,\"!=+%LP(#4P(#4P(# @,\"!=*3L*9G!R:6YT9B@G)RP"
18333"G0T]-345.5#H@96YD(&EC;VX@9W)A<&AI8W,G*3L*9G!R:6YT9B@G)RPG0T]-345.5#H@8F5G:6X@"
18334":6-O;B!T97AT)RD[\"F9P<FEN=&8H)R<L)T-/34U%3E0Z(&5N9\"!I8V]N('1E>'0G*3L*   .   "
18335" ,     8    (    !          %    \"                0         0          X   #"
18336"P\"P  !@    @    $          4    (     0   +L+   !         !    \"[\"P  /\"$M"
18337"+2 @*B @0V]P>7)I9VAT(\"AC*2 R,# U+\"!8:6QI;G@L($EN8RX@($%L;\"!2:6=H=',@4F5S97"
18338")V960N(\" @(\" @(\" @(\" @+2T^/\"$M+2 @*B @4F5P<F]D=6-T:6]N(&]R(')E=7-E+\"!I;"
18339"B!A;GD@9F]R;2P@=VET:&]U=\"!T:&4@97AP;&EC:70@=W)I='1E;B @+2T^/\"$M+2 @*B @8V]N"
18340"<V5N=\"!O9B!8:6QI;G@L($EN8RXL(&ES('-T<FEC=&QY('!R;VAI8FET960N(\" @(\" @(\" @("
18341"\" @(\" @(\" @+2T^/'-Y<V=E;F)L;V-K(&AA<U]U<V5R9&%T83TB=')U92(@=&%G/2)G96Y8(B!"
18342"B;&]C:U]T>7!E/2)S>7-G96XB('-I;75L:6YK;F%M93TB(%-Y<W1E;2!'96YE<F%T;W(B(#X*(#QI"
18343"8V]N('=I9'1H/2(U,2(@8F=?8V]L;W(](F)E:6=E(B!H96EG:'0](C4P(B!C87!T:6]N7V9O<FUA="
18344"#TB4WES=&5M7&Y'96YE<F%T;W(B('=M87)K7V-O;&]R/2)R960B(\"\\^\"B \\8V%L;&)A8VMS($"
18345"1E;&5T949C;CTB>&Q3>7-G96Y'54DH)V1E;&5T92<L(&=C<RP@9V-B:\"D[(B!/<&5N1F-N/2)X;%"
18346"-Y<V=E;D=522@G<W1A<G1U<\"<L9V-S+&=C8F@I(B!-;V1E;$-L;W-E1F-N/2)X;%-Y<V=E;D=522"
18347"@G0VQO<V4G+&=C<RQG8V)H*2(@4&]S=%-A=F5&8VX](GAL4WES9V5N1U5)*\"=3879E)RDB(\"\\^"
18348"\"B \\;&EB<F%R:65S/@H@(#QL:6)R87)Y(&YA;64](GAB<TEN9&5X(B O/@H@(#QL:6)R87)Y(&Y"
18349"A;64](GAB<T)A<VEC(B O/@H@(#QL:6)R87)Y(&YA;64](GAB<U1O;VQS(B O/@H@/\"]L:6)R87)"
18350"I97,^\"B \\<W5B<WES=&5M7VUO9&5L(&9I;&4](G-Y<W1E;5]G96YE<F%T;W)?<W5B<WES=&5M+F"
18351"UD;\"(@+SX*(#QB;&]C:V=U:2!L86)E;#TB6&EL:6YX(%-Y<W1E;2!'96YE<F%T;W(B(#X*(\" \\"
18352"961I=&)O>\"!E=F%L=6%T93TB9F%L<V4B(&UU;'1I7VQI;F4](G1R=64B(&YA;64](FEN9F]E9&ET"
18353"(B!R96%D7V]N;'D](G1R=64B(&1E9F%U;'0](B!3>7-T96T@1V5N97)A=&]R(B O/@H@(#QE9&ET8"
18354"F]X(&5V86QU871E/2)F86QS92(@;F%M93TB>&EL:6YX9F%M:6QY(B!D969A=6QT/2)6:7)T97@T(B"
18355"!L86)E;#TB6&EL:6YX(&9A;6EL>2(@+SX*(\" \\961I=&)O>\"!E=F%L=6%T93TB9F%L<V4B(&YA"
18356";64](G!A<G0B(&1E9F%U;'0](GAC-'9S>#,U(B!L86)E;#TB4&%R=\"(@+SX*(\" \\961I=&)O>"
18357"\"!E=F%L=6%T93TB9F%L<V4B(&YA;64](G-P965D(B!D969A=6QT/2(M,3 B(&QA8F5L/2)3<&5E9"
18358"\"(@+SX*(\" \\961I=&)O>\"!E=F%L=6%T93TB9F%L<V4B(&YA;64](G!A8VMA9V4B(&1E9F%U;'"
18359"0](F9F-C8X(B!L86)E;#TB4&%C:V%G92(@+SX*(\" \\;&ES=&)O>\"!E=F%L=6%T93TB=')U92(@"
18360";F%M93TB<WEN=&AE<VES7W1O;VPB(&1E9F%U;'0](EA35\"(@;&%B96P](E-Y;G1H97-I<R!T;V]L"
18361"(B ^\"B @(#QI=&5M('9A;'5E/2)3<&5C=')U;2(@+SX*(\" @/&ET96T@=F%L=64](E-Y;G!L:69"
18362"Y(B O/@H@(\" \\:71E;2!V86QU93TB4WEN<&QI9GD@4')O(B O/@H@(\" \\:71E;2!V86QU93TB"
18363"6%-4(B O/@H@(\" \\:71E;2!V86QU93TB4')E8VES:6]N(B O/@H@(#PO;&ES=&)O>#X*(\" \\9"
18364"61I=&)O>\"!E=F%L=6%T93TB9F%L<V4B(&YA;64](F1I<F5C=&]R>2(@9&5F875L=#TB+B]N971L:"
18365"7-T(B!L86)E;#TB5&%R9V5T(&1I<F5C=&]R>2(@+SX*(\" \\8VAE8VMB;W@@979A;'5A=&4](G1R"
18366"=64B(&YA;64](G1E<W1B96YC:\"(@9&5F875L=#TB;V9F(B!L86)E;#TB5&5S=&)E;F-H(B O/@H@"
18367"(#QE9&ET8F]X(&5V86QU871E/2)T<G5E(B!N86UE/2)S:6UU;&EN:U]P97)I;V0B(&1E9F%U;'0]("
18368"C$B(&QA8F5L/2)3:6UU;&EN:R!P97)I;V0B(\"\\^\"B @/&5D:71B;W@@979A;'5A=&4](G1R=64"
18369"B(&YA;64](G-Y<V-L:U]P97)I;V0B(&1E9F%U;'0](C$P(B!L86)E;#TB4WES=&5M(&-L;V-K('!E"
18370"<FEO9\"(@+SX*(\" \\8VAE8VMB;W@@979A;'5A=&4](G1R=64B(&YA;64](FEN8W)?;F5T;&ES="
18371"\"(@9&5F875L=#TB;V9F(B!L86)E;#TB26YC<F5M96YT86P@;F5T;&ES=&EN9R(@+SX*(\" \\;&E"
18372"S=&)O>\"!E=F%L=6%T93TB=')U92(@;F%M93TB=')I;5]V8FET<R(@9&5F875L=#TB179E<GEW:&5"
18373"R92!I;B!3=6)3>7-T96TB(&QA8F5L/2)4<FEM('9A;&ED(&)I=',B(#X*(\" @/&ET96T@=F%L=64"
18374"](D%C8V]R9&EN9R!T;R!\";&]C:R!-87-K<R(@+SX*(\" @/&ET96T@=F%L=64](D5V97)Y=VAE<F"
18375"4@:6X@4W5B4WES=&5M(B O/@H@(\" \\:71E;2!V86QU93TB3F\\@5VAE<F4@:6X@4W5B4WES=&5M"
18376"(B O/@H@(#PO;&ES=&)O>#X*(\" \\;&ES=&)O>\"!E=F%L=6%T93TB=')U92(@;F%M93TB9&)L7V"
18377"]V<F0B(&1E9F%U;'0](D%C8V]R9&EN9R!T;R!\";&]C:R!-87-K<R(@;&%B96P](D]V97)R:61E('"
18378"=I=&@@9&]U8FQE<R(@/@H@(\" \\:71E;2!V86QU93TB06-C;W)D:6YG('1O($)L;V-K($UA<VMS("
18379"B O/@H@(\" \\:71E;2!V86QU93TB179E<GEW:&5R92!I;B!3=6)3>7-T96TB(\"\\^\"B @(#QI="
18380"&5M('9A;'5E/2).;R!7:&5R92!I;B!3=6)3>7-T96TB(\"\\^\"B @/\"]L:7-T8F]X/@H@(#QL:7"
18381"-T8F]X(&5V86QU871E/2)T<G5E(B!N86UE/2)C;W)E7V=E;F5R871I;VXB(&1E9F%U;'0](D%C8V]"
18382"R9&EN9R!T;R!\";&]C:R!-87-K<R(@;&%B96P](D=E;F5R871E(&-O<F5S(B ^\"B @(#QI=&5M('"
18383"9A;'5E/2)!8V-O<F1I;F<@=&\\@0FQO8VL@36%S:W,B(\"\\^\"B @(#QI=&5M('9A;'5E/2)%=F5"
18384"R>7=H97)E($%V86EL86)L92(@+SX*(\" @/&ET96T@=F%L=64](DYO=\"!.965D960@+2!!;')E86"
18385"1Y($=E;F5R871E9\"(@+SX*(\" \\+VQI<W1B;W@^\"B @/&-H96-K8F]X(&5V86QU871E/2)T<G5"
18386"E(B!N86UE/2)R=6Y?8V]R96=E;B(@9&5F875L=#TB;V9F(B!L86)E;#TB4G5N($-O<F5'96XB(\""
18387"\\^\"B @/&-H96-K8F]X(&5V86QU871E/2)T<G5E(B!N86UE/2)D97!R96-A=&5D7V-O;G1R;VPB("
18388"&1E9F%U;'0](F]F9B(@;&%B96P](E-H;W<@9&5P<F5C871E9\"!C;VYT<F]L<R(@+SX*(\" \\:&E"
18389"D9&5N=F%R(&5V86QU871E/2)T<G5E(B!N86UE/2)E=F%L7V9I96QD(B!D969A=6QT/2(P(B O/@H@"
18390"/\"]B;&]C:V=U:3X*/\"]S>7-G96YB;&]C:SX*       .    ,     8    (    !          "
18391"%    \"                0         0          X   !     !@    @    $          4"
18392"    (     0    T    !         !     -    0VQO8VL@16YA8FQE<P    X    P    !@  "
18393"  @    $          4    (     0    ,    !         !   P Q,#  #@   #     &    "
18394"\"     0         !0    @    !    !     $         $  $ %9(1$P.    .     8    ("
18395"    !@         %    \"     $    !     0         )    \"               #@   #@"
18396"    &    \"     8         !0    @    !     0    $         \"0    @           "
18397"    X   !P(   !@    @    \"          4    (     0    $    !          4 !  >  "
18398"   0   + $  !I;F9O961I=                             !X:6QI;GAF86UI;'D        "
18399"               !P87)T                                  !S<&5E9               "
18400"                  !P86-K86=E                              !S>6YT:&5S:7-?=&]O;"
18401"%]S9V%D=F%N8V5D      !S>6YT:&5S:7-?=&]O;                     !D:7)E8W1O<GD   "
18402"                        !T97-T8F5N8VA?<V=A9'9A;F-E9             !T97-T8F5N8V@"
18403"                           !S>7-C;&M?<&5R:6]D                      !I;F-R7VYE"
18404"=&QI<W1?<V=A9'9A;F-E9         !T<FEM7W9B:71S7W-G861V86YC960           !D8FQ?;"
18405"W9R9%]S9V%D=F%N8V5D              !C;W)E7V=E;F5R871I;VY?<V=A9'9A;F-E9     !C;W"
18406")E7V=E;F5R871I;VX                   !R=6Y?8V]R96=E;E]S9V%D=F%N8V5D          !"
18407"R=6Y?8V]R96=E;@                        !D97!R96-A=&5D7V-O;G1R;VQ?<V=A9'9A;F-E"
18408"9 !E=F%L7V9I96QD                          !H87-?861V86YC961?8V]N=')O;        "
18409"     !S9V=U:5]P;W,                           !B;&]C:U]T>7!E                  "
18410"        !B;&]C:U]V97)S:6]N                      !S9U]I8V]N7W-T870            "
18411"           !S9U]M87-K7V1I<W!L87D                   !S9U]L:7-T7V-O;G1E;G1S    "
18412"              !S9U]B;&]C:V=U:5]X;6P                   !C;&]C:U]L;V,          "
18413"                 !C;&]C:U]W<F%P<&5R                      !D8VU?:6YP=71?8VQO8V"
18414"M?<&5R:6]D          !S>6YT:&5S:7-?;&%N9W5A9V4               !C95]C;'(        "
18415"                       !P<F5S97)V95]H:65R87)C:'D               !V97)S:6]N    "
18416"                          !P;W-T9V5N97)A=&EO;E]F8VX               !S971T:6YG<"
18417"U]F8VX                       !P<F5C;VUP:6QE7V9C;@                    !U<&1A=&"
18418"5?9F-N                          !X;&5D:W-E='1I;F=S9&%T80                 .   "
18419" 2     8    (    !          %    \"     $    1     0         0    $0   \"!3>7"
18420"-T96T@1V5N97)A=&]R          X    X    !@    @    $          4    (     0    @"
18421"    !         !     (    =FER=&5X,G .    .     8    (    !          %    \"  "
18422"   $    '     0         0    !P   'AC,G9P-S  #@   #     &    \"     0        "
18423" !0    @    !     @    $         $  \" \"TV   .    .     8    (    !         "
18424" %    \"     $    &     0         0    !@   &9F,34Q-P  #@   #     &    \"    "
18425" 0         !0    @               $         $          .    ,     8    (    ! "
18426"         %    \"     $    #     0         0  , 6%-4  X   !(    !@    @    $  "
18427"        4    (     0   !(    !         !     2    +B]P;&(T-E]U<V5R:6]?=C Y   "
18428"     #@   #     &    \"     0         !0    @               $         $      "
18429"    .    ,     8    (    !          %    \"     $    #     0         0  , ;V9"
18430"F  X    P    !@    @    $          4    (     0    (    !         !   @ Q,   "
18431"#@   #     &    \"     0         !0    @               $         $          ."
18432"    ,     8    (    !          %    \"                0         0          X "
18433"   P    !@    @    $          4    (               !         !          #@   "
18434"#     &    \"     0         !0    @               $         $          .    2"
18435"     8    (    !          %    \"     $    8     0         0    &    $%C8V]R9"
18436"&EN9R!T;R!\";&]C:R!-87-K<PX    P    !@    @    $          4    (             "
18437"  !         !          #@   #     &    \"     0         !0    @    !     P   "
18438" $         $  # &]F9@ .    ,     8    (    !          %    \"                "
18439"0         0          X    P    !@    @    $          4    (     0    $    !  "
18440"       !   0 P    #@   #     &    \"     0         !0    @    !     0    $   "
18441"      $  ! #     .    0     8    (    !          %    \"     $    +     0    "
18442"     0    \"P   \"TQ+\"TQ+\"TQ+\"TQ       .    .     8    (    !          %  "
18443"  \"     $    &     0         0    !@   '-Y<V=E;@  #@   #@    &    \"     0  "
18444"       !0    @    !    !@    $         $     8    X+C(N,#(   X   !0    !@    "
18445"@    $          4    (     0   !T    !         !     =    -3$L-3 L+3$L+3$L<F5"
18446"D+&)E:6=E+# L,#<W,S0    .    P $   8    (    !          %    \"     $   \". 0"
18447"   0         0    C@$  &9P<FEN=&8H)R<L)T-/34U%3E0Z(&)E9VEN(&EC;VX@9W)A<&AI8W,"
18448"G*3L*<&%T8V@H6S @-3$@-3$@,\"!=+%LP(# @-3 @-3 @72Q;,\"XY,R P+CDR(# N.#9=*3L*<&"
18449"%T8V@H6S$R(#0@,38@-\" Q,B R-2 R.2 S,R T-R S-B R-2 Q-R R.2 Q-R R-2 S-B T-R S,R"
18450" R.2 R-2 Q,B!=+%LU(#$S(#(U(#,W(#0U(#0U(#0Q(#0U(#0U(#,T(#0U(#,W(#(U(#$S(#4@,38"
18451"@-2 U(#D@-2 U(%TL6S N-B P+C(@,\"XR-5TI.PIP;&]T*%LP(# @-3$@-3$@,\"!=+%LP(#4P(#"
18452"4P(# @,\"!=*3L*9G!R:6YT9B@G)RPG0T]-345.5#H@96YD(&EC;VX@9W)A<&AI8W,G*3L*9G!R:6"
18453"YT9B@G)RPG0T]-345.5#H@8F5G:6X@:6-O;B!T97AT)RD[\"F9P<FEN=&8H)R<L)T-/34U%3E0Z(&"
18454"5N9\"!I8V]N('1E>'0G*3L*   .    ,     8    (    !          %    \"            "
18455"    0         0          X   #P\"P  !@    @    $          4    (     0   +L+ "
18456"  !         !    \"[\"P  /\"$M+2 @*B @0V]P>7)I9VAT(\"AC*2 R,# U+\"!8:6QI;G@L("
18457"$EN8RX@($%L;\"!2:6=H=',@4F5S97)V960N(\" @(\" @(\" @(\" @+2T^/\"$M+2 @*B @4F5P"
18458"<F]D=6-T:6]N(&]R(')E=7-E+\"!I;B!A;GD@9F]R;2P@=VET:&]U=\"!T:&4@97AP;&EC:70@=W)"
18459"I='1E;B @+2T^/\"$M+2 @*B @8V]N<V5N=\"!O9B!8:6QI;G@L($EN8RXL(&ES('-T<FEC=&QY('"
18460"!R;VAI8FET960N(\" @(\" @(\" @(\" @(\" @(\" @+2T^/'-Y<V=E;F)L;V-K(&AA<U]U<V5R9"
18461"&%T83TB=')U92(@=&%G/2)G96Y8(B!B;&]C:U]T>7!E/2)S>7-G96XB('-I;75L:6YK;F%M93TB(%"
18462"-Y<W1E;2!'96YE<F%T;W(B(#X*(#QI8V]N('=I9'1H/2(U,2(@8F=?8V]L;W(](F)E:6=E(B!H96E"
18463"G:'0](C4P(B!C87!T:6]N7V9O<FUA=#TB4WES=&5M7&Y'96YE<F%T;W(B('=M87)K7V-O;&]R/2)R"
18464"960B(\"\\^\"B \\8V%L;&)A8VMS($1E;&5T949C;CTB>&Q3>7-G96Y'54DH)V1E;&5T92<L(&=C<"
18465"RP@9V-B:\"D[(B!/<&5N1F-N/2)X;%-Y<V=E;D=522@G<W1A<G1U<\"<L9V-S+&=C8F@I(B!-;V1E"
18466";$-L;W-E1F-N/2)X;%-Y<V=E;D=522@G0VQO<V4G+&=C<RQG8V)H*2(@4&]S=%-A=F5&8VX](GAL4"
18467"WES9V5N1U5)*\"=3879E)RDB(\"\\^\"B \\;&EB<F%R:65S/@H@(#QL:6)R87)Y(&YA;64](GAB<"
18468"TEN9&5X(B O/@H@(#QL:6)R87)Y(&YA;64](GAB<T)A<VEC(B O/@H@(#QL:6)R87)Y(&YA;64](G"
18469"AB<U1O;VQS(B O/@H@/\"]L:6)R87)I97,^\"B \\<W5B<WES=&5M7VUO9&5L(&9I;&4](G-Y<W1E"
18470";5]G96YE<F%T;W)?<W5B<WES=&5M+FUD;\"(@+SX*(#QB;&]C:V=U:2!L86)E;#TB6&EL:6YX(%-Y"
18471"<W1E;2!'96YE<F%T;W(B(#X*(\" \\961I=&)O>\"!E=F%L=6%T93TB9F%L<V4B(&UU;'1I7VQI;F"
18472"4](G1R=64B(&YA;64](FEN9F]E9&ET(B!R96%D7V]N;'D](G1R=64B(&1E9F%U;'0](B!3>7-T96T"
18473"@1V5N97)A=&]R(B O/@H@(#QE9&ET8F]X(&5V86QU871E/2)F86QS92(@;F%M93TB>&EL:6YX9F%M"
18474":6QY(B!D969A=6QT/2)6:7)T97@T(B!L86)E;#TB6&EL:6YX(&9A;6EL>2(@+SX*(\" \\961I=&)"
18475"O>\"!E=F%L=6%T93TB9F%L<V4B(&YA;64](G!A<G0B(&1E9F%U;'0](GAC-'9S>#,U(B!L86)E;#T"
18476"B4&%R=\"(@+SX*(\" \\961I=&)O>\"!E=F%L=6%T93TB9F%L<V4B(&YA;64](G-P965D(B!D969A"
18477"=6QT/2(M,3 B(&QA8F5L/2)3<&5E9\"(@+SX*(\" \\961I=&)O>\"!E=F%L=6%T93TB9F%L<V4B("
18478"&YA;64](G!A8VMA9V4B(&1E9F%U;'0](F9F-C8X(B!L86)E;#TB4&%C:V%G92(@+SX*(\" \\;&ES"
18479"=&)O>\"!E=F%L=6%T93TB=')U92(@;F%M93TB<WEN=&AE<VES7W1O;VPB(&1E9F%U;'0](EA35\"("
18480"@;&%B96P](E-Y;G1H97-I<R!T;V]L(B ^\"B @(#QI=&5M('9A;'5E/2)3<&5C=')U;2(@+SX*(\""
18481" @/&ET96T@=F%L=64](E-Y;G!L:69Y(B O/@H@(\" \\:71E;2!V86QU93TB4WEN<&QI9GD@4')O("
18482"B O/@H@(\" \\:71E;2!V86QU93TB6%-4(B O/@H@(\" \\:71E;2!V86QU93TB4')E8VES:6]N(B"
18483" O/@H@(#PO;&ES=&)O>#X*(\" \\961I=&)O>\"!E=F%L=6%T93TB9F%L<V4B(&YA;64](F1I<F5C"
18484"=&]R>2(@9&5F875L=#TB+B]N971L:7-T(B!L86)E;#TB5&%R9V5T(&1I<F5C=&]R>2(@+SX*(\" "
18485"\\8VAE8VMB;W@@979A;'5A=&4](G1R=64B(&YA;64](G1E<W1B96YC:\"(@9&5F875L=#TB;V9F(B"
18486"!L86)E;#TB5&5S=&)E;F-H(B O/@H@(#QE9&ET8F]X(&5V86QU871E/2)T<G5E(B!N86UE/2)S:6U"
18487"U;&EN:U]P97)I;V0B(&1E9F%U;'0](C$B(&QA8F5L/2)3:6UU;&EN:R!P97)I;V0B(\"\\^\"B @/"
18488"&5D:71B;W@@979A;'5A=&4](G1R=64B(&YA;64](G-Y<V-L:U]P97)I;V0B(&1E9F%U;'0](C$P(B"
18489"!L86)E;#TB4WES=&5M(&-L;V-K('!E<FEO9\"(@+SX*(\" \\8VAE8VMB;W@@979A;'5A=&4](G1R"
18490"=64B(&YA;64](FEN8W)?;F5T;&ES=\"(@9&5F875L=#TB;V9F(B!L86)E;#TB26YC<F5M96YT86P@"
18491";F5T;&ES=&EN9R(@+SX*(\" \\;&ES=&)O>\"!E=F%L=6%T93TB=')U92(@;F%M93TB=')I;5]V8F"
18492"ET<R(@9&5F875L=#TB179E<GEW:&5R92!I;B!3=6)3>7-T96TB(&QA8F5L/2)4<FEM('9A;&ED(&)"
18493"I=',B(#X*(\" @/&ET96T@=F%L=64](D%C8V]R9&EN9R!T;R!\";&]C:R!-87-K<R(@+SX*(\" @/"
18494"&ET96T@=F%L=64](D5V97)Y=VAE<F4@:6X@4W5B4WES=&5M(B O/@H@(\" \\:71E;2!V86QU93TB"
18495"3F\\@5VAE<F4@:6X@4W5B4WES=&5M(B O/@H@(#PO;&ES=&)O>#X*(\" \\;&ES=&)O>\"!E=F%L="
18496"6%T93TB=')U92(@;F%M93TB9&)L7V]V<F0B(&1E9F%U;'0](D%C8V]R9&EN9R!T;R!\";&]C:R!-8"
18497"7-K<R(@;&%B96P](D]V97)R:61E('=I=&@@9&]U8FQE<R(@/@H@(\" \\:71E;2!V86QU93TB06-C"
18498";W)D:6YG('1O($)L;V-K($UA<VMS(B O/@H@(\" \\:71E;2!V86QU93TB179E<GEW:&5R92!I;B!"
18499"3=6)3>7-T96TB(\"\\^\"B @(#QI=&5M('9A;'5E/2).;R!7:&5R92!I;B!3=6)3>7-T96TB(\"\\"
18500"^\"B @/\"]L:7-T8F]X/@H@(#QL:7-T8F]X(&5V86QU871E/2)T<G5E(B!N86UE/2)C;W)E7V=E;F"
18501"5R871I;VXB(&1E9F%U;'0](D%C8V]R9&EN9R!T;R!\";&]C:R!-87-K<R(@;&%B96P](D=E;F5R87"
18502"1E(&-O<F5S(B ^\"B @(#QI=&5M('9A;'5E/2)!8V-O<F1I;F<@=&\\@0FQO8VL@36%S:W,B(\"\\"
18503"^\"B @(#QI=&5M('9A;'5E/2)%=F5R>7=H97)E($%V86EL86)L92(@+SX*(\" @/&ET96T@=F%L=6"
18504"4](DYO=\"!.965D960@+2!!;')E861Y($=E;F5R871E9\"(@+SX*(\" \\+VQI<W1B;W@^\"B @/&"
18505"-H96-K8F]X(&5V86QU871E/2)T<G5E(B!N86UE/2)R=6Y?8V]R96=E;B(@9&5F875L=#TB;V9F(B!"
18506"L86)E;#TB4G5N($-O<F5'96XB(\"\\^\"B @/&-H96-K8F]X(&5V86QU871E/2)T<G5E(B!N86UE/"
18507"2)D97!R96-A=&5D7V-O;G1R;VPB(&1E9F%U;'0](F]F9B(@;&%B96P](E-H;W<@9&5P<F5C871E9"
18508"\"!C;VYT<F]L<R(@+SX*(\" \\:&ED9&5N=F%R(&5V86QU871E/2)T<G5E(B!N86UE/2)E=F%L7V9"
18509"I96QD(B!D969A=6QT/2(P(B O/@H@/\"]B;&]C:V=U:3X*/\"]S>7-G96YB;&]C:SX*       .  "
18510"  ,     8    (    !          %    \"                0         0          X   "
18511"!     !@    @    $          4    (     0    T    !         !     -    0VQO8VL"
18512"@16YA8FQE<P    X    P    !@    @    $          4    (     0    ,    !        "
18513" !   P Q,#  #@   #     &    \"     0         !0    @    !    !     $         "
18514"$  $ %9(1$P.    .     8    (    !@         %    \"     $    !     0         )"
18515"    \"               #@   #@    &    \"     8         !0    @    !     0    $"
18516"         \"0    @               X    X    !@    @    $          4    (     0 "
18517"   8    !         !     &    .2XR+C Q   .    0     8    (    !          %    "
18518"\"     $    ,     0         0    #    'AL961K<&]S=&=E;@     .    0     8    ("
18519"    !          %    \"     $    -     0         0    #0   'AL961K<V5T=&EN9W, "
18520"   .    0     8    (    !          %    \"     $    /     0         0    #P  "
18521" 'AL961K<')E8V]M<&EL90 .    0     8    (    !          %    \"     $    -    "
18522" 0         0    #0   'AL961K=7!D871E9FX    .    H 0   8    (     @         % "
18523"   \"     $    !     0         %  0 &     $    X 0  97AP;W)T                 "
18524"       97AP;W)T9&ER                    <V5L96-T:6]N=&%G                97AP;W"
18525")T9&ER<&%T:               ;6%J;W(                         ;6EN;W(            "
18526"             :'=?8V]M<&%T:6)I;&ET>0          ;6%J7W-L:61E<@                  "
18527";6EN;W)?<VQI9&5R                :'=?8V]M<&%T:6)I;&ET>5]S;&ED97( :7-$979E;&]P;"
18528"65N=               =7-E0W5S=&]M0G5S26YT97)F86-E    8W5S=&]M0G5S26YT97)F86-E5F"
18529"%L=64 #@   #@    &    \"     8         !0    @    !     0    $         \"0   "
18530" @               X    P    !@    @    $          4    (               !      "
18531"   !          #@   $     &    \"     0         !0    @    !    $     $       "
18532"  $    !    !T87)G971?9&ER96-T;W)Y#@   $@    &    \"     0         !0    @   "
18533" !    $@    $         $    !(    N+W!L8C0V7W5S97)I;U]V,#D        .    ,     8"
18534"    (    !          %    \"     $    !     0         0  $ ,0    X    P    !@ "
18535"   @    $          4    (     0    (    !         !   @ P,0  #@   #     &    "
18536"\"     0         !0    @    !     0    $         $  ! &$    .    .     8    ("
18537"    !@         %    \"     $    !     0         )    \"            / _#@   #@"
18538"    &    \"     8         !0    @    !     0    $         \"0    @   \"N1^%Z%"
18539"*[_/PX    X    !@    @    &          4    (     0    $    !          D    (  "
18540"        ! 6$ .    .     8    (    !@         %    \"     $    !     0        "
18541" )    \"               #@   #@    &    \"     8         !0    @    !     0   "
18542" $         \"0    @               X    P    !@    @    &          4    (     "
18543"          !          D         "
18544  }
18545}
Note: See TracBrowser for help on using the repository browser.