source: PlatformSupport/TemplateProjects/w3/OnBoardPeriphs/system.ucf

Last change on this file was 1833, checked in by chunter, 12 years ago

added template project hardware files

File size: 39.1 KB
Line 
1#User IO
2Net USERIO_hexdisp_left_pin<0> LOC=AL33  |  IOSTANDARD = LVCMOS25;
3Net USERIO_hexdisp_left_pin<1> LOC=AK33  |  IOSTANDARD = LVCMOS25;
4Net USERIO_hexdisp_left_pin<2> LOC=AH32  |  IOSTANDARD = LVCMOS25;
5Net USERIO_hexdisp_left_pin<3> LOC=AF29  |  IOSTANDARD = LVCMOS25;
6Net USERIO_hexdisp_left_pin<4> LOC=AE29  |  IOSTANDARD = LVCMOS25;
7Net USERIO_hexdisp_left_pin<5> LOC=AK32  |  IOSTANDARD = LVCMOS25;
8Net USERIO_hexdisp_left_pin<6> LOC=AF30  |  IOSTANDARD = LVCMOS25;
9Net USERIO_hexdisp_right_pin<0> LOC=AE28  |  IOSTANDARD = LVCMOS25;
10Net USERIO_hexdisp_right_pin<1> LOC=AD26  |  IOSTANDARD = LVCMOS25;
11Net USERIO_hexdisp_right_pin<2> LOC=AC24  |  IOSTANDARD = LVCMOS25;
12Net USERIO_hexdisp_right_pin<3> LOC=AE23  |  IOSTANDARD = LVCMOS25;
13Net USERIO_hexdisp_right_pin<4> LOC=AC22  |  IOSTANDARD = LVCMOS25;
14Net USERIO_hexdisp_right_pin<5> LOC=AD27  |  IOSTANDARD = LVCMOS25;
15Net USERIO_hexdisp_right_pin<6> LOC=AB23  |  IOSTANDARD = LVCMOS25;
16Net USERIO_hexdisp_left_dp_pin LOC=AG30  |  IOSTANDARD = LVCMOS25;
17Net USERIO_hexdisp_right_dp_pin LOC=AC23  |  IOSTANDARD = LVCMOS25;
18Net USERIO_leds_red_pin<0> LOC=AN34  |  IOSTANDARD = LVCMOS25;
19Net USERIO_leds_red_pin<1> LOC=AM33  |  IOSTANDARD = LVCMOS25;
20Net USERIO_leds_red_pin<2> LOC=AN33  |  IOSTANDARD = LVCMOS25;
21Net USERIO_leds_red_pin<3> LOC=AP33  |  IOSTANDARD = LVCMOS25;
22Net USERIO_leds_green_pin<0> LOC=AD22  |  IOSTANDARD = LVCMOS25;
23Net USERIO_leds_green_pin<1> LOC=AE22  |  IOSTANDARD = LVCMOS25;
24Net USERIO_leds_green_pin<2> LOC=AM32  |  IOSTANDARD = LVCMOS25;
25Net USERIO_leds_green_pin<3> LOC=AN32  |  IOSTANDARD = LVCMOS25;
26Net USERIO_rfa_led_red_pin LOC=AL34  |  IOSTANDARD = LVCMOS25;
27Net USERIO_rfa_led_green_pin LOC=AK34  |  IOSTANDARD = LVCMOS25;
28Net USERIO_rfb_led_red_pin LOC=AJ34  |  IOSTANDARD = LVCMOS25;
29Net USERIO_rfb_led_green_pin LOC=AH34  |  IOSTANDARD = LVCMOS25;
30Net USERIO_dipsw_pin<0> LOC=AM22  |  IOSTANDARD = LVCMOS15;
31Net USERIO_dipsw_pin<1> LOC=AL23  |  IOSTANDARD = LVCMOS15;
32Net USERIO_dipsw_pin<2> LOC=AM23  |  IOSTANDARD = LVCMOS15;
33Net USERIO_dipsw_pin<3> LOC=AN23  |  IOSTANDARD = LVCMOS15;
34Net USERIO_pb_u_pin LOC=AM21  |  IOSTANDARD = LVCMOS15;
35Net USERIO_pb_m_pin LOC=AN22  |  IOSTANDARD = LVCMOS15;
36Net USERIO_pb_d_pin LOC=AP22  |  IOSTANDARD = LVCMOS15;
37
38#USB UART on WARP v3 rev 1.1
39Net UART_USB_TX_pin LOC = H9  |  IOSTANDARD=LVCMOS25; #FT230X RXD pin
40Net UART_USB_RX_pin LOC = J9  |  IOSTANDARD=LVCMOS25; #FT230X TXD pin
41
42#Debug pins 0, 1 for rev1.0 with off-board USB UART
43#Net UART_USB_RX_pin LOC = AG27  |  IOSTANDARD=LVCMOS25; #debughdr0, FT230X TXD pin
44#Net UART_USB_TX_pin LOC = AE26  |  IOSTANDARD=LVCMOS25; #debughdr1, FT230X RXD pin
45
46#IIC EEPROM
47Net IIC_EEPROM_iic_sda_pin LOC = AG23  |  IOSTANDARD=LVCMOS25;
48Net IIC_EEPROM_iic_scl_pin LOC = AF23  |  IOSTANDARD=LVCMOS25;
49
50#ETH A
51Net ETH_A_TemacPhy_RST_n_pin LOC=L9  |  IOSTANDARD = LVCMOS25  |  TIG;
52Net ETH_A_RGMII_TXD_0_pin<0> LOC=AF9  |  IOSTANDARD = LVCMOS25;
53Net ETH_A_RGMII_TXD_0_pin<1> LOC=AF10  |  IOSTANDARD = LVCMOS25;
54Net ETH_A_RGMII_TXD_0_pin<2> LOC=AD9  |  IOSTANDARD = LVCMOS25;
55Net ETH_A_RGMII_TXD_0_pin<3> LOC=AD10  |  IOSTANDARD = LVCMOS25;
56Net ETH_A_RGMII_TX_CTL_0_pin LOC=AG8  |  IOSTANDARD = LVCMOS25;
57Net ETH_A_RGMII_TXC_0_pin LOC=AE9  |  IOSTANDARD = LVCMOS25;
58Net ETH_A_RGMII_RXD_0_pin<0> LOC=AK9  |  IOSTANDARD = LVCMOS25;
59Net ETH_A_RGMII_RXD_0_pin<1> LOC=AJ9  |  IOSTANDARD = LVCMOS25;
60Net ETH_A_RGMII_RXD_0_pin<2> LOC=AH8  |  IOSTANDARD = LVCMOS25;
61Net ETH_A_RGMII_RXD_0_pin<3> LOC=AH9  |  IOSTANDARD = LVCMOS25;
62Net ETH_A_RGMII_RX_CTL_0_pin LOC=AL9  |  IOSTANDARD = LVCMOS25;
63Net ETH_A_RGMII_RXC_0_pin LOC=AC10  |  IOSTANDARD = LVCMOS25;
64Net ETH_A_MDC_0_pin LOC=AP9  |  IOSTANDARD = LVCMOS25;
65Net ETH_A_MDIO_0_pin LOC=AK8  |  IOSTANDARD = LVCMOS25;
66
67#ETH B
68Net ETH_B_RGMII_TXD_0_pin<0> LOC=M10  |  IOSTANDARD = LVCMOS25;
69Net ETH_B_RGMII_TXD_0_pin<1> LOC=B8  |  IOSTANDARD = LVCMOS25;
70Net ETH_B_RGMII_TXD_0_pin<2> LOC=AC9  |  IOSTANDARD = LVCMOS25;
71Net ETH_B_RGMII_TXD_0_pin<3> LOC=E9  |  IOSTANDARD = LVCMOS25;
72Net ETH_B_RGMII_TX_CTL_0_pin LOC=D10  |  IOSTANDARD = LVCMOS25;
73Net ETH_B_RGMII_TXC_0_pin LOC=AB10  |  IOSTANDARD = LVCMOS25;
74Net ETH_B_RGMII_RXD_0_pin<0> LOC=A9  |  IOSTANDARD = LVCMOS25;
75Net ETH_B_RGMII_RXD_0_pin<1> LOC=D9  |  IOSTANDARD = LVCMOS25;
76Net ETH_B_RGMII_RXD_0_pin<2> LOC=C9  |  IOSTANDARD = LVCMOS25;
77Net ETH_B_RGMII_RXD_0_pin<3> LOC=F10  |  IOSTANDARD = LVCMOS25;
78Net ETH_B_RGMII_RX_CTL_0_pin LOC=A8  |  IOSTANDARD = LVCMOS25;
79Net ETH_B_RGMII_RXC_0_pin LOC=L10  |  IOSTANDARD = LVCMOS25;
80Net ETH_B_MDC_0_pin LOC=AN9  |  IOSTANDARD = LVCMOS25;
81Net ETH_B_MDIO_0_pin LOC=AL8  |  IOSTANDARD = LVCMOS25;
82
83#DDR3 SO-DIMM
84Net DDR3_2GB_SODIMM_Clk_pin LOC=AC15  |  IOSTANDARD = DIFF_SSTL15;
85Net DDR3_2GB_SODIMM_Clk_n_pin LOC=AD15  |  IOSTANDARD = DIFF_SSTL15;
86Net DDR3_2GB_SODIMM_CE_pin LOC=AF18  |  IOSTANDARD = SSTL15;
87Net DDR3_2GB_SODIMM_CS_n_pin LOC=AL16  |  IOSTANDARD = SSTL15;
88Net DDR3_2GB_SODIMM_ODT_pin LOC=AP15  |  IOSTANDARD = SSTL15;
89Net DDR3_2GB_SODIMM_RAS_n_pin LOC=AM16  |  IOSTANDARD = SSTL15;
90Net DDR3_2GB_SODIMM_CAS_n_pin LOC=AJ17  |  IOSTANDARD = SSTL15;
91Net DDR3_2GB_SODIMM_WE_n_pin LOC=AF15  |  IOSTANDARD = SSTL15;
92Net DDR3_2GB_SODIMM_BankAddr_pin<0> LOC=AG15  |  IOSTANDARD = SSTL15;
93Net DDR3_2GB_SODIMM_BankAddr_pin<1> LOC=AP16  |  IOSTANDARD = SSTL15;
94Net DDR3_2GB_SODIMM_BankAddr_pin<2> LOC=AD17  |  IOSTANDARD = SSTL15;
95Net DDR3_2GB_SODIMM_Addr_pin<0> LOC=AM17  |  IOSTANDARD = SSTL15;
96Net DDR3_2GB_SODIMM_Addr_pin<1> LOC=AF16  |  IOSTANDARD = SSTL15;
97Net DDR3_2GB_SODIMM_Addr_pin<2> LOC=AN17  |  IOSTANDARD = SSTL15;
98Net DDR3_2GB_SODIMM_Addr_pin<3> LOC=AG17  |  IOSTANDARD = SSTL15;
99Net DDR3_2GB_SODIMM_Addr_pin<4> LOC=AK16  |  IOSTANDARD = SSTL15;
100Net DDR3_2GB_SODIMM_Addr_pin<5> LOC=AG16  |  IOSTANDARD = SSTL15;
101Net DDR3_2GB_SODIMM_Addr_pin<6> LOC=AK17  |  IOSTANDARD = SSTL15;
102Net DDR3_2GB_SODIMM_Addr_pin<7> LOC=AG18  |  IOSTANDARD = SSTL15;
103Net DDR3_2GB_SODIMM_Addr_pin<8> LOC=AE16  |  IOSTANDARD = SSTL15;
104Net DDR3_2GB_SODIMM_Addr_pin<9> LOC=AD16  |  IOSTANDARD = SSTL15;
105Net DDR3_2GB_SODIMM_Addr_pin<10> LOC=AH15  |  IOSTANDARD = SSTL15;
106Net DDR3_2GB_SODIMM_Addr_pin<11> LOC=AH18  |  IOSTANDARD = SSTL15;
107Net DDR3_2GB_SODIMM_Addr_pin<12> LOC=AE17  |  IOSTANDARD = SSTL15;
108Net DDR3_2GB_SODIMM_Addr_pin<13> LOC=AJ16  |  IOSTANDARD = SSTL15;
109Net DDR3_2GB_SODIMM_Addr_pin<14> LOC=AK18  |  IOSTANDARD = SSTL15;
110Net DDR3_2GB_SODIMM_DQ_pin<0> LOC=AK29  |  IOSTANDARD = SSTL15_T_DCI;
111Net DDR3_2GB_SODIMM_DQ_pin<1> LOC=AN30  |  IOSTANDARD = SSTL15_T_DCI;
112Net DDR3_2GB_SODIMM_DQ_pin<2> LOC=AL29  |  IOSTANDARD = SSTL15_T_DCI;
113Net DDR3_2GB_SODIMM_DQ_pin<3> LOC=AN29  |  IOSTANDARD = SSTL15_T_DCI;
114Net DDR3_2GB_SODIMM_DQ_pin<4> LOC=AP31  |  IOSTANDARD = SSTL15_T_DCI;
115Net DDR3_2GB_SODIMM_DQ_pin<5> LOC=AP30  |  IOSTANDARD = SSTL15_T_DCI;
116Net DDR3_2GB_SODIMM_DQ_pin<6> LOC=AH28  |  IOSTANDARD = SSTL15_T_DCI;
117Net DDR3_2GB_SODIMM_DQ_pin<7> LOC=AH27  |  IOSTANDARD = SSTL15_T_DCI;
118Net DDR3_2GB_SODIMM_DQ_pin<8> LOC=AK28  |  IOSTANDARD = SSTL15_T_DCI;
119Net DDR3_2GB_SODIMM_DQ_pin<9> LOC=AL28  |  IOSTANDARD = SSTL15_T_DCI;
120Net DDR3_2GB_SODIMM_DQ_pin<10> LOC=AJ27  |  IOSTANDARD = SSTL15_T_DCI;
121Net DDR3_2GB_SODIMM_DQ_pin<11> LOC=AH25  |  IOSTANDARD = SSTL15_T_DCI;
122Net DDR3_2GB_SODIMM_DQ_pin<12> LOC=AP29  |  IOSTANDARD = SSTL15_T_DCI;
123Net DDR3_2GB_SODIMM_DQ_pin<13> LOC=AM27  |  IOSTANDARD = SSTL15_T_DCI;
124Net DDR3_2GB_SODIMM_DQ_pin<14> LOC=AJ25  |  IOSTANDARD = SSTL15_T_DCI;
125Net DDR3_2GB_SODIMM_DQ_pin<15> LOC=AH24  |  IOSTANDARD = SSTL15_T_DCI;
126Net DDR3_2GB_SODIMM_DQ_pin<16> LOC=AJ24  |  IOSTANDARD = SSTL15_T_DCI;
127Net DDR3_2GB_SODIMM_DQ_pin<17> LOC=AK24  |  IOSTANDARD = SSTL15_T_DCI;
128Net DDR3_2GB_SODIMM_DQ_pin<18> LOC=AL24  |  IOSTANDARD = SSTL15_T_DCI;
129Net DDR3_2GB_SODIMM_DQ_pin<19> LOC=AK23  |  IOSTANDARD = SSTL15_T_DCI;
130Net DDR3_2GB_SODIMM_DQ_pin<20> LOC=AP27  |  IOSTANDARD = SSTL15_T_DCI;
131Net DDR3_2GB_SODIMM_DQ_pin<21> LOC=AM26  |  IOSTANDARD = SSTL15_T_DCI;
132Net DDR3_2GB_SODIMM_DQ_pin<22> LOC=AN25  |  IOSTANDARD = SSTL15_T_DCI;
133Net DDR3_2GB_SODIMM_DQ_pin<23> LOC=AN24  |  IOSTANDARD = SSTL15_T_DCI;
134Net DDR3_2GB_SODIMM_DQ_pin<24> LOC=AD21  |  IOSTANDARD = SSTL15_T_DCI;
135Net DDR3_2GB_SODIMM_DQ_pin<25> LOC=AE21  |  IOSTANDARD = SSTL15_T_DCI;
136Net DDR3_2GB_SODIMM_DQ_pin<26> LOC=AK22  |  IOSTANDARD = SSTL15_T_DCI;
137Net DDR3_2GB_SODIMM_DQ_pin<27> LOC=AL18  |  IOSTANDARD = SSTL15_T_DCI;
138Net DDR3_2GB_SODIMM_DQ_pin<28> LOC=AN19  |  IOSTANDARD = SSTL15_T_DCI;
139Net DDR3_2GB_SODIMM_DQ_pin<29> LOC=AP19  |  IOSTANDARD = SSTL15_T_DCI;
140Net DDR3_2GB_SODIMM_DQ_pin<30> LOC=AM18  |  IOSTANDARD = SSTL15_T_DCI;
141Net DDR3_2GB_SODIMM_DQ_pin<31> LOC=AN18  |  IOSTANDARD = SSTL15_T_DCI;
142Net DDR3_2GB_SODIMM_DM_pin<0> LOC=AM30  |  IOSTANDARD = SSTL15;
143Net DDR3_2GB_SODIMM_DM_pin<1> LOC=AL26  |  IOSTANDARD = SSTL15;
144Net DDR3_2GB_SODIMM_DM_pin<2> LOC=AP26  |  IOSTANDARD = SSTL15;
145Net DDR3_2GB_SODIMM_DM_pin<3> LOC=AJ22  |  IOSTANDARD = SSTL15;
146Net DDR3_2GB_SODIMM_Reset_n_pin LOC=AP17  |  IOSTANDARD = SSTL15;
147Net DDR3_2GB_SODIMM_DQS_pin<0> LOC=AG25  |  IOSTANDARD = DIFF_SSTL15_T_DCI;
148Net DDR3_2GB_SODIMM_DQS_pin<1> LOC=AN28  |  IOSTANDARD = DIFF_SSTL15_T_DCI;
149Net DDR3_2GB_SODIMM_DQS_pin<2> LOC=AM25  |  IOSTANDARD = DIFF_SSTL15_T_DCI;
150Net DDR3_2GB_SODIMM_DQS_pin<3> LOC=AG22  |  IOSTANDARD = DIFF_SSTL15_T_DCI;
151Net DDR3_2GB_SODIMM_DQS_n_pin<0> LOC=AG26  |  IOSTANDARD = DIFF_SSTL15_T_DCI;
152Net DDR3_2GB_SODIMM_DQS_n_pin<1> LOC=AM28  |  IOSTANDARD = DIFF_SSTL15_T_DCI;
153Net DDR3_2GB_SODIMM_DQS_n_pin<2> LOC=AL25  |  IOSTANDARD = DIFF_SSTL15_T_DCI;
154Net DDR3_2GB_SODIMM_DQS_n_pin<3> LOC=AH22  |  IOSTANDARD = DIFF_SSTL15_T_DCI;
155
156#System clock (80MHz, from sampling clock buffer)
157NET samp_clk_n_pin LOC = V23 | IOSTANDARD = LVDS_25 | DIFF_TERM = TRUE;
158NET samp_clk_p_pin LOC = U23 | IOSTANDARD = LVDS_25 | DIFF_TERM = TRUE;
159Net samp_clk_p_pin TNM_NET = samp_clk_pin;
160TIMESPEC TS_samp_clk_pin = PERIOD samp_clk_pin 80000 kHz;
161
162#System clock (200MHz, from LVDS oscillator)
163Net osc200_p_pin LOC = A10  |  IOSTANDARD=LVDS_25  |  DIFF_TERM = TRUE;
164Net osc200_n_pin LOC = B10  |  IOSTANDARD=LVDS_25  |  DIFF_TERM = TRUE;
165Net osc200_p_pin TNM_NET = osc200_p_pin;
166TIMESPEC TS_osc200_p_pin = PERIOD osc200_p_pin 200000 kHz;
167
168#Processor reset (RESET button on board)
169Net rst_1_sys_rst_pin LOC = AH13  |  IOSTANDARD=LVCMOS15  |  TIG;
170Net rst_1_sys_rst_pin TIG;
171
172INST clock_generator_MPMC_Clocks/*/MMCM0_INST*/MMCM_ADV_inst LOC = MMCM_ADV_X0Y2;
173
174#######################################
175#MAX2829 transceivers and RF front end
176NET RFA_SPI_SCLK_pin LOC=T34 | IOSTANDARD=LVCMOS25;
177NET RFA_SPI_MOSI_pin LOC=T33 | IOSTANDARD=LVCMOS25;
178NET RFA_SPI_CSn_pin LOC=U32 | IOSTANDARD=LVCMOS25;
179NET RFA_SHDN_pin LOC=U27 | IOSTANDARD=LVCMOS25;
180NET RFA_TxEn_pin LOC=T31 | IOSTANDARD=LVCMOS25;
181NET RFA_RxEn_pin LOC=U33 | IOSTANDARD=LVCMOS25;
182NET RFA_RxHP_pin LOC=AG32 | IOSTANDARD=LVCMOS25;
183NET RFA_PAEn_24_pin LOC=U25 | IOSTANDARD=LVCMOS25;
184NET RFA_PAEn_5_pin LOC=U28 | IOSTANDARD=LVCMOS25;
185NET RFA_ANTSW_pin<0> LOC=U31 | IOSTANDARD=LVCMOS25;
186NET RFA_ANTSW_pin<1> LOC=U30 | IOSTANDARD=LVCMOS25;
187NET RFA_LD_pin LOC=U26 | IOSTANDARD=LVCMOS25;
188NET RFA_B_pin<0> LOC=AG33 | IOSTANDARD=LVCMOS25;
189NET RFA_B_pin<1> LOC=AF31 | IOSTANDARD=LVCMOS25;
190NET RFA_B_pin<2> LOC=AF33 | IOSTANDARD=LVCMOS25;
191NET RFA_B_pin<3> LOC=AG31 | IOSTANDARD=LVCMOS25;
192NET RFA_B_pin<4> LOC=AF34 | IOSTANDARD=LVCMOS25;
193NET RFA_B_pin<5> LOC=AE33 | IOSTANDARD=LVCMOS25;
194NET RFA_B_pin<6> LOC=AE34 | IOSTANDARD=LVCMOS25;
195
196NET RFB_SPI_SCLK_pin LOC=H34 | IOSTANDARD=LVCMOS25;
197NET RFB_SPI_MOSI_pin LOC=H33 | IOSTANDARD=LVCMOS25;
198NET RFB_SPI_CSn_pin LOC=J32 | IOSTANDARD=LVCMOS25;
199NET RFB_SHDN_pin LOC=J34 | IOSTANDARD=LVCMOS25;
200NET RFB_TxEn_pin LOC=H32 | IOSTANDARD=LVCMOS25;
201NET RFB_RxEn_pin LOC=J31 | IOSTANDARD=LVCMOS25;
202NET RFB_RxHP_pin LOC=R28 | IOSTANDARD=LVCMOS25;
203NET RFB_PAEn_24_pin LOC=T25 | IOSTANDARD=LVCMOS25;
204NET RFB_PAEn_5_pin LOC=T28 | IOSTANDARD=LVCMOS25;
205NET RFB_ANTSW_pin<0> LOC=T30 | IOSTANDARD=LVCMOS25;
206NET RFB_ANTSW_pin<1> LOC=T29 | IOSTANDARD=LVCMOS25;
207NET RFB_LD_pin LOC=K33 | IOSTANDARD=LVCMOS25;
208NET RFB_B_pin<0> LOC=P27 | IOSTANDARD=LVCMOS25;
209NET RFB_B_pin<1> LOC=R27 | IOSTANDARD=LVCMOS25;
210NET RFB_B_pin<2> LOC=R29 | IOSTANDARD=LVCMOS25;
211NET RFB_B_pin<3> LOC=R26 | IOSTANDARD=LVCMOS25;
212NET RFB_B_pin<4> LOC=R32 | IOSTANDARD=LVCMOS25;
213NET RFB_B_pin<5> LOC=T26 | IOSTANDARD=LVCMOS25;
214NET RFB_B_pin<6> LOC=R31 | IOSTANDARD=LVCMOS25;
215
216NET RFA_AD_spi_sclk_pin LOC = AB33 | IOSTANDARD = LVCMOS25;#
217NET RFA_AD_spi_sdio LOC = AC30 | IOSTANDARD = LVCMOS25;#
218NET RFA_AD_spi_cs_n_pin LOC = AB31 | IOSTANDARD = LVCMOS25;#
219NET RFA_AD_reset_n_pin LOC = AA34 | IOSTANDARD = LVCMOS25;#
220
221NET RFB_AD_spi_sclk_pin LOC = P32 | IOSTANDARD = LVCMOS25;#
222NET RFB_AD_spi_sdio LOC = P34 | IOSTANDARD = LVCMOS25;#
223NET RFB_AD_spi_cs_n_pin LOC = N32 | IOSTANDARD = LVCMOS25;#
224NET RFB_AD_reset_n_pin LOC = N34 | IOSTANDARD = LVCMOS25;#
225
226NET clk_rfref_spi_sclk_pin LOC = V25 | IOSTANDARD = LVCMOS25;#
227NET clk_rfref_spi_mosi_pin LOC = W25 | IOSTANDARD = LVCMOS25;#
228NET clk_rfref_spi_cs_n_pin LOC = W27 | IOSTANDARD = LVCMOS25;#
229NET clk_rfref_spi_miso_pin LOC = Y27 | IOSTANDARD = LVCMOS25;#
230NET clk_rfref_func_pin LOC = L26 | IOSTANDARD = LVCMOS25;
231
232NET clk_samp_spi_sclk_pin LOC = W32 | IOSTANDARD = LVCMOS25;#
233NET clk_samp_spi_mosi_pin LOC = Y29 | IOSTANDARD = LVCMOS25;#
234NET clk_samp_spi_cs_n_pin LOC = W31 | IOSTANDARD = LVCMOS25;#
235NET clk_samp_spi_miso_pin LOC = Y28 | IOSTANDARD = LVCMOS25;#
236NET clk_samp_func_pin LOC = R33 | IOSTANDARD = LVCMOS25;#
237
238#TRXCLK pins driven by AD9963's; assuming 80MHz worst case
239Net RFA_AD_TRXCLK TNM_NET = RFA_AD_TRXCLK;
240TIMESPEC TS_RFA_AD_TRXCLK = PERIOD RFA_AD_TRXCLK 80 MHz;
241
242Net RFB_AD_TRXCLK TNM_NET = RFB_AD_TRXCLK;
243TIMESPEC TS_RFB_AD_TRXCLK = PERIOD RFB_AD_TRXCLK 80 MHz;
244
245#RFA AD9963
246NET RFA_AD_TRXD<0> LOC = AC25 | IOSTANDARD = LVCMOS25;
247NET RFA_AD_TRXD<1> LOC = AB25 | IOSTANDARD = LVCMOS25;
248NET RFA_AD_TRXD<2> LOC = AB32 | IOSTANDARD = LVCMOS25;
249NET RFA_AD_TRXD<3> LOC = AC29 | IOSTANDARD = LVCMOS25;
250NET RFA_AD_TRXD<4> LOC = AD29 | IOSTANDARD = LVCMOS25;
251NET RFA_AD_TRXD<5> LOC = AC33 | IOSTANDARD = LVCMOS25;
252NET RFA_AD_TRXD<6> LOC = AD34 | IOSTANDARD = LVCMOS25;
253NET RFA_AD_TRXD<7> LOC = AC32 | IOSTANDARD = LVCMOS25;
254NET RFA_AD_TRXD<8> LOC = AD31 | IOSTANDARD = LVCMOS25;
255NET RFA_AD_TRXD<9> LOC = AD32 | IOSTANDARD = LVCMOS25;
256NET RFA_AD_TRXD<10> LOC = AE31 | IOSTANDARD = LVCMOS25;
257NET RFA_AD_TRXD<11> LOC = AE32 | IOSTANDARD = LVCMOS25;
258
259NET RFA_AD_TRXCLK LOC = AD30 | IOSTANDARD = LVCMOS25;
260NET RFA_AD_TRXIQ LOC = AC34 | IOSTANDARD = LVCMOS25;
261
262NET RFA_AD_TXCLK LOC = AA31 | IOSTANDARD = LVCMOS25;
263NET RFA_AD_TXIQ LOC = AA33 | IOSTANDARD = LVCMOS25;
264
265NET RFA_AD_TXD<0> LOC = AA25 | IOSTANDARD = LVCMOS25;
266NET RFA_AD_TXD<1> LOC = AB26 | IOSTANDARD = LVCMOS25;
267NET RFA_AD_TXD<2> LOC = Y26 | IOSTANDARD = LVCMOS25;
268NET RFA_AD_TXD<3> LOC = AA26 | IOSTANDARD = LVCMOS25;
269NET RFA_AD_TXD<4> LOC = AA28 | IOSTANDARD = LVCMOS25;
270NET RFA_AD_TXD<5> LOC = AA29 | IOSTANDARD = LVCMOS25;
271NET RFA_AD_TXD<6> LOC = AA30 | IOSTANDARD = LVCMOS25;
272NET RFA_AD_TXD<7> LOC = AB30 | IOSTANDARD = LVCMOS25;
273NET RFA_AD_TXD<8> LOC = AB28 | IOSTANDARD = LVCMOS25;
274NET RFA_AD_TXD<9> LOC = AB27 | IOSTANDARD = LVCMOS25;
275NET RFA_AD_TXD<10> LOC = AC28 | IOSTANDARD = LVCMOS25;
276NET RFA_AD_TXD<11> LOC = AC27 | IOSTANDARD = LVCMOS25;
277
278#RFB
279NET RFB_AD_TRXD<0> LOC = N25 | IOSTANDARD = LVCMOS25;
280NET RFB_AD_TRXD<1> LOC = M25 | IOSTANDARD = LVCMOS25;
281NET RFB_AD_TRXD<2> LOC = N28 | IOSTANDARD = LVCMOS25;
282NET RFB_AD_TRXD<3> LOC = N27 | IOSTANDARD = LVCMOS25;
283NET RFB_AD_TRXD<4> LOC = P29 | IOSTANDARD = LVCMOS25;
284NET RFB_AD_TRXD<5> LOC = M30 | IOSTANDARD = LVCMOS25;
285NET RFB_AD_TRXD<6> LOC = N30 | IOSTANDARD = LVCMOS25;
286NET RFB_AD_TRXD<7> LOC = N29 | IOSTANDARD = LVCMOS25;
287NET RFB_AD_TRXD<8> LOC = P26 | IOSTANDARD = LVCMOS25;
288NET RFB_AD_TRXD<9> LOC = P31 | IOSTANDARD = LVCMOS25;
289NET RFB_AD_TRXD<10> LOC = P25 | IOSTANDARD = LVCMOS25;
290NET RFB_AD_TRXD<11> LOC = P30 | IOSTANDARD = LVCMOS25;
291
292NET RFB_AD_TRXCLK LOC = N33 | IOSTANDARD = LVCMOS25;
293NET RFB_AD_TRXIQ LOC = M33 | IOSTANDARD = LVCMOS25;
294
295NET RFB_AD_TXCLK LOC = L28 | IOSTANDARD = LVCMOS25;
296NET RFB_AD_TXIQ LOC = L29 | IOSTANDARD = LVCMOS25;
297
298NET RFB_AD_TXD<0> LOC = K32 | IOSTANDARD = LVCMOS25;
299NET RFB_AD_TXD<1> LOC = M26 | IOSTANDARD = LVCMOS25;
300NET RFB_AD_TXD<2> LOC = M32 | IOSTANDARD = LVCMOS25;
301NET RFB_AD_TXD<3> LOC = K34 | IOSTANDARD = LVCMOS25;
302NET RFB_AD_TXD<4> LOC = M31 | IOSTANDARD = LVCMOS25;
303NET RFB_AD_TXD<5> LOC = L30 | IOSTANDARD = LVCMOS25;
304NET RFB_AD_TXD<6> LOC = L33 | IOSTANDARD = LVCMOS25;
305NET RFB_AD_TXD<7> LOC = L31 | IOSTANDARD = LVCMOS25;
306NET RFB_AD_TXD<8> LOC = M28 | IOSTANDARD = LVCMOS25;
307NET RFB_AD_TXD<9> LOC = L34 | IOSTANDARD = LVCMOS25;
308NET RFB_AD_TXD<10> LOC = M27 | IOSTANDARD = LVCMOS25;
309NET RFB_AD_TXD<11> LOC = K31 | IOSTANDARD = LVCMOS25;
310
311NET RF_RSSI_CLK LOC = B32 | IOSTANDARD = LVCMOS25;
312NET RF_RSSI_PD LOC = B34 | IOSTANDARD = LVCMOS25;
313NET RFB_RSSI_D<0> LOC = A33 | IOSTANDARD = LVCMOS25;
314NET RFB_RSSI_D<1> LOC = B33 | IOSTANDARD = LVCMOS25;
315NET RFB_RSSI_D<2> LOC = C33 | IOSTANDARD = LVCMOS25;
316NET RFB_RSSI_D<3> LOC = C34 | IOSTANDARD = LVCMOS25;
317NET RFB_RSSI_D<4> LOC = C32 | IOSTANDARD = LVCMOS25;
318NET RFB_RSSI_D<5> LOC = D31 | IOSTANDARD = LVCMOS25;
319NET RFB_RSSI_D<6> LOC = G30 | IOSTANDARD = LVCMOS25;
320NET RFB_RSSI_D<7> LOC = E31 | IOSTANDARD = LVCMOS25;
321NET RFB_RSSI_D<8> LOC = D32 | IOSTANDARD = LVCMOS25;
322NET RFB_RSSI_D<9> LOC = D34 | IOSTANDARD = LVCMOS25;
323NET RFA_RSSI_D<0> LOC = E32 | IOSTANDARD = LVCMOS25;
324NET RFA_RSSI_D<1> LOC = E33 | IOSTANDARD = LVCMOS25;
325NET RFA_RSSI_D<2> LOC = E34 | IOSTANDARD = LVCMOS25;
326NET RFA_RSSI_D<3> LOC = F30 | IOSTANDARD = LVCMOS25;
327NET RFA_RSSI_D<4> LOC = F31 | IOSTANDARD = LVCMOS25;
328NET RFA_RSSI_D<5> LOC = F34 | IOSTANDARD = LVCMOS25;
329NET RFA_RSSI_D<6> LOC = F33 | IOSTANDARD = LVCMOS25;
330NET RFA_RSSI_D<7> LOC = G31 | IOSTANDARD = LVCMOS25;
331NET RFA_RSSI_D<8> LOC = G33 | IOSTANDARD = LVCMOS25;
332NET RFA_RSSI_D<9> LOC = G32 | IOSTANDARD = LVCMOS25;
333
334
335###### ETH_A
336###### Hard_Ethernet_MAC
337# This is a RGMII system
338# GTX_CLK_0 = 125MHz
339# LlinkTemac0_CLK = plb_v46 clk = host clock = 100MHz from clock generator
340# Rx/Tx Client clocks are Rx/Tx PHY clocks so CORE Gen PHY clock constraints propagate to Rx/Tx client clock periods
341# Time domain crossing constraints (DATAPATHONLY) are set for maximum bus frequency
342# allowed by IP which is the maximum option in BSB. For lower bus frequency choice in BSB,
343# the constraints are over constrained. Relaxing them for your system may reduce build time.
344
345NET "*ETH_A*/hrst*" TIG;
346
347# Locate the Tri-Mode Ethernet MAC instance
348INST "*ETH_A*v6_emac" LOC = "TEMAC_X0Y0";
349
350###############################################################################
351# CLOCK CONSTRAINTS
352# The following constraints are required. If you choose to not use the example
353# design level of wrapper hierarchy, the net names should be translated to
354# match your design.
355###############################################################################
356
357# Ethernet GTX_CLK high quality 125 MHz reference clock
358NET "*/GTX_CLK_0" TNM_NET = "ref_gtx_clk";                                                 #name of signal connected to TEMAC GTX_CLK_0 input
359TIMEGRP "v6_emac_v1_3_clk_ref_gtx" = "ref_gtx_clk";
360TIMESPEC "TS_v6_emac_v1_3_clk_ref_gtx" = PERIOD "v6_emac_v1_3_clk_ref_gtx" 8 ns HIGH 50 %; #constant value based on constant 125 MHZ GTX clock
361
362# Ethernet RGMII PHY-side transmit clock
363# Changed NET Name - Input to bufg_tx_0
364#     ___________                                         
365#    |           |                 |\                     
366#    | Hard Core |--- tx_clk_0_o --| >---- Tx_Cl_Clk -----
367#    |___________|                 |/                     
368#                                 BUFG
369#
370NET "*ETH_A*/tx_cl_clk" TNM_NET = "A_phy_clk_tx";
371TIMEGRP "A_v6_emac_v1_3_clk_phy_tx" = "A_phy_clk_tx";
372TIMESPEC "TS_A_v6_emac_v1_3_clk_phy_tx" = PERIOD "A_v6_emac_v1_3_clk_phy_tx" 8 ns HIGH 50 %;
373
374# Ethernet RGMII PHY-side receive clock
375# Changed NET Name
376#  RGMII_RXC_0 is the name of the clock net at the TEMAC Port
377#     It is the input to the IODELAY
378#        RxClientClk_0 is the name of the BUFG output clock net
379#
380#                     _________      BUFR
381#                    |         |      |\
382#  ---RGMII_RXC_0----| IODELAY |------| >----RxClientClk_0------------
383#                    |_________|      |/
384#
385NET "ETH_A_RGMII_RXC_0_pin" TNM_NET = "A_phy_clk_rx";
386TIMEGRP "A_v6_emac_v1_3_clk_phy_rx" = "A_phy_clk_rx";
387TIMESPEC "TS_A_v6_emac_v1_3_clk_phy_rx" = PERIOD "A_v6_emac_v1_3_clk_phy_rx" 7.5 ns HIGH 50 %;
388
389# IDELAYCTRL 200 MHz reference clock
390NET "clk_200*MHz*" TNM_NET  = "clk_ref_clk";                                              #name of signal connected to TEMAC REFCLK input   
391TIMEGRP "ref_clk" = "clk_ref_clk";                                                                                                           
392TIMESPEC "TS_ref_clk" = PERIOD "ref_clk" 5 ns HIGH 50 %;                                  #constant value based on constant 200 MHZ ref clock
393
394# Constrain the DCR interface clock to an example frequency of 100 MHz
395# Changed NET Name
396# NET "DCREMACCLK" TNM_NET = "host_clock";
397#NET "*ETH_A*/SPLB_CLK" TNM_NET = "host_clock";
398#TIMEGRP "A_clk_host" = "A_host_clock";
399#TIMESPEC "TS_A_clk_host" = PERIOD "A_clk_host" 10 ns HIGH 50 %;
400
401###############################################################################
402# PHYSICAL INTERFACE CONSTRAINTS
403# The following constraints are necessary for proper operation, and are tuned
404# for this example design. They should be modified to suit your design.
405###############################################################################
406
407# RGMII physical interface constraints
408# -----------------------------------------------------------------------------
409
410# Set the IDELAY and ODELAY values, tuned for this example design.
411# These values should be modified to suit your design.
412# original assuming equal trace lengths  INST "*rgmii?rgmii_rx_ctl_delay" IDELAY_VALUE = 13;
413# original assuming equal trace lengths  INST "*rgmii?rgmii_rx_d0_delay"  IDELAY_VALUE = 13;
414# original assuming equal trace lengths  INST "*rgmii?rgmii_rx_d1_delay"  IDELAY_VALUE = 13;
415# original assuming equal trace lengths  INST "*rgmii?rgmii_rx_d2_delay"  IDELAY_VALUE = 13;
416# original assuming equal trace lengths  INST "*rgmii?rgmii_rx_d3_delay"  IDELAY_VALUE = 13;
417
418INST "*ETH_A*rgmii?rgmii_rx_ctl_delay" IDELAY_VALUE = 13;
419INST "*ETH_A*rgmii?rgmii_rx_d0_delay"  IDELAY_VALUE = 13;
420INST "*ETH_A*rgmii?rgmii_rx_d1_delay"  IDELAY_VALUE = 13;
421INST "*ETH_A*rgmii?rgmii_rx_d2_delay"  IDELAY_VALUE = 13;
422INST "*ETH_A*rgmii?rgmii_rx_d3_delay"  IDELAY_VALUE = 13;
423
424INST "*ETH_A*rgmii_rxc0_delay"          IDELAY_VALUE = 0;
425INST "*ETH_A*rgmii_rxc0_delay"          SIGNAL_PATTERN = CLOCK;
426 
427INST "*ETH_A*rgmii?rgmii_tx_clk_delay" ODELAY_VALUE = 6;
428INST "*ETH_A*rgmii?rgmii_tx_clk_delay" SIGNAL_PATTERN = CLOCK;
429
430# Group all IODELAY-related blocks to use a single IDELAYCTRL
431
432# Change - added TNMs for trace length variations
433INST "ETH_A_RGMII_RXD_0_pin[0]" TNM = "A_rgmii_rx_d0";
434INST "ETH_A_RGMII_RXD_0_pin[1]" TNM = "A_rgmii_rx_d1";
435INST "ETH_A_RGMII_RXD_0_pin[2]" TNM = "A_rgmii_rx_d2";
436INST "ETH_A_RGMII_RXD_0_pin[3]" TNM = "A_rgmii_rx_d3";
437INST "ETH_A_RGMII_RX_CTL_0_pin" TNM = "A_rgmii_rx_ctrl";
438
439# Spec: 1.2ns setup time, 1.2ns hold time
440# The internal PHY delays were not used to derive the OFFSET constraints                                                                 
441# Changed NET Name
442#  This signal trace is longer than the clock trace, and arrives at the FPGA pin 64 ps after the clock
443#  Therefore the offset in constraint must have less setup time than nominal
444TIMEGRP "A_rgmii_rx_d0" OFFSET = IN 1.2 ns VALID 2.4 ns BEFORE "ETH_A_RGMII_RXC_0_pin" RISING;
445TIMEGRP "A_rgmii_rx_d0" OFFSET = IN 1.2 ns VALID 2.4 ns BEFORE "ETH_A_RGMII_RXC_0_pin" FALLING;
446
447#  This signal trace is shorter than the clock trace, and arrives at the FPGA pin 376 ps before the clock
448#  Therefore the offset in constraint must have more setup time than nominal
449TIMEGRP "A_rgmii_rx_d1" OFFSET = IN 1.2 ns VALID 2.4 ns BEFORE "ETH_A_RGMII_RXC_0_pin" RISING;
450TIMEGRP "A_rgmii_rx_d1" OFFSET = IN 1.2 ns VALID 2.4 ns BEFORE "ETH_A_RGMII_RXC_0_pin" FALLING;
451
452#  This signal trace is shorter than the clock trace, and arrives at the FPGA pin 372 ps before the clock
453#  Therefore the offset in constraint must have more setup time than nominal
454TIMEGRP "A_rgmii_rx_d2" OFFSET = IN 1.2 ns VALID 2.4 ns BEFORE "ETH_A_RGMII_RXC_0_pin" RISING;
455TIMEGRP "A_rgmii_rx_d2" OFFSET = IN 1.2 ns VALID 2.4 ns BEFORE "ETH_A_RGMII_RXC_0_pin" FALLING;
456
457#  This signal trace is shorter than the clock trace, and arrives at the FPGA pin 115 ps before the clock
458#  Therefore the offset in constraint must have more setup time than nominal
459TIMEGRP "A_rgmii_rx_d3" OFFSET = IN 1.2 ns VALID 2.4 ns BEFORE "ETH_A_RGMII_RXC_0_pin" RISING;
460TIMEGRP "A_rgmii_rx_d3" OFFSET = IN 1.2 ns VALID 2.4 ns BEFORE "ETH_A_RGMII_RXC_0_pin" FALLING;
461
462#  This signal trace is shorter than the clock trace, and arrives at the FPGA pin 292 ps before the clock
463#  Therefore the offset in constraint must have more setup time than nominal
464TIMEGRP "A_rgmii_rx_ctrl" OFFSET = IN 1.2 ns VALID 2.4 ns BEFORE "ETH_A_RGMII_RXC_0_pin" RISING;
465TIMEGRP "A_rgmii_rx_ctrl" OFFSET = IN 1.2 ns VALID 2.4 ns BEFORE "ETH_A_RGMII_RXC_0_pin" FALLING;
466
467
468NET "*ETH_A*/LlinkTemac0_CLK" TNM_NET = "A_LLCLK0"; #name of signal connected to TEMAC LlinkTemac0_CLK input
469NET "*ETH_A*/SPLB_Clk" TNM_NET = "A_PLBCLK"; #name of signal connected to TEMAC SPLB_Clk input
470NET "*ETH_A*/REFCLK" TNM_NET = "A_REFCLK"; #name of signal connected to TEMAC REFCLK input
471
472TIMESPEC "TS_A_LL_CLK0_2_RX_CLIENT_CLK0"  = FROM A_LLCLK0 TO A_phy_clk_rx 8000 ps DATAPATHONLY; #constant value based on Ethernet clock                 
473TIMESPEC "TS_A_LL_CLK0_2_TX_CLIENT_CLK0"  = FROM A_LLCLK0 TO A_phy_clk_tx 8000 ps DATAPATHONLY; #constant value based on Ethernet clock             
474TIMESPEC "TS_A_RX_CLIENT_CLK0_2_LL_CLK0"  = FROM A_phy_clk_rx TO A_LLCLK0 8000 ps DATAPATHONLY; #varies based on period of LocalLink clock           
475TIMESPEC "TS_A_TX_CLIENT_CLK0_2_LL_CLK0"  = FROM A_phy_clk_tx TO A_LLCLK0 8000 ps DATAPATHONLY; #varies based on period of LocalLink clock           
476
477TIMESPEC "TS_A_REF_CLK_2_PLB_CLIENT_CLK"  = FROM A_REFCLK TO A_PLBCLK 8000 ps DATAPATHONLY; #varies based on period of PLB clock                       
478TIMESPEC "TS_A_PLB_CLIENT_CLK_2_REF_CLK"  = FROM A_PLBCLK TO A_REFCLK 5000 ps DATAPATHONLY; #constant value based on constant 200 MHZ ref clock         
479
480TIMESPEC "TS_A_REF_CLK_2_TX_CLIENT_CLK0"  = FROM A_REFCLK TO A_phy_clk_tx 8000 ps DATAPATHONLY; #constant value based on Ethernet clock                       
481TIMESPEC "TS_A_TX_CLIENT_CLK0_2_REF_CLK"  = FROM A_phy_clk_tx TO A_REFCLK 5000 ps DATAPATHONLY; #constant value based on constant 200 MHZ ref clock           
482
483TIMESPEC "TS_A_REF_CLK_2_RX_CLIENT_CLK0"  = FROM A_REFCLK TO A_phy_clk_rx 8000 ps DATAPATHONLY; #constant value based on Ethernet clock               
484TIMESPEC "TS_A_RX_CLIENT_CLK0_2_REF_CLK"  = FROM A_phy_clk_rx TO A_REFCLK 5000 ps DATAPATHONLY; #constant value based on constant 200 MHZ ref clock   
485
486
487
488###### ETH_B
489###### Hard_Ethernet_MAC
490# This is a RGMII system
491# GTX_CLK_0 = 125MHz
492# LlinkTemac0_CLK = plb_v46 clk = host clock = 100MHz from clock generator
493# Rx/Tx Client clocks are Rx/Tx PHY clocks so CORE Gen PHY clock constraints propagate to Rx/Tx client clock periods
494# Time domain crossing constraints (DATAPATHONLY) are set for maximum bus frequency
495# allowed by IP which is the maximum option in BSB. For lower bus frequency choice in BSB,
496# the constraints are over constrained. Relaxing them for your system may reduce build time.
497
498NET "*ETH_B*/hrst*" TIG;
499
500# Locate the Tri-Mode Ethernet MAC instance
501INST "*ETH_B*v6_emac" LOC = "TEMAC_X0Y1";
502
503###############################################################################
504# CLOCK CONSTRAINTS
505# The following constraints are required. If you choose to not use the example
506# design level of wrapper hierarchy, the net names should be translated to
507# match your design.
508###############################################################################
509
510# Ethernet RGMII PHY-side transmit clock
511# Changed NET Name - Input to bufg_tx_0
512#     ___________                                         
513#    |           |                 |\                     
514#    | Hard Core |--- tx_clk_0_o --| >---- Tx_Cl_Clk -----
515#    |___________|                 |/                     
516#                                 BUFG
517#
518NET "*ETH_B*/tx_cl_clk" TNM_NET = "B_phy_clk_tx";
519TIMEGRP "B_v6_emac_v1_3_clk_phy_tx" = "B_phy_clk_tx";
520TIMESPEC "TS_B_v6_emac_v1_3_clk_phy_tx" = PERIOD "B_v6_emac_v1_3_clk_phy_tx" 8 ns HIGH 50 %;
521
522# Ethernet RGMII PHY-side receive clock
523# Changed NET Name
524#  RGMII_RXC_0 is the name of the clock net at the TEMAC Port
525#     It is the input to the IODELAY
526#        RxClientClk_0 is the name of the BUFG output clock net
527#
528#                     _________      BUFR
529#                    |         |      |\
530#  ---RGMII_RXC_0----| IODELAY |------| >----RxClientClk_0------------
531#                    |_________|      |/
532#
533NET "ETH_B_RGMII_RXC_0_pin" TNM_NET = "B_phy_clk_rx";
534TIMEGRP "B_v6_emac_v1_3_clk_phy_rx" = "B_phy_clk_rx";
535TIMESPEC "TS_B_v6_emac_v1_3_clk_phy_rx" = PERIOD "B_v6_emac_v1_3_clk_phy_rx" 7.5 ns HIGH 50 %;
536
537# Constrain the DCR interface clock to an example frequency of 100 MHz
538# Changed NET Name
539# NET "DCREMACCLK" TNM_NET = "host_clock";
540NET "*ETH_B*/SPLB_CLK" TNM_NET = "host_clock";
541TIMEGRP "B_clk_host" = "B_host_clock";
542TIMESPEC "TS_B_clk_host" = PERIOD "B_clk_host" 10 ns HIGH 50 %;
543
544###############################################################################
545# PHYSICAL INTERFACE CONSTRAINTS
546# The following constraints are necessary for proper operation, and are tuned
547# for this example design. They should be modified to suit your design.
548###############################################################################
549
550# RGMII physical interface constraints
551# -----------------------------------------------------------------------------
552
553# Set the IDELAY and ODELAY values, tuned for this example design.
554# These values should be modified to suit your design.
555# original assuming equal trace lengths  INST "*rgmii?rgmii_rx_ctl_delay" IDELAY_VALUE = 13;
556# original assuming equal trace lengths  INST "*rgmii?rgmii_rx_d0_delay"  IDELAY_VALUE = 13;
557# original assuming equal trace lengths  INST "*rgmii?rgmii_rx_d1_delay"  IDELAY_VALUE = 13;
558# original assuming equal trace lengths  INST "*rgmii?rgmii_rx_d2_delay"  IDELAY_VALUE = 13;
559# original assuming equal trace lengths  INST "*rgmii?rgmii_rx_d3_delay"  IDELAY_VALUE = 13;
560
561INST "*ETH_B*rgmii?rgmii_rx_ctl_delay" IDELAY_VALUE = 13;
562INST "*ETH_B*rgmii?rgmii_rx_d0_delay"  IDELAY_VALUE = 13;
563INST "*ETH_B*rgmii?rgmii_rx_d1_delay"  IDELAY_VALUE = 13;
564INST "*ETH_B*rgmii?rgmii_rx_d2_delay"  IDELAY_VALUE = 13;
565INST "*ETH_B*rgmii?rgmii_rx_d3_delay"  IDELAY_VALUE = 13;
566
567INST "*ETH_B*rgmii_rxc0_delay"          IDELAY_VALUE = 0;
568INST "*ETH_B*rgmii_rxc0_delay"          SIGNAL_PATTERN = CLOCK;
569 
570INST "*ETH_B*rgmii?rgmii_tx_clk_delay" ODELAY_VALUE = 6;
571INST "*ETH_B*rgmii?rgmii_tx_clk_delay" SIGNAL_PATTERN = CLOCK;
572
573# Group all IODELAY-related blocks to use a single IDELAYCTRL
574
575# Change - added TNMs for trace length variations
576INST "ETH_B_RGMII_RXD_0_pin[0]" TNM = "B_rgmii_rx_d0";
577INST "ETH_B_RGMII_RXD_0_pin[1]" TNM = "B_rgmii_rx_d1";
578INST "ETH_B_RGMII_RXD_0_pin[2]" TNM = "B_rgmii_rx_d2";
579INST "ETH_B_RGMII_RXD_0_pin[3]" TNM = "B_rgmii_rx_d3";
580INST "ETH_B_RGMII_RX_CTL_0_pin" TNM = "B_rgmii_rx_ctrl";
581
582# Spec: 1.2ns setup time, 1.2ns hold time
583# The internal PHY delays were not used to derive the OFFSET constraints                                                                 
584# Changed NET Name
585#  This signal trace is longer than the clock trace, and arrives at the FPGA pin 64 ps after the clock
586#  Therefore the offset in constraint must have less setup time than nominal
587TIMEGRP "B_rgmii_rx_d0" OFFSET = IN 1.2 ns VALID 2.4 ns BEFORE "ETH_B_RGMII_RXC_0_pin" RISING;
588TIMEGRP "B_rgmii_rx_d0" OFFSET = IN 1.2 ns VALID 2.4 ns BEFORE "ETH_B_RGMII_RXC_0_pin" FALLING;
589
590#  This signal trace is shorter than the clock trace, and arrives at the FPGA pin 376 ps before the clock
591#  Therefore the offset in constraint must have more setup time than nominal
592TIMEGRP "B_rgmii_rx_d1" OFFSET = IN 1.2 ns VALID 2.4 ns BEFORE "ETH_B_RGMII_RXC_0_pin" RISING;
593TIMEGRP "B_rgmii_rx_d1" OFFSET = IN 1.2 ns VALID 2.4 ns BEFORE "ETH_B_RGMII_RXC_0_pin" FALLING;
594
595#  This signal trace is shorter than the clock trace, and arrives at the FPGA pin 372 ps before the clock
596#  Therefore the offset in constraint must have more setup time than nominal
597TIMEGRP "B_rgmii_rx_d2" OFFSET = IN 1.2 ns VALID 2.4 ns BEFORE "ETH_B_RGMII_RXC_0_pin" RISING;
598TIMEGRP "B_rgmii_rx_d2" OFFSET = IN 1.2 ns VALID 2.4 ns BEFORE "ETH_B_RGMII_RXC_0_pin" FALLING;
599
600#  This signal trace is shorter than the clock trace, and arrives at the FPGA pin 115 ps before the clock
601#  Therefore the offset in constraint must have more setup time than nominal
602TIMEGRP "B_rgmii_rx_d3" OFFSET = IN 1.2 ns VALID 2.4 ns BEFORE "ETH_B_RGMII_RXC_0_pin" RISING;
603TIMEGRP "B_rgmii_rx_d3" OFFSET = IN 1.2 ns VALID 2.4 ns BEFORE "ETH_B_RGMII_RXC_0_pin" FALLING;
604
605#  This signal trace is shorter than the clock trace, and arrives at the FPGA pin 292 ps before the clock
606#  Therefore the offset in constraint must have more setup time than nominal
607TIMEGRP "B_rgmii_rx_ctrl" OFFSET = IN 1.2 ns VALID 2.4 ns BEFORE "ETH_B_RGMII_RXC_0_pin" RISING;
608TIMEGRP "B_rgmii_rx_ctrl" OFFSET = IN 1.2 ns VALID 2.4 ns BEFORE "ETH_B_RGMII_RXC_0_pin" FALLING;
609
610
611NET "*ETH_B*/LlinkTemac0_CLK" TNM_NET = "B_LLCLK0"; #name of signal connected to TEMAC LlinkTemac0_CLK input
612NET "*ETH_B*/SPLB_Clk" TNM_NET = "B_PLBCLK"; #name of signal connected to TEMAC SPLB_Clk input
613
614TIMESPEC "TS_B_LL_CLK0_2_RX_CLIENT_CLK0"  = FROM B_LLCLK0 TO B_phy_clk_rx 8000 ps DATAPATHONLY; #constant value based on Ethernet clock                 
615TIMESPEC "TS_B_LL_CLK0_2_TX_CLIENT_CLK0"  = FROM B_LLCLK0 TO B_phy_clk_tx 8000 ps DATAPATHONLY; #constant value based on Ethernet clock             
616TIMESPEC "TS_B_RX_CLIENT_CLK0_2_LL_CLK0"  = FROM B_phy_clk_rx TO B_LLCLK0 8000 ps DATAPATHONLY; #varies based on period of LocalLink clock           
617TIMESPEC "TS_B_TX_CLIENT_CLK0_2_LL_CLK0"  = FROM B_phy_clk_tx TO B_LLCLK0 8000 ps DATAPATHONLY; #varies based on period of LocalLink clock       
618
619###### DDR3_2GB_SODIMM
620#2012-Apr-2:
621# -Started with old UCF snippet from early FPGA pinout testing
622# -Updated LOC constraints to match MIG 13.4 design which met timing for 2GB SO-DIMM (-1 @ 400MHz, -2 @ 533MHz)
623
624###### DDR3_SDRAM
625
626# Constrain BUFR clocks used to synchronize data from IOB to fabric logic
627# Note that ISE cannot infer this from other PERIOD constraints because
628# of the use of OSERDES blocks in the BUFR clock generation path
629NET "*/mpmc_core_0/gen_v6_ddr3_phy.mpmc_phy_if_0/clk_rsync[?]" TNM_NET = TNM_clk_rsync;
630TIMESPEC "TS_clk_rsync" = PERIOD "TNM_clk_rsync" 5000 ps;       # This is over-constraint for 200MHz, user can relax it to match mpmc_clk0
631 
632# Paths between DQ/DQS ISERDES.Q outputs and CLB flops clocked by falling
633# edge of BUFR will by design only be used if DYNCLKDIVSEL is asserted for
634# that particular flop. Mark this path as being a full-cycle, rather than
635# a half cycle path for timing purposes. NOTE: This constraint forces full-
636# cycle timing to be applied globally for all rising->falling edge paths
637# in all resynchronizaton clock domains. If the user had modified the logic
638# in the resync clock domain such that other rising->falling edge paths
639# exist, then constraint below should be modified to utilize pattern
640# matching to specific affect only the DQ/DQS ISERDES.Q outputs
641TIMEGRP "TG_clk_rsync_rise" = RISING  "TNM_clk_rsync";
642TIMEGRP "TG_clk_rsync_fall" = FALLING "TNM_clk_rsync";
643TIMESPEC "TS_clk_rsync_rise_to_fall" =    FROM "TG_clk_rsync_rise" TO "TG_clk_rsync_fall" 5000 ps;    # This is over-constraint for 200MHz, user can relax it to match mpmc_clk0
644 
645# Signal to select between controller and physical layer signals. Four divided by two clock
646# cycles (4 memory clock cycles) are provided by design for the signal to settle down.
647# Used only by the phy modules.
648INST "*/mpmc_core_0/gen_v6_ddr3_phy.mpmc_phy_if_0/u_phy_init/u_ff_phy_init_data_sel" TNM = "TNM_PHY_INIT_SEL";
649TIMESPEC "TS_MC_PHY_INIT_SEL" = FROM "TNM_PHY_INIT_SEL" TO FFS = 10000 ps;                         # This is over-constraint, user can relax it to match 4 memory clock cycles
650
651#Internal Vref
652CONFIG INTERNAL_VREF_BANK22=0.75;
653CONFIG INTERNAL_VREF_BANK23=0.75;
654CONFIG INTERNAL_VREF_BANK33=0.75;
655
656#DCI Cascading
657CONFIG DCI_CASCADE = "23 22";
658
659#BUFR IOBs (must be unconnected in FPGA and PCB)
660CONFIG PROHIBIT = AH17,AP20;
661
662#BUFIO IOBs (must be unconnected in FPGA and PCB)
663CONFIG PROHIBIT = AC13,AD12,AF19,AF20,AH23,AK27,AN27,AP11;
664
665######################################################################################
666##Place RSYNC OSERDES and IODELAY:                                                  ##
667######################################################################################
668
669#MPMC as of EDK 13.4 only supports 32-bit memories
670##Site: AH17 -- Bank 32
671#INST "*/u_phy_read/u_phy_rdclk_gen/gen_loop_col1.u_oserdes_rsync" LOC = "OLOGIC_X2Y23";
672#INST "*/u_phy_read/u_phy_rdclk_gen/gen_loop_col1.u_odelay_rsync" LOC = "IODELAY_X2Y23";
673#INST "*/u_phy_read/u_phy_rdclk_gen/gen_loop_col1.u_bufr_rsync" LOC = "BUFR_X2Y1";
674
675##Site: AP20 -- Bank 22
676INST "*/u_phy_read/u_phy_rdclk_gen/gen_loop_col0.u_oserdes_rsync" LOC = "OLOGIC_X1Y21";
677INST "*/u_phy_read/u_phy_rdclk_gen/gen_loop_col0.u_odelay_rsync" LOC = "IODELAY_X1Y21";
678INST "*/u_phy_read/u_phy_rdclk_gen/gen_loop_col0.u_bufr_rsync" LOC = "BUFR_X1Y1";
679
680
681######################################################################################
682##Place CPT OSERDES and IODELAY:                                                    ##
683######################################################################################
684
685##Site: AH23 -- Bank 23
686INST "*/u_phy_read/u_phy_rdclk_gen/gen_ck_cpt[0].u_oserdes_cpt" LOC = "OLOGIC_X1Y57";
687INST "*/u_phy_read/u_phy_rdclk_gen/gen_ck_cpt[0].u_odelay_cpt" LOC = "IODELAY_X1Y57";
688
689##Site: AK27 -- Bank 23
690INST "*/u_phy_read/u_phy_rdclk_gen/gen_ck_cpt[1].u_oserdes_cpt" LOC = "OLOGIC_X1Y59";
691INST "*/u_phy_read/u_phy_rdclk_gen/gen_ck_cpt[1].u_odelay_cpt" LOC = "IODELAY_X1Y59";
692
693##Site: AN27 -- Bank 23
694INST "*/u_phy_read/u_phy_rdclk_gen/gen_ck_cpt[2].u_oserdes_cpt" LOC = "OLOGIC_X1Y61";
695INST "*/u_phy_read/u_phy_rdclk_gen/gen_ck_cpt[2].u_odelay_cpt" LOC = "IODELAY_X1Y61";
696
697##Site: AF19 -- Bank 22
698INST "*/u_phy_read/u_phy_rdclk_gen/gen_ck_cpt[3].u_oserdes_cpt" LOC = "OLOGIC_X1Y23";
699INST "*/u_phy_read/u_phy_rdclk_gen/gen_ck_cpt[3].u_odelay_cpt" LOC = "IODELAY_X1Y23";
700
701#MPMC as of EDK 13.4 only supports 32-bit memories
702##Site: AF20 -- Bank 22
703#INST "*/u_phy_read/u_phy_rdclk_gen/gen_ck_cpt[4].u_oserdes_cpt" LOC = "OLOGIC_X1Y17";
704#INST "*/u_phy_read/u_phy_rdclk_gen/gen_ck_cpt[4].u_odelay_cpt" LOC = "IODELAY_X1Y17";
705
706##Site: AP11 -- Bank 33
707#INST "*/u_phy_read/u_phy_rdclk_gen/gen_ck_cpt[5].u_oserdes_cpt" LOC = "OLOGIC_X2Y57";
708#INST "*/u_phy_read/u_phy_rdclk_gen/gen_ck_cpt[5].u_odelay_cpt" LOC = "IODELAY_X2Y57";
709
710##Site: AC13 -- Bank 33
711#INST "*/u_phy_read/u_phy_rdclk_gen/gen_ck_cpt[6].u_oserdes_cpt" LOC = "OLOGIC_X2Y61";
712#INST "*/u_phy_read/u_phy_rdclk_gen/gen_ck_cpt[6].u_odelay_cpt" LOC = "IODELAY_X2Y61";
713
714##Site: AD12 -- Bank 33
715#INST "*/u_phy_read/u_phy_rdclk_gen/gen_ck_cpt[7].u_oserdes_cpt" LOC = "OLOGIC_X2Y59";
716#INST "*/u_phy_read/u_phy_rdclk_gen/gen_ck_cpt[7].u_odelay_cpt" LOC = "IODELAY_X2Y59";
717
718
Note: See TracBrowser for help on using the repository browser.