source: ResearchApps/PHY/WARPLAB/WARPLab_v6p3/Sysgen_Model/w3_warplab_buffers.mdl

Last change on this file was 1808, checked in by chunter, 12 years ago

updated sysgen designs.... reset versions to 1.00.a

File size: 969.2 KB
Line 
1Model {
2  Name            "w3_warplab_buffers"
3  Version         7.7
4  MdlSubVersion       0
5  GraphicalInterface {
6    NumRootInports      0
7    NumRootOutports     0
8    ParameterArgumentNames  ""
9    ComputedModelVersion    "1.203"
10    NumModelReferences      0
11    NumTestPointedSignals   0
12  }
13  SavedCharacterEncoding  "windows-1252"
14  SaveDefaultBlockParams  on
15  ScopeRefreshTime    0.035000
16  OverrideScopeRefreshTime on
17  DisableAllScopes    off
18  DataTypeOverride    "UseLocalSettings"
19  DataTypeOverrideAppliesTo "AllNumericTypes"
20  MinMaxOverflowLogging   "UseLocalSettings"
21  MinMaxOverflowArchiveMode "Overwrite"
22  MaxMDLFileLineLength    120
23  Created         "Wed Jan 07 15:32:06 2009"
24  Creator         "mduarte"
25  UpdateHistory       "UpdateHistoryNever"
26  ModifiedByFormat    "%<Auto>"
27  LastModifiedBy      "chunter"
28  ModifiedDateFormat      "%<Auto>"
29  LastModifiedDate    "Wed Aug 29 13:54:58 2012"
30  RTWModifiedTimeStamp    268149230
31  ModelVersionFormat      "1.%<AutoIncrement:203>"
32  ConfigurationManager    "None"
33  SampleTimeColors    on
34  SampleTimeAnnotations   off
35  LibraryLinkDisplay      "none"
36  WideLines       off
37  ShowLineDimensions      off
38  ShowPortDataTypes   on
39  ShowLoopsOnError    on
40  IgnoreBidirectionalLines off
41  ShowStorageClass    off
42  ShowTestPointIcons      on
43  ShowSignalResolutionIcons on
44  ShowViewerIcons     on
45  SortedOrder         off
46  ExecutionContextIcon    off
47  ShowLinearizationAnnotations on
48  BlockNameDataTip    off
49  BlockParametersDataTip  off
50  BlockDescriptionStringDataTip off
51  ToolBar         on
52  StatusBar       on
53  BrowserShowLibraryLinks off
54  BrowserLookUnderMasks   off
55  SimulationMode      "normal"
56  LinearizationMsg    "none"
57  Profile         off
58  ParamWorkspaceSource    "MATLABWorkspace"
59  AccelSystemTargetFile   "accel.tlc"
60  AccelTemplateMakefile   "accel_default_tmf"
61  AccelMakeCommand    "make_rtw"
62  TryForcingSFcnDF    off
63  RecordCoverage      off
64  CovPath         "/"
65  CovSaveName         "covdata"
66  CovMetricSettings   "dw"
67  CovNameIncrementing     off
68  CovHtmlReporting    on
69  CovForceBlockReductionOff on
70  covSaveCumulativeToWorkspaceVar on
71  CovSaveSingleToWorkspaceVar on
72  CovCumulativeVarName    "covCumulativeData"
73  CovCumulativeReport     off
74  CovReportOnPause    on
75  CovModelRefEnable   "Off"
76  CovExternalEMLEnable    off
77  ExtModeBatchMode    off
78  ExtModeEnableFloating   on
79  ExtModeTrigType     "manual"
80  ExtModeTrigMode     "normal"
81  ExtModeTrigPort     "1"
82  ExtModeTrigElement      "any"
83  ExtModeTrigDuration     1000
84  ExtModeTrigDurationFloating "auto"
85  ExtModeTrigHoldOff      0
86  ExtModeTrigDelay    0
87  ExtModeTrigDirection    "rising"
88  ExtModeTrigLevel    0
89  ExtModeArchiveMode      "off"
90  ExtModeAutoIncOneShot   off
91  ExtModeIncDirWhenArm    off
92  ExtModeAddSuffixToVar   off
93  ExtModeWriteAllDataToWs off
94  ExtModeArmWhenConnect   on
95  ExtModeSkipDownloadWhenConnect off
96  ExtModeLogAll       on
97  ExtModeAutoUpdateStatusClock on
98  BufferReuse         on
99  ShowModelReferenceBlockVersion off
100  ShowModelReferenceBlockIO off
101  Array {
102    Type            "Handle"
103    Dimension           1
104    Simulink.ConfigSet {
105      $ObjectID           1
106      Version             "1.11.0"
107      Array {
108    Type            "Handle"
109    Dimension       8
110    Simulink.SolverCC {
111      $ObjectID       2
112      Version         "1.11.0"
113      StartTime       "0.0"
114      StopTime        "50000"
115      AbsTol          "auto"
116      FixedStep       "auto"
117      InitialStep         "auto"
118      MaxNumMinSteps      "-1"
119      MaxOrder        5
120      ZcThreshold         "auto"
121      ConsecutiveZCsStepRelTol "10*128*eps"
122      MaxConsecutiveZCs   "1000"
123      ExtrapolationOrder      4
124      NumberNewtonIterations  1
125      MaxStep         "auto"
126      MinStep         "auto"
127      MaxConsecutiveMinStep   "1"
128      RelTol          "1e-3"
129      SolverMode          "Auto"
130      ConcurrentTasks     off
131      Solver          "ode45"
132      SolverName          "ode45"
133      SolverJacobianMethodControl "auto"
134      ShapePreserveControl    "DisableAll"
135      ZeroCrossControl    "UseLocalSettings"
136      ZeroCrossAlgorithm      "Nonadaptive"
137      AlgebraicLoopSolver     "TrustRegion"
138      SolverResetMethod   "Fast"
139      PositivePriorityOrder   off
140      AutoInsertRateTranBlk   off
141      SampleTimeConstraint    "Unconstrained"
142      InsertRTBMode       "Whenever possible"
143    }
144    Simulink.DataIOCC {
145      $ObjectID       3
146      Version         "1.11.0"
147      Decimation          "1"
148      ExternalInput       "[t, u]"
149      FinalStateName      "xFinal"
150      InitialState        "xInitial"
151      LimitDataPoints     on
152      MaxDataPoints       "1000"
153      LoadExternalInput   off
154      LoadInitialState    off
155      SaveFinalState      off
156      SaveCompleteFinalSimState off
157      SaveFormat          "Array"
158      SignalLoggingSaveFormat "ModelDataLogs"
159      SaveOutput          on
160      SaveState       off
161      SignalLogging       on
162      DSMLogging          on
163      InspectSignalLogs   off
164      SaveTime        on
165      ReturnWorkspaceOutputs  off
166      StateSaveName       "xout"
167      TimeSaveName        "tout"
168      OutputSaveName      "yout"
169      SignalLoggingName   "logsout"
170      DSMLoggingName      "dsmout"
171      OutputOption        "RefineOutputTimes"
172      OutputTimes         "[]"
173      ReturnWorkspaceOutputsName "out"
174      Refine          "1"
175    }
176    Simulink.OptimizationCC {
177      $ObjectID       4
178      Version         "1.11.0"
179      Array {
180        Type            "Cell"
181        Dimension           4
182        Cell            "ZeroExternalMemoryAtStartup"
183        Cell            "ZeroInternalMemoryAtStartup"
184        Cell            "NoFixptDivByZeroProtection"
185        Cell            "OptimizeModelRefInitCode"
186        PropName            "DisabledProps"
187      }
188      BlockReduction      on
189      BooleanDataType     on
190      ConditionallyExecuteInputs on
191      InlineParams        off
192      UseIntDivNetSlope   off
193      UseSpecifiedMinMax      off
194      InlineInvariantSignals  off
195      OptimizeBlockIOStorage  on
196      BufferReuse         on
197      EnhancedBackFolding     off
198      StrengthReduction   off
199      ExpressionFolding   on
200      BooleansAsBitfields     off
201      BitfieldContainerType   "uint_T"
202      EnableMemcpy        on
203      MemcpyThreshold     64
204      PassReuseOutputArgsAs   "Structure reference"
205      ExpressionDepthLimit    2147483647
206      FoldNonRolledExpr   on
207      LocalBlockOutputs   on
208      RollThreshold       5
209      SystemCodeInlineAuto    off
210      StateBitsets        off
211      DataBitsets         off
212      UseTempVars         off
213      ZeroExternalMemoryAtStartup on
214      ZeroInternalMemoryAtStartup on
215      InitFltsAndDblsToZero   on
216      NoFixptDivByZeroProtection off
217      EfficientFloat2IntCast  off
218      EfficientMapNaN2IntZero on
219      OptimizeModelRefInitCode off
220      LifeSpan        "inf"
221      MaxStackSize        "Inherit from target"
222      BufferReusableBoundary  on
223      SimCompilerOptimization "Off"
224      AccelVerboseBuild   off
225      AccelParallelForEachSubsystem on
226    }
227    Simulink.DebuggingCC {
228      $ObjectID       5
229      Version         "1.11.0"
230      RTPrefix        "error"
231      ConsistencyChecking     "none"
232      ArrayBoundsChecking     "none"
233      SignalInfNanChecking    "none"
234      SignalRangeChecking     "none"
235      ReadBeforeWriteMsg      "UseLocalSettings"
236      WriteAfterWriteMsg      "UseLocalSettings"
237      WriteAfterReadMsg   "UseLocalSettings"
238      AlgebraicLoopMsg    "warning"
239      ArtificialAlgebraicLoopMsg "warning"
240      SaveWithDisabledLinksMsg "warning"
241      SaveWithParameterizedLinksMsg "none"
242      CheckSSInitialOutputMsg on
243      UnderspecifiedInitializationDetection "Classic"
244      MergeDetectMultiDrivingBlocksExec "none"
245      CheckExecutionContextPreStartOutputMsg off
246      CheckExecutionContextRuntimeOutputMsg off
247      SignalResolutionControl "UseLocalSettings"
248      BlockPriorityViolationMsg "warning"
249      MinStepSizeMsg      "warning"
250      TimeAdjustmentMsg   "none"
251      MaxConsecutiveZCsMsg    "error"
252      MaskedZcDiagnostic      "warning"
253      IgnoredZcDiagnostic     "warning"
254      SolverPrmCheckMsg   "warning"
255      InheritedTsInSrcMsg     "warning"
256      DiscreteInheritContinuousMsg "warning"
257      MultiTaskDSMMsg     "error"
258      MultiTaskCondExecSysMsg "error"
259      MultiTaskRateTransMsg   "error"
260      SingleTaskRateTransMsg  "none"
261      TasksWithSamePriorityMsg "warning"
262      SigSpecEnsureSampleTimeMsg "warning"
263      CheckMatrixSingularityMsg "none"
264      IntegerOverflowMsg      "warning"
265      Int32ToFloatConvMsg     "warning"
266      ParameterDowncastMsg    "error"
267      ParameterOverflowMsg    "error"
268      ParameterUnderflowMsg   "none"
269      ParameterPrecisionLossMsg "warning"
270      ParameterTunabilityLossMsg "warning"
271      FixptConstUnderflowMsg  "none"
272      FixptConstOverflowMsg   "none"
273      FixptConstPrecisionLossMsg "none"
274      UnderSpecifiedDataTypeMsg "none"
275      UnnecessaryDatatypeConvMsg "none"
276      VectorMatrixConversionMsg "none"
277      InvalidFcnCallConnMsg   "error"
278      FcnCallInpInsideContextMsg "Use local settings"
279      SignalLabelMismatchMsg  "none"
280      UnconnectedInputMsg     "warning"
281      UnconnectedOutputMsg    "warning"
282      UnconnectedLineMsg      "warning"
283      SFcnCompatibilityMsg    "none"
284      UniqueDataStoreMsg      "none"
285      BusObjectLabelMismatch  "warning"
286      RootOutportRequireBusObject "warning"
287      AssertControl       "UseLocalSettings"
288      EnableOverflowDetection off
289      ModelReferenceIOMsg     "none"
290      ModelReferenceMultiInstanceNormalModeStructChecksumCheck "error"
291      ModelReferenceVersionMismatchMessage "none"
292      ModelReferenceIOMismatchMessage "none"
293      ModelReferenceCSMismatchMessage "none"
294      UnknownTsInhSupMsg      "warning"
295      ModelReferenceDataLoggingMessage "warning"
296      ModelReferenceSymbolNameMessage "warning"
297      ModelReferenceExtraNoncontSigs "error"
298      StateNameClashWarn      "warning"
299      SimStateInterfaceChecksumMismatchMsg "warning"
300      SimStateOlderReleaseMsg "error"
301      InitInArrayFormatMsg    "warning"
302      StrictBusMsg        "Warning"
303      BusNameAdapt        "WarnAndRepair"
304      NonBusSignalsTreatedAsBus "none"
305      LoggingUnavailableSignals "error"
306      BlockIODiagnostic   "none"
307      SFUnusedDataAndEventsDiag "warning"
308      SFUnexpectedBacktrackingDiag "warning"
309      SFInvalidInputDataAccessInChartInitDiag "warning"
310      SFNoUnconditionalDefaultTransitionDiag "warning"
311      SFTransitionOutsideNaturalParentDiag "warning"
312    }
313    Simulink.HardwareCC {
314      $ObjectID       6
315      Version         "1.11.0"
316      ProdBitPerChar      8
317      ProdBitPerShort     16
318      ProdBitPerInt       32
319      ProdBitPerLong      32
320      ProdBitPerFloat     32
321      ProdBitPerDouble    64
322      ProdBitPerPointer   32
323      ProdLargestAtomicInteger "Char"
324      ProdLargestAtomicFloat  "None"
325      ProdIntDivRoundTo   "Undefined"
326      ProdEndianess       "Unspecified"
327      ProdWordSize        32
328      ProdShiftRightIntArith  on
329      ProdHWDeviceType    "32-bit Generic"
330      TargetBitPerChar    8
331      TargetBitPerShort   16
332      TargetBitPerInt     32
333      TargetBitPerLong    32
334      TargetBitPerFloat   32
335      TargetBitPerDouble      64
336      TargetBitPerPointer     32
337      TargetLargestAtomicInteger "Char"
338      TargetLargestAtomicFloat "None"
339      TargetShiftRightIntArith on
340      TargetIntDivRoundTo     "Undefined"
341      TargetEndianess     "Unspecified"
342      TargetWordSize      32
343      TargetTypeEmulationWarnSuppressLevel 0
344      TargetPreprocMaxBitsSint 32
345      TargetPreprocMaxBitsUint 32
346      TargetHWDeviceType      "Specified"
347      TargetUnknown       off
348      ProdEqTarget        on
349    }
350    Simulink.ModelReferenceCC {
351      $ObjectID       7
352      Version         "1.11.0"
353      UpdateModelReferenceTargets "IfOutOfDateOrStructuralChange"
354      CheckModelReferenceTargetMessage "error"
355      EnableParallelModelReferenceBuilds off
356      ParallelModelReferenceErrorOnInvalidPool on
357      ParallelModelReferenceMATLABWorkerInit "None"
358      ModelReferenceNumInstancesAllowed "Multi"
359      PropagateVarSize    "Infer from blocks in model"
360      ModelReferencePassRootInputsByReference on
361      ModelReferenceMinAlgLoopOccurrences off
362      PropagateSignalLabelsOutOfModel off
363      SupportModelReferenceSimTargetCustomCode off
364    }
365    Simulink.SFSimCC {
366      $ObjectID       8
367      Version         "1.11.0"
368      SFSimEnableDebug    on
369      SFSimOverflowDetection  on
370      SFSimEcho       on
371      SimBlas         on
372      SimCtrlC        on
373      SimExtrinsic        on
374      SimIntegrity        on
375      SimUseLocalCustomCode   off
376      SimParseCustomCode      on
377      SimBuildMode        "sf_incremental_build"
378    }
379    Simulink.RTWCC {
380      $BackupClass        "Simulink.RTWCC"
381      $ObjectID       9
382      Version         "1.11.0"
383      Array {
384        Type            "Cell"
385        Dimension           1
386        Cell            "IncludeHyperlinkInReport"
387        PropName            "DisabledProps"
388      }
389      SystemTargetFile    "grt.tlc"
390      GenCodeOnly         off
391      MakeCommand         "make_rtw"
392      GenerateMakefile    on
393      TemplateMakefile    "grt_default_tmf"
394      GenerateReport      off
395      SaveLog         off
396      RTWVerbose          on
397      RetainRTWFile       off
398      ProfileTLC          off
399      TLCDebug        off
400      TLCCoverage         off
401      TLCAssert       off
402      ProcessScriptMode   "Default"
403      ConfigurationMode   "Optimized"
404      ConfigAtBuild       off
405      RTWUseLocalCustomCode   off
406      RTWUseSimCustomCode     off
407      IncludeHyperlinkInReport off
408      LaunchReport        off
409      TargetLang          "C"
410      IncludeBusHierarchyInRTWFileBlockHierarchyMap off
411      IncludeERTFirstTime     off
412      GenerateTraceInfo   off
413      GenerateTraceReport     off
414      GenerateTraceReportSl   off
415      GenerateTraceReportSf   off
416      GenerateTraceReportEml  off
417      GenerateCodeInfo    off
418      GenerateSLWebview   off
419      GenerateCodeMetricsReport off
420      RTWCompilerOptimization "Off"
421      CheckMdlBeforeBuild     "Off"
422      CustomRebuildMode   "OnUpdate"
423      Array {
424        Type            "Handle"
425        Dimension           2
426        Simulink.CodeAppCC {
427          $ObjectID           10
428          Version             "1.11.0"
429          Array {
430        Type            "Cell"
431        Dimension       16
432        Cell            "IgnoreCustomStorageClasses"
433        Cell            "InsertBlockDesc"
434        Cell            "SFDataObjDesc"
435        Cell            "SimulinkDataObjDesc"
436        Cell            "DefineNamingRule"
437        Cell            "SignalNamingRule"
438        Cell            "ParamNamingRule"
439        Cell            "InlinedPrmAccess"
440        Cell            "CustomSymbolStr"
441        Cell            "CustomSymbolStrGlobalVar"
442        Cell            "CustomSymbolStrType"
443        Cell            "CustomSymbolStrField"
444        Cell            "CustomSymbolStrFcn"
445        Cell            "CustomSymbolStrBlkIO"
446        Cell            "CustomSymbolStrTmpVar"
447        Cell            "CustomSymbolStrMacro"
448        PropName        "DisabledProps"
449          }
450          ForceParamTrailComments off
451          GenerateComments        on
452          IgnoreCustomStorageClasses on
453          IgnoreTestpoints        off
454          IncHierarchyInIds       off
455          MaxIdLength         31
456          PreserveName        off
457          PreserveNameWithParent  off
458          ShowEliminatedStatement off
459          IncAutoGenComments      off
460          SimulinkDataObjDesc     off
461          SFDataObjDesc       off
462          MATLABFcnDesc       off
463          IncDataTypeInIds        off
464          MangleLength        1
465          CustomSymbolStrGlobalVar "$R$N$M"
466          CustomSymbolStrType     "$N$R$M"
467          CustomSymbolStrField    "$N$M"
468          CustomSymbolStrFcn      "$R$N$M$F"
469          CustomSymbolStrFcnArg   "rt$I$N$M"
470          CustomSymbolStrBlkIO    "rtb_$N$M"
471          CustomSymbolStrTmpVar   "$N$M"
472          CustomSymbolStrMacro    "$R$N$M"
473          DefineNamingRule        "None"
474          ParamNamingRule         "None"
475          SignalNamingRule        "None"
476          InsertBlockDesc         off
477          InsertPolySpaceComments off
478          SimulinkBlockComments   on
479          MATLABSourceComments    off
480          EnableCustomComments    off
481          InlinedPrmAccess        "Literals"
482          ReqsInCode          off
483          UseSimReservedNames     off
484        }
485        Simulink.GRTTargetCC {
486          $BackupClass        "Simulink.TargetCC"
487          $ObjectID           11
488          Version             "1.11.0"
489          Array {
490        Type            "Cell"
491        Dimension       14
492        Cell            "IncludeMdlTerminateFcn"
493        Cell            "CombineOutputUpdateFcns"
494        Cell            "SuppressErrorStatus"
495        Cell            "ERTCustomFileBanners"
496        Cell            "GenerateSampleERTMain"
497        Cell            "GenerateTestInterfaces"
498        Cell            "ModelStepFunctionPrototypeControlCompliant"
499        Cell            "MultiInstanceERTCode"
500        Cell            "PurelyIntegerCode"
501        Cell            "PortableWordSizes"
502        Cell            "SupportComplex"
503        Cell            "SupportAbsoluteTime"
504        Cell            "SupportContinuousTime"
505        Cell            "SupportNonInlinedSFcns"
506        PropName        "DisabledProps"
507          }
508          TargetFcnLib        "ansi_tfl_tmw.mat"
509          TargetLibSuffix         ""
510          TargetPreCompLibLocation ""
511          TargetFunctionLibrary   "ANSI_C"
512          UtilityFuncGeneration   "Auto"
513          ERTMultiwordTypeDef     "System defined"
514          FunctionExecutionProfile off
515          CodeExecutionProfiling  off
516          ERTCodeCoverageTool     "None"
517          ERTMultiwordLength      256
518          MultiwordLength         2048
519          GenerateFullHeader      on
520          GenerateSampleERTMain   off
521          GenerateTestInterfaces  off
522          IsPILTarget         off
523          ModelReferenceCompliant on
524          ParMdlRefBuildCompliant on
525          CompOptLevelCompliant   on
526          IncludeMdlTerminateFcn  on
527          GeneratePreprocessorConditionals "Disable all"
528          CombineOutputUpdateFcns off
529          CombineSignalStateStructs off
530          SuppressErrorStatus     off
531          ERTFirstTimeCompliant   off
532          IncludeFileDelimiter    "Auto"
533          ERTCustomFileBanners    off
534          SupportAbsoluteTime     on
535          LogVarNameModifier      "rt_"
536          MatFileLogging          on
537          MultiInstanceERTCode    off
538          SupportNonFinite        on
539          SupportComplex          on
540          PurelyIntegerCode       off
541          SupportContinuousTime   on
542          SupportNonInlinedSFcns  on
543          SupportVariableSizeSignals off
544          EnableShiftOperators    on
545          ParenthesesLevel        "Nominal"
546          PortableWordSizes       off
547          ModelStepFunctionPrototypeControlCompliant off
548          CPPClassGenCompliant    off
549          AutosarCompliant        off
550          UseMalloc           off
551          ExtMode             off
552          ExtModeStaticAlloc      off
553          ExtModeTesting          off
554          ExtModeStaticAllocSize  1000000
555          ExtModeTransport        0
556          ExtModeMexFile          "ext_comm"
557          ExtModeIntrfLevel       "Level1"
558          RTWCAPISignals          off
559          RTWCAPIParams       off
560          RTWCAPIStates       off
561          RTWCAPIRootIO       off
562          GenerateASAP2       off
563        }
564        PropName            "Components"
565      }
566    }
567    PropName        "Components"
568      }
569      Name            "Configuration"
570      CurrentDlgPage          "Solver"
571      ConfigPrmDlgPosition     [ 840, 405, 1720, 1035 ]
572    }
573    PropName            "ConfigurationSets"
574  }
575  Simulink.ConfigSet {
576    $PropName           "ActiveConfigurationSet"
577    $ObjectID           1
578  }
579  BlockDefaults {
580    ForegroundColor     "black"
581    BackgroundColor     "white"
582    DropShadow          off
583    NamePlacement       "normal"
584    FontName            "Arial"
585    FontSize            10
586    FontWeight          "normal"
587    FontAngle           "normal"
588    ShowName            on
589    BlockRotation       0
590    BlockMirror         off
591  }
592  AnnotationDefaults {
593    HorizontalAlignment     "center"
594    VerticalAlignment       "middle"
595    ForegroundColor     "black"
596    BackgroundColor     "white"
597    DropShadow          off
598    FontName            "Arial"
599    FontSize            10
600    FontWeight          "normal"
601    FontAngle           "normal"
602    UseDisplayTextAsClickCallback off
603  }
604  LineDefaults {
605    FontName            "Arial"
606    FontSize            9
607    FontWeight          "normal"
608    FontAngle           "normal"
609  }
610  BlockParameterDefaults {
611    Block {
612      BlockType           Constant
613      Value           "1"
614      VectorParams1D          on
615      SamplingMode        "Sample based"
616      OutMin              "[]"
617      OutMax              "[]"
618      OutDataTypeStr          "Inherit: Inherit from 'Constant value'"
619      LockScale           off
620      SampleTime          "inf"
621      FramePeriod         "inf"
622      PreserveConstantTs      off
623    }
624    Block {
625      BlockType           DiscretePulseGenerator
626      PulseType           "Sample based"
627      TimeSource          "Use simulation time"
628      Amplitude           "1"
629      Period              "2"
630      PulseWidth          "1"
631      PhaseDelay          "0"
632      SampleTime          "1"
633      VectorParams1D          on
634    }
635    Block {
636      BlockType           From
637      IconDisplay         "Tag"
638      TagVisibility       "local"
639    }
640    Block {
641      BlockType           Goto
642      IconDisplay         "Tag"
643    }
644    Block {
645      BlockType           Inport
646      Port            "1"
647      OutputFunctionCall      off
648      OutMin              "[]"
649      OutMax              "[]"
650      OutDataTypeStr          "Inherit: auto"
651      LockScale           off
652      BusOutputAsStruct       off
653      PortDimensions          "-1"
654      VarSizeSig          "Inherit"
655      SampleTime          "-1"
656      SignalType          "auto"
657      SamplingMode        "auto"
658      LatchByDelayingOutsideSignal off
659      LatchInputForFeedbackSignals off
660      Interpolate         on
661    }
662    Block {
663      BlockType           Outport
664      Port            "1"
665      OutMin              "[]"
666      OutMax              "[]"
667      OutDataTypeStr          "Inherit: auto"
668      LockScale           off
669      BusOutputAsStruct       off
670      PortDimensions          "-1"
671      VarSizeSig          "Inherit"
672      SampleTime          "-1"
673      SignalType          "auto"
674      SamplingMode        "auto"
675      SourceOfInitialOutputValue "Dialog"
676      OutputWhenDisabled      "held"
677      InitialOutput       "[]"
678    }
679    Block {
680      BlockType           Scope
681      ModelBased          off
682      TickLabels          "OneTimeTick"
683      ZoomMode            "on"
684      Grid            "on"
685      TimeRange           "auto"
686      YMin            "-5"
687      YMax            "5"
688      SaveToWorkspace         off
689      SaveName            "ScopeData"
690      LimitDataPoints         on
691      MaxDataPoints       "5000"
692      Decimation          "1"
693      SampleInput         off
694      SampleTime          "-1"
695    }
696    Block {
697      BlockType           SubSystem
698      ShowPortLabels          "FromPortIcon"
699      Permissions         "ReadWrite"
700      PermitHierarchicalResolution "All"
701      TreatAsAtomicUnit       off
702      CheckFcnCallInpInsideContextMsg off
703      SystemSampleTime        "-1"
704      RTWFcnNameOpts          "Auto"
705      RTWFileNameOpts         "Auto"
706      RTWMemSecFuncInitTerm   "Inherit from model"
707      RTWMemSecFuncExecute    "Inherit from model"
708      RTWMemSecDataConstants  "Inherit from model"
709      RTWMemSecDataInternal   "Inherit from model"
710      RTWMemSecDataParameters "Inherit from model"
711      SimViewingDevice        off
712      DataTypeOverride        "UseLocalSettings"
713      DataTypeOverrideAppliesTo "AllNumericTypes"
714      MinMaxOverflowLogging   "UseLocalSettings"
715      Variant             off
716      GeneratePreprocessorConditionals off
717    }
718    Block {
719      BlockType           Terminator
720    }
721  }
722  System {
723    Name            "w3_warplab_buffers"
724    Location            [362, 112, 2243, 1159]
725    Open            on
726    ModelBrowserVisibility  off
727    ModelBrowserWidth       200
728    ScreenColor         "white"
729    PaperOrientation        "landscape"
730    PaperPositionMode       "auto"
731    PaperType           "usletter"
732    PaperUnits          "inches"
733    TiledPaperMargins       [0.500000, 0.500000, 0.500000, 0.500000]
734    TiledPageScale      1
735    ShowPageBoundaries      off
736    ZoomFactor          "100"
737    ReportName          "simulink-default.rpt"
738    SIDHighWatermark        "937"
739    Block {
740      BlockType           Reference
741      Name            " "
742      SID             "1"
743      Ports           [3, 1]
744      Position            [270, 627, 310, 693]
745      LibraryVersion          "1.2"
746      SourceBlock         "xbsIndex_r4/Logical"
747      SourceType          "Xilinx Logical Block Block"
748      logical_function        "OR"
749      inputs              "3"
750      en              off
751      latency             "0"
752      precision           "Full"
753      arith_type          "Unsigned"
754      n_bits              "16"
755      bin_pt              "0"
756      align_bp            on
757      dbl_ovrd            off
758      xl_use_area         off
759      xl_area             "[0,0,0,0,0,0,0]"
760      has_advanced_control    "0"
761      sggui_pos           "20,20,348,261"
762      block_type          "logical"
763      block_version       "9.1.01"
764      sg_icon_stat        "40,66,3,1,white,blue,0,a2abe52d,right,,[ ],[ ]"
765      sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npatch([0 40 40 0 0 ],[0 0 66 66 0 ],[0.77 0."
766      "82 0.91 ]);\nplot([0 40 40 0 0 ],[0 0 66 66 0 ]);\npatch([8.875 16.1 21.1 26.1 31.1 21.1 13.875 8.875 ],[38.55 3"
767      "8.55 43.55 38.55 43.55 43.55 43.55 38.55 ],[1 1 1 ]);\npatch([13.875 21.1 16.1 8.875 13.875 ],[33.55 33.55 38.55"
768      " 38.55 33.55 ],[0.931 0.946 0.973 ]);\npatch([8.875 16.1 21.1 13.875 8.875 ],[28.55 28.55 33.55 33.55 28.55 ],[1"
769      " 1 1 ]);\npatch([13.875 31.1 26.1 21.1 16.1 8.875 13.875 ],[23.55 23.55 28.55 23.55 28.55 28.55 23.55 ],[0.931 0"
770      ".946 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\n\n\n\n\ncolo"
771      "r('black');disp('or');\nfprintf('','COMMENT: end icon text');\n"
772    }
773    Block {
774      BlockType           Reference
775      Name            " 1"
776      SID             "2"
777      Ports           [2, 1]
778      Position            [270, 732, 310, 788]
779      LibraryVersion          "1.2"
780      SourceBlock         "xbsIndex_r4/Logical"
781      SourceType          "Xilinx Logical Block Block"
782      logical_function        "OR"
783      inputs              "2"
784      en              off
785      latency             "0"
786      precision           "Full"
787      arith_type          "Unsigned"
788      n_bits              "16"
789      bin_pt              "0"
790      align_bp            on
791      dbl_ovrd            off
792      xl_use_area         off
793      xl_area             "[0,0,0,0,0,0,0]"
794      has_advanced_control    "0"
795      sggui_pos           "-1,-1,-1,-1"
796      block_type          "logical"
797      block_version       "9.1.01"
798      sg_icon_stat        "40,56,2,1,white,blue,0,7ede7d88,right,,[ ],[ ]"
799      sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npatch([0 40 40 0 0 ],[0 0 56 56 0 ],[0.77 0."
800      "82 0.91 ]);\nplot([0 40 40 0 0 ],[0 0 56 56 0 ]);\npatch([8.875 16.1 21.1 26.1 31.1 21.1 13.875 8.875 ],[33.55 3"
801      "3.55 38.55 33.55 38.55 38.55 38.55 33.55 ],[1 1 1 ]);\npatch([13.875 21.1 16.1 8.875 13.875 ],[28.55 28.55 33.55"
802      " 33.55 28.55 ],[0.931 0.946 0.973 ]);\npatch([8.875 16.1 21.1 13.875 8.875 ],[23.55 23.55 28.55 28.55 23.55 ],[1"
803      " 1 1 ]);\npatch([13.875 31.1 26.1 21.1 16.1 8.875 13.875 ],[18.55 18.55 23.55 18.55 23.55 23.55 18.55 ],[0.931 0"
804      ".946 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\n\n\n\ncolor("
805      "'black');disp('or');\nfprintf('','COMMENT: end icon text');"
806    }
807    Block {
808      BlockType           Reference
809      Name            " System Generator"
810      SID             "669"
811      Tag             "genX"
812      Ports           []
813      Position            [27, 42, 77, 92]
814      ShowName            off
815      AttributesFormatString  "System\\nGenerator"
816      LibraryVersion          "1.2"
817      UserDataPersistent      on
818      UserData            "DataTag0"
819      SourceBlock         "xbsIndex_r4/ System Generator"
820      SourceType          "Xilinx System Generator Block"
821      ShowPortLabels          "FromPortIcon"
822      SystemSampleTime        "-1"
823      FunctionWithSeparateData off
824      RTWMemSecFuncInitTerm   "Inherit from model"
825      RTWMemSecFuncExecute    "Inherit from model"
826      RTWMemSecDataConstants  "Inherit from model"
827      RTWMemSecDataInternal   "Inherit from model"
828      RTWMemSecDataParameters "Inherit from model"
829      GeneratePreprocessorConditionals off
830      infoedit            " System Generator"
831      xilinxfamily        "virtex6"
832      part            "xc6vlx130t"
833      speed           "-1"
834      package             "ff1156"
835      synthesis_tool          "XST"
836      clock_wrapper       "Clock Enables"
837      directory           "./w3_netlist"
838      proj_type           "Project Navigator"
839      Synth_file          "XST Defaults"
840      Impl_file           "ISE Defaults"
841      testbench           off
842      simulink_period         "1"
843      sysclk_period       "10"
844      dcm_input_clock_period  "10"
845      incr_netlist        off
846      trim_vbits          "Everywhere in SubSystem"
847      dbl_ovrd            "According to Block Masks"
848      core_generation         "According to Block Masks"
849      run_coregen         off
850      deprecated_control      off
851      eval_field          "0"
852      has_advanced_control    "0"
853      sggui_pos           "326,284,464,470"
854      block_type          "sysgen"
855      sg_icon_stat        "50,50,0,0,token,white,0,58c5b5770fe5f7c311f53dbc6e73f0f6,right,,[ ],[ ]"
856      sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npatch([0 50 50 0 0 ],[0 0 50 50 0 ],[1 1 1 ]"
857      ");\npatch([1.6375 16.81 27.31 37.81 48.31 27.31 12.1375 1.6375 ],[36.655 36.655 47.155 36.655 47.155 47.155 47.1"
858      "55 36.655 ],[0.933333 0.203922 0.141176 ]);\npatch([12.1375 27.31 16.81 1.6375 12.1375 ],[26.155 26.155 36.655 3"
859      "6.655 26.155 ],[0.698039 0.0313725 0.219608 ]);\npatch([1.6375 16.81 27.31 12.1375 1.6375 ],[15.655 15.655 26.15"
860      "5 26.155 15.655 ],[0.933333 0.203922 0.141176 ]);\npatch([12.1375 48.31 37.81 27.31 16.81 1.6375 12.1375 ],[5.15"
861      "5 5.155 15.655 5.155 15.655 15.655 5.155 ],[0.698039 0.0313725 0.219608 ]);\nfprintf('','COMMENT: end icon graph"
862      "ics');\nfprintf('','COMMENT: begin icon text');\nfprintf('','COMMENT: end icon text');"
863    }
864    Block {
865      BlockType           Reference
866      Name            "AGC_Done"
867      SID             "4"
868      Ports           [1, 1]
869      Position            [130, 1014, 185, 1026]
870      NamePlacement       "alternate"
871      LibraryVersion          "1.2"
872      SourceBlock         "xbsIndex_r4/Gateway In"
873      SourceType          "Xilinx Gateway In Block"
874      infoedit            "Gateway in block.  Converts inputs of type Simulink integer, double and fixed point to  Xilinx "
875      "fixed point type.<P><P>Hardware notes:  In hardware these blocks become top level input ports."
876      gui_display_data_type   "Fixed-point"
877      arith_type          "Unsigned"
878      n_bits              "1"
879      bin_pt              "0"
880      preci_type          "Single"
881      exp_width           "8"
882      frac_width          "24"
883      quantization        "Round  (unbiased: +/- Inf)"
884      overflow            "Saturate"
885      period              "1"
886      dbl_ovrd            off
887      timing_constraint       "None"
888      locs_specified          off
889      LOCs            "{}"
890      xl_use_area         off
891      xl_area             "[0,0,0,0,0,0,0]"
892      inherit_from_input      off
893      UseAsADC            off
894      ADCChannel          "'1'"
895      hdl_port            "on"
896      has_advanced_control    "0"
897      sggui_pos           "20,20,356,432"
898      block_type          "gatewayin"
899      block_version       "VER_STRING_GOES_HERE"
900      sg_icon_stat        "55,12,1,1,white,yellow,1,00d3666e,right,,[ ],[ ]"
901      sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 0 ],[0 0 12 12 0 ],[0.95 0."
902      "93 0.65 ]);\nplot([0 55 55 0 0 ],[0 0 12 12 0 ]);\npatch([24.775 26.22 27.22 28.22 29.22 27.22 25.775 24.775 ],["
903      "7.11 7.11 8.11 7.11 8.11 8.11 8.11 7.11 ],[1 1 1 ]);\npatch([25.775 27.22 26.22 24.775 25.775 ],[6.11 6.11 7.11 "
904      "7.11 6.11 ],[0.985 0.979 0.895 ]);\npatch([24.775 26.22 27.22 25.775 24.775 ],[5.11 5.11 6.11 6.11 5.11 ],[1 1 1"
905      " ]);\npatch([25.775 29.22 28.22 27.22 26.22 24.775 25.775 ],[4.11 4.11 5.11 4.11 5.11 5.11 4.11 ],[0.985 0.979 0"
906      ".895 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');por"
907      "t_label('input',1,'\\fontsize{11pt}\\bf In ','texmode','on');\ncolor('black');port_label('output',1,' ');\nfprin"
908      "tf('','COMMENT: end icon text');"
909    }
910    Block {
911      BlockType           Reference
912      Name            "Convert2"
913      SID             "5"
914      Ports           [1, 1]
915      Position            [420, 1011, 455, 1029]
916      ShowName            off
917      LibraryVersion          "1.2"
918      SourceBlock         "xbsIndex_r4/Convert"
919      SourceType          "Xilinx Type Converter Block"
920      infoedit            "Hardware notes: rounding and saturating require hardware resources; truncating and wrapping do "
921      "not."
922      gui_display_data_type   "Fixed-point"
923      arith_type          "Boolean"
924      n_bits              "16"
925      bin_pt              "14"
926      float_type          "Single"
927      exp_bits            "8"
928      fraction_bits       "24"
929      quantization        "Truncate"
930      overflow            "Wrap"
931      en              off
932      latency             "0"
933      dbl_ovrd            off
934      pipeline            off
935      xl_use_area         off
936      xl_area             "[0,0,0,0,0,0,0]"
937      has_advanced_control    "0"
938      sggui_pos           "20,20,461,375"
939      block_type          "convert"
940      block_version       "8.2"
941      sg_icon_stat        "35,18,1,1,white,blue,0,edca21da,right,,[ ],[ ]"
942      sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npatch([0 35 35 0 0 ],[0 0 18 18 0 ],[0.77 0."
943      "82 0.91 ]);\nplot([0 35 35 0 0 ],[0 0 18 18 0 ]);\npatch([12.55 15.44 17.44 19.44 21.44 17.44 14.55 12.55 ],[11."
944      "22 11.22 13.22 11.22 13.22 13.22 13.22 11.22 ],[1 1 1 ]);\npatch([14.55 17.44 15.44 12.55 14.55 ],[9.22 9.22 11."
945      "22 11.22 9.22 ],[0.931 0.946 0.973 ]);\npatch([12.55 15.44 17.44 14.55 12.55 ],[7.22 7.22 9.22 9.22 7.22 ],[1 1 "
946      "1 ]);\npatch([14.55 21.44 19.44 17.44 15.44 12.55 14.55 ],[5.22 5.22 7.22 5.22 7.22 7.22 5.22 ],[0.931 0.946 0.9"
947      "73 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\n\ncolor('black');por"
948      "t_label('output',1,'cast');\nfprintf('','COMMENT: end icon text');"
949    }
950    Block {
951      BlockType           SubSystem
952      Name            "EDK Processor"
953      SID             "670"
954      Ports           []
955      Position            [119, 35, 181, 99]
956      CopyFcn             "xlProcBlockCopyCallback(gcbh);xlBlockMoveCallback(gcbh);"
957      DeleteFcn           "xlDestroyGui(gcbh);"
958      LoadFcn             "xlBlockLoadCallback(gcbh);"
959      ModelCloseFcn       "xlDestroyGui(gcbh);"
960      PreSaveFcn          "xlBlockPreSaveCallback(gcbh);"
961      PostSaveFcn         "xlBlockPostSaveCallback(gcbh);"
962      DestroyFcn          "xlDestroyGui(gcbh);"
963      OpenFcn             "bh=gcbh;xlProcBlockCallbacks('populatesharedmemorylistbox',bh);xlOpenGui(bh, 'edkprocessor_gui.x"
964      "ml', @xlProcBlockEnablement, @xlProcBlockAction);"
965      CloseFcn            "xlDestroyGui(gcbh);"
966      MoveFcn             "xlBlockMoveCallback(gcbh);"
967      MinAlgLoopOccurrences   off
968      PropExecContextOutsideSubsystem off
969      RTWSystemCode       "Auto"
970      FunctionWithSeparateData off
971      Opaque              off
972      RequestExecContextInheritance off
973      MaskHideContents        off
974      MaskType            "Xilinx EDK Processor Block"
975      MaskDescription         "Xilinx EDK Processor"
976      MaskHelp            "eval('');xlDoc('-book','sysgen','-topic','EDK_Processor');"
977      MaskPromptString        "Configure Processor for|XPS Project| |Available Memories| | |Bus Type|Base Address| |Loc"
978      "k| |Dual Clocks| |Register Read-Back|Constraint File| |Inherit Device Type|Initial Program| |Enable Co-Debug wit"
979      "h Xilinx SDK (Beta)| | | | | | | | | | | | | | | | "
980      MaskStyleString         "popup(EDK pcore generation|HDL netlisting),edit,edit,edit,edit,edit,popup(AXI|PLB),edit,e"
981      "dit,checkbox,edit,checkbox,edit,checkbox,edit,edit,checkbox,edit,edit,checkbox,edit,edit,edit,edit,edit,edit,edi"
982      "t,edit,edit,edit,edit,edit,edit,edit,edit,edit"
983      MaskVariables       "mode=&1;xmp=&2;MemVisToProc=&3;AvailableMemories=&4;portInterfaceTable=&5;bus_type_sgadvanc"
984      "ed=&6;bus_type=&7;baseaddr=&8;baseaddr_lock_sgadvanced=&9;baseaddr_lock=@10;dual_clock_sgadvanced=&11;dual_clock"
985      "=@12;reg_readback_sgadvanced=&13;reg_readback=@14;ucf_file=&15;inheritDeviceType_sgadvanced=&16;inheritDeviceTyp"
986      "e=@17;elf_file=&18;codebug_sgadvanced=&19;codebug=@20;clock_name=&21;internalPortList=&22;resetPolarity=&23;memx"
987      "table=&24;procinfo=&25;memmapdirty=&26;blockname=&27;xpsintstyle=&28;has_advanced_control=@29;sggui_pos=&30;bloc"
988      "k_type=&31;block_version=&32;sg_icon_stat=&33;sg_mask_display=&34;sg_list_contents=&35;sg_blockgui_xml=&36;"
989      MaskTunableValueString  "on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,"
990      "on,on,on,on,on,on,on"
991      MaskCallbackString      "|||||||||||||||||||||||||||||||||||"
992      MaskEnableString        "on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,o"
993      "n,on,on,on,on,on,on"
994      MaskVisibilityString    "on,on,off,on,on,off,on,on,off,on,off,on,off,on,on,off,on,on,off,on,off,off,off,off,off,"
995      "off,off,off,off,off,off,off,off,off,off,off"
996      MaskToolTipString       "on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,"
997      "on,on,on,on,on,on,on"
998      MaskInitialization      "try\n  tmp_gcb = gcb;\n  tmp_gcbh = gcbh;\n  if (strcmp('SysGenIndex',get_param(bdroot("
999      "tmp_gcbh),'tag')) && ~isempty(regexp(bdroot(tmp_gcb), '^xbs', 'once')))\n    return;\n  end;\n  xlMungeMaskParam"
1000      "s;\n\n  block_type='edkprocessor';\n\n  serialized_declarations = '{''block_type''=>''String''}';\n  xledkproces"
1001      "sor_init();\n  ptable_ = xlblockprep(get_param(tmp_gcb, 'MaskWSVariables'));\n  try\n    xlBlockMoveCallback(tmp"
1002      "_gcbh);\n  catch \n     clear global xl_updateicon_recursion_guard;\n  end;\ncatch\n  global dbgsysgen;\n  if(~i"
1003      "sempty(dbgsysgen) && dbgsysgen)\n    e = regexprep(lasterr, '\\n', '\\nError: ');\n    disp(['Error: While runni"
1004      "ng MaskInit code on block ' tmp_gcb ': ' e]);\n    error(e);\n  end\nend\n"
1005      MaskSelfModifiable      on
1006      MaskDisplay         "fprintf('','COMMENT: begin icon graphics');\npatch([0 62 62 0 0 ],[0 0 64 64 0 ],[0.77 0.82 0"
1007      ".91 ]);\nplot([0 62 62 0 0 ],[0 0 64 64 0 ]);\npatch([13.2 24.76 32.76 40.76 48.76 32.76 21.2 13.2 ],[40.88 40.8"
1008      "8 48.88 40.88 48.88 48.88 48.88 40.88 ],[1 1 1 ]);\npatch([21.2 32.76 24.76 13.2 21.2 ],[32.88 32.88 40.88 40.88"
1009      " 32.88 ],[0.931 0.946 0.973 ]);\npatch([13.2 24.76 32.76 21.2 13.2 ],[24.88 24.88 32.88 32.88 24.88 ],[1 1 1 ]);"
1010      "\npatch([21.2 48.76 40.76 32.76 24.76 13.2 21.2 ],[16.88 16.88 24.88 16.88 24.88 24.88 16.88 ],[0.931 0.946 0.97"
1011      "3 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ndisp('');\n\nfprintf("
1012      "'','COMMENT: end icon text');"
1013      MaskIconFrame       off
1014      MaskIconOpaque          on
1015      MaskIconRotate          "none"
1016      MaskPortRotate          "default"
1017      MaskIconUnits       "autoscale"
1018      MaskValueString         "EDK pcore generation||<qt bgcolor=\"#FFFFFF\"><div><img src=\"C:/Xilinx/13.4/ISE_DS/ISE/s"
1019      "ysgen/data/images/registerplus.gif\"> &lt;&lt;TxLength&gt;&gt;<br></div><div><img src=\"C:/Xilinx/13.4/ISE_DS/IS"
1020      "E/sysgen/data/images/registerplus.gif\"> &lt;&lt;TxDelay&gt;&gt;<br></div><div><img src=\"C:/Xilinx/13.4/ISE_DS/"
1021      "ISE/sysgen/data/images/registerplus.gif\"> &lt;&lt;RADIO2TXBUFF_TXEN&gt;&gt;<br></div><div><img src=\"C:/Xilinx/"
1022      "13.4/ISE_DS/ISE/sysgen/data/images/registerplus.gif\"> &lt;&lt;TransMode&gt;&gt;<br></div><div><img src=\"C:/Xil"
1023      "inx/13.4/ISE_DS/ISE/sysgen/data/images/registerplus.gif\"> &lt;&lt;StopTx&gt;&gt;<br></div><div><img src=\"C:/Xi"
1024      "linx/13.4/ISE_DS/ISE/sysgen/data/images/registerplus.gif\"> &lt;&lt;RADIO1TXBUFF_TXEN&gt;&gt;<br></div><div><img"
1025      " src=\"C:/Xilinx/13.4/ISE_DS/ISE/sysgen/data/images/registerplus.gif\"> &lt;&lt;RADIO2RXBUFF_RXEN&gt;&gt;<br></d"
1026      "iv><div><img src=\"C:/Xilinx/13.4/ISE_DS/ISE/sysgen/data/images/registerplus.gif\"> &lt;&lt;StartTx&gt;&gt;<br><"
1027      "/div><div><img src=\"C:/Xilinx/13.4/ISE_DS/ISE/sysgen/data/images/registerplus.gif\"> &lt;&lt;RADIO4RXBUFF_RXEN&"
1028      "gt;&gt;<br></div><div><img src=\"C:/Xilinx/13.4/ISE_DS/ISE/sysgen/data/images/registerplus.gif\"> &lt;&lt;StartC"
1029      "apture&gt;&gt;<br></div><div><img src=\"C:/Xilinx/13.4/ISE_DS/ISE/sysgen/data/images/registerplus.gif\"> &lt;&lt"
1030      ";StartTxRx&gt;&gt;<br></div><div><img src=\"C:/Xilinx/13.4/ISE_DS/ISE/sysgen/data/images/registerplus.gif\"> &lt"
1031      ";&lt;DCO_EN_SEL&gt;&gt;<br></div><div><img src=\"C:/Xilinx/13.4/ISE_DS/ISE/sysgen/data/images/registerplus.gif\""
1032      "> &lt;&lt;MGC_AGC_SEL&gt;&gt;<br></div><div><img src=\"C:/Xilinx/13.4/ISE_DS/ISE/sysgen/data/images/registerplus"
1033      ".gif\"> &lt;&lt;RADIO4TXBUFF_TXEN&gt;&gt;<br></div><div><img src=\"C:/Xilinx/13.4/ISE_DS/ISE/sysgen/data/images/"
1034      "registerplus.gif\"> &lt;&lt;RADIO3RXBUFF_RXEN&gt;&gt;<br></div><div><img src=\"C:/Xilinx/13.4/ISE_DS/ISE/sysgen/"
1035      "data/images/registerplus.gif\"> &lt;&lt;RADIO3TXBUFF_TXEN&gt;&gt;<br></div><div><img src=\"C:/Xilinx/13.4/ISE_DS"
1036      "/ISE/sysgen/data/images/registerplus.gif\"> &lt;&lt;RADIO1RXBUFF_RXEN&gt;&gt;<br></div><div><img src=\"C:/Xilinx"
1037      "/13.4/ISE_DS/ISE/sysgen/data/images/registerplus.gif\"> &lt;&lt;CaptureDone&gt;&gt;<br></div><div><img src=\"C:/"
1038      "Xilinx/13.4/ISE_DS/ISE/sysgen/data/images/registerplus.gif\"> &lt;&lt;Radio4AGCDoneRSSI&gt;&gt;<br></div><div><i"
1039      "mg src=\"C:/Xilinx/13.4/ISE_DS/ISE/sysgen/data/images/registerplus.gif\"> &lt;&lt;Radio3AGCDoneRSSI&gt;&gt;<br><"
1040      "/div><div><img src=\"C:/Xilinx/13.4/ISE_DS/ISE/sysgen/data/images/registerplus.gif\"> &lt;&lt;Radio2AGCDoneRSSI&"
1041      "gt;&gt;<br></div><div><img src=\"C:/Xilinx/13.4/ISE_DS/ISE/sysgen/data/images/registerplus.gif\"> &lt;&lt;Radio1"
1042      "AGCDoneRSSI&gt;&gt;<br></div><div><img src=\"C:/Xilinx/13.4/ISE_DS/ISE/sysgen/data/images/registerplus.gif\"> &l"
1043      "t;&lt;AGCDoneAddr&gt;&gt;<br></div><div><img src=\"C:/Xilinx/13.4/ISE_DS/ISE/sysgen/data/images/memoryplus.gif\""
1044      "> &lt;&lt;TxBuff_Radio4&gt;&gt;<br></div><div><img src=\"C:/Xilinx/13.4/ISE_DS/ISE/sysgen/data/images/memoryplus"
1045      ".gif\"> &lt;&lt;RxBuff_Radio4&gt;&gt;<br></div><div><img src=\"C:/Xilinx/13.4/ISE_DS/ISE/sysgen/data/images/memo"
1046      "ryplus.gif\"> &lt;&lt;RSSIBuff_Radio4&gt;&gt;<br></div><div><img src=\"C:/Xilinx/13.4/ISE_DS/ISE/sysgen/data/ima"
1047      "ges/memoryplus.gif\"> &lt;&lt;TxBuff_Radio3&gt;&gt;<br></div><div><img src=\"C:/Xilinx/13.4/ISE_DS/ISE/sysgen/da"
1048      "ta/images/memoryplus.gif\"> &lt;&lt;RxBuff_Radio3&gt;&gt;<br></div><div><img src=\"C:/Xilinx/13.4/ISE_DS/ISE/sys"
1049      "gen/data/images/memoryplus.gif\"> &lt;&lt;RSSIBuff_Radio3&gt;&gt;<br></div><div><img src=\"C:/Xilinx/13.4/ISE_DS"
1050      "/ISE/sysgen/data/images/memoryplus.gif\"> &lt;&lt;TxBuff_Radio2&gt;&gt;<br></div><div><img src=\"C:/Xilinx/13.4/"
1051      "ISE_DS/ISE/sysgen/data/images/memoryplus.gif\"> &lt;&lt;RxBuff_Radio2&gt;&gt;<br></div><div><img src=\"C:/Xilinx"
1052      "/13.4/ISE_DS/ISE/sysgen/data/images/memoryplus.gif\"> &lt;&lt;RSSIBuff_Radio2&gt;&gt;<br></div><div><img src=\"C"
1053      ":/Xilinx/13.4/ISE_DS/ISE/sysgen/data/images/memoryplus.gif\"> &lt;&lt;TxBuff_Radio1&gt;&gt;<br></div><div><img s"
1054      "rc=\"C:/Xilinx/13.4/ISE_DS/ISE/sysgen/data/images/memoryplus.gif\"> &lt;&lt;RxBuff_Radio1&gt;&gt;<br></div><div>"
1055      "<img src=\"C:/Xilinx/13.4/ISE_DS/ISE/sysgen/data/images/memoryplus.gif\"> &lt;&lt;RSSIBuff_Radio1&gt;&gt;<br></d"
1056      "iv></qt>|<empty>|{'exposed'=>[],'portdir'=>[],'portname'=>[],'shortname'=>[]}||PLB|0x80000000||off||on||on|||off"
1057      "|||on|plb|{}|0|{'mladdr'=>[0.00000000000000000,1.00000000000000000,2.00000000000000000,3.00000000000000000,4.000"
1058      "00000000000000,5.00000000000000000,6.00000000000000000,7.00000000000000000,8.00000000000000000,9.000000000000000"
1059      "00,10.00000000000000000,11.00000000000000000,12.00000000000000000,13.00000000000000000,14.00000000000000000,15.0"
1060      "0000000000000000,16.00000000000000000,0.00000000000000000,1.00000000000000000,2.00000000000000000,3.000000000000"
1061      "00000,4.00000000000000000,5.00000000000000000,-1.00000000000000000,-1.00000000000000000,-1.00000000000000000,-1."
1062      "00000000000000000,-1.00000000000000000,-1.00000000000000000,-1.00000000000000000,-1.00000000000000000,-1.0000000"
1063      "0000000000,-1.00000000000000000,-1.00000000000000000,-1.00000000000000000],'mlist'=>['w3_warplab_buffers/Tx Cont"
1064      "rol/From Register4','w3_warplab_buffers/Memmory-mapped Registers/TxDelay','w3_warplab_buffers/Memmory-mapped Reg"
1065      "isters/From Register9','w3_warplab_buffers/Memmory-mapped Registers/From Register8','w3_warplab_buffers/Memmory-"
1066      "mapped Registers/From Register7','w3_warplab_buffers/Memmory-mapped Registers/From Register6','w3_warplab_buffer"
1067      "s/Memmory-mapped Registers/From Register5','w3_warplab_buffers/Memmory-mapped Registers/From Register4','w3_warp"
1068      "lab_buffers/Memmory-mapped Registers/From Register3','w3_warplab_buffers/Memmory-mapped Registers/From Register2"
1069      "','w3_warplab_buffers/Memmory-mapped Registers/From Register15','w3_warplab_buffers/Memmory-mapped Registers/Fro"
1070      "m Register14','w3_warplab_buffers/Memmory-mapped Registers/From Register13','w3_warplab_buffers/Memmory-mapped R"
1071      "egisters/From Register12','w3_warplab_buffers/Memmory-mapped Registers/From Register11','w3_warplab_buffers/Memm"
1072      "ory-mapped Registers/From Register10','w3_warplab_buffers/Memmory-mapped Registers/From Register1','w3_warplab_b"
1073      "uffers/Memmory-mapped Registers/To Register','w3_warplab_buffers/Memmory-mapped Registers/Radio4AGCDoneRSSI','w3"
1074      "_warplab_buffers/Memmory-mapped Registers/Radio3AGCDoneRSSI','w3_warplab_buffers/Memmory-mapped Registers/Radio2"
1075      "AGCDoneRSSI','w3_warplab_buffers/Memmory-mapped Registers/Radio1AGCDoneRSSI','w3_warplab_buffers/Memmory-mapped "
1076      "Registers/AGCDoneAddr','w3_warplab_buffers/Radio 4\nTx Buffer/Shared Memory','w3_warplab_buffers/Radio 4\nRx Buf"
1077      "fers/Radio 4 I//Q\nBuffer/Shared Memory','w3_warplab_buffers/Radio 4\nRx Buffers/Radio 4\nRSSI Buffer/Shared Mem"
1078      "ory','w3_warplab_buffers/Radio 3\nTx Buffer/Shared Memory','w3_warplab_buffers/Radio 3\nRx Buffers/Radio 3 I//Q\n"
1079      "Buffer/Shared Memory','w3_warplab_buffers/Radio 3\nRx Buffers/Radio 3\nRSSI Buffer/Shared Memory','w3_warplab_bu"
1080      "ffers/Radio 2\nTx Buffer/Shared Memory','w3_warplab_buffers/Radio 2\nRx Buffers/Radio 2 I//Q\nBuffer/Shared Memo"
1081      "ry','w3_warplab_buffers/Radio 2\nRx Buffers/Radio 2\nRSSI Buffer/Shared Memory','w3_warplab_buffers/Radio 1\nTx "
1082      "Buffer/Shared Memory','w3_warplab_buffers/Radio 1\nRx Buffers/Radio 1 I//Q\nBuffer/Shared Memory','w3_warplab_bu"
1083      "ffers/Radio 1\nRx Buffers/Radio 1\nRSSI Buffer/Shared Memory'],'mlname'=>['\\\\'TxLength\\\\'','\\\\'TxDelay\\\\"
1084      "'','\\\\'RADIO2TXBUFF_TXEN\\\\'','\\\\'TransMode\\\\'','\\\\'StopTx\\\\'','\\\\'RADIO1TXBUFF_TXEN\\\\'','\\\\'RA"
1085      "DIO2RXBUFF_RXEN\\\\'','\\\\'StartTx\\\\'','\\\\'RADIO4RXBUFF_RXEN\\\\'','\\\\'StartCapture\\\\'','\\\\'StartTxRx"
1086      "\\\\'','\\\\'DCO_EN_SEL\\\\'','\\\\'MGC_AGC_SEL\\\\'','\\\\'RADIO4TXBUFF_TXEN\\\\'','\\\\'RADIO3RXBUFF_RXEN\\\\'"
1087      "','\\\\'RADIO3TXBUFF_TXEN\\\\'','\\\\'RADIO1RXBUFF_RXEN\\\\'','\\\\'CaptureDone\\\\'','\\\\'Radio4AGCDoneRSSI\\\\"
1088      "'','\\\\'Radio3AGCDoneRSSI\\\\'','\\\\'Radio2AGCDoneRSSI\\\\'','\\\\'Radio1AGCDoneRSSI\\\\'','\\\\'AGCDoneAddr\\"
1089      "\\'','\\\\'TxBuff_Radio4\\\\'','\\\\'RxBuff_Radio4\\\\'','\\\\'RSSIBuff_Radio4\\\\'','\\\\'TxBuff_Radio3\\\\'','"
1090      "\\\\'RxBuff_Radio3\\\\'','\\\\'RSSIBuff_Radio3\\\\'','\\\\'TxBuff_Radio2\\\\'','\\\\'RxBuff_Radio2\\\\'','\\\\'R"
1091      "SSIBuff_Radio2\\\\'','\\\\'TxBuff_Radio1\\\\'','\\\\'RxBuff_Radio1\\\\'','\\\\'RSSIBuff_Radio1\\\\''],'mlstate'="
1092      ">[0.00000000000000000,0.00000000000000000,0.00000000000000000,0.00000000000000000,0.00000000000000000,0.00000000"
1093      "000000000,0.00000000000000000,0.00000000000000000,0.00000000000000000,0.00000000000000000,0.00000000000000000,0."
1094      "00000000000000000,0.00000000000000000,0.00000000000000000,0.00000000000000000,0.00000000000000000,0.000000000000"
1095      "00000,0.00000000000000000,0.00000000000000000,0.00000000000000000,0.00000000000000000,0.00000000000000000,0.0000"
1096      "0000000000000,0.00000000000000000,0.00000000000000000,0.00000000000000000,0.00000000000000000,0.0000000000000000"
1097      "0,0.00000000000000000,0.00000000000000000,0.00000000000000000,0.00000000000000000,0.00000000000000000,0.00000000"
1098      "000000000,0.00000000000000000]}|{}|off||default|0|-1,-1,-1,-1|edkprocessor|2.7|62,64,-1,-1,white,blue,0,07734,ri"
1099      "ght,,[ ],[ ]|fprintf('','COMMENT: begin icon graphics');\npatch([0 62 62 0 0 ],[0 0 64 64 0 ],[0.77 0.82 0.91 ])"
1100      ";\nplot([0 62 62 0 0 ],[0 0 64 64 0 ]);\npatch([13.2 24.76 32.76 40.76 48.76 32.76 21.2 13.2 ],[40.88 40.88 48.8"
1101      "8 40.88 48.88 48.88 48.88 40.88 ],[1 1 1 ]);\npatch([21.2 32.76 24.76 13.2 21.2 ],[32.88 32.88 40.88 40.88 32.88"
1102      " ],[0.931 0.946 0.973 ]);\npatch([13.2 24.76 32.76 21.2 13.2 ],[24.88 24.88 32.88 32.88 24.88 ],[1 1 1 ]);\npatc"
1103      "h([21.2 48.76 40.76 32.76 24.76 13.2 21.2 ],[16.88 16.88 24.88 16.88 24.88 24.88 16.88 ],[0.931 0.946 0.973 ]);\n"
1104      "fprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\nfprintf('','COMMENT: end ico"
1105      "n text');|{'table'=>{'AvailableMemories'=>'popup(<empty>)'}}|"
1106      System {
1107    Name            "EDK Processor"
1108    Location        [-1918, 74, -19, 1179]
1109    Open            off
1110    ModelBrowserVisibility  off
1111    ModelBrowserWidth   200
1112    ScreenColor     "white"
1113    PaperOrientation    "landscape"
1114    PaperPositionMode   "auto"
1115    PaperType       "usletter"
1116    PaperUnits      "inches"
1117    TiledPaperMargins   [0.500000, 0.500000, 0.500000, 0.500000]
1118    TiledPageScale      1
1119    ShowPageBoundaries  off
1120    ZoomFactor      "100"
1121    SIDHighWatermark    "270"
1122    Block {
1123      BlockType       Constant
1124      Name            "Constant"
1125      SID             "670:221"
1126      Position        [40, 685, 60, 705]
1127      ShowName        off
1128    }
1129    Block {
1130      BlockType       Constant
1131      Name            "Constant1"
1132      SID             "670:223"
1133      Position        [40, 755, 60, 775]
1134      ShowName        off
1135    }
1136    Block {
1137      BlockType       Constant
1138      Name            "Constant2"
1139      SID             "670:225"
1140      Position        [40, 820, 60, 840]
1141      ShowName        off
1142    }
1143    Block {
1144      BlockType       Constant
1145      Name            "Constant3"
1146      SID             "670:227"
1147      Position        [40, 890, 60, 910]
1148      ShowName        off
1149    }
1150    Block {
1151      BlockType       Constant
1152      Name            "Constant4"
1153      SID             "670:229"
1154      Position        [40, 960, 60, 980]
1155      ShowName        off
1156    }
1157    Block {
1158      BlockType       Reference
1159      Name            "Constant5"
1160      SID             "670:231"
1161      Ports           [0, 1]
1162      Position        [20, 612, 75, 638]
1163      ShowName        off
1164      LibraryVersion      "1.2"
1165      SourceBlock         "xbsIndex_r4/Constant"
1166      SourceType          "Xilinx Constant Block Block"
1167      const           "0"
1168      gui_display_data_type   "Fixed-point"
1169      arith_type          "Unsigned"
1170      n_bits          "1"
1171      bin_pt          "0"
1172      preci_type          "Single"
1173      exp_width       "8"
1174      frac_width          "24"
1175      explicit_period     on
1176      period          "xlGetNormalizedPeriod()"
1177      dsp48_infoedit      "The use of this block for DSP48 instructions is deprecated.  Please use the Opmode block."
1178      equ             "P=C"
1179      opselect        "C"
1180      inp2            "PCIN>>17"
1181      opr             "+"
1182      inp1            "P"
1183      carry           "CIN"
1184      dbl_ovrd        off
1185      has_advanced_control    "0"
1186      sggui_pos       "-1,-1,-1,-1"
1187      block_type          "constant"
1188      sg_icon_stat        "55,26,0,1,white,blue,0,bf4ddd8b,right,,[ ],[ ]"
1189      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 0 ],[0 0 26 26 0 ],[0.77 0.82 0.91"
1190      " ]);\nplot([0 55 55 0 0 ],[0 0 26 26 0 ]);\npatch([20.325 24.66 27.66 30.66 33.66 27.66 23.325 20.325 ],[16.33 16.3"
1191      "3 19.33 16.33 19.33 19.33 19.33 16.33 ],[1 1 1 ]);\npatch([23.325 27.66 24.66 20.325 23.325 ],[13.33 13.33 16.33 16"
1192      ".33 13.33 ],[0.931 0.946 0.973 ]);\npatch([20.325 24.66 27.66 23.325 20.325 ],[10.33 10.33 13.33 13.33 10.33 ],[1 1"
1193      " 1 ]);\npatch([23.325 33.66 30.66 27.66 24.66 20.325 23.325 ],[7.33 7.33 10.33 7.33 10.33 10.33 7.33 ],[0.931 0.946"
1194      " 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port"
1195      "_label('output',1,'0');\nfprintf('','COMMENT: end icon text');"
1196      Port {
1197        PortNumber          1
1198        Name            "Sl_wait"
1199        RTWStorageClass     "Auto"
1200        DataLoggingNameMode     "SignalName"
1201      }
1202    }
1203    Block {
1204      BlockType       Constant
1205      Name            "Constant6"
1206      SID             "670:232"
1207      Position        [40, 1055, 60, 1075]
1208      ShowName        off
1209    }
1210    Block {
1211      BlockType       Reference
1212      Name            "From Register"
1213      SID             "670:235"
1214      Ports           [0, 1]
1215      Position        [400, 1677, 460, 1733]
1216      AttributesFormatString  "<< %<shared_memory_name> >>"
1217      LibraryVersion      "1.2"
1218      SourceBlock         "xbsIndex_r4/From Register"
1219      SourceType          "Xilinx Shared Memory Based From Register Block"
1220      infoedit        "Register block that reads data to a shared memory register.  Delay of one sample period."
1221      shared_memory_name      "'CaptureDone'"
1222      init            "0"
1223      period          "xlGetNormalizedPeriod()"
1224      ownership       "Owned and initialized elsewhere"
1225      gui_display_data_type   "Fixed-point"
1226      arith_type          "Unsigned"
1227      n_bits          "1"
1228      bin_pt          "0"
1229      preci_type          "Single"
1230      dbl_ovrd        off
1231      xl_use_area         off
1232      xl_area         "[0,0,0,0,0,0,0]"
1233      has_advanced_control    "0"
1234      sggui_pos       "-1,-1,-1,-1"
1235      block_type          "fromreg"
1236      sg_icon_stat        "60,56,0,1,white,blue,0,b27a07ff,right,,[ ],[ ]"
1237      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 0 ],[0 0 56 56 0 ],[0.77 0.82 0.91"
1238      " ]);\nplot([0 60 60 0 0 ],[0 0 56 56 0 ]);\npatch([12.2 23.76 31.76 39.76 47.76 31.76 20.2 12.2 ],[36.88 36.88 44.8"
1239      "8 36.88 44.88 44.88 44.88 36.88 ],[1 1 1 ]);\npatch([20.2 31.76 23.76 12.2 20.2 ],[28.88 28.88 36.88 36.88 28.88 ],"
1240      "[0.931 0.946 0.973 ]);\npatch([12.2 23.76 31.76 20.2 12.2 ],[20.88 20.88 28.88 28.88 20.88 ],[1 1 1 ]);\npatch([20."
1241      "2 47.76 39.76 31.76 23.76 12.2 20.2 ],[12.88 12.88 20.88 12.88 20.88 20.88 12.88 ],[0.931 0.946 0.973 ]);\nfprintf("
1242      "'','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('output',1,'d"
1243      "out');\nfprintf('','COMMENT: end icon text');"
1244      Port {
1245        PortNumber          1
1246        Name            "CaptureDone_dout"
1247        RTWStorageClass     "Auto"
1248        DataLoggingNameMode     "SignalName"
1249      }
1250    }
1251    Block {
1252      BlockType       Reference
1253      Name            "From Register1"
1254      SID             "670:236"
1255      Ports           [0, 1]
1256      Position        [400, 1782, 460, 1838]
1257      AttributesFormatString  "<< %<shared_memory_name> >>"
1258      LibraryVersion      "1.2"
1259      SourceBlock         "xbsIndex_r4/From Register"
1260      SourceType          "Xilinx Shared Memory Based From Register Block"
1261      infoedit        "Register block that reads data to a shared memory register.  Delay of one sample period."
1262      shared_memory_name      "'Radio4AGCDoneRSSI'"
1263      init            "0"
1264      period          "xlGetNormalizedPeriod()"
1265      ownership       "Owned and initialized elsewhere"
1266      gui_display_data_type   "Fixed-point"
1267      arith_type          "Unsigned"
1268      n_bits          "10"
1269      bin_pt          "0"
1270      preci_type          "Single"
1271      dbl_ovrd        off
1272      xl_use_area         off
1273      xl_area         "[0,0,0,0,0,0,0]"
1274      has_advanced_control    "0"
1275      sggui_pos       "-1,-1,-1,-1"
1276      block_type          "fromreg"
1277      sg_icon_stat        "60,56,0,1,white,blue,0,b27a07ff,right,,[ ],[ ]"
1278      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 0 ],[0 0 56 56 0 ],[0.77 0.82 0.91"
1279      " ]);\nplot([0 60 60 0 0 ],[0 0 56 56 0 ]);\npatch([12.2 23.76 31.76 39.76 47.76 31.76 20.2 12.2 ],[36.88 36.88 44.8"
1280      "8 36.88 44.88 44.88 44.88 36.88 ],[1 1 1 ]);\npatch([20.2 31.76 23.76 12.2 20.2 ],[28.88 28.88 36.88 36.88 28.88 ],"
1281      "[0.931 0.946 0.973 ]);\npatch([12.2 23.76 31.76 20.2 12.2 ],[20.88 20.88 28.88 28.88 20.88 ],[1 1 1 ]);\npatch([20."
1282      "2 47.76 39.76 31.76 23.76 12.2 20.2 ],[12.88 12.88 20.88 12.88 20.88 20.88 12.88 ],[0.931 0.946 0.973 ]);\nfprintf("
1283      "'','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('output',1,'d"
1284      "out');\nfprintf('','COMMENT: end icon text');"
1285      Port {
1286        PortNumber          1
1287        Name            "Radio4AGCDoneRSSI_dout"
1288        RTWStorageClass     "Auto"
1289        DataLoggingNameMode     "SignalName"
1290      }
1291    }
1292    Block {
1293      BlockType       Reference
1294      Name            "From Register2"
1295      SID             "670:237"
1296      Ports           [0, 1]
1297      Position        [400, 1887, 460, 1943]
1298      AttributesFormatString  "<< %<shared_memory_name> >>"
1299      LibraryVersion      "1.2"
1300      SourceBlock         "xbsIndex_r4/From Register"
1301      SourceType          "Xilinx Shared Memory Based From Register Block"
1302      infoedit        "Register block that reads data to a shared memory register.  Delay of one sample period."
1303      shared_memory_name      "'Radio3AGCDoneRSSI'"
1304      init            "0"
1305      period          "xlGetNormalizedPeriod()"
1306      ownership       "Owned and initialized elsewhere"
1307      gui_display_data_type   "Fixed-point"
1308      arith_type          "Unsigned"
1309      n_bits          "10"
1310      bin_pt          "0"
1311      preci_type          "Single"
1312      dbl_ovrd        off
1313      xl_use_area         off
1314      xl_area         "[0,0,0,0,0,0,0]"
1315      has_advanced_control    "0"
1316      sggui_pos       "-1,-1,-1,-1"
1317      block_type          "fromreg"
1318      sg_icon_stat        "60,56,0,1,white,blue,0,b27a07ff,right,,[ ],[ ]"
1319      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 0 ],[0 0 56 56 0 ],[0.77 0.82 0.91"
1320      " ]);\nplot([0 60 60 0 0 ],[0 0 56 56 0 ]);\npatch([12.2 23.76 31.76 39.76 47.76 31.76 20.2 12.2 ],[36.88 36.88 44.8"
1321      "8 36.88 44.88 44.88 44.88 36.88 ],[1 1 1 ]);\npatch([20.2 31.76 23.76 12.2 20.2 ],[28.88 28.88 36.88 36.88 28.88 ],"
1322      "[0.931 0.946 0.973 ]);\npatch([12.2 23.76 31.76 20.2 12.2 ],[20.88 20.88 28.88 28.88 20.88 ],[1 1 1 ]);\npatch([20."
1323      "2 47.76 39.76 31.76 23.76 12.2 20.2 ],[12.88 12.88 20.88 12.88 20.88 20.88 12.88 ],[0.931 0.946 0.973 ]);\nfprintf("
1324      "'','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('output',1,'d"
1325      "out');\nfprintf('','COMMENT: end icon text');"
1326      Port {
1327        PortNumber          1
1328        Name            "Radio3AGCDoneRSSI_dout"
1329        RTWStorageClass     "Auto"
1330        DataLoggingNameMode     "SignalName"
1331      }
1332    }
1333    Block {
1334      BlockType       Reference
1335      Name            "From Register3"
1336      SID             "670:238"
1337      Ports           [0, 1]
1338      Position        [400, 1992, 460, 2048]
1339      AttributesFormatString  "<< %<shared_memory_name> >>"
1340      LibraryVersion      "1.2"
1341      SourceBlock         "xbsIndex_r4/From Register"
1342      SourceType          "Xilinx Shared Memory Based From Register Block"
1343      infoedit        "Register block that reads data to a shared memory register.  Delay of one sample period."
1344      shared_memory_name      "'Radio2AGCDoneRSSI'"
1345      init            "0"
1346      period          "xlGetNormalizedPeriod()"
1347      ownership       "Owned and initialized elsewhere"
1348      gui_display_data_type   "Fixed-point"
1349      arith_type          "Unsigned"
1350      n_bits          "10"
1351      bin_pt          "0"
1352      preci_type          "Single"
1353      dbl_ovrd        off
1354      xl_use_area         off
1355      xl_area         "[0,0,0,0,0,0,0]"
1356      has_advanced_control    "0"
1357      sggui_pos       "-1,-1,-1,-1"
1358      block_type          "fromreg"
1359      sg_icon_stat        "60,56,0,1,white,blue,0,b27a07ff,right,,[ ],[ ]"
1360      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 0 ],[0 0 56 56 0 ],[0.77 0.82 0.91"
1361      " ]);\nplot([0 60 60 0 0 ],[0 0 56 56 0 ]);\npatch([12.2 23.76 31.76 39.76 47.76 31.76 20.2 12.2 ],[36.88 36.88 44.8"
1362      "8 36.88 44.88 44.88 44.88 36.88 ],[1 1 1 ]);\npatch([20.2 31.76 23.76 12.2 20.2 ],[28.88 28.88 36.88 36.88 28.88 ],"
1363      "[0.931 0.946 0.973 ]);\npatch([12.2 23.76 31.76 20.2 12.2 ],[20.88 20.88 28.88 28.88 20.88 ],[1 1 1 ]);\npatch([20."
1364      "2 47.76 39.76 31.76 23.76 12.2 20.2 ],[12.88 12.88 20.88 12.88 20.88 20.88 12.88 ],[0.931 0.946 0.973 ]);\nfprintf("
1365      "'','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('output',1,'d"
1366      "out');\nfprintf('','COMMENT: end icon text');"
1367      Port {
1368        PortNumber          1
1369        Name            "Radio2AGCDoneRSSI_dout"
1370        RTWStorageClass     "Auto"
1371        DataLoggingNameMode     "SignalName"
1372      }
1373    }
1374    Block {
1375      BlockType       Reference
1376      Name            "From Register4"
1377      SID             "670:239"
1378      Ports           [0, 1]
1379      Position        [400, 2097, 460, 2153]
1380      AttributesFormatString  "<< %<shared_memory_name> >>"
1381      LibraryVersion      "1.2"
1382      SourceBlock         "xbsIndex_r4/From Register"
1383      SourceType          "Xilinx Shared Memory Based From Register Block"
1384      infoedit        "Register block that reads data to a shared memory register.  Delay of one sample period."
1385      shared_memory_name      "'Radio1AGCDoneRSSI'"
1386      init            "0"
1387      period          "xlGetNormalizedPeriod()"
1388      ownership       "Owned and initialized elsewhere"
1389      gui_display_data_type   "Fixed-point"
1390      arith_type          "Unsigned"
1391      n_bits          "10"
1392      bin_pt          "0"
1393      preci_type          "Single"
1394      dbl_ovrd        off
1395      xl_use_area         off
1396      xl_area         "[0,0,0,0,0,0,0]"
1397      has_advanced_control    "0"
1398      sggui_pos       "-1,-1,-1,-1"
1399      block_type          "fromreg"
1400      sg_icon_stat        "60,56,0,1,white,blue,0,b27a07ff,right,,[ ],[ ]"
1401      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 0 ],[0 0 56 56 0 ],[0.77 0.82 0.91"
1402      " ]);\nplot([0 60 60 0 0 ],[0 0 56 56 0 ]);\npatch([12.2 23.76 31.76 39.76 47.76 31.76 20.2 12.2 ],[36.88 36.88 44.8"
1403      "8 36.88 44.88 44.88 44.88 36.88 ],[1 1 1 ]);\npatch([20.2 31.76 23.76 12.2 20.2 ],[28.88 28.88 36.88 36.88 28.88 ],"
1404      "[0.931 0.946 0.973 ]);\npatch([12.2 23.76 31.76 20.2 12.2 ],[20.88 20.88 28.88 28.88 20.88 ],[1 1 1 ]);\npatch([20."
1405      "2 47.76 39.76 31.76 23.76 12.2 20.2 ],[12.88 12.88 20.88 12.88 20.88 20.88 12.88 ],[0.931 0.946 0.973 ]);\nfprintf("
1406      "'','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('output',1,'d"
1407      "out');\nfprintf('','COMMENT: end icon text');"
1408      Port {
1409        PortNumber          1
1410        Name            "Radio1AGCDoneRSSI_dout"
1411        RTWStorageClass     "Auto"
1412        DataLoggingNameMode     "SignalName"
1413      }
1414    }
1415    Block {
1416      BlockType       Reference
1417      Name            "From Register5"
1418      SID             "670:240"
1419      Ports           [0, 1]
1420      Position        [400, 2202, 460, 2258]
1421      AttributesFormatString  "<< %<shared_memory_name> >>"
1422      LibraryVersion      "1.2"
1423      SourceBlock         "xbsIndex_r4/From Register"
1424      SourceType          "Xilinx Shared Memory Based From Register Block"
1425      infoedit        "Register block that reads data to a shared memory register.  Delay of one sample period."
1426      shared_memory_name      "'AGCDoneAddr'"
1427      init            "0"
1428      period          "xlGetNormalizedPeriod()"
1429      ownership       "Owned and initialized elsewhere"
1430      gui_display_data_type   "Fixed-point"
1431      arith_type          "Unsigned"
1432      n_bits          "14"
1433      bin_pt          "0"
1434      preci_type          "Single"
1435      dbl_ovrd        off
1436      xl_use_area         off
1437      xl_area         "[0,0,0,0,0,0,0]"
1438      has_advanced_control    "0"
1439      sggui_pos       "-1,-1,-1,-1"
1440      block_type          "fromreg"
1441      sg_icon_stat        "60,56,0,1,white,blue,0,b27a07ff,right,,[ ],[ ]"
1442      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 0 ],[0 0 56 56 0 ],[0.77 0.82 0.91"
1443      " ]);\nplot([0 60 60 0 0 ],[0 0 56 56 0 ]);\npatch([12.2 23.76 31.76 39.76 47.76 31.76 20.2 12.2 ],[36.88 36.88 44.8"
1444      "8 36.88 44.88 44.88 44.88 36.88 ],[1 1 1 ]);\npatch([20.2 31.76 23.76 12.2 20.2 ],[28.88 28.88 36.88 36.88 28.88 ],"
1445      "[0.931 0.946 0.973 ]);\npatch([12.2 23.76 31.76 20.2 12.2 ],[20.88 20.88 28.88 28.88 20.88 ],[1 1 1 ]);\npatch([20."
1446      "2 47.76 39.76 31.76 23.76 12.2 20.2 ],[12.88 12.88 20.88 12.88 20.88 20.88 12.88 ],[0.931 0.946 0.973 ]);\nfprintf("
1447      "'','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('output',1,'d"
1448      "out');\nfprintf('','COMMENT: end icon text');"
1449      Port {
1450        PortNumber          1
1451        Name            "AGCDoneAddr_dout"
1452        RTWStorageClass     "Auto"
1453        DataLoggingNameMode     "SignalName"
1454      }
1455    }
1456    Block {
1457      BlockType       Reference
1458      Name            "PLB_ABus"
1459      SID             "670:224"
1460      Ports           [1, 1]
1461      Position        [175, 755, 245, 775]
1462      LibraryVersion      "1.2"
1463      SourceBlock         "xbsIndex_r4/Gateway In"
1464      SourceType          "Xilinx Gateway In Block"
1465      infoedit        "Gateway in block.  Converts inputs of type Simulink integer, single, double and fixed point to  Xilinx"
1466      " fixed-point or floating-point data type.<br><br>Hardware notes:  In hardware these blocks become top level input p"
1467      "orts."
1468      gui_display_data_type   "Fixed-point"
1469      arith_type          "Unsigned"
1470      n_bits          "32"
1471      bin_pt          "0"
1472      preci_type          "Single"
1473      exp_width       "8"
1474      frac_width          "24"
1475      quantization        "Round  (unbiased: +/- Inf)"
1476      overflow        "Saturate"
1477      period          "xlGetNormalizedPeriod()"
1478      dbl_ovrd        off
1479      timing_constraint   "None"
1480      locs_specified      off
1481      LOCs            "{}"
1482      xl_use_area         off
1483      xl_area         "[0,0,0,0,0,0,0]"
1484      inherit_from_input      off
1485      UseAsADC        off
1486      ADCChannel          "'1'"
1487      hdl_port        "on"
1488      sginterface         "{'Xilinx'=>{'jtaghwcosim'=>{'non_memory_mapped_port'=>'PLB_ABus'}},'iopad'=>{'constraint'=>'#'}}"
1489      has_advanced_control    "0"
1490      sggui_pos       "-1,-1,-1,-1"
1491      block_type          "gatewayin"
1492      sg_icon_stat        "70,20,1,1,white,yellow,1,00d3666e,right,,[ ],[ ]"
1493      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 70 70 0 0 ],[0 0 20 20 0 ],[0.95 0.93 0.65"
1494      " ]);\nplot([0 70 70 0 0 ],[0 0 20 20 0 ]);\npatch([30.55 33.44 35.44 37.44 39.44 35.44 32.55 30.55 ],[12.22 12.22 1"
1495      "4.22 12.22 14.22 14.22 14.22 12.22 ],[1 1 1 ]);\npatch([32.55 35.44 33.44 30.55 32.55 ],[10.22 10.22 12.22 12.22 10"
1496      ".22 ],[0.985 0.979 0.895 ]);\npatch([30.55 33.44 35.44 32.55 30.55 ],[8.22 8.22 10.22 10.22 8.22 ],[1 1 1 ]);\npatc"
1497      "h([32.55 39.44 37.44 35.44 33.44 30.55 32.55 ],[6.22 6.22 8.22 6.22 8.22 8.22 6.22 ],[0.985 0.979 0.895 ]);\nfprint"
1498      "f('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1,'"
1499      "\\fontsize{11pt}\\bf In ','texmode','on');\ncolor('black');port_label('output',1,' ');\nfprintf('','COMMENT: end ic"
1500      "on text');"
1501      Port {
1502        PortNumber          1
1503        Name            "PLB_ABus"
1504        RTWStorageClass     "Auto"
1505        DataLoggingNameMode     "SignalName"
1506      }
1507    }
1508    Block {
1509      BlockType       Reference
1510      Name            "PLB_PAValid"
1511      SID             "670:226"
1512      Ports           [1, 1]
1513      Position        [175, 820, 245, 840]
1514      LibraryVersion      "1.2"
1515      SourceBlock         "xbsIndex_r4/Gateway In"
1516      SourceType          "Xilinx Gateway In Block"
1517      infoedit        "Gateway in block.  Converts inputs of type Simulink integer, single, double and fixed point to  Xilinx"
1518      " fixed-point or floating-point data type.<br><br>Hardware notes:  In hardware these blocks become top level input p"
1519      "orts."
1520      gui_display_data_type   "Fixed-point"
1521      arith_type          "Unsigned"
1522      n_bits          "1"
1523      bin_pt          "0"
1524      preci_type          "Single"
1525      exp_width       "8"
1526      frac_width          "24"
1527      quantization        "Round  (unbiased: +/- Inf)"
1528      overflow        "Saturate"
1529      period          "xlGetNormalizedPeriod()"
1530      dbl_ovrd        off
1531      timing_constraint   "None"
1532      locs_specified      off
1533      LOCs            "{}"
1534      xl_use_area         off
1535      xl_area         "[0,0,0,0,0,0,0]"
1536      inherit_from_input      off
1537      UseAsADC        off
1538      ADCChannel          "'1'"
1539      hdl_port        "on"
1540      sginterface         "{'Xilinx'=>{'jtaghwcosim'=>{'non_memory_mapped_port'=>'PLB_PAValid'}},'iopad'=>{'constraint'=>'#'}}"
1541      has_advanced_control    "0"
1542      sggui_pos       "-1,-1,-1,-1"
1543      block_type          "gatewayin"
1544      sg_icon_stat        "70,20,1,1,white,yellow,1,00d3666e,right,,[ ],[ ]"
1545      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 70 70 0 0 ],[0 0 20 20 0 ],[0.95 0.93 0.65"
1546      " ]);\nplot([0 70 70 0 0 ],[0 0 20 20 0 ]);\npatch([30.55 33.44 35.44 37.44 39.44 35.44 32.55 30.55 ],[12.22 12.22 1"
1547      "4.22 12.22 14.22 14.22 14.22 12.22 ],[1 1 1 ]);\npatch([32.55 35.44 33.44 30.55 32.55 ],[10.22 10.22 12.22 12.22 10"
1548      ".22 ],[0.985 0.979 0.895 ]);\npatch([30.55 33.44 35.44 32.55 30.55 ],[8.22 8.22 10.22 10.22 8.22 ],[1 1 1 ]);\npatc"
1549      "h([32.55 39.44 37.44 35.44 33.44 30.55 32.55 ],[6.22 6.22 8.22 6.22 8.22 8.22 6.22 ],[0.985 0.979 0.895 ]);\nfprint"
1550      "f('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1,'"
1551      "\\fontsize{11pt}\\bf In ','texmode','on');\ncolor('black');port_label('output',1,' ');\nfprintf('','COMMENT: end ic"
1552      "on text');"
1553      Port {
1554        PortNumber          1
1555        Name            "PLB_PAValid"
1556        RTWStorageClass     "Auto"
1557        DataLoggingNameMode     "SignalName"
1558      }
1559    }
1560    Block {
1561      BlockType       Reference
1562      Name            "PLB_RNW"
1563      SID             "670:228"
1564      Ports           [1, 1]
1565      Position        [175, 890, 245, 910]
1566      LibraryVersion      "1.2"
1567      SourceBlock         "xbsIndex_r4/Gateway In"
1568      SourceType          "Xilinx Gateway In Block"
1569      infoedit        "Gateway in block.  Converts inputs of type Simulink integer, single, double and fixed point to  Xilinx"
1570      " fixed-point or floating-point data type.<br><br>Hardware notes:  In hardware these blocks become top level input p"
1571      "orts."
1572      gui_display_data_type   "Fixed-point"
1573      arith_type          "Unsigned"
1574      n_bits          "1"
1575      bin_pt          "0"
1576      preci_type          "Single"
1577      exp_width       "8"
1578      frac_width          "24"
1579      quantization        "Round  (unbiased: +/- Inf)"
1580      overflow        "Saturate"
1581      period          "xlGetNormalizedPeriod()"
1582      dbl_ovrd        off
1583      timing_constraint   "None"
1584      locs_specified      off
1585      LOCs            "{}"
1586      xl_use_area         off
1587      xl_area         "[0,0,0,0,0,0,0]"
1588      inherit_from_input      off
1589      UseAsADC        off
1590      ADCChannel          "'1'"
1591      hdl_port        "on"
1592      sginterface         "{'Xilinx'=>{'jtaghwcosim'=>{'non_memory_mapped_port'=>'PLB_RNW'}},'iopad'=>{'constraint'=>'#'}}"
1593      has_advanced_control    "0"
1594      sggui_pos       "-1,-1,-1,-1"
1595      block_type          "gatewayin"
1596      sg_icon_stat        "70,20,1,1,white,yellow,1,00d3666e,right,,[ ],[ ]"
1597      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 70 70 0 0 ],[0 0 20 20 0 ],[0.95 0.93 0.65"
1598      " ]);\nplot([0 70 70 0 0 ],[0 0 20 20 0 ]);\npatch([30.55 33.44 35.44 37.44 39.44 35.44 32.55 30.55 ],[12.22 12.22 1"
1599      "4.22 12.22 14.22 14.22 14.22 12.22 ],[1 1 1 ]);\npatch([32.55 35.44 33.44 30.55 32.55 ],[10.22 10.22 12.22 12.22 10"
1600      ".22 ],[0.985 0.979 0.895 ]);\npatch([30.55 33.44 35.44 32.55 30.55 ],[8.22 8.22 10.22 10.22 8.22 ],[1 1 1 ]);\npatc"
1601      "h([32.55 39.44 37.44 35.44 33.44 30.55 32.55 ],[6.22 6.22 8.22 6.22 8.22 8.22 6.22 ],[0.985 0.979 0.895 ]);\nfprint"
1602      "f('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1,'"
1603      "\\fontsize{11pt}\\bf In ','texmode','on');\ncolor('black');port_label('output',1,' ');\nfprintf('','COMMENT: end ic"
1604      "on text');"
1605      Port {
1606        PortNumber          1
1607        Name            "PLB_RNW"
1608        RTWStorageClass     "Auto"
1609        DataLoggingNameMode     "SignalName"
1610      }
1611    }
1612    Block {
1613      BlockType       Reference
1614      Name            "PLB_wrDBus"
1615      SID             "670:230"
1616      Ports           [1, 1]
1617      Position        [175, 960, 245, 980]
1618      LibraryVersion      "1.2"
1619      SourceBlock         "xbsIndex_r4/Gateway In"
1620      SourceType          "Xilinx Gateway In Block"
1621      infoedit        "Gateway in block.  Converts inputs of type Simulink integer, single, double and fixed point to  Xilinx"
1622      " fixed-point or floating-point data type.<br><br>Hardware notes:  In hardware these blocks become top level input p"
1623      "orts."
1624      gui_display_data_type   "Fixed-point"
1625      arith_type          "Unsigned"
1626      n_bits          "32"
1627      bin_pt          "0"
1628      preci_type          "Single"
1629      exp_width       "8"
1630      frac_width          "24"
1631      quantization        "Round  (unbiased: +/- Inf)"
1632      overflow        "Saturate"
1633      period          "xlGetNormalizedPeriod()"
1634      dbl_ovrd        off
1635      timing_constraint   "None"
1636      locs_specified      off
1637      LOCs            "{}"
1638      xl_use_area         off
1639      xl_area         "[0,0,0,0,0,0,0]"
1640      inherit_from_input      off
1641      UseAsADC        off
1642      ADCChannel          "'1'"
1643      hdl_port        "on"
1644      sginterface         "{'Xilinx'=>{'jtaghwcosim'=>{'non_memory_mapped_port'=>'PLB_wrDBus'}},'iopad'=>{'constraint'=>'#'}}"
1645      has_advanced_control    "0"
1646      sggui_pos       "-1,-1,-1,-1"
1647      block_type          "gatewayin"
1648      sg_icon_stat        "70,20,1,1,white,yellow,1,00d3666e,right,,[ ],[ ]"
1649      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 70 70 0 0 ],[0 0 20 20 0 ],[0.95 0.93 0.65"
1650      " ]);\nplot([0 70 70 0 0 ],[0 0 20 20 0 ]);\npatch([30.55 33.44 35.44 37.44 39.44 35.44 32.55 30.55 ],[12.22 12.22 1"
1651      "4.22 12.22 14.22 14.22 14.22 12.22 ],[1 1 1 ]);\npatch([32.55 35.44 33.44 30.55 32.55 ],[10.22 10.22 12.22 12.22 10"
1652      ".22 ],[0.985 0.979 0.895 ]);\npatch([30.55 33.44 35.44 32.55 30.55 ],[8.22 8.22 10.22 10.22 8.22 ],[1 1 1 ]);\npatc"
1653      "h([32.55 39.44 37.44 35.44 33.44 30.55 32.55 ],[6.22 6.22 8.22 6.22 8.22 8.22 6.22 ],[0.985 0.979 0.895 ]);\nfprint"
1654      "f('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1,'"
1655      "\\fontsize{11pt}\\bf In ','texmode','on');\ncolor('black');port_label('output',1,' ');\nfprintf('','COMMENT: end ic"
1656      "on text');"
1657      Port {
1658        PortNumber          1
1659        Name            "PLB_wrDBus"
1660        RTWStorageClass     "Auto"
1661        DataLoggingNameMode     "SignalName"
1662      }
1663    }
1664    Block {
1665      BlockType       Reference
1666      Name            "SPLB_Rst"
1667      SID             "670:222"
1668      Ports           [1, 1]
1669      Position        [175, 685, 245, 705]
1670      LibraryVersion      "1.2"
1671      SourceBlock         "xbsIndex_r4/Gateway In"
1672      SourceType          "Xilinx Gateway In Block"
1673      infoedit        "Gateway in block.  Converts inputs of type Simulink integer, single, double and fixed point to  Xilinx"
1674      " fixed-point or floating-point data type.<br><br>Hardware notes:  In hardware these blocks become top level input p"
1675      "orts."
1676      gui_display_data_type   "Fixed-point"
1677      arith_type          "Unsigned"
1678      n_bits          "1"
1679      bin_pt          "0"
1680      preci_type          "Single"
1681      exp_width       "8"
1682      frac_width          "24"
1683      quantization        "Round  (unbiased: +/- Inf)"
1684      overflow        "Saturate"
1685      period          "xlGetNormalizedPeriod()"
1686      dbl_ovrd        off
1687      timing_constraint   "None"
1688      locs_specified      off
1689      LOCs            "{}"
1690      xl_use_area         off
1691      xl_area         "[0,0,0,0,0,0,0]"
1692      inherit_from_input      off
1693      UseAsADC        off
1694      ADCChannel          "'1'"
1695      hdl_port        "on"
1696      sginterface         "{'Xilinx'=>{'jtaghwcosim'=>{'non_memory_mapped_port'=>'SPLB_Rst'}},'iopad'=>{'constraint'=>'#'}}"
1697      has_advanced_control    "0"
1698      sggui_pos       "-1,-1,-1,-1"
1699      block_type          "gatewayin"
1700      sg_icon_stat        "70,20,1,1,white,yellow,1,00d3666e,right,,[ ],[ ]"
1701      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 70 70 0 0 ],[0 0 20 20 0 ],[0.95 0.93 0.65"
1702      " ]);\nplot([0 70 70 0 0 ],[0 0 20 20 0 ]);\npatch([30.55 33.44 35.44 37.44 39.44 35.44 32.55 30.55 ],[12.22 12.22 1"
1703      "4.22 12.22 14.22 14.22 14.22 12.22 ],[1 1 1 ]);\npatch([32.55 35.44 33.44 30.55 32.55 ],[10.22 10.22 12.22 12.22 10"
1704      ".22 ],[0.985 0.979 0.895 ]);\npatch([30.55 33.44 35.44 32.55 30.55 ],[8.22 8.22 10.22 10.22 8.22 ],[1 1 1 ]);\npatc"
1705      "h([32.55 39.44 37.44 35.44 33.44 30.55 32.55 ],[6.22 6.22 8.22 6.22 8.22 8.22 6.22 ],[0.985 0.979 0.895 ]);\nfprint"
1706      "f('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1,'"
1707      "\\fontsize{11pt}\\bf In ','texmode','on');\ncolor('black');port_label('output',1,' ');\nfprintf('','COMMENT: end ic"
1708      "on text');"
1709      Port {
1710        PortNumber          1
1711        Name            "SPLB_Rst"
1712        RTWStorageClass     "Auto"
1713        DataLoggingNameMode     "SignalName"
1714      }
1715    }
1716    Block {
1717      BlockType       Reference
1718      Name            "Shared Memory"
1719      SID             "670:258"
1720      Ports           [3, 1]
1721      Position        [885, 2249, 965, 2341]
1722      AttributesFormatString  "<< %<shared_memory_name> >>"
1723      LibraryVersion      "1.2"
1724      SourceBlock         "xbsIndex_r4/Shared Memory"
1725      SourceType          "Xilinx Shared Memory Random Access Memory Block"
1726      shared_memory_name      "'TxBuff_Radio4'"
1727      depth           "16384"
1728      ownership       "Owned and Initialized Elsewhere"
1729      initVector          "sin(pi*(0:15)/16)"
1730      en              off
1731      mutex           "Unprotected"
1732      mode            "Read and Write"
1733      write_mode          "Read Before Write"
1734      time_out        "0"
1735      latency         "1"
1736      explicit_data_type      off
1737      gui_display_data_type   "Fixed-point"
1738      arith_type          "Unsigned"
1739      n_bits          "32"
1740      bin_pt          "0"
1741      preci_type          "Single"
1742      xl_use_area         off
1743      xl_area         "[0,0,0,0,0,0,0]"
1744      implementation      "Block RAM"
1745      use_rpm         "off"
1746      has_advanced_control    "0"
1747      sggui_pos       "-1,-1,-1,-1"
1748      block_type          "shmem"
1749      sg_icon_stat        "80,92,3,1,white,blue,0,bf435243,right,,[ ],[ ]"
1750      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 80 80 0 0 ],[0 0 92 92 0 ],[0.77 0.82 0.91"
1751      " ]);\nplot([0 80 80 0 0 ],[0 0 92 92 0 ]);\npatch([15.525 31.42 42.42 53.42 64.42 42.42 26.525 15.525 ],[58.21 58.2"
1752      "1 69.21 58.21 69.21 69.21 69.21 58.21 ],[1 1 1 ]);\npatch([26.525 42.42 31.42 15.525 26.525 ],[47.21 47.21 58.21 58"
1753      ".21 47.21 ],[0.931 0.946 0.973 ]);\npatch([15.525 31.42 42.42 26.525 15.525 ],[36.21 36.21 47.21 47.21 36.21 ],[1 1"
1754      " 1 ]);\npatch([26.525 64.42 53.42 42.42 31.42 15.525 26.525 ],[25.21 25.21 36.21 25.21 36.21 36.21 25.21 ],[0.931 0"
1755      ".946 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');"
1756      "port_label('input',1,'addr');\ncolor('black');port_label('input',2,'din');\ncolor('black');port_label('input',3,'we"
1757      "');\ncolor('black');port_label('output',1,'dout');\nfprintf('','COMMENT: end icon text');"
1758      Port {
1759        PortNumber          1
1760        Name            "TxBuff_Radio4_dout"
1761        RTWStorageClass     "Auto"
1762        DataLoggingNameMode     "SignalName"
1763      }
1764    }
1765    Block {
1766      BlockType       Reference
1767      Name            "Shared Memory1"
1768      SID             "670:259"
1769      Ports           [3, 1]
1770      Position        [885, 2389, 965, 2481]
1771      AttributesFormatString  "<< %<shared_memory_name> >>"
1772      LibraryVersion      "1.2"
1773      SourceBlock         "xbsIndex_r4/Shared Memory"
1774      SourceType          "Xilinx Shared Memory Random Access Memory Block"
1775      shared_memory_name      "'RxBuff_Radio4'"
1776      depth           "16384"
1777      ownership       "Owned and Initialized Elsewhere"
1778      initVector          "sin(pi*(0:15)/16)"
1779      en              off
1780      mutex           "Unprotected"
1781      mode            "Read and Write"
1782      write_mode          "Read Before Write"
1783      time_out        "0"
1784      latency         "1"
1785      explicit_data_type      off
1786      gui_display_data_type   "Fixed-point"
1787      arith_type          "Unsigned"
1788      n_bits          "32"
1789      bin_pt          "0"
1790      preci_type          "Single"
1791      xl_use_area         off
1792      xl_area         "[0,0,0,0,0,0,0]"
1793      implementation      "Block RAM"
1794      use_rpm         "off"
1795      has_advanced_control    "0"
1796      sggui_pos       "-1,-1,-1,-1"
1797      block_type          "shmem"
1798      sg_icon_stat        "80,92,3,1,white,blue,0,bf435243,right,,[ ],[ ]"
1799      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 80 80 0 0 ],[0 0 92 92 0 ],[0.77 0.82 0.91"
1800      " ]);\nplot([0 80 80 0 0 ],[0 0 92 92 0 ]);\npatch([15.525 31.42 42.42 53.42 64.42 42.42 26.525 15.525 ],[58.21 58.2"
1801      "1 69.21 58.21 69.21 69.21 69.21 58.21 ],[1 1 1 ]);\npatch([26.525 42.42 31.42 15.525 26.525 ],[47.21 47.21 58.21 58"
1802      ".21 47.21 ],[0.931 0.946 0.973 ]);\npatch([15.525 31.42 42.42 26.525 15.525 ],[36.21 36.21 47.21 47.21 36.21 ],[1 1"
1803      " 1 ]);\npatch([26.525 64.42 53.42 42.42 31.42 15.525 26.525 ],[25.21 25.21 36.21 25.21 36.21 36.21 25.21 ],[0.931 0"
1804      ".946 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');"
1805      "port_label('input',1,'addr');\ncolor('black');port_label('input',2,'din');\ncolor('black');port_label('input',3,'we"
1806      "');\ncolor('black');port_label('output',1,'dout');\nfprintf('','COMMENT: end icon text');"
1807      Port {
1808        PortNumber          1
1809        Name            "RxBuff_Radio4_dout"
1810        RTWStorageClass     "Auto"
1811        DataLoggingNameMode     "SignalName"
1812      }
1813    }
1814    Block {
1815      BlockType       Reference
1816      Name            "Shared Memory10"
1817      SID             "670:268"
1818      Ports           [3, 1]
1819      Position        [885, 3649, 965, 3741]
1820      AttributesFormatString  "<< %<shared_memory_name> >>"
1821      LibraryVersion      "1.2"
1822      SourceBlock         "xbsIndex_r4/Shared Memory"
1823      SourceType          "Xilinx Shared Memory Random Access Memory Block"
1824      shared_memory_name      "'RxBuff_Radio1'"
1825      depth           "16384"
1826      ownership       "Owned and Initialized Elsewhere"
1827      initVector          "sin(pi*(0:15)/16)"
1828      en              off
1829      mutex           "Unprotected"
1830      mode            "Read and Write"
1831      write_mode          "Read Before Write"
1832      time_out        "0"
1833      latency         "1"
1834      explicit_data_type      off
1835      gui_display_data_type   "Fixed-point"
1836      arith_type          "Unsigned"
1837      n_bits          "32"
1838      bin_pt          "0"
1839      preci_type          "Single"
1840      xl_use_area         off
1841      xl_area         "[0,0,0,0,0,0,0]"
1842      implementation      "Block RAM"
1843      use_rpm         "off"
1844      has_advanced_control    "0"
1845      sggui_pos       "-1,-1,-1,-1"
1846      block_type          "shmem"
1847      sg_icon_stat        "80,92,3,1,white,blue,0,bf435243,right,,[ ],[ ]"
1848      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 80 80 0 0 ],[0 0 92 92 0 ],[0.77 0.82 0.91"
1849      " ]);\nplot([0 80 80 0 0 ],[0 0 92 92 0 ]);\npatch([15.525 31.42 42.42 53.42 64.42 42.42 26.525 15.525 ],[58.21 58.2"
1850      "1 69.21 58.21 69.21 69.21 69.21 58.21 ],[1 1 1 ]);\npatch([26.525 42.42 31.42 15.525 26.525 ],[47.21 47.21 58.21 58"
1851      ".21 47.21 ],[0.931 0.946 0.973 ]);\npatch([15.525 31.42 42.42 26.525 15.525 ],[36.21 36.21 47.21 47.21 36.21 ],[1 1"
1852      " 1 ]);\npatch([26.525 64.42 53.42 42.42 31.42 15.525 26.525 ],[25.21 25.21 36.21 25.21 36.21 36.21 25.21 ],[0.931 0"
1853      ".946 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');"
1854      "port_label('input',1,'addr');\ncolor('black');port_label('input',2,'din');\ncolor('black');port_label('input',3,'we"
1855      "');\ncolor('black');port_label('output',1,'dout');\nfprintf('','COMMENT: end icon text');"
1856      Port {
1857        PortNumber          1
1858        Name            "RxBuff_Radio1_dout"
1859        RTWStorageClass     "Auto"
1860        DataLoggingNameMode     "SignalName"
1861      }
1862    }
1863    Block {
1864      BlockType       Reference
1865      Name            "Shared Memory11"
1866      SID             "670:269"
1867      Ports           [3, 1]
1868      Position        [885, 3789, 965, 3881]
1869      AttributesFormatString  "<< %<shared_memory_name> >>"
1870      LibraryVersion      "1.2"
1871      SourceBlock         "xbsIndex_r4/Shared Memory"
1872      SourceType          "Xilinx Shared Memory Random Access Memory Block"
1873      shared_memory_name      "'RSSIBuff_Radio1'"
1874      depth           "2048"
1875      ownership       "Owned and Initialized Elsewhere"
1876      initVector          "sin(pi*(0:15)/16)"
1877      en              off
1878      mutex           "Unprotected"
1879      mode            "Read and Write"
1880      write_mode          "Read Before Write"
1881      time_out        "0"
1882      latency         "1"
1883      explicit_data_type      off
1884      gui_display_data_type   "Fixed-point"
1885      arith_type          "Unsigned"
1886      n_bits          "32"
1887      bin_pt          "0"
1888      preci_type          "Single"
1889      xl_use_area         off
1890      xl_area         "[0,0,0,0,0,0,0]"
1891      implementation      "Block RAM"
1892      use_rpm         "off"
1893      has_advanced_control    "0"
1894      sggui_pos       "-1,-1,-1,-1"
1895      block_type          "shmem"
1896      sg_icon_stat        "80,92,3,1,white,blue,0,bf435243,right,,[ ],[ ]"
1897      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 80 80 0 0 ],[0 0 92 92 0 ],[0.77 0.82 0.91"
1898      " ]);\nplot([0 80 80 0 0 ],[0 0 92 92 0 ]);\npatch([15.525 31.42 42.42 53.42 64.42 42.42 26.525 15.525 ],[58.21 58.2"
1899      "1 69.21 58.21 69.21 69.21 69.21 58.21 ],[1 1 1 ]);\npatch([26.525 42.42 31.42 15.525 26.525 ],[47.21 47.21 58.21 58"
1900      ".21 47.21 ],[0.931 0.946 0.973 ]);\npatch([15.525 31.42 42.42 26.525 15.525 ],[36.21 36.21 47.21 47.21 36.21 ],[1 1"
1901      " 1 ]);\npatch([26.525 64.42 53.42 42.42 31.42 15.525 26.525 ],[25.21 25.21 36.21 25.21 36.21 36.21 25.21 ],[0.931 0"
1902      ".946 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');"
1903      "port_label('input',1,'addr');\ncolor('black');port_label('input',2,'din');\ncolor('black');port_label('input',3,'we"
1904      "');\ncolor('black');port_label('output',1,'dout');\nfprintf('','COMMENT: end icon text');"
1905      Port {
1906        PortNumber          1
1907        Name            "RSSIBuff_Radio1_dout"
1908        RTWStorageClass     "Auto"
1909        DataLoggingNameMode     "SignalName"
1910      }
1911    }
1912    Block {
1913      BlockType       Reference
1914      Name            "Shared Memory2"
1915      SID             "670:260"
1916      Ports           [3, 1]
1917      Position        [885, 2529, 965, 2621]
1918      AttributesFormatString  "<< %<shared_memory_name> >>"
1919      LibraryVersion      "1.2"
1920      SourceBlock         "xbsIndex_r4/Shared Memory"
1921      SourceType          "Xilinx Shared Memory Random Access Memory Block"
1922      shared_memory_name      "'RSSIBuff_Radio4'"
1923      depth           "2048"
1924      ownership       "Owned and Initialized Elsewhere"
1925      initVector          "sin(pi*(0:15)/16)"
1926      en              off
1927      mutex           "Unprotected"
1928      mode            "Read and Write"
1929      write_mode          "Read Before Write"
1930      time_out        "0"
1931      latency         "1"
1932      explicit_data_type      off
1933      gui_display_data_type   "Fixed-point"
1934      arith_type          "Unsigned"
1935      n_bits          "32"
1936      bin_pt          "0"
1937      preci_type          "Single"
1938      xl_use_area         off
1939      xl_area         "[0,0,0,0,0,0,0]"
1940      implementation      "Block RAM"
1941      use_rpm         "off"
1942      has_advanced_control    "0"
1943      sggui_pos       "-1,-1,-1,-1"
1944      block_type          "shmem"
1945      sg_icon_stat        "80,92,3,1,white,blue,0,bf435243,right,,[ ],[ ]"
1946      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 80 80 0 0 ],[0 0 92 92 0 ],[0.77 0.82 0.91"
1947      " ]);\nplot([0 80 80 0 0 ],[0 0 92 92 0 ]);\npatch([15.525 31.42 42.42 53.42 64.42 42.42 26.525 15.525 ],[58.21 58.2"
1948      "1 69.21 58.21 69.21 69.21 69.21 58.21 ],[1 1 1 ]);\npatch([26.525 42.42 31.42 15.525 26.525 ],[47.21 47.21 58.21 58"
1949      ".21 47.21 ],[0.931 0.946 0.973 ]);\npatch([15.525 31.42 42.42 26.525 15.525 ],[36.21 36.21 47.21 47.21 36.21 ],[1 1"
1950      " 1 ]);\npatch([26.525 64.42 53.42 42.42 31.42 15.525 26.525 ],[25.21 25.21 36.21 25.21 36.21 36.21 25.21 ],[0.931 0"
1951      ".946 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');"
1952      "port_label('input',1,'addr');\ncolor('black');port_label('input',2,'din');\ncolor('black');port_label('input',3,'we"
1953      "');\ncolor('black');port_label('output',1,'dout');\nfprintf('','COMMENT: end icon text');"
1954      Port {
1955        PortNumber          1
1956        Name            "RSSIBuff_Radio4_dout"
1957        RTWStorageClass     "Auto"
1958        DataLoggingNameMode     "SignalName"
1959      }
1960    }
1961    Block {
1962      BlockType       Reference
1963      Name            "Shared Memory3"
1964      SID             "670:261"
1965      Ports           [3, 1]
1966      Position        [885, 2669, 965, 2761]
1967      AttributesFormatString  "<< %<shared_memory_name> >>"
1968      LibraryVersion      "1.2"
1969      SourceBlock         "xbsIndex_r4/Shared Memory"
1970      SourceType          "Xilinx Shared Memory Random Access Memory Block"
1971      shared_memory_name      "'TxBuff_Radio3'"
1972      depth           "16384"
1973      ownership       "Owned and Initialized Elsewhere"
1974      initVector          "sin(pi*(0:15)/16)"
1975      en              off
1976      mutex           "Unprotected"
1977      mode            "Read and Write"
1978      write_mode          "Read Before Write"
1979      time_out        "0"
1980      latency         "1"
1981      explicit_data_type      off
1982      gui_display_data_type   "Fixed-point"
1983      arith_type          "Unsigned"
1984      n_bits          "32"
1985      bin_pt          "0"
1986      preci_type          "Single"
1987      xl_use_area         off
1988      xl_area         "[0,0,0,0,0,0,0]"
1989      implementation      "Block RAM"
1990      use_rpm         "off"
1991      has_advanced_control    "0"
1992      sggui_pos       "-1,-1,-1,-1"
1993      block_type          "shmem"
1994      sg_icon_stat        "80,92,3,1,white,blue,0,bf435243,right,,[ ],[ ]"
1995      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 80 80 0 0 ],[0 0 92 92 0 ],[0.77 0.82 0.91"
1996      " ]);\nplot([0 80 80 0 0 ],[0 0 92 92 0 ]);\npatch([15.525 31.42 42.42 53.42 64.42 42.42 26.525 15.525 ],[58.21 58.2"
1997      "1 69.21 58.21 69.21 69.21 69.21 58.21 ],[1 1 1 ]);\npatch([26.525 42.42 31.42 15.525 26.525 ],[47.21 47.21 58.21 58"
1998      ".21 47.21 ],[0.931 0.946 0.973 ]);\npatch([15.525 31.42 42.42 26.525 15.525 ],[36.21 36.21 47.21 47.21 36.21 ],[1 1"
1999      " 1 ]);\npatch([26.525 64.42 53.42 42.42 31.42 15.525 26.525 ],[25.21 25.21 36.21 25.21 36.21 36.21 25.21 ],[0.931 0"
2000      ".946 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');"
2001      "port_label('input',1,'addr');\ncolor('black');port_label('input',2,'din');\ncolor('black');port_label('input',3,'we"
2002      "');\ncolor('black');port_label('output',1,'dout');\nfprintf('','COMMENT: end icon text');"
2003      Port {
2004        PortNumber          1
2005        Name            "TxBuff_Radio3_dout"
2006        RTWStorageClass     "Auto"
2007        DataLoggingNameMode     "SignalName"
2008      }
2009    }
2010    Block {
2011      BlockType       Reference
2012      Name            "Shared Memory4"
2013      SID             "670:262"
2014      Ports           [3, 1]
2015      Position        [885, 2809, 965, 2901]
2016      AttributesFormatString  "<< %<shared_memory_name> >>"
2017      LibraryVersion      "1.2"
2018      SourceBlock         "xbsIndex_r4/Shared Memory"
2019      SourceType          "Xilinx Shared Memory Random Access Memory Block"
2020      shared_memory_name      "'RxBuff_Radio3'"
2021      depth           "16384"
2022      ownership       "Owned and Initialized Elsewhere"
2023      initVector          "sin(pi*(0:15)/16)"
2024      en              off
2025      mutex           "Unprotected"
2026      mode            "Read and Write"
2027      write_mode          "Read Before Write"
2028      time_out        "0"
2029      latency         "1"
2030      explicit_data_type      off
2031      gui_display_data_type   "Fixed-point"
2032      arith_type          "Unsigned"
2033      n_bits          "32"
2034      bin_pt          "0"
2035      preci_type          "Single"
2036      xl_use_area         off
2037      xl_area         "[0,0,0,0,0,0,0]"
2038      implementation      "Block RAM"
2039      use_rpm         "off"
2040      has_advanced_control    "0"
2041      sggui_pos       "-1,-1,-1,-1"
2042      block_type          "shmem"
2043      sg_icon_stat        "80,92,3,1,white,blue,0,bf435243,right,,[ ],[ ]"
2044      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 80 80 0 0 ],[0 0 92 92 0 ],[0.77 0.82 0.91"
2045      " ]);\nplot([0 80 80 0 0 ],[0 0 92 92 0 ]);\npatch([15.525 31.42 42.42 53.42 64.42 42.42 26.525 15.525 ],[58.21 58.2"
2046      "1 69.21 58.21 69.21 69.21 69.21 58.21 ],[1 1 1 ]);\npatch([26.525 42.42 31.42 15.525 26.525 ],[47.21 47.21 58.21 58"
2047      ".21 47.21 ],[0.931 0.946 0.973 ]);\npatch([15.525 31.42 42.42 26.525 15.525 ],[36.21 36.21 47.21 47.21 36.21 ],[1 1"
2048      " 1 ]);\npatch([26.525 64.42 53.42 42.42 31.42 15.525 26.525 ],[25.21 25.21 36.21 25.21 36.21 36.21 25.21 ],[0.931 0"
2049      ".946 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');"
2050      "port_label('input',1,'addr');\ncolor('black');port_label('input',2,'din');\ncolor('black');port_label('input',3,'we"
2051      "');\ncolor('black');port_label('output',1,'dout');\nfprintf('','COMMENT: end icon text');"
2052      Port {
2053        PortNumber          1
2054        Name            "RxBuff_Radio3_dout"
2055        RTWStorageClass     "Auto"
2056        DataLoggingNameMode     "SignalName"
2057      }
2058    }
2059    Block {
2060      BlockType       Reference
2061      Name            "Shared Memory5"
2062      SID             "670:263"
2063      Ports           [3, 1]
2064      Position        [885, 2949, 965, 3041]
2065      AttributesFormatString  "<< %<shared_memory_name> >>"
2066      LibraryVersion      "1.2"
2067      SourceBlock         "xbsIndex_r4/Shared Memory"
2068      SourceType          "Xilinx Shared Memory Random Access Memory Block"
2069      shared_memory_name      "'RSSIBuff_Radio3'"
2070      depth           "2048"
2071      ownership       "Owned and Initialized Elsewhere"
2072      initVector          "sin(pi*(0:15)/16)"
2073      en              off
2074      mutex           "Unprotected"
2075      mode            "Read and Write"
2076      write_mode          "Read Before Write"
2077      time_out        "0"
2078      latency         "1"
2079      explicit_data_type      off
2080      gui_display_data_type   "Fixed-point"
2081      arith_type          "Unsigned"
2082      n_bits          "32"
2083      bin_pt          "0"
2084      preci_type          "Single"
2085      xl_use_area         off
2086      xl_area         "[0,0,0,0,0,0,0]"
2087      implementation      "Block RAM"
2088      use_rpm         "off"
2089      has_advanced_control    "0"
2090      sggui_pos       "-1,-1,-1,-1"
2091      block_type          "shmem"
2092      sg_icon_stat        "80,92,3,1,white,blue,0,bf435243,right,,[ ],[ ]"
2093      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 80 80 0 0 ],[0 0 92 92 0 ],[0.77 0.82 0.91"
2094      " ]);\nplot([0 80 80 0 0 ],[0 0 92 92 0 ]);\npatch([15.525 31.42 42.42 53.42 64.42 42.42 26.525 15.525 ],[58.21 58.2"
2095      "1 69.21 58.21 69.21 69.21 69.21 58.21 ],[1 1 1 ]);\npatch([26.525 42.42 31.42 15.525 26.525 ],[47.21 47.21 58.21 58"
2096      ".21 47.21 ],[0.931 0.946 0.973 ]);\npatch([15.525 31.42 42.42 26.525 15.525 ],[36.21 36.21 47.21 47.21 36.21 ],[1 1"
2097      " 1 ]);\npatch([26.525 64.42 53.42 42.42 31.42 15.525 26.525 ],[25.21 25.21 36.21 25.21 36.21 36.21 25.21 ],[0.931 0"
2098      ".946 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');"
2099      "port_label('input',1,'addr');\ncolor('black');port_label('input',2,'din');\ncolor('black');port_label('input',3,'we"
2100      "');\ncolor('black');port_label('output',1,'dout');\nfprintf('','COMMENT: end icon text');"
2101      Port {
2102        PortNumber          1
2103        Name            "RSSIBuff_Radio3_dout"
2104        RTWStorageClass     "Auto"
2105        DataLoggingNameMode     "SignalName"
2106      }
2107    }
2108    Block {
2109      BlockType       Reference
2110      Name            "Shared Memory6"
2111      SID             "670:264"
2112      Ports           [3, 1]
2113      Position        [885, 3089, 965, 3181]
2114      AttributesFormatString  "<< %<shared_memory_name> >>"
2115      LibraryVersion      "1.2"
2116      SourceBlock         "xbsIndex_r4/Shared Memory"
2117      SourceType          "Xilinx Shared Memory Random Access Memory Block"
2118      shared_memory_name      "'TxBuff_Radio2'"
2119      depth           "16384"
2120      ownership       "Owned and Initialized Elsewhere"
2121      initVector          "sin(pi*(0:15)/16)"
2122      en              off
2123      mutex           "Unprotected"
2124      mode            "Read and Write"
2125      write_mode          "Read Before Write"
2126      time_out        "0"
2127      latency         "1"
2128      explicit_data_type      off
2129      gui_display_data_type   "Fixed-point"
2130      arith_type          "Unsigned"
2131      n_bits          "32"
2132      bin_pt          "0"
2133      preci_type          "Single"
2134      xl_use_area         off
2135      xl_area         "[0,0,0,0,0,0,0]"
2136      implementation      "Block RAM"
2137      use_rpm         "off"
2138      has_advanced_control    "0"
2139      sggui_pos       "-1,-1,-1,-1"
2140      block_type          "shmem"
2141      sg_icon_stat        "80,92,3,1,white,blue,0,bf435243,right,,[ ],[ ]"
2142      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 80 80 0 0 ],[0 0 92 92 0 ],[0.77 0.82 0.91"
2143      " ]);\nplot([0 80 80 0 0 ],[0 0 92 92 0 ]);\npatch([15.525 31.42 42.42 53.42 64.42 42.42 26.525 15.525 ],[58.21 58.2"
2144      "1 69.21 58.21 69.21 69.21 69.21 58.21 ],[1 1 1 ]);\npatch([26.525 42.42 31.42 15.525 26.525 ],[47.21 47.21 58.21 58"
2145      ".21 47.21 ],[0.931 0.946 0.973 ]);\npatch([15.525 31.42 42.42 26.525 15.525 ],[36.21 36.21 47.21 47.21 36.21 ],[1 1"
2146      " 1 ]);\npatch([26.525 64.42 53.42 42.42 31.42 15.525 26.525 ],[25.21 25.21 36.21 25.21 36.21 36.21 25.21 ],[0.931 0"
2147      ".946 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');"
2148      "port_label('input',1,'addr');\ncolor('black');port_label('input',2,'din');\ncolor('black');port_label('input',3,'we"
2149      "');\ncolor('black');port_label('output',1,'dout');\nfprintf('','COMMENT: end icon text');"
2150      Port {
2151        PortNumber          1
2152        Name            "TxBuff_Radio2_dout"
2153        RTWStorageClass     "Auto"
2154        DataLoggingNameMode     "SignalName"
2155      }
2156    }
2157    Block {
2158      BlockType       Reference
2159      Name            "Shared Memory7"
2160      SID             "670:265"
2161      Ports           [3, 1]
2162      Position        [885, 3229, 965, 3321]
2163      AttributesFormatString  "<< %<shared_memory_name> >>"
2164      LibraryVersion      "1.2"
2165      SourceBlock         "xbsIndex_r4/Shared Memory"
2166      SourceType          "Xilinx Shared Memory Random Access Memory Block"
2167      shared_memory_name      "'RxBuff_Radio2'"
2168      depth           "16384"
2169      ownership       "Owned and Initialized Elsewhere"
2170      initVector          "sin(pi*(0:15)/16)"
2171      en              off
2172      mutex           "Unprotected"
2173      mode            "Read and Write"
2174      write_mode          "Read Before Write"
2175      time_out        "0"
2176      latency         "1"
2177      explicit_data_type      off
2178      gui_display_data_type   "Fixed-point"
2179      arith_type          "Unsigned"
2180      n_bits          "32"
2181      bin_pt          "0"
2182      preci_type          "Single"
2183      xl_use_area         off
2184      xl_area         "[0,0,0,0,0,0,0]"
2185      implementation      "Block RAM"
2186      use_rpm         "off"
2187      has_advanced_control    "0"
2188      sggui_pos       "-1,-1,-1,-1"
2189      block_type          "shmem"
2190      sg_icon_stat        "80,92,3,1,white,blue,0,bf435243,right,,[ ],[ ]"
2191      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 80 80 0 0 ],[0 0 92 92 0 ],[0.77 0.82 0.91"
2192      " ]);\nplot([0 80 80 0 0 ],[0 0 92 92 0 ]);\npatch([15.525 31.42 42.42 53.42 64.42 42.42 26.525 15.525 ],[58.21 58.2"
2193      "1 69.21 58.21 69.21 69.21 69.21 58.21 ],[1 1 1 ]);\npatch([26.525 42.42 31.42 15.525 26.525 ],[47.21 47.21 58.21 58"
2194      ".21 47.21 ],[0.931 0.946 0.973 ]);\npatch([15.525 31.42 42.42 26.525 15.525 ],[36.21 36.21 47.21 47.21 36.21 ],[1 1"
2195      " 1 ]);\npatch([26.525 64.42 53.42 42.42 31.42 15.525 26.525 ],[25.21 25.21 36.21 25.21 36.21 36.21 25.21 ],[0.931 0"
2196      ".946 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');"
2197      "port_label('input',1,'addr');\ncolor('black');port_label('input',2,'din');\ncolor('black');port_label('input',3,'we"
2198      "');\ncolor('black');port_label('output',1,'dout');\nfprintf('','COMMENT: end icon text');"
2199      Port {
2200        PortNumber          1
2201        Name            "RxBuff_Radio2_dout"
2202        RTWStorageClass     "Auto"
2203        DataLoggingNameMode     "SignalName"
2204      }
2205    }
2206    Block {
2207      BlockType       Reference
2208      Name            "Shared Memory8"
2209      SID             "670:266"
2210      Ports           [3, 1]
2211      Position        [885, 3369, 965, 3461]
2212      AttributesFormatString  "<< %<shared_memory_name> >>"
2213      LibraryVersion      "1.2"
2214      SourceBlock         "xbsIndex_r4/Shared Memory"
2215      SourceType          "Xilinx Shared Memory Random Access Memory Block"
2216      shared_memory_name      "'RSSIBuff_Radio2'"
2217      depth           "2048"
2218      ownership       "Owned and Initialized Elsewhere"
2219      initVector          "sin(pi*(0:15)/16)"
2220      en              off
2221      mutex           "Unprotected"
2222      mode            "Read and Write"
2223      write_mode          "Read Before Write"
2224      time_out        "0"
2225      latency         "1"
2226      explicit_data_type      off
2227      gui_display_data_type   "Fixed-point"
2228      arith_type          "Unsigned"
2229      n_bits          "32"
2230      bin_pt          "0"
2231      preci_type          "Single"
2232      xl_use_area         off
2233      xl_area         "[0,0,0,0,0,0,0]"
2234      implementation      "Block RAM"
2235      use_rpm         "off"
2236      has_advanced_control    "0"
2237      sggui_pos       "-1,-1,-1,-1"
2238      block_type          "shmem"
2239      sg_icon_stat        "80,92,3,1,white,blue,0,bf435243,right,,[ ],[ ]"
2240      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 80 80 0 0 ],[0 0 92 92 0 ],[0.77 0.82 0.91"
2241      " ]);\nplot([0 80 80 0 0 ],[0 0 92 92 0 ]);\npatch([15.525 31.42 42.42 53.42 64.42 42.42 26.525 15.525 ],[58.21 58.2"
2242      "1 69.21 58.21 69.21 69.21 69.21 58.21 ],[1 1 1 ]);\npatch([26.525 42.42 31.42 15.525 26.525 ],[47.21 47.21 58.21 58"
2243      ".21 47.21 ],[0.931 0.946 0.973 ]);\npatch([15.525 31.42 42.42 26.525 15.525 ],[36.21 36.21 47.21 47.21 36.21 ],[1 1"
2244      " 1 ]);\npatch([26.525 64.42 53.42 42.42 31.42 15.525 26.525 ],[25.21 25.21 36.21 25.21 36.21 36.21 25.21 ],[0.931 0"
2245      ".946 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');"
2246      "port_label('input',1,'addr');\ncolor('black');port_label('input',2,'din');\ncolor('black');port_label('input',3,'we"
2247      "');\ncolor('black');port_label('output',1,'dout');\nfprintf('','COMMENT: end icon text');"
2248      Port {
2249        PortNumber          1
2250        Name            "RSSIBuff_Radio2_dout"
2251        RTWStorageClass     "Auto"
2252        DataLoggingNameMode     "SignalName"
2253      }
2254    }
2255    Block {
2256      BlockType       Reference
2257      Name            "Shared Memory9"
2258      SID             "670:267"
2259      Ports           [3, 1]
2260      Position        [885, 3509, 965, 3601]
2261      AttributesFormatString  "<< %<shared_memory_name> >>"
2262      LibraryVersion      "1.2"
2263      SourceBlock         "xbsIndex_r4/Shared Memory"
2264      SourceType          "Xilinx Shared Memory Random Access Memory Block"
2265      shared_memory_name      "'TxBuff_Radio1'"
2266      depth           "16384"
2267      ownership       "Owned and Initialized Elsewhere"
2268      initVector          "sin(pi*(0:15)/16)"
2269      en              off
2270      mutex           "Unprotected"
2271      mode            "Read and Write"
2272      write_mode          "Read Before Write"
2273      time_out        "0"
2274      latency         "1"
2275      explicit_data_type      off
2276      gui_display_data_type   "Fixed-point"
2277      arith_type          "Unsigned"
2278      n_bits          "32"
2279      bin_pt          "0"
2280      preci_type          "Single"
2281      xl_use_area         off
2282      xl_area         "[0,0,0,0,0,0,0]"
2283      implementation      "Block RAM"
2284      use_rpm         "off"
2285      has_advanced_control    "0"
2286      sggui_pos       "-1,-1,-1,-1"
2287      block_type          "shmem"
2288      sg_icon_stat        "80,92,3,1,white,blue,0,bf435243,right,,[ ],[ ]"
2289      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 80 80 0 0 ],[0 0 92 92 0 ],[0.77 0.82 0.91"
2290      " ]);\nplot([0 80 80 0 0 ],[0 0 92 92 0 ]);\npatch([15.525 31.42 42.42 53.42 64.42 42.42 26.525 15.525 ],[58.21 58.2"
2291      "1 69.21 58.21 69.21 69.21 69.21 58.21 ],[1 1 1 ]);\npatch([26.525 42.42 31.42 15.525 26.525 ],[47.21 47.21 58.21 58"
2292      ".21 47.21 ],[0.931 0.946 0.973 ]);\npatch([15.525 31.42 42.42 26.525 15.525 ],[36.21 36.21 47.21 47.21 36.21 ],[1 1"
2293      " 1 ]);\npatch([26.525 64.42 53.42 42.42 31.42 15.525 26.525 ],[25.21 25.21 36.21 25.21 36.21 36.21 25.21 ],[0.931 0"
2294      ".946 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');"
2295      "port_label('input',1,'addr');\ncolor('black');port_label('input',2,'din');\ncolor('black');port_label('input',3,'we"
2296      "');\ncolor('black');port_label('output',1,'dout');\nfprintf('','COMMENT: end icon text');"
2297      Port {
2298        PortNumber          1
2299        Name            "TxBuff_Radio1_dout"
2300        RTWStorageClass     "Auto"
2301        DataLoggingNameMode     "SignalName"
2302      }
2303    }
2304    Block {
2305      BlockType       Reference
2306      Name            "Sl_addrAck"
2307      SID             "670:208"
2308      Ports           [1, 1]
2309      Position        [670, 130, 730, 150]
2310      LibraryVersion      "1.2"
2311      SourceBlock         "xbsIndex_r4/Gateway Out"
2312      SourceType          "Xilinx Gateway Out Block"
2313      infoedit        "Gateway out block.  Converts Xilinx fixed-point or floating-point type inputs into ouputs of type Simu"
2314      "link integer, single, double, or fixed point.<br><br>Hardware notes:  In hardware these blocks become top level out"
2315      "put ports or are discarded, depending on how they are configured."
2316      inherit_from_input      off
2317      hdl_port        on
2318      timing_constraint   "None"
2319      locs_specified      off
2320      LOCs            "{}"
2321      xl_use_area         off
2322      xl_area         "[0,0,0,0,0,0,0]"
2323      UseAsDAC        off
2324      DACChannel          "'1'"
2325      sginterface         "{'Xilinx'=>{'jtaghwcosim'=>{'non_memory_mapped_port'=>'Sl_addrAck'}},'iopad'=>{'constraint'=>'#'}}"
2326      has_advanced_control    "0"
2327      sggui_pos       "-1,-1,-1,-1"
2328      block_type          "gatewayout"
2329      sg_icon_stat        "60,20,1,1,white,yellow,1,cc31b7ac,right,,[ ],[ ]"
2330      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 0 ],[0 0 20 20 0 ],[0.95 0.93 0.65"
2331      " ]);\nplot([0 60 60 0 0 ],[0 0 20 20 0 ]);\npatch([25.55 28.44 30.44 32.44 34.44 30.44 27.55 25.55 ],[12.22 12.22 1"
2332      "4.22 12.22 14.22 14.22 14.22 12.22 ],[1 1 1 ]);\npatch([27.55 30.44 28.44 25.55 27.55 ],[10.22 10.22 12.22 12.22 10"
2333      ".22 ],[0.985 0.979 0.895 ]);\npatch([25.55 28.44 30.44 27.55 25.55 ],[8.22 8.22 10.22 10.22 8.22 ],[1 1 1 ]);\npatc"
2334      "h([27.55 34.44 32.44 30.44 28.44 25.55 27.55 ],[6.22 6.22 8.22 6.22 8.22 8.22 6.22 ],[0.985 0.979 0.895 ]);\nfprint"
2335      "f('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1,'"
2336      " ');\ncolor('black');port_label('output',1,'\\fontsize{11pt}\\bf Out ','texmode','on');\nfprintf('','COMMENT: end i"
2337      "con text');"
2338    }
2339    Block {
2340      BlockType       Reference
2341      Name            "Sl_rdComp"
2342      SID             "670:210"
2343      Ports           [1, 1]
2344      Position        [670, 265, 730, 285]
2345      LibraryVersion      "1.2"
2346      SourceBlock         "xbsIndex_r4/Gateway Out"
2347      SourceType          "Xilinx Gateway Out Block"
2348      infoedit        "Gateway out block.  Converts Xilinx fixed-point or floating-point type inputs into ouputs of type Simu"
2349      "link integer, single, double, or fixed point.<br><br>Hardware notes:  In hardware these blocks become top level out"
2350      "put ports or are discarded, depending on how they are configured."
2351      inherit_from_input      off
2352      hdl_port        on
2353      timing_constraint   "None"
2354      locs_specified      off
2355      LOCs            "{}"
2356      xl_use_area         off
2357      xl_area         "[0,0,0,0,0,0,0]"
2358      UseAsDAC        off
2359      DACChannel          "'1'"
2360      sginterface         "{'Xilinx'=>{'jtaghwcosim'=>{'non_memory_mapped_port'=>'Sl_rdComp'}},'iopad'=>{'constraint'=>'#'}}"
2361      has_advanced_control    "0"
2362      sggui_pos       "-1,-1,-1,-1"
2363      block_type          "gatewayout"
2364      sg_icon_stat        "60,20,1,1,white,yellow,1,cc31b7ac,right,,[ ],[ ]"
2365      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 0 ],[0 0 20 20 0 ],[0.95 0.93 0.65"
2366      " ]);\nplot([0 60 60 0 0 ],[0 0 20 20 0 ]);\npatch([25.55 28.44 30.44 32.44 34.44 30.44 27.55 25.55 ],[12.22 12.22 1"
2367      "4.22 12.22 14.22 14.22 14.22 12.22 ],[1 1 1 ]);\npatch([27.55 30.44 28.44 25.55 27.55 ],[10.22 10.22 12.22 12.22 10"
2368      ".22 ],[0.985 0.979 0.895 ]);\npatch([25.55 28.44 30.44 27.55 25.55 ],[8.22 8.22 10.22 10.22 8.22 ],[1 1 1 ]);\npatc"
2369      "h([27.55 34.44 32.44 30.44 28.44 25.55 27.55 ],[6.22 6.22 8.22 6.22 8.22 8.22 6.22 ],[0.985 0.979 0.895 ]);\nfprint"
2370      "f('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1,'"
2371      " ');\ncolor('black');port_label('output',1,'\\fontsize{11pt}\\bf Out ','texmode','on');\nfprintf('','COMMENT: end i"
2372      "con text');"
2373    }
2374    Block {
2375      BlockType       Reference
2376      Name            "Sl_rdDAck"
2377      SID             "670:212"
2378      Ports           [1, 1]
2379      Position        [670, 895, 730, 915]
2380      LibraryVersion      "1.2"
2381      SourceBlock         "xbsIndex_r4/Gateway Out"
2382      SourceType          "Xilinx Gateway Out Block"
2383      infoedit        "Gateway out block.  Converts Xilinx fixed-point or floating-point type inputs into ouputs of type Simu"
2384      "link integer, single, double, or fixed point.<br><br>Hardware notes:  In hardware these blocks become top level out"
2385      "put ports or are discarded, depending on how they are configured."
2386      inherit_from_input      off
2387      hdl_port        on
2388      timing_constraint   "None"
2389      locs_specified      off
2390      LOCs            "{}"
2391      xl_use_area         off
2392      xl_area         "[0,0,0,0,0,0,0]"
2393      UseAsDAC        off
2394      DACChannel          "'1'"
2395      sginterface         "{'Xilinx'=>{'jtaghwcosim'=>{'non_memory_mapped_port'=>'Sl_rdDAck'}},'iopad'=>{'constraint'=>'#'}}"
2396      has_advanced_control    "0"
2397      sggui_pos       "-1,-1,-1,-1"
2398      block_type          "gatewayout"
2399      sg_icon_stat        "60,20,1,1,white,yellow,1,cc31b7ac,right,,[ ],[ ]"
2400      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 0 ],[0 0 20 20 0 ],[0.95 0.93 0.65"
2401      " ]);\nplot([0 60 60 0 0 ],[0 0 20 20 0 ]);\npatch([25.55 28.44 30.44 32.44 34.44 30.44 27.55 25.55 ],[12.22 12.22 1"
2402      "4.22 12.22 14.22 14.22 14.22 12.22 ],[1 1 1 ]);\npatch([27.55 30.44 28.44 25.55 27.55 ],[10.22 10.22 12.22 12.22 10"
2403      ".22 ],[0.985 0.979 0.895 ]);\npatch([25.55 28.44 30.44 27.55 25.55 ],[8.22 8.22 10.22 10.22 8.22 ],[1 1 1 ]);\npatc"
2404      "h([27.55 34.44 32.44 30.44 28.44 25.55 27.55 ],[6.22 6.22 8.22 6.22 8.22 8.22 6.22 ],[0.985 0.979 0.895 ]);\nfprint"
2405      "f('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1,'"
2406      " ');\ncolor('black');port_label('output',1,'\\fontsize{11pt}\\bf Out ','texmode','on');\nfprintf('','COMMENT: end i"
2407      "con text');"
2408    }
2409    Block {
2410      BlockType       Reference
2411      Name            "Sl_rdDBus"
2412      SID             "670:214"
2413      Ports           [1, 1]
2414      Position        [670, 990, 730, 1010]
2415      LibraryVersion      "1.2"
2416      SourceBlock         "xbsIndex_r4/Gateway Out"
2417      SourceType          "Xilinx Gateway Out Block"
2418      infoedit        "Gateway out block.  Converts Xilinx fixed-point or floating-point type inputs into ouputs of type Simu"
2419      "link integer, single, double, or fixed point.<br><br>Hardware notes:  In hardware these blocks become top level out"
2420      "put ports or are discarded, depending on how they are configured."
2421      inherit_from_input      off
2422      hdl_port        on
2423      timing_constraint   "None"
2424      locs_specified      off
2425      LOCs            "{}"
2426      xl_use_area         off
2427      xl_area         "[0,0,0,0,0,0,0]"
2428      UseAsDAC        off
2429      DACChannel          "'1'"
2430      sginterface         "{'Xilinx'=>{'jtaghwcosim'=>{'non_memory_mapped_port'=>'Sl_rdDBus'}},'iopad'=>{'constraint'=>'#'}}"
2431      has_advanced_control    "0"
2432      sggui_pos       "-1,-1,-1,-1"
2433      block_type          "gatewayout"
2434      sg_icon_stat        "60,20,1,1,white,yellow,1,cc31b7ac,right,,[ ],[ ]"
2435      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 0 ],[0 0 20 20 0 ],[0.95 0.93 0.65"
2436      " ]);\nplot([0 60 60 0 0 ],[0 0 20 20 0 ]);\npatch([25.55 28.44 30.44 32.44 34.44 30.44 27.55 25.55 ],[12.22 12.22 1"
2437      "4.22 12.22 14.22 14.22 14.22 12.22 ],[1 1 1 ]);\npatch([27.55 30.44 28.44 25.55 27.55 ],[10.22 10.22 12.22 12.22 10"
2438      ".22 ],[0.985 0.979 0.895 ]);\npatch([25.55 28.44 30.44 27.55 25.55 ],[8.22 8.22 10.22 10.22 8.22 ],[1 1 1 ]);\npatc"
2439      "h([27.55 34.44 32.44 30.44 28.44 25.55 27.55 ],[6.22 6.22 8.22 6.22 8.22 8.22 6.22 ],[0.985 0.979 0.895 ]);\nfprint"
2440      "f('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1,'"
2441      " ');\ncolor('black');port_label('output',1,'\\fontsize{11pt}\\bf Out ','texmode','on');\nfprintf('','COMMENT: end i"
2442      "con text');"
2443    }
2444    Block {
2445      BlockType       Reference
2446      Name            "Sl_wait"
2447      SID             "670:216"
2448      Ports           [1, 1]
2449      Position        [180, 615, 240, 635]
2450      LibraryVersion      "1.2"
2451      SourceBlock         "xbsIndex_r4/Gateway Out"
2452      SourceType          "Xilinx Gateway Out Block"
2453      infoedit        "Gateway out block.  Converts Xilinx fixed-point or floating-point type inputs into ouputs of type Simu"
2454      "link integer, single, double, or fixed point.<br><br>Hardware notes:  In hardware these blocks become top level out"
2455      "put ports or are discarded, depending on how they are configured."
2456      inherit_from_input      off
2457      hdl_port        on
2458      timing_constraint   "None"
2459      locs_specified      off
2460      LOCs            "{}"
2461      xl_use_area         off
2462      xl_area         "[0,0,0,0,0,0,0]"
2463      UseAsDAC        off
2464      DACChannel          "'1'"
2465      sginterface         "{'Xilinx'=>{'jtaghwcosim'=>{'non_memory_mapped_port'=>'Sl_wait'}},'iopad'=>{'constraint'=>'#'}}"
2466      has_advanced_control    "0"
2467      sggui_pos       "-1,-1,-1,-1"
2468      block_type          "gatewayout"
2469      sg_icon_stat        "60,20,1,1,white,yellow,1,cc31b7ac,right,,[ ],[ ]"
2470      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 0 ],[0 0 20 20 0 ],[0.95 0.93 0.65"
2471      " ]);\nplot([0 60 60 0 0 ],[0 0 20 20 0 ]);\npatch([25.55 28.44 30.44 32.44 34.44 30.44 27.55 25.55 ],[12.22 12.22 1"
2472      "4.22 12.22 14.22 14.22 14.22 12.22 ],[1 1 1 ]);\npatch([27.55 30.44 28.44 25.55 27.55 ],[10.22 10.22 12.22 12.22 10"
2473      ".22 ],[0.985 0.979 0.895 ]);\npatch([25.55 28.44 30.44 27.55 25.55 ],[8.22 8.22 10.22 10.22 8.22 ],[1 1 1 ]);\npatc"
2474      "h([27.55 34.44 32.44 30.44 28.44 25.55 27.55 ],[6.22 6.22 8.22 6.22 8.22 8.22 6.22 ],[0.985 0.979 0.895 ]);\nfprint"
2475      "f('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1,'"
2476      " ');\ncolor('black');port_label('output',1,'\\fontsize{11pt}\\bf Out ','texmode','on');\nfprintf('','COMMENT: end i"
2477      "con text');"
2478    }
2479    Block {
2480      BlockType       Reference
2481      Name            "Sl_wrComp"
2482      SID             "670:220"
2483      Ports           [1, 1]
2484      Position        [670, 800, 730, 820]
2485      LibraryVersion      "1.2"
2486      SourceBlock         "xbsIndex_r4/Gateway Out"
2487      SourceType          "Xilinx Gateway Out Block"
2488      infoedit        "Gateway out block.  Converts Xilinx fixed-point or floating-point type inputs into ouputs of type Simu"
2489      "link integer, single, double, or fixed point.<br><br>Hardware notes:  In hardware these blocks become top level out"
2490      "put ports or are discarded, depending on how they are configured."
2491      inherit_from_input      off
2492      hdl_port        on
2493      timing_constraint   "None"
2494      locs_specified      off
2495      LOCs            "{}"
2496      xl_use_area         off
2497      xl_area         "[0,0,0,0,0,0,0]"
2498      UseAsDAC        off
2499      DACChannel          "'1'"
2500      sginterface         "{'Xilinx'=>{'jtaghwcosim'=>{'non_memory_mapped_port'=>'Sl_wrComp'}},'iopad'=>{'constraint'=>'#'}}"
2501      has_advanced_control    "0"
2502      sggui_pos       "-1,-1,-1,-1"
2503      block_type          "gatewayout"
2504      sg_icon_stat        "60,20,1,1,white,yellow,1,cc31b7ac,right,,[ ],[ ]"
2505      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 0 ],[0 0 20 20 0 ],[0.95 0.93 0.65"
2506      " ]);\nplot([0 60 60 0 0 ],[0 0 20 20 0 ]);\npatch([25.55 28.44 30.44 32.44 34.44 30.44 27.55 25.55 ],[12.22 12.22 1"
2507      "4.22 12.22 14.22 14.22 14.22 12.22 ],[1 1 1 ]);\npatch([27.55 30.44 28.44 25.55 27.55 ],[10.22 10.22 12.22 12.22 10"
2508      ".22 ],[0.985 0.979 0.895 ]);\npatch([25.55 28.44 30.44 27.55 25.55 ],[8.22 8.22 10.22 10.22 8.22 ],[1 1 1 ]);\npatc"
2509      "h([27.55 34.44 32.44 30.44 28.44 25.55 27.55 ],[6.22 6.22 8.22 6.22 8.22 8.22 6.22 ],[0.985 0.979 0.895 ]);\nfprint"
2510      "f('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1,'"
2511      " ');\ncolor('black');port_label('output',1,'\\fontsize{11pt}\\bf Out ','texmode','on');\nfprintf('','COMMENT: end i"
2512      "con text');"
2513    }
2514    Block {
2515      BlockType       Reference
2516      Name            "Sl_wrDAck"
2517      SID             "670:218"
2518      Ports           [1, 1]
2519      Position        [670, 465, 730, 485]
2520      LibraryVersion      "1.2"
2521      SourceBlock         "xbsIndex_r4/Gateway Out"
2522      SourceType          "Xilinx Gateway Out Block"
2523      infoedit        "Gateway out block.  Converts Xilinx fixed-point or floating-point type inputs into ouputs of type Simu"
2524      "link integer, single, double, or fixed point.<br><br>Hardware notes:  In hardware these blocks become top level out"
2525      "put ports or are discarded, depending on how they are configured."
2526      inherit_from_input      off
2527      hdl_port        on
2528      timing_constraint   "None"
2529      locs_specified      off
2530      LOCs            "{}"
2531      xl_use_area         off
2532      xl_area         "[0,0,0,0,0,0,0]"
2533      UseAsDAC        off
2534      DACChannel          "'1'"
2535      sginterface         "{'Xilinx'=>{'jtaghwcosim'=>{'non_memory_mapped_port'=>'Sl_wrDAck'}},'iopad'=>{'constraint'=>'#'}}"
2536      has_advanced_control    "0"
2537      sggui_pos       "-1,-1,-1,-1"
2538      block_type          "gatewayout"
2539      sg_icon_stat        "60,20,1,1,white,yellow,1,cc31b7ac,right,,[ ],[ ]"
2540      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 0 ],[0 0 20 20 0 ],[0.95 0.93 0.65"
2541      " ]);\nplot([0 60 60 0 0 ],[0 0 20 20 0 ]);\npatch([25.55 28.44 30.44 32.44 34.44 30.44 27.55 25.55 ],[12.22 12.22 1"
2542      "4.22 12.22 14.22 14.22 14.22 12.22 ],[1 1 1 ]);\npatch([27.55 30.44 28.44 25.55 27.55 ],[10.22 10.22 12.22 12.22 10"
2543      ".22 ],[0.985 0.979 0.895 ]);\npatch([25.55 28.44 30.44 27.55 25.55 ],[8.22 8.22 10.22 10.22 8.22 ],[1 1 1 ]);\npatc"
2544      "h([27.55 34.44 32.44 30.44 28.44 25.55 27.55 ],[6.22 6.22 8.22 6.22 8.22 8.22 6.22 ],[0.985 0.979 0.895 ]);\nfprint"
2545      "f('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1,'"
2546      " ');\ncolor('black');port_label('output',1,'\\fontsize{11pt}\\bf Out ','texmode','on');\nfprintf('','COMMENT: end i"
2547      "con text');"
2548    }
2549    Block {
2550      BlockType       Terminator
2551      Name            "Terminator"
2552      SID             "670:207"
2553      Position        [915, 50, 935, 70]
2554      ShowName        off
2555    }
2556    Block {
2557      BlockType       Terminator
2558      Name            "Terminator1"
2559      SID             "670:209"
2560      Position        [915, 120, 935, 140]
2561      ShowName        off
2562    }
2563    Block {
2564      BlockType       Terminator
2565      Name            "Terminator2"
2566      SID             "670:211"
2567      Position        [915, 320, 935, 340]
2568      ShowName        off
2569    }
2570    Block {
2571      BlockType       Terminator
2572      Name            "Terminator3"
2573      SID             "670:213"
2574      Position        [915, 390, 935, 410]
2575      ShowName        off
2576    }
2577    Block {
2578      BlockType       Terminator
2579      Name            "Terminator4"
2580      SID             "670:215"
2581      Position        [420, 615, 440, 635]
2582      ShowName        off
2583    }
2584    Block {
2585      BlockType       Terminator
2586      Name            "Terminator5"
2587      SID             "670:217"
2588      Position        [915, 185, 935, 205]
2589      ShowName        off
2590    }
2591    Block {
2592      BlockType       Terminator
2593      Name            "Terminator6"
2594      SID             "670:219"
2595      Position        [915, 255, 935, 275]
2596      ShowName        off
2597    }
2598    Block {
2599      BlockType       Reference
2600      Name            "To Register"
2601      SID             "670:241"
2602      Ports           [2, 1]
2603      Position        [895, 457, 955, 513]
2604      AttributesFormatString  "<< %<shared_memory_name> >>"
2605      LibraryVersion      "1.2"
2606      SourceBlock         "xbsIndex_r4/To Register"
2607      SourceType          "Xilinx Shared Memory Based To Register Block"
2608      infoedit        "Register block that writes data to a shared memory register.  Delay of one sample period."
2609      shared_memory_name      "'TxLength'"
2610      init            "0"
2611      ownership       "Owned and initialized elsewhere"
2612      explicit_data_type      on
2613      gui_display_data_type   "Fixed-point"
2614      arith_type          "Unsigned"
2615      n_bits          "14"
2616      bin_pt          "0"
2617      preci_type          "Single"
2618      dbl_ovrd        off
2619      xl_use_area         off
2620      xl_area         "[0,0,0,0,0,0,0]"
2621      has_advanced_control    "0"
2622      sggui_pos       "-1,-1,-1,-1"
2623      block_type          "toreg"
2624      sg_icon_stat        "60,56,2,1,white,blue,0,10ab453e,right,,[ ],[ ]"
2625      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 0 ],[0 0 56 56 0 ],[0.77 0.82 0.91"
2626      " ]);\nplot([0 60 60 0 0 ],[0 0 56 56 0 ]);\npatch([12.2 23.76 31.76 39.76 47.76 31.76 20.2 12.2 ],[36.88 36.88 44.8"
2627      "8 36.88 44.88 44.88 44.88 36.88 ],[1 1 1 ]);\npatch([20.2 31.76 23.76 12.2 20.2 ],[28.88 28.88 36.88 36.88 28.88 ],"
2628      "[0.931 0.946 0.973 ]);\npatch([12.2 23.76 31.76 20.2 12.2 ],[20.88 20.88 28.88 28.88 20.88 ],[1 1 1 ]);\npatch([20."
2629      "2 47.76 39.76 31.76 23.76 12.2 20.2 ],[12.88 12.88 20.88 12.88 20.88 20.88 12.88 ],[0.931 0.946 0.973 ]);\nfprintf("
2630      "'','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1,'di"
2631      "n');\ncolor('black');port_label('input',2,'en');\ncolor('black');port_label('output',1,'dout');\nfprintf('','COMMEN"
2632      "T: end icon text');"
2633      Port {
2634        PortNumber          1
2635        Name            "TxLength_dout"
2636        RTWStorageClass     "Auto"
2637        DataLoggingNameMode     "SignalName"
2638      }
2639    }
2640    Block {
2641      BlockType       Reference
2642      Name            "To Register1"
2643      SID             "670:242"
2644      Ports           [2, 1]
2645      Position        [895, 562, 955, 618]
2646      AttributesFormatString  "<< %<shared_memory_name> >>"
2647      LibraryVersion      "1.2"
2648      SourceBlock         "xbsIndex_r4/To Register"
2649      SourceType          "Xilinx Shared Memory Based To Register Block"
2650      infoedit        "Register block that writes data to a shared memory register.  Delay of one sample period."
2651      shared_memory_name      "'TxDelay'"
2652      init            "0"
2653      ownership       "Owned and initialized elsewhere"
2654      explicit_data_type      on
2655      gui_display_data_type   "Fixed-point"
2656      arith_type          "Unsigned"
2657      n_bits          "14"
2658      bin_pt          "0"
2659      preci_type          "Single"
2660      dbl_ovrd        off
2661      xl_use_area         off
2662      xl_area         "[0,0,0,0,0,0,0]"
2663      has_advanced_control    "0"
2664      sggui_pos       "-1,-1,-1,-1"
2665      block_type          "toreg"
2666      sg_icon_stat        "60,56,2,1,white,blue,0,10ab453e,right,,[ ],[ ]"
2667      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 0 ],[0 0 56 56 0 ],[0.77 0.82 0.91"
2668      " ]);\nplot([0 60 60 0 0 ],[0 0 56 56 0 ]);\npatch([12.2 23.76 31.76 39.76 47.76 31.76 20.2 12.2 ],[36.88 36.88 44.8"
2669      "8 36.88 44.88 44.88 44.88 36.88 ],[1 1 1 ]);\npatch([20.2 31.76 23.76 12.2 20.2 ],[28.88 28.88 36.88 36.88 28.88 ],"
2670      "[0.931 0.946 0.973 ]);\npatch([12.2 23.76 31.76 20.2 12.2 ],[20.88 20.88 28.88 28.88 20.88 ],[1 1 1 ]);\npatch([20."
2671      "2 47.76 39.76 31.76 23.76 12.2 20.2 ],[12.88 12.88 20.88 12.88 20.88 20.88 12.88 ],[0.931 0.946 0.973 ]);\nfprintf("
2672      "'','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1,'di"
2673      "n');\ncolor('black');port_label('input',2,'en');\ncolor('black');port_label('output',1,'dout');\nfprintf('','COMMEN"
2674      "T: end icon text');"
2675      Port {
2676        PortNumber          1
2677        Name            "TxDelay_dout"
2678        RTWStorageClass     "Auto"
2679        DataLoggingNameMode     "SignalName"
2680      }
2681    }
2682    Block {
2683      BlockType       Reference
2684      Name            "To Register10"
2685      SID             "670:251"
2686      Ports           [2, 1]
2687      Position        [895, 1512, 955, 1568]
2688      AttributesFormatString  "<< %<shared_memory_name> >>"
2689      LibraryVersion      "1.2"
2690      SourceBlock         "xbsIndex_r4/To Register"
2691      SourceType          "Xilinx Shared Memory Based To Register Block"
2692      infoedit        "Register block that writes data to a shared memory register.  Delay of one sample period."
2693      shared_memory_name      "'StartTxRx'"
2694      init            "0"
2695      ownership       "Owned and initialized elsewhere"
2696      explicit_data_type      on
2697      gui_display_data_type   "Fixed-point"
2698      arith_type          "Unsigned"
2699      n_bits          "1"
2700      bin_pt          "0"
2701      preci_type          "Single"
2702      dbl_ovrd        off
2703      xl_use_area         off
2704      xl_area         "[0,0,0,0,0,0,0]"
2705      has_advanced_control    "0"
2706      sggui_pos       "-1,-1,-1,-1"
2707      block_type          "toreg"
2708      sg_icon_stat        "60,56,2,1,white,blue,0,10ab453e,right,,[ ],[ ]"
2709      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 0 ],[0 0 56 56 0 ],[0.77 0.82 0.91"
2710      " ]);\nplot([0 60 60 0 0 ],[0 0 56 56 0 ]);\npatch([12.2 23.76 31.76 39.76 47.76 31.76 20.2 12.2 ],[36.88 36.88 44.8"
2711      "8 36.88 44.88 44.88 44.88 36.88 ],[1 1 1 ]);\npatch([20.2 31.76 23.76 12.2 20.2 ],[28.88 28.88 36.88 36.88 28.88 ],"
2712      "[0.931 0.946 0.973 ]);\npatch([12.2 23.76 31.76 20.2 12.2 ],[20.88 20.88 28.88 28.88 20.88 ],[1 1 1 ]);\npatch([20."
2713      "2 47.76 39.76 31.76 23.76 12.2 20.2 ],[12.88 12.88 20.88 12.88 20.88 20.88 12.88 ],[0.931 0.946 0.973 ]);\nfprintf("
2714      "'','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1,'di"
2715      "n');\ncolor('black');port_label('input',2,'en');\ncolor('black');port_label('output',1,'dout');\nfprintf('','COMMEN"
2716      "T: end icon text');"
2717      Port {
2718        PortNumber          1
2719        Name            "StartTxRx_dout"
2720        RTWStorageClass     "Auto"
2721        DataLoggingNameMode     "SignalName"
2722      }
2723    }
2724    Block {
2725      BlockType       Reference
2726      Name            "To Register11"
2727      SID             "670:252"
2728      Ports           [2, 1]
2729      Position        [895, 1617, 955, 1673]
2730      AttributesFormatString  "<< %<shared_memory_name> >>"
2731      LibraryVersion      "1.2"
2732      SourceBlock         "xbsIndex_r4/To Register"
2733      SourceType          "Xilinx Shared Memory Based To Register Block"
2734      infoedit        "Register block that writes data to a shared memory register.  Delay of one sample period."
2735      shared_memory_name      "'DCO_EN_SEL'"
2736      init            "0"
2737      ownership       "Owned and initialized elsewhere"
2738      explicit_data_type      on
2739      gui_display_data_type   "Fixed-point"
2740      arith_type          "Unsigned"
2741      n_bits          "1"
2742      bin_pt          "0"
2743      preci_type          "Single"
2744      dbl_ovrd        off
2745      xl_use_area         off
2746      xl_area         "[0,0,0,0,0,0,0]"
2747      has_advanced_control    "0"
2748      sggui_pos       "-1,-1,-1,-1"
2749      block_type          "toreg"
2750      sg_icon_stat        "60,56,2,1,white,blue,0,10ab453e,right,,[ ],[ ]"
2751      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 0 ],[0 0 56 56 0 ],[0.77 0.82 0.91"
2752      " ]);\nplot([0 60 60 0 0 ],[0 0 56 56 0 ]);\npatch([12.2 23.76 31.76 39.76 47.76 31.76 20.2 12.2 ],[36.88 36.88 44.8"
2753      "8 36.88 44.88 44.88 44.88 36.88 ],[1 1 1 ]);\npatch([20.2 31.76 23.76 12.2 20.2 ],[28.88 28.88 36.88 36.88 28.88 ],"
2754      "[0.931 0.946 0.973 ]);\npatch([12.2 23.76 31.76 20.2 12.2 ],[20.88 20.88 28.88 28.88 20.88 ],[1 1 1 ]);\npatch([20."
2755      "2 47.76 39.76 31.76 23.76 12.2 20.2 ],[12.88 12.88 20.88 12.88 20.88 20.88 12.88 ],[0.931 0.946 0.973 ]);\nfprintf("
2756      "'','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1,'di"
2757      "n');\ncolor('black');port_label('input',2,'en');\ncolor('black');port_label('output',1,'dout');\nfprintf('','COMMEN"
2758      "T: end icon text');"
2759      Port {
2760        PortNumber          1
2761        Name            "DCO_EN_SEL_dout"
2762        RTWStorageClass     "Auto"
2763        DataLoggingNameMode     "SignalName"
2764      }
2765    }
2766    Block {
2767      BlockType       Reference
2768      Name            "To Register12"
2769      SID             "670:253"
2770      Ports           [2, 1]
2771      Position        [895, 1722, 955, 1778]
2772      AttributesFormatString  "<< %<shared_memory_name> >>"
2773      LibraryVersion      "1.2"
2774      SourceBlock         "xbsIndex_r4/To Register"
2775      SourceType          "Xilinx Shared Memory Based To Register Block"
2776      infoedit        "Register block that writes data to a shared memory register.  Delay of one sample period."
2777      shared_memory_name      "'MGC_AGC_SEL'"
2778      init            "0"
2779      ownership       "Owned and initialized elsewhere"
2780      explicit_data_type      on
2781      gui_display_data_type   "Fixed-point"
2782      arith_type          "Unsigned"
2783      n_bits          "1"
2784      bin_pt          "0"
2785      preci_type          "Single"
2786      dbl_ovrd        off
2787      xl_use_area         off
2788      xl_area         "[0,0,0,0,0,0,0]"
2789      has_advanced_control    "0"
2790      sggui_pos       "-1,-1,-1,-1"
2791      block_type          "toreg"
2792      sg_icon_stat        "60,56,2,1,white,blue,0,10ab453e,right,,[ ],[ ]"
2793      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 0 ],[0 0 56 56 0 ],[0.77 0.82 0.91"
2794      " ]);\nplot([0 60 60 0 0 ],[0 0 56 56 0 ]);\npatch([12.2 23.76 31.76 39.76 47.76 31.76 20.2 12.2 ],[36.88 36.88 44.8"
2795      "8 36.88 44.88 44.88 44.88 36.88 ],[1 1 1 ]);\npatch([20.2 31.76 23.76 12.2 20.2 ],[28.88 28.88 36.88 36.88 28.88 ],"
2796      "[0.931 0.946 0.973 ]);\npatch([12.2 23.76 31.76 20.2 12.2 ],[20.88 20.88 28.88 28.88 20.88 ],[1 1 1 ]);\npatch([20."
2797      "2 47.76 39.76 31.76 23.76 12.2 20.2 ],[12.88 12.88 20.88 12.88 20.88 20.88 12.88 ],[0.931 0.946 0.973 ]);\nfprintf("
2798      "'','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1,'di"
2799      "n');\ncolor('black');port_label('input',2,'en');\ncolor('black');port_label('output',1,'dout');\nfprintf('','COMMEN"
2800      "T: end icon text');"
2801      Port {
2802        PortNumber          1
2803        Name            "MGC_AGC_SEL_dout"
2804        RTWStorageClass     "Auto"
2805        DataLoggingNameMode     "SignalName"
2806      }
2807    }
2808    Block {
2809      BlockType       Reference
2810      Name            "To Register13"
2811      SID             "670:254"
2812      Ports           [2, 1]
2813      Position        [895, 1827, 955, 1883]
2814      AttributesFormatString  "<< %<shared_memory_name> >>"
2815      LibraryVersion      "1.2"
2816      SourceBlock         "xbsIndex_r4/To Register"
2817      SourceType          "Xilinx Shared Memory Based To Register Block"
2818      infoedit        "Register block that writes data to a shared memory register.  Delay of one sample period."
2819      shared_memory_name      "'RADIO4TXBUFF_TXEN'"
2820      init            "0"
2821      ownership       "Owned and initialized elsewhere"
2822      explicit_data_type      on
2823      gui_display_data_type   "Fixed-point"
2824      arith_type          "Unsigned"
2825      n_bits          "1"
2826      bin_pt          "0"
2827      preci_type          "Single"
2828      dbl_ovrd        off
2829      xl_use_area         off
2830      xl_area         "[0,0,0,0,0,0,0]"
2831      has_advanced_control    "0"
2832      sggui_pos       "-1,-1,-1,-1"
2833      block_type          "toreg"
2834      sg_icon_stat        "60,56,2,1,white,blue,0,10ab453e,right,,[ ],[ ]"
2835      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 0 ],[0 0 56 56 0 ],[0.77 0.82 0.91"
2836      " ]);\nplot([0 60 60 0 0 ],[0 0 56 56 0 ]);\npatch([12.2 23.76 31.76 39.76 47.76 31.76 20.2 12.2 ],[36.88 36.88 44.8"
2837      "8 36.88 44.88 44.88 44.88 36.88 ],[1 1 1 ]);\npatch([20.2 31.76 23.76 12.2 20.2 ],[28.88 28.88 36.88 36.88 28.88 ],"
2838      "[0.931 0.946 0.973 ]);\npatch([12.2 23.76 31.76 20.2 12.2 ],[20.88 20.88 28.88 28.88 20.88 ],[1 1 1 ]);\npatch([20."
2839      "2 47.76 39.76 31.76 23.76 12.2 20.2 ],[12.88 12.88 20.88 12.88 20.88 20.88 12.88 ],[0.931 0.946 0.973 ]);\nfprintf("
2840      "'','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1,'di"
2841      "n');\ncolor('black');port_label('input',2,'en');\ncolor('black');port_label('output',1,'dout');\nfprintf('','COMMEN"
2842      "T: end icon text');"
2843      Port {
2844        PortNumber          1
2845        Name            "RADIO4TXBUFF_TXEN_dout"
2846        RTWStorageClass     "Auto"
2847        DataLoggingNameMode     "SignalName"
2848      }
2849    }
2850    Block {
2851      BlockType       Reference
2852      Name            "To Register14"
2853      SID             "670:255"
2854      Ports           [2, 1]
2855      Position        [895, 1932, 955, 1988]
2856      AttributesFormatString  "<< %<shared_memory_name> >>"
2857      LibraryVersion      "1.2"
2858      SourceBlock         "xbsIndex_r4/To Register"
2859      SourceType          "Xilinx Shared Memory Based To Register Block"
2860      infoedit        "Register block that writes data to a shared memory register.  Delay of one sample period."
2861      shared_memory_name      "'RADIO3RXBUFF_RXEN'"
2862      init            "0"
2863      ownership       "Owned and initialized elsewhere"
2864      explicit_data_type      on
2865      gui_display_data_type   "Fixed-point"
2866      arith_type          "Unsigned"
2867      n_bits          "1"
2868      bin_pt          "0"
2869      preci_type          "Single"
2870      dbl_ovrd        off
2871      xl_use_area         off
2872      xl_area         "[0,0,0,0,0,0,0]"
2873      has_advanced_control    "0"
2874      sggui_pos       "-1,-1,-1,-1"
2875      block_type          "toreg"
2876      sg_icon_stat        "60,56,2,1,white,blue,0,10ab453e,right,,[ ],[ ]"
2877      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 0 ],[0 0 56 56 0 ],[0.77 0.82 0.91"
2878      " ]);\nplot([0 60 60 0 0 ],[0 0 56 56 0 ]);\npatch([12.2 23.76 31.76 39.76 47.76 31.76 20.2 12.2 ],[36.88 36.88 44.8"
2879      "8 36.88 44.88 44.88 44.88 36.88 ],[1 1 1 ]);\npatch([20.2 31.76 23.76 12.2 20.2 ],[28.88 28.88 36.88 36.88 28.88 ],"
2880      "[0.931 0.946 0.973 ]);\npatch([12.2 23.76 31.76 20.2 12.2 ],[20.88 20.88 28.88 28.88 20.88 ],[1 1 1 ]);\npatch([20."
2881      "2 47.76 39.76 31.76 23.76 12.2 20.2 ],[12.88 12.88 20.88 12.88 20.88 20.88 12.88 ],[0.931 0.946 0.973 ]);\nfprintf("
2882      "'','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1,'di"
2883      "n');\ncolor('black');port_label('input',2,'en');\ncolor('black');port_label('output',1,'dout');\nfprintf('','COMMEN"
2884      "T: end icon text');"
2885      Port {
2886        PortNumber          1
2887        Name            "RADIO3RXBUFF_RXEN_dout"
2888        RTWStorageClass     "Auto"
2889        DataLoggingNameMode     "SignalName"
2890      }
2891    }
2892    Block {
2893      BlockType       Reference
2894      Name            "To Register15"
2895      SID             "670:256"
2896      Ports           [2, 1]
2897      Position        [895, 2037, 955, 2093]
2898      AttributesFormatString  "<< %<shared_memory_name> >>"
2899      LibraryVersion      "1.2"
2900      SourceBlock         "xbsIndex_r4/To Register"
2901      SourceType          "Xilinx Shared Memory Based To Register Block"
2902      infoedit        "Register block that writes data to a shared memory register.  Delay of one sample period."
2903      shared_memory_name      "'RADIO3TXBUFF_TXEN'"
2904      init            "0"
2905      ownership       "Owned and initialized elsewhere"
2906      explicit_data_type      on
2907      gui_display_data_type   "Fixed-point"
2908      arith_type          "Unsigned"
2909      n_bits          "1"
2910      bin_pt          "0"
2911      preci_type          "Single"
2912      dbl_ovrd        off
2913      xl_use_area         off
2914      xl_area         "[0,0,0,0,0,0,0]"
2915      has_advanced_control    "0"
2916      sggui_pos       "-1,-1,-1,-1"
2917      block_type          "toreg"
2918      sg_icon_stat        "60,56,2,1,white,blue,0,10ab453e,right,,[ ],[ ]"
2919      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 0 ],[0 0 56 56 0 ],[0.77 0.82 0.91"
2920      " ]);\nplot([0 60 60 0 0 ],[0 0 56 56 0 ]);\npatch([12.2 23.76 31.76 39.76 47.76 31.76 20.2 12.2 ],[36.88 36.88 44.8"
2921      "8 36.88 44.88 44.88 44.88 36.88 ],[1 1 1 ]);\npatch([20.2 31.76 23.76 12.2 20.2 ],[28.88 28.88 36.88 36.88 28.88 ],"
2922      "[0.931 0.946 0.973 ]);\npatch([12.2 23.76 31.76 20.2 12.2 ],[20.88 20.88 28.88 28.88 20.88 ],[1 1 1 ]);\npatch([20."
2923      "2 47.76 39.76 31.76 23.76 12.2 20.2 ],[12.88 12.88 20.88 12.88 20.88 20.88 12.88 ],[0.931 0.946 0.973 ]);\nfprintf("
2924      "'','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1,'di"
2925      "n');\ncolor('black');port_label('input',2,'en');\ncolor('black');port_label('output',1,'dout');\nfprintf('','COMMEN"
2926      "T: end icon text');"
2927      Port {
2928        PortNumber          1
2929        Name            "RADIO3TXBUFF_TXEN_dout"
2930        RTWStorageClass     "Auto"
2931        DataLoggingNameMode     "SignalName"
2932      }
2933    }
2934    Block {
2935      BlockType       Reference
2936      Name            "To Register16"
2937      SID             "670:257"
2938      Ports           [2, 1]
2939      Position        [895, 2142, 955, 2198]
2940      AttributesFormatString  "<< %<shared_memory_name> >>"
2941      LibraryVersion      "1.2"
2942      SourceBlock         "xbsIndex_r4/To Register"
2943      SourceType          "Xilinx Shared Memory Based To Register Block"
2944      infoedit        "Register block that writes data to a shared memory register.  Delay of one sample period."
2945      shared_memory_name      "'RADIO1RXBUFF_RXEN'"
2946      init            "0"
2947      ownership       "Owned and initialized elsewhere"
2948      explicit_data_type      on
2949      gui_display_data_type   "Fixed-point"
2950      arith_type          "Unsigned"
2951      n_bits          "1"
2952      bin_pt          "0"
2953      preci_type          "Single"
2954      dbl_ovrd        off
2955      xl_use_area         off
2956      xl_area         "[0,0,0,0,0,0,0]"
2957      has_advanced_control    "0"
2958      sggui_pos       "-1,-1,-1,-1"
2959      block_type          "toreg"
2960      sg_icon_stat        "60,56,2,1,white,blue,0,10ab453e,right,,[ ],[ ]"
2961      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 0 ],[0 0 56 56 0 ],[0.77 0.82 0.91"
2962      " ]);\nplot([0 60 60 0 0 ],[0 0 56 56 0 ]);\npatch([12.2 23.76 31.76 39.76 47.76 31.76 20.2 12.2 ],[36.88 36.88 44.8"
2963      "8 36.88 44.88 44.88 44.88 36.88 ],[1 1 1 ]);\npatch([20.2 31.76 23.76 12.2 20.2 ],[28.88 28.88 36.88 36.88 28.88 ],"
2964      "[0.931 0.946 0.973 ]);\npatch([12.2 23.76 31.76 20.2 12.2 ],[20.88 20.88 28.88 28.88 20.88 ],[1 1 1 ]);\npatch([20."
2965      "2 47.76 39.76 31.76 23.76 12.2 20.2 ],[12.88 12.88 20.88 12.88 20.88 20.88 12.88 ],[0.931 0.946 0.973 ]);\nfprintf("
2966      "'','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1,'di"
2967      "n');\ncolor('black');port_label('input',2,'en');\ncolor('black');port_label('output',1,'dout');\nfprintf('','COMMEN"
2968      "T: end icon text');"
2969      Port {
2970        PortNumber          1
2971        Name            "RADIO1RXBUFF_RXEN_dout"
2972        RTWStorageClass     "Auto"
2973        DataLoggingNameMode     "SignalName"
2974      }
2975    }
2976    Block {
2977      BlockType       Reference
2978      Name            "To Register2"
2979      SID             "670:243"
2980      Ports           [2, 1]
2981      Position        [895, 667, 955, 723]
2982      AttributesFormatString  "<< %<shared_memory_name> >>"
2983      LibraryVersion      "1.2"
2984      SourceBlock         "xbsIndex_r4/To Register"
2985      SourceType          "Xilinx Shared Memory Based To Register Block"
2986      infoedit        "Register block that writes data to a shared memory register.  Delay of one sample period."
2987      shared_memory_name      "'RADIO2TXBUFF_TXEN'"
2988      init            "0"
2989      ownership       "Owned and initialized elsewhere"
2990      explicit_data_type      on
2991      gui_display_data_type   "Fixed-point"
2992      arith_type          "Unsigned"
2993      n_bits          "1"
2994      bin_pt          "0"
2995      preci_type          "Single"
2996      dbl_ovrd        off
2997      xl_use_area         off
2998      xl_area         "[0,0,0,0,0,0,0]"
2999      has_advanced_control    "0"
3000      sggui_pos       "-1,-1,-1,-1"
3001      block_type          "toreg"
3002      sg_icon_stat        "60,56,2,1,white,blue,0,10ab453e,right,,[ ],[ ]"
3003      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 0 ],[0 0 56 56 0 ],[0.77 0.82 0.91"
3004      " ]);\nplot([0 60 60 0 0 ],[0 0 56 56 0 ]);\npatch([12.2 23.76 31.76 39.76 47.76 31.76 20.2 12.2 ],[36.88 36.88 44.8"
3005      "8 36.88 44.88 44.88 44.88 36.88 ],[1 1 1 ]);\npatch([20.2 31.76 23.76 12.2 20.2 ],[28.88 28.88 36.88 36.88 28.88 ],"
3006      "[0.931 0.946 0.973 ]);\npatch([12.2 23.76 31.76 20.2 12.2 ],[20.88 20.88 28.88 28.88 20.88 ],[1 1 1 ]);\npatch([20."
3007      "2 47.76 39.76 31.76 23.76 12.2 20.2 ],[12.88 12.88 20.88 12.88 20.88 20.88 12.88 ],[0.931 0.946 0.973 ]);\nfprintf("
3008      "'','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1,'di"
3009      "n');\ncolor('black');port_label('input',2,'en');\ncolor('black');port_label('output',1,'dout');\nfprintf('','COMMEN"
3010      "T: end icon text');"
3011      Port {
3012        PortNumber          1
3013        Name            "RADIO2TXBUFF_TXEN_dout"
3014        RTWStorageClass     "Auto"
3015        DataLoggingNameMode     "SignalName"
3016      }
3017    }
3018    Block {
3019      BlockType       Reference
3020      Name            "To Register3"
3021      SID             "670:244"
3022      Ports           [2, 1]
3023      Position        [895, 777, 955, 833]
3024      AttributesFormatString  "<< %<shared_memory_name> >>"
3025      LibraryVersion      "1.2"
3026      SourceBlock         "xbsIndex_r4/To Register"
3027      SourceType          "Xilinx Shared Memory Based To Register Block"
3028      infoedit        "Register block that writes data to a shared memory register.  Delay of one sample period."
3029      shared_memory_name      "'TransMode'"
3030      init            "0"
3031      ownership       "Owned and initialized elsewhere"
3032      explicit_data_type      on
3033      gui_display_data_type   "Fixed-point"
3034      arith_type          "Unsigned"
3035      n_bits          "1"
3036      bin_pt          "0"
3037      preci_type          "Single"
3038      dbl_ovrd        off
3039      xl_use_area         off
3040      xl_area         "[0,0,0,0,0,0,0]"
3041      has_advanced_control    "0"
3042      sggui_pos       "-1,-1,-1,-1"
3043      block_type          "toreg"
3044      sg_icon_stat        "60,56,2,1,white,blue,0,10ab453e,right,,[ ],[ ]"
3045      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 0 ],[0 0 56 56 0 ],[0.77 0.82 0.91"
3046      " ]);\nplot([0 60 60 0 0 ],[0 0 56 56 0 ]);\npatch([12.2 23.76 31.76 39.76 47.76 31.76 20.2 12.2 ],[36.88 36.88 44.8"
3047      "8 36.88 44.88 44.88 44.88 36.88 ],[1 1 1 ]);\npatch([20.2 31.76 23.76 12.2 20.2 ],[28.88 28.88 36.88 36.88 28.88 ],"
3048      "[0.931 0.946 0.973 ]);\npatch([12.2 23.76 31.76 20.2 12.2 ],[20.88 20.88 28.88 28.88 20.88 ],[1 1 1 ]);\npatch([20."
3049      "2 47.76 39.76 31.76 23.76 12.2 20.2 ],[12.88 12.88 20.88 12.88 20.88 20.88 12.88 ],[0.931 0.946 0.973 ]);\nfprintf("
3050      "'','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1,'di"
3051      "n');\ncolor('black');port_label('input',2,'en');\ncolor('black');port_label('output',1,'dout');\nfprintf('','COMMEN"
3052      "T: end icon text');"
3053      Port {
3054        PortNumber          1
3055        Name            "TransMode_dout"
3056        RTWStorageClass     "Auto"
3057        DataLoggingNameMode     "SignalName"
3058      }
3059    }
3060    Block {
3061      BlockType       Reference
3062      Name            "To Register4"
3063      SID             "670:245"
3064      Ports           [2, 1]
3065      Position        [895, 882, 955, 938]
3066      AttributesFormatString  "<< %<shared_memory_name> >>"
3067      LibraryVersion      "1.2"
3068      SourceBlock         "xbsIndex_r4/To Register"
3069      SourceType          "Xilinx Shared Memory Based To Register Block"
3070      infoedit        "Register block that writes data to a shared memory register.  Delay of one sample period."
3071      shared_memory_name      "'StopTx'"
3072      init            "0"
3073      ownership       "Owned and initialized elsewhere"
3074      explicit_data_type      on
3075      gui_display_data_type   "Fixed-point"
3076      arith_type          "Unsigned"
3077      n_bits          "1"
3078      bin_pt          "0"
3079      preci_type          "Single"
3080      dbl_ovrd        off
3081      xl_use_area         off
3082      xl_area         "[0,0,0,0,0,0,0]"
3083      has_advanced_control    "0"
3084      sggui_pos       "-1,-1,-1,-1"
3085      block_type          "toreg"
3086      sg_icon_stat        "60,56,2,1,white,blue,0,10ab453e,right,,[ ],[ ]"
3087      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 0 ],[0 0 56 56 0 ],[0.77 0.82 0.91"
3088      " ]);\nplot([0 60 60 0 0 ],[0 0 56 56 0 ]);\npatch([12.2 23.76 31.76 39.76 47.76 31.76 20.2 12.2 ],[36.88 36.88 44.8"
3089      "8 36.88 44.88 44.88 44.88 36.88 ],[1 1 1 ]);\npatch([20.2 31.76 23.76 12.2 20.2 ],[28.88 28.88 36.88 36.88 28.88 ],"
3090      "[0.931 0.946 0.973 ]);\npatch([12.2 23.76 31.76 20.2 12.2 ],[20.88 20.88 28.88 28.88 20.88 ],[1 1 1 ]);\npatch([20."
3091      "2 47.76 39.76 31.76 23.76 12.2 20.2 ],[12.88 12.88 20.88 12.88 20.88 20.88 12.88 ],[0.931 0.946 0.973 ]);\nfprintf("
3092      "'','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1,'di"
3093      "n');\ncolor('black');port_label('input',2,'en');\ncolor('black');port_label('output',1,'dout');\nfprintf('','COMMEN"
3094      "T: end icon text');"
3095      Port {
3096        PortNumber          1
3097        Name            "StopTx_dout"
3098        RTWStorageClass     "Auto"
3099        DataLoggingNameMode     "SignalName"
3100      }
3101    }
3102    Block {
3103      BlockType       Reference
3104      Name            "To Register5"
3105      SID             "670:246"
3106      Ports           [2, 1]
3107      Position        [895, 987, 955, 1043]
3108      AttributesFormatString  "<< %<shared_memory_name> >>"
3109      LibraryVersion      "1.2"
3110      SourceBlock         "xbsIndex_r4/To Register"
3111      SourceType          "Xilinx Shared Memory Based To Register Block"
3112      infoedit        "Register block that writes data to a shared memory register.  Delay of one sample period."
3113      shared_memory_name      "'RADIO1TXBUFF_TXEN'"
3114      init            "0"
3115      ownership       "Owned and initialized elsewhere"
3116      explicit_data_type      on
3117      gui_display_data_type   "Fixed-point"
3118      arith_type          "Unsigned"
3119      n_bits          "1"
3120      bin_pt          "0"
3121      preci_type          "Single"
3122      dbl_ovrd        off
3123      xl_use_area         off
3124      xl_area         "[0,0,0,0,0,0,0]"
3125      has_advanced_control    "0"
3126      sggui_pos       "-1,-1,-1,-1"
3127      block_type          "toreg"
3128      sg_icon_stat        "60,56,2,1,white,blue,0,10ab453e,right,,[ ],[ ]"
3129      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 0 ],[0 0 56 56 0 ],[0.77 0.82 0.91"
3130      " ]);\nplot([0 60 60 0 0 ],[0 0 56 56 0 ]);\npatch([12.2 23.76 31.76 39.76 47.76 31.76 20.2 12.2 ],[36.88 36.88 44.8"
3131      "8 36.88 44.88 44.88 44.88 36.88 ],[1 1 1 ]);\npatch([20.2 31.76 23.76 12.2 20.2 ],[28.88 28.88 36.88 36.88 28.88 ],"
3132      "[0.931 0.946 0.973 ]);\npatch([12.2 23.76 31.76 20.2 12.2 ],[20.88 20.88 28.88 28.88 20.88 ],[1 1 1 ]);\npatch([20."
3133      "2 47.76 39.76 31.76 23.76 12.2 20.2 ],[12.88 12.88 20.88 12.88 20.88 20.88 12.88 ],[0.931 0.946 0.973 ]);\nfprintf("
3134      "'','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1,'di"
3135      "n');\ncolor('black');port_label('input',2,'en');\ncolor('black');port_label('output',1,'dout');\nfprintf('','COMMEN"
3136      "T: end icon text');"
3137      Port {
3138        PortNumber          1
3139        Name            "RADIO1TXBUFF_TXEN_dout"
3140        RTWStorageClass     "Auto"
3141        DataLoggingNameMode     "SignalName"
3142      }
3143    }
3144    Block {
3145      BlockType       Reference
3146      Name            "To Register6"
3147      SID             "670:247"
3148      Ports           [2, 1]
3149      Position        [895, 1092, 955, 1148]
3150      AttributesFormatString  "<< %<shared_memory_name> >>"
3151      LibraryVersion      "1.2"
3152      SourceBlock         "xbsIndex_r4/To Register"
3153      SourceType          "Xilinx Shared Memory Based To Register Block"
3154      infoedit        "Register block that writes data to a shared memory register.  Delay of one sample period."
3155      shared_memory_name      "'RADIO2RXBUFF_RXEN'"
3156      init            "0"
3157      ownership       "Owned and initialized elsewhere"
3158      explicit_data_type      on
3159      gui_display_data_type   "Fixed-point"
3160      arith_type          "Unsigned"
3161      n_bits          "1"
3162      bin_pt          "0"
3163      preci_type          "Single"
3164      dbl_ovrd        off
3165      xl_use_area         off
3166      xl_area         "[0,0,0,0,0,0,0]"
3167      has_advanced_control    "0"
3168      sggui_pos       "-1,-1,-1,-1"
3169      block_type          "toreg"
3170      sg_icon_stat        "60,56,2,1,white,blue,0,10ab453e,right,,[ ],[ ]"
3171      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 0 ],[0 0 56 56 0 ],[0.77 0.82 0.91"
3172      " ]);\nplot([0 60 60 0 0 ],[0 0 56 56 0 ]);\npatch([12.2 23.76 31.76 39.76 47.76 31.76 20.2 12.2 ],[36.88 36.88 44.8"
3173      "8 36.88 44.88 44.88 44.88 36.88 ],[1 1 1 ]);\npatch([20.2 31.76 23.76 12.2 20.2 ],[28.88 28.88 36.88 36.88 28.88 ],"
3174      "[0.931 0.946 0.973 ]);\npatch([12.2 23.76 31.76 20.2 12.2 ],[20.88 20.88 28.88 28.88 20.88 ],[1 1 1 ]);\npatch([20."
3175      "2 47.76 39.76 31.76 23.76 12.2 20.2 ],[12.88 12.88 20.88 12.88 20.88 20.88 12.88 ],[0.931 0.946 0.973 ]);\nfprintf("
3176      "'','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1,'di"
3177      "n');\ncolor('black');port_label('input',2,'en');\ncolor('black');port_label('output',1,'dout');\nfprintf('','COMMEN"
3178      "T: end icon text');"
3179      Port {
3180        PortNumber          1
3181        Name            "RADIO2RXBUFF_RXEN_dout"
3182        RTWStorageClass     "Auto"
3183        DataLoggingNameMode     "SignalName"
3184      }
3185    }
3186    Block {
3187      BlockType       Reference
3188      Name            "To Register7"
3189      SID             "670:248"
3190      Ports           [2, 1]
3191      Position        [895, 1197, 955, 1253]
3192      AttributesFormatString  "<< %<shared_memory_name> >>"
3193      LibraryVersion      "1.2"
3194      SourceBlock         "xbsIndex_r4/To Register"
3195      SourceType          "Xilinx Shared Memory Based To Register Block"
3196      infoedit        "Register block that writes data to a shared memory register.  Delay of one sample period."
3197      shared_memory_name      "'StartTx'"
3198      init            "0"
3199      ownership       "Owned and initialized elsewhere"
3200      explicit_data_type      on
3201      gui_display_data_type   "Fixed-point"
3202      arith_type          "Unsigned"
3203      n_bits          "1"
3204      bin_pt          "0"
3205      preci_type          "Single"
3206      dbl_ovrd        off
3207      xl_use_area         off
3208      xl_area         "[0,0,0,0,0,0,0]"
3209      has_advanced_control    "0"
3210      sggui_pos       "-1,-1,-1,-1"
3211      block_type          "toreg"
3212      sg_icon_stat        "60,56,2,1,white,blue,0,10ab453e,right,,[ ],[ ]"
3213      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 0 ],[0 0 56 56 0 ],[0.77 0.82 0.91"
3214      " ]);\nplot([0 60 60 0 0 ],[0 0 56 56 0 ]);\npatch([12.2 23.76 31.76 39.76 47.76 31.76 20.2 12.2 ],[36.88 36.88 44.8"
3215      "8 36.88 44.88 44.88 44.88 36.88 ],[1 1 1 ]);\npatch([20.2 31.76 23.76 12.2 20.2 ],[28.88 28.88 36.88 36.88 28.88 ],"
3216      "[0.931 0.946 0.973 ]);\npatch([12.2 23.76 31.76 20.2 12.2 ],[20.88 20.88 28.88 28.88 20.88 ],[1 1 1 ]);\npatch([20."
3217      "2 47.76 39.76 31.76 23.76 12.2 20.2 ],[12.88 12.88 20.88 12.88 20.88 20.88 12.88 ],[0.931 0.946 0.973 ]);\nfprintf("
3218      "'','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1,'di"
3219      "n');\ncolor('black');port_label('input',2,'en');\ncolor('black');port_label('output',1,'dout');\nfprintf('','COMMEN"
3220      "T: end icon text');"
3221      Port {
3222        PortNumber          1
3223        Name            "StartTx_dout"
3224        RTWStorageClass     "Auto"
3225        DataLoggingNameMode     "SignalName"
3226      }
3227    }
3228    Block {
3229      BlockType       Reference
3230      Name            "To Register8"
3231      SID             "670:249"
3232      Ports           [2, 1]
3233      Position        [895, 1302, 955, 1358]
3234      AttributesFormatString  "<< %<shared_memory_name> >>"
3235      LibraryVersion      "1.2"
3236      SourceBlock         "xbsIndex_r4/To Register"
3237      SourceType          "Xilinx Shared Memory Based To Register Block"
3238      infoedit        "Register block that writes data to a shared memory register.  Delay of one sample period."
3239      shared_memory_name      "'RADIO4RXBUFF_RXEN'"
3240      init            "0"
3241      ownership       "Owned and initialized elsewhere"
3242      explicit_data_type      on
3243      gui_display_data_type   "Fixed-point"
3244      arith_type          "Unsigned"
3245      n_bits          "1"
3246      bin_pt          "0"
3247      preci_type          "Single"
3248      dbl_ovrd        off
3249      xl_use_area         off
3250      xl_area         "[0,0,0,0,0,0,0]"
3251      has_advanced_control    "0"
3252      sggui_pos       "-1,-1,-1,-1"
3253      block_type          "toreg"
3254      sg_icon_stat        "60,56,2,1,white,blue,0,10ab453e,right,,[ ],[ ]"
3255      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 0 ],[0 0 56 56 0 ],[0.77 0.82 0.91"
3256      " ]);\nplot([0 60 60 0 0 ],[0 0 56 56 0 ]);\npatch([12.2 23.76 31.76 39.76 47.76 31.76 20.2 12.2 ],[36.88 36.88 44.8"
3257      "8 36.88 44.88 44.88 44.88 36.88 ],[1 1 1 ]);\npatch([20.2 31.76 23.76 12.2 20.2 ],[28.88 28.88 36.88 36.88 28.88 ],"
3258      "[0.931 0.946 0.973 ]);\npatch([12.2 23.76 31.76 20.2 12.2 ],[20.88 20.88 28.88 28.88 20.88 ],[1 1 1 ]);\npatch([20."
3259      "2 47.76 39.76 31.76 23.76 12.2 20.2 ],[12.88 12.88 20.88 12.88 20.88 20.88 12.88 ],[0.931 0.946 0.973 ]);\nfprintf("
3260      "'','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1,'di"
3261      "n');\ncolor('black');port_label('input',2,'en');\ncolor('black');port_label('output',1,'dout');\nfprintf('','COMMEN"
3262      "T: end icon text');"
3263      Port {
3264        PortNumber          1
3265        Name            "RADIO4RXBUFF_RXEN_dout"
3266        RTWStorageClass     "Auto"
3267        DataLoggingNameMode     "SignalName"
3268      }
3269    }
3270    Block {
3271      BlockType       Reference
3272      Name            "To Register9"
3273      SID             "670:250"
3274      Ports           [2, 1]
3275      Position        [895, 1407, 955, 1463]
3276      AttributesFormatString  "<< %<shared_memory_name> >>"
3277      LibraryVersion      "1.2"
3278      SourceBlock         "xbsIndex_r4/To Register"
3279      SourceType          "Xilinx Shared Memory Based To Register Block"
3280      infoedit        "Register block that writes data to a shared memory register.  Delay of one sample period."
3281      shared_memory_name      "'StartCapture'"
3282      init            "0"
3283      ownership       "Owned and initialized elsewhere"
3284      explicit_data_type      on
3285      gui_display_data_type   "Fixed-point"
3286      arith_type          "Unsigned"
3287      n_bits          "1"
3288      bin_pt          "0"
3289      preci_type          "Single"
3290      dbl_ovrd        off
3291      xl_use_area         off
3292      xl_area         "[0,0,0,0,0,0,0]"
3293      has_advanced_control    "0"
3294      sggui_pos       "-1,-1,-1,-1"
3295      block_type          "toreg"
3296      sg_icon_stat        "60,56,2,1,white,blue,0,10ab453e,right,,[ ],[ ]"
3297      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 0 ],[0 0 56 56 0 ],[0.77 0.82 0.91"
3298      " ]);\nplot([0 60 60 0 0 ],[0 0 56 56 0 ]);\npatch([12.2 23.76 31.76 39.76 47.76 31.76 20.2 12.2 ],[36.88 36.88 44.8"
3299      "8 36.88 44.88 44.88 44.88 36.88 ],[1 1 1 ]);\npatch([20.2 31.76 23.76 12.2 20.2 ],[28.88 28.88 36.88 36.88 28.88 ],"
3300      "[0.931 0.946 0.973 ]);\npatch([12.2 23.76 31.76 20.2 12.2 ],[20.88 20.88 28.88 28.88 20.88 ],[1 1 1 ]);\npatch([20."
3301      "2 47.76 39.76 31.76 23.76 12.2 20.2 ],[12.88 12.88 20.88 12.88 20.88 20.88 12.88 ],[0.931 0.946 0.973 ]);\nfprintf("
3302      "'','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1,'di"
3303      "n');\ncolor('black');port_label('input',2,'en');\ncolor('black');port_label('output',1,'dout');\nfprintf('','COMMEN"
3304      "T: end icon text');"
3305      Port {
3306        PortNumber          1
3307        Name            "StartCapture_dout"
3308        RTWStorageClass     "Auto"
3309        DataLoggingNameMode     "SignalName"
3310      }
3311    }
3312    Block {
3313      BlockType       Reference
3314      Name            "plb_decode"
3315      SID             "670:234"
3316      Ports           [7, 9]
3317      Position        [345, 684, 515, 1096]
3318      LibraryVersion      "1.2"
3319      SourceBlock         "xbsIndex_r4/MCode"
3320      SourceType          "Xilinx MCode Block Block"
3321      infoedit        "Pass input values to a MATLAB function for evaluation in Xilinx fixed-point type. The input ports of t"
3322      "he block are input arguments of the function. The output ports of the block are output arguments of the function."
3323      mfname          "xlmax"
3324      explicit_period     off
3325      period          "1"
3326      dbl_ovrd        off
3327      enable_stdout       off
3328      enable_debug        off
3329      xl_use_area         off
3330      xl_area         "[0,0,0,0,0,0,0]"
3331      mfilecontent        "function [wrDBusReg, addrAck, rdComp, wrDAck, bankAddr, RNWReg, rdDAck, rdDBus, linearAddr] = plb_"
3332      "bus_decode(plbRst, plbABus, plbPAValid, plbRNW, plbWrDBus, rdData, addrPref)\n\n% constant variables (TODO: should "
3333      "pass from outside)\nADDRPREF_LEN = 10;\nBANKADDR_LEN = 2;\nLINEARADDR_LEN = 18;\nABUS_LEN = 32;\nDBUS_LEN = 32;\n\n"
3334      "% declare and initialize persistent variables\n% register input bus signals\npersistent plbRstReg_, plbRstReg_ = xl"
3335      "_state(0, {xlBoolean});\npersistent plbABusReg_, plbABusReg_ = xl_state(0, {xlUnsigned, ABUS_LEN, 0});\npersistent "
3336      "plbPAValidReg_, plbPAValidReg_ = xl_state(0, {xlBoolean});\npersistent plbRNWReg_, plbRNWReg_ = xl_state(0, {xlUnsi"
3337      "gned, 1, 0});\npersistent plbWrDBusReg_, plbWrDBusReg_ = xl_state(0, {xlUnsigned, DBUS_LEN, 0});\n\n% ===== rest of"
3338      " the outputs =====\n\nbankAddr   = xl_slice(plbABusReg_, 2+BANKADDR_LEN+LINEARADDR_LEN-1, 2+LINEARADDR_LEN);\nlinea"
3339      "rAddr = xl_slice(plbABusReg_, 2+LINEARADDR_LEN-1, 2);\nRNWReg = plbRNWReg_;\nwrDBusReg = plbWrDBusReg_;\n\n% ===== "
3340      "p_select =====\n\n% register PAValid\npersistent aValidReg, aValidReg = xl_state(0, {xlBoolean});\naValidReg = plbP"
3341      "AValidReg_;\n\n% extract and register the address prefix\naddrPref_in = xl_slice(plbABusReg_, xl_nbits(plbABusReg_)"
3342      "-1, xl_nbits(plbABusReg_)-ADDRPREF_LEN);\nif addrPref_in == addrPref\n    ps1 = true;\nelse \n    ps1 = false;\nend"
3343      " \n\npersistent ps1Reg, ps1Reg = xl_state(0, ps1);\nps1Reg = ps1;\n\nps = xl_and(ps1Reg, aValidReg);\n\n% ===== add"
3344      "rAck =====\n\n% register ps\npersistent psReg, psReg = xl_state(0, ps);\n\naddrAck = xfix({xlUnsigned, 1, 0}, xl_an"
3345      "d(xl_not(plbRstReg_), ps, xl_not(psReg)));\n\npsReg = ps;\n\n% ===== rdComp, rd/wr DAck =====\n \nrdComp1 = xfix({x"
3346      "lUnsigned, 1, 0}, xl_and(addrAck, RNWReg));\n\nNUM_rdCompDelay = 3;\npersistent rdCompDelay, rdCompDelay = xl_state"
3347      "(zeros(1, NUM_rdCompDelay), rdComp1, NUM_rdCompDelay);\nrdComp2 = rdCompDelay.back;\nrdCompDelay.push_front_pop_bac"
3348      "k(rdComp1);\n\npersistent rdCompReg, rdCompReg = xl_state(0, rdComp1);\nrdComp = rdCompReg;\nrdCompReg = rdComp2;\n"
3349      "\npersistent rdDAckReg, rdDAckReg = xl_state(0, rdComp1);\nrdDAck = rdDAckReg;\nrdDAckReg = rdComp;\n\npersistent w"
3350      "rDAckReg, wrDAckReg = xl_state(0, addrAck);\nwrDAck = wrDAckReg;\nwrDAckReg = xl_and(addrAck, xl_not(RNWReg));\n\n%"
3351      " ===== rdDBus =====\n\nrdSel = xl_or(rdComp2, rdComp);\n\nif rdSel == 1\n    rdDBus1 = rdData;\nelse\n    rdDBus1 ="
3352      " 0;\nend % if\n\npersistent rdDBusReg, rdDBusReg = xl_state(0, rdDBus1);\nrdDBus = rdDBusReg;\nrdDBusReg = rdDBus1;"
3353      "\n\n% rdDBus = xl_concat(rdDBus32, rdDBus32);\n% rdDBus = rdDBus32;\n\n% ===== update the persistent variables ===="
3354      "=\n\nplbRstReg_ = plbRst;\nplbABusReg_ = plbABus;\nplbPAValidReg_ = plbPAValid;\nplbRNWReg_ = plbRNW;\nplbWrDBusReg"
3355      "_ = xl_slice(plbWrDBus, DBUS_LEN-1, 0);\n"
3356      suppress_output     "on"
3357      defparams       "{}"
3358      hide_port_list      "{}"
3359      has_advanced_control    "0"
3360      sggui_pos       "-1,-1,-1,-1"
3361      block_type          "mcode"
3362      sg_icon_stat        "170,412,7,9,white,blue,0,43a237d5,right,,[ ],[ ]"
3363      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 170 170 0 0 ],[0 0 412 412 0 ],[0.77 0.82 "
3364      "0.91 ]);\nplot([0 170 170 0 0 ],[0 0 412 412 0 ]);\npatch([31.6 66.28 90.28 114.28 138.28 90.28 55.6 31.6 ],[232.64"
3365      " 232.64 256.64 232.64 256.64 256.64 256.64 232.64 ],[1 1 1 ]);\npatch([55.6 90.28 66.28 31.6 55.6 ],[208.64 208.64 "
3366      "232.64 232.64 208.64 ],[0.931 0.946 0.973 ]);\npatch([31.6 66.28 90.28 55.6 31.6 ],[184.64 184.64 208.64 208.64 184"
3367      ".64 ],[1 1 1 ]);\npatch([55.6 138.28 114.28 90.28 66.28 31.6 55.6 ],[160.64 160.64 184.64 160.64 184.64 184.64 160."
3368      "64 ],[0.931 0.946 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\nco"
3369      "lor('black');port_label('input',1,'plbRst');\ncolor('black');port_label('input',2,'plbABus');\ncolor('black');port_"
3370      "label('input',3,'plbPAValid');\ncolor('black');port_label('input',4,'plbRNW');\ncolor('black');port_label('input',5"
3371      ",'plbWrDBus');\ncolor('black');port_label('input',6,'rdData');\ncolor('black');port_label('input',7,'addrPref');\nc"
3372      "olor('black');port_label('output',1,'wrDBusReg');\ncolor('black');port_label('output',2,'addrAck');\ncolor('black')"
3373      ";port_label('output',3,'rdComp');\ncolor('black');port_label('output',4,'wrDAck');\ncolor('black');port_label('outp"
3374      "ut',5,'bankAddr');\ncolor('black');port_label('output',6,'RNWReg');\ncolor('black');port_label('output',7,'rdDAck')"
3375      ";\ncolor('black');port_label('output',8,'rdDBus');\ncolor('black');port_label('output',9,'linearAddr');\ncolor('bla"
3376      "ck');disp('\\bf{xlmax}','texmode','on');\nfprintf('','COMMENT: end icon text');"
3377      Port {
3378        PortNumber          1
3379        Name            "wrDBusReg"
3380        RTWStorageClass     "Auto"
3381        DataLoggingNameMode     "SignalName"
3382      }
3383      Port {
3384        PortNumber          2
3385        Name            "Sl_addrAck"
3386        RTWStorageClass     "Auto"
3387        DataLoggingNameMode     "SignalName"
3388      }
3389      Port {
3390        PortNumber          3
3391        Name            "Sl_rdComp"
3392        RTWStorageClass     "Auto"
3393        DataLoggingNameMode     "SignalName"
3394      }
3395      Port {
3396        PortNumber          4
3397        Name            "Sl_wrDAck"
3398        RTWStorageClass     "Auto"
3399        DataLoggingNameMode     "SignalName"
3400      }
3401      Port {
3402        PortNumber          5
3403        Name            "bankAddr"
3404        RTWStorageClass     "Auto"
3405        DataLoggingNameMode     "SignalName"
3406      }
3407      Port {
3408        PortNumber          6
3409        Name            "RNWReg"
3410        RTWStorageClass     "Auto"
3411        DataLoggingNameMode     "SignalName"
3412      }
3413      Port {
3414        PortNumber          7
3415        Name            "Sl_rdDAck"
3416        RTWStorageClass     "Auto"
3417        DataLoggingNameMode     "SignalName"
3418      }
3419      Port {
3420        PortNumber          8
3421        Name            "Sl_rdDBus"
3422        RTWStorageClass     "Auto"
3423        DataLoggingNameMode     "SignalName"
3424      }
3425      Port {
3426        PortNumber          9
3427        Name            "linearAddr"
3428        RTWStorageClass     "Auto"
3429        DataLoggingNameMode     "SignalName"
3430      }
3431    }
3432    Block {
3433      BlockType       Reference
3434      Name            "plb_memmap"
3435      SID             "670:270"
3436      Ports           [40, 71]
3437      Position        [615, 1895, 785, 2245]
3438      LibraryVersion      "1.2"
3439      SourceBlock         "xbsIndex_r4/MCode"
3440      SourceType          "Xilinx MCode Block Block"
3441      infoedit        "Pass input values to a MATLAB function for evaluation in Xilinx fixed-point type. The input ports of t"
3442      "he block are input arguments of the function. The output ports of the block are output arguments of the function."
3443      mfname          "xlmax"
3444      explicit_period     off
3445      period          "1"
3446      dbl_ovrd        off
3447      enable_stdout       off
3448      enable_debug        off
3449      xl_use_area         off
3450      xl_area         "[0,0,0,0,0,0,0]"
3451      mfilecontent        "function [read_bank_out, sm_TxLength_din, sm_TxLength_en, sm_TxDelay_din, sm_TxDelay_en, sm_RADIO2"
3452      "TXBUFF_TXEN_din, sm_RADIO2TXBUFF_TXEN_en, sm_TransMode_din, sm_TransMode_en, sm_StopTx_din, sm_StopTx_en, sm_RADIO1"
3453      "TXBUFF_TXEN_din, sm_RADIO1TXBUFF_TXEN_en, sm_RADIO2RXBUFF_RXEN_din, sm_RADIO2RXBUFF_RXEN_en, sm_StartTx_din, sm_Sta"
3454      "rtTx_en, sm_RADIO4RXBUFF_RXEN_din, sm_RADIO4RXBUFF_RXEN_en, sm_StartCapture_din, sm_StartCapture_en, sm_StartTxRx_d"
3455      "in, sm_StartTxRx_en, sm_DCO_EN_SEL_din, sm_DCO_EN_SEL_en, sm_MGC_AGC_SEL_din, sm_MGC_AGC_SEL_en, sm_RADIO4TXBUFF_TX"
3456      "EN_din, sm_RADIO4TXBUFF_TXEN_en, sm_RADIO3RXBUFF_RXEN_din, sm_RADIO3RXBUFF_RXEN_en, sm_RADIO3TXBUFF_TXEN_din, sm_RA"
3457      "DIO3TXBUFF_TXEN_en, sm_RADIO1RXBUFF_RXEN_din, sm_RADIO1RXBUFF_RXEN_en, sm_TxBuff_Radio4_addr, sm_TxBuff_Radio4_din,"
3458      " sm_TxBuff_Radio4_we, sm_RxBuff_Radio4_addr, sm_RxBuff_Radio4_din, sm_RxBuff_Radio4_we, sm_RSSIBuff_Radio4_addr, sm"
3459      "_RSSIBuff_Radio4_din, sm_RSSIBuff_Radio4_we, sm_TxBuff_Radio3_addr, sm_TxBuff_Radio3_din, sm_TxBuff_Radio3_we, sm_R"
3460      "xBuff_Radio3_addr, sm_RxBuff_Radio3_din, sm_RxBuff_Radio3_we, sm_RSSIBuff_Radio3_addr, sm_RSSIBuff_Radio3_din, sm_R"
3461      "SSIBuff_Radio3_we, sm_TxBuff_Radio2_addr, sm_TxBuff_Radio2_din, sm_TxBuff_Radio2_we, sm_RxBuff_Radio2_addr, sm_RxBu"
3462      "ff_Radio2_din, sm_RxBuff_Radio2_we, sm_RSSIBuff_Radio2_addr, sm_RSSIBuff_Radio2_din, sm_RSSIBuff_Radio2_we, sm_TxBu"
3463      "ff_Radio1_addr, sm_TxBuff_Radio1_din, sm_TxBuff_Radio1_we, sm_RxBuff_Radio1_addr, sm_RxBuff_Radio1_din, sm_RxBuff_R"
3464      "adio1_we, sm_RSSIBuff_Radio1_addr, sm_RSSIBuff_Radio1_din, sm_RSSIBuff_Radio1_we] = plb_memmap(wrDBus, bankAddr, li"
3465      "nearAddr, RNWReg, addrAck, sm_CaptureDone, sm_Radio4AGCDoneRSSI, sm_Radio3AGCDoneRSSI, sm_Radio2AGCDoneRSSI, sm_Rad"
3466      "io1AGCDoneRSSI, sm_AGCDoneAddr, sm_TxLength, sm_TxDelay, sm_RADIO2TXBUFF_TXEN, sm_TransMode, sm_StopTx, sm_RADIO1TX"
3467      "BUFF_TXEN, sm_RADIO2RXBUFF_RXEN, sm_StartTx, sm_RADIO4RXBUFF_RXEN, sm_StartCapture, sm_StartTxRx, sm_DCO_EN_SEL, sm"
3468      "_MGC_AGC_SEL, sm_RADIO4TXBUFF_TXEN, sm_RADIO3RXBUFF_RXEN, sm_RADIO3TXBUFF_TXEN, sm_RADIO1RXBUFF_RXEN, sm_TxBuff_Rad"
3469      "io4, sm_RxBuff_Radio4, sm_RSSIBuff_Radio4, sm_TxBuff_Radio3, sm_RxBuff_Radio3, sm_RSSIBuff_Radio3, sm_TxBuff_Radio2"
3470      ", sm_RxBuff_Radio2, sm_RSSIBuff_Radio2, sm_TxBuff_Radio1, sm_RxBuff_Radio1, sm_RSSIBuff_Radio1)\n\n\n% connvert the"
3471      " input data to UFix_32_0 (the bus data type)\n% 'From Register' blocks\n% sm_CaptureDone_bus = xfix({xlUnsigned, 32"
3472      ", 0}, 0);\nsm_CaptureDone_bus = xl_force(sm_CaptureDone, xlUnsigned, 0);\n\n% sm_Radio4AGCDoneRSSI_bus = xfix({xlUn"
3473      "signed, 32, 0}, 0);\nsm_Radio4AGCDoneRSSI_bus = xl_force(sm_Radio4AGCDoneRSSI, xlUnsigned, 0);\n\n% sm_Radio3AGCDon"
3474      "eRSSI_bus = xfix({xlUnsigned, 32, 0}, 0);\nsm_Radio3AGCDoneRSSI_bus = xl_force(sm_Radio3AGCDoneRSSI, xlUnsigned, 0)"
3475      ";\n\n% sm_Radio2AGCDoneRSSI_bus = xfix({xlUnsigned, 32, 0}, 0);\nsm_Radio2AGCDoneRSSI_bus = xl_force(sm_Radio2AGCDo"
3476      "neRSSI, xlUnsigned, 0);\n\n% sm_Radio1AGCDoneRSSI_bus = xfix({xlUnsigned, 32, 0}, 0);\nsm_Radio1AGCDoneRSSI_bus = x"
3477      "l_force(sm_Radio1AGCDoneRSSI, xlUnsigned, 0);\n\n% sm_AGCDoneAddr_bus = xfix({xlUnsigned, 32, 0}, 0);\nsm_AGCDoneAd"
3478      "dr_bus = xl_force(sm_AGCDoneAddr, xlUnsigned, 0);\n\n% 'To Register' blocks\n\n% sm_TxLength_dout = xfix({xlUnsigne"
3479      "d, 32, 0}, 0);\nsm_TxLength_dout = xl_force(sm_TxLength, xlUnsigned, 0);\n\n% sm_TxDelay_dout = xfix({xlUnsigned, 3"
3480      "2, 0}, 0);\nsm_TxDelay_dout = xl_force(sm_TxDelay, xlUnsigned, 0);\n\n% sm_RADIO2TXBUFF_TXEN_dout = xfix({xlUnsigne"
3481      "d, 32, 0}, 0);\nsm_RADIO2TXBUFF_TXEN_dout = xl_force(sm_RADIO2TXBUFF_TXEN, xlUnsigned, 0);\n\n% sm_TransMode_dout ="
3482      " xfix({xlUnsigned, 32, 0}, 0);\nsm_TransMode_dout = xl_force(sm_TransMode, xlUnsigned, 0);\n\n% sm_StopTx_dout = xf"
3483      "ix({xlUnsigned, 32, 0}, 0);\nsm_StopTx_dout = xl_force(sm_StopTx, xlUnsigned, 0);\n\n% sm_RADIO1TXBUFF_TXEN_dout = "
3484      "xfix({xlUnsigned, 32, 0}, 0);\nsm_RADIO1TXBUFF_TXEN_dout = xl_force(sm_RADIO1TXBUFF_TXEN, xlUnsigned, 0);\n\n% sm_R"
3485      "ADIO2RXBUFF_RXEN_dout = xfix({xlUnsigned, 32, 0}, 0);\nsm_RADIO2RXBUFF_RXEN_dout = xl_force(sm_RADIO2RXBUFF_RXEN, x"
3486      "lUnsigned, 0);\n\n% sm_StartTx_dout = xfix({xlUnsigned, 32, 0}, 0);\nsm_StartTx_dout = xl_force(sm_StartTx, xlUnsig"
3487      "ned, 0);\n\n% sm_RADIO4RXBUFF_RXEN_dout = xfix({xlUnsigned, 32, 0}, 0);\nsm_RADIO4RXBUFF_RXEN_dout = xl_force(sm_RA"
3488      "DIO4RXBUFF_RXEN, xlUnsigned, 0);\n\n% sm_StartCapture_dout = xfix({xlUnsigned, 32, 0}, 0);\nsm_StartCapture_dout = "
3489      "xl_force(sm_StartCapture, xlUnsigned, 0);\n\n% sm_StartTxRx_dout = xfix({xlUnsigned, 32, 0}, 0);\nsm_StartTxRx_dout"
3490      " = xl_force(sm_StartTxRx, xlUnsigned, 0);\n\n% sm_DCO_EN_SEL_dout = xfix({xlUnsigned, 32, 0}, 0);\nsm_DCO_EN_SEL_do"
3491      "ut = xl_force(sm_DCO_EN_SEL, xlUnsigned, 0);\n\n% sm_MGC_AGC_SEL_dout = xfix({xlUnsigned, 32, 0}, 0);\nsm_MGC_AGC_S"
3492      "EL_dout = xl_force(sm_MGC_AGC_SEL, xlUnsigned, 0);\n\n% sm_RADIO4TXBUFF_TXEN_dout = xfix({xlUnsigned, 32, 0}, 0);\n"
3493      "sm_RADIO4TXBUFF_TXEN_dout = xl_force(sm_RADIO4TXBUFF_TXEN, xlUnsigned, 0);\n\n% sm_RADIO3RXBUFF_RXEN_dout = xfix({x"
3494      "lUnsigned, 32, 0}, 0);\nsm_RADIO3RXBUFF_RXEN_dout = xl_force(sm_RADIO3RXBUFF_RXEN, xlUnsigned, 0);\n\n% sm_RADIO3TX"
3495      "BUFF_TXEN_dout = xfix({xlUnsigned, 32, 0}, 0);\nsm_RADIO3TXBUFF_TXEN_dout = xl_force(sm_RADIO3TXBUFF_TXEN, xlUnsign"
3496      "ed, 0);\n\n% sm_RADIO1RXBUFF_RXEN_dout = xfix({xlUnsigned, 32, 0}, 0);\nsm_RADIO1RXBUFF_RXEN_dout = xl_force(sm_RAD"
3497      "IO1RXBUFF_RXEN, xlUnsigned, 0);\n\n\n% 'From FIFO' blocks\n% 'To FIFO' blocks\n% 'Shared Memory' blocks\n% TxBuff_R"
3498      "adio4_bus = xfix({xlUnsigned, 32, 0}, 0);\nsm_TxBuff_Radio4_bus = xl_force(sm_TxBuff_Radio4, xlUnsigned, 0);\n\n% R"
3499      "xBuff_Radio4_bus = xfix({xlUnsigned, 32, 0}, 0);\nsm_RxBuff_Radio4_bus = xl_force(sm_RxBuff_Radio4, xlUnsigned, 0);"
3500      "\n\n% RSSIBuff_Radio4_bus = xfix({xlUnsigned, 32, 0}, 0);\nsm_RSSIBuff_Radio4_bus = xl_force(sm_RSSIBuff_Radio4, xl"
3501      "Unsigned, 0);\n\n% TxBuff_Radio3_bus = xfix({xlUnsigned, 32, 0}, 0);\nsm_TxBuff_Radio3_bus = xl_force(sm_TxBuff_Rad"
3502      "io3, xlUnsigned, 0);\n\n% RxBuff_Radio3_bus = xfix({xlUnsigned, 32, 0}, 0);\nsm_RxBuff_Radio3_bus = xl_force(sm_RxB"
3503      "uff_Radio3, xlUnsigned, 0);\n\n% RSSIBuff_Radio3_bus = xfix({xlUnsigned, 32, 0}, 0);\nsm_RSSIBuff_Radio3_bus = xl_f"
3504      "orce(sm_RSSIBuff_Radio3, xlUnsigned, 0);\n\n% TxBuff_Radio2_bus = xfix({xlUnsigned, 32, 0}, 0);\nsm_TxBuff_Radio2_b"
3505      "us = xl_force(sm_TxBuff_Radio2, xlUnsigned, 0);\n\n% RxBuff_Radio2_bus = xfix({xlUnsigned, 32, 0}, 0);\nsm_RxBuff_R"
3506      "adio2_bus = xl_force(sm_RxBuff_Radio2, xlUnsigned, 0);\n\n% RSSIBuff_Radio2_bus = xfix({xlUnsigned, 32, 0}, 0);\nsm"
3507      "_RSSIBuff_Radio2_bus = xl_force(sm_RSSIBuff_Radio2, xlUnsigned, 0);\n\n% TxBuff_Radio1_bus = xfix({xlUnsigned, 32, "
3508      "0}, 0);\nsm_TxBuff_Radio1_bus = xl_force(sm_TxBuff_Radio1, xlUnsigned, 0);\n\n% RxBuff_Radio1_bus = xfix({xlUnsigne"
3509      "d, 32, 0}, 0);\nsm_RxBuff_Radio1_bus = xl_force(sm_RxBuff_Radio1, xlUnsigned, 0);\n\n% RSSIBuff_Radio1_bus = xfix({"
3510      "xlUnsigned, 32, 0}, 0);\nsm_RSSIBuff_Radio1_bus = xl_force(sm_RSSIBuff_Radio1, xlUnsigned, 0);\n\n\n% 'dout' ports "
3511      "of 'From Register' blocks\n\n% registered register mux output\npersistent reg_bank_out_reg; reg_bank_out_reg = xl_s"
3512      "tate(0, {xlUnsigned, 32, 0});\nreg_bank_out = reg_bank_out_reg;\n\nif linearAddr == 17\n    reg_bank_out_reg = sm_C"
3513      "aptureDone_bus;\nelseif linearAddr == 18\n    reg_bank_out_reg = sm_Radio4AGCDoneRSSI_bus;\nelseif linearAddr == 19"
3514      "\n    reg_bank_out_reg = sm_Radio3AGCDoneRSSI_bus;\nelseif linearAddr == 20\n    reg_bank_out_reg = sm_Radio2AGCDon"
3515      "eRSSI_bus;\nelseif linearAddr == 21\n    reg_bank_out_reg = sm_Radio1AGCDoneRSSI_bus;\nelseif linearAddr == 22\n   "
3516      " reg_bank_out_reg = sm_AGCDoneAddr_bus;\nelseif linearAddr == 0\n    reg_bank_out_reg = sm_TxLength_dout;\nelseif l"
3517      "inearAddr == 1\n    reg_bank_out_reg = sm_TxDelay_dout;\nelseif linearAddr == 2\n    reg_bank_out_reg = sm_RADIO2TX"
3518      "BUFF_TXEN_dout;\nelseif linearAddr == 3\n    reg_bank_out_reg = sm_TransMode_dout;\nelseif linearAddr == 4\n    reg"
3519      "_bank_out_reg = sm_StopTx_dout;\nelseif linearAddr == 5\n    reg_bank_out_reg = sm_RADIO1TXBUFF_TXEN_dout;\nelseif "
3520      "linearAddr == 6\n    reg_bank_out_reg = sm_RADIO2RXBUFF_RXEN_dout;\nelseif linearAddr == 7\n    reg_bank_out_reg = "
3521      "sm_StartTx_dout;\nelseif linearAddr == 8\n    reg_bank_out_reg = sm_RADIO4RXBUFF_RXEN_dout;\nelseif linearAddr == 9"
3522      "\n    reg_bank_out_reg = sm_StartCapture_dout;\nelseif linearAddr == 10\n    reg_bank_out_reg = sm_StartTxRx_dout;\n"
3523      "elseif linearAddr == 11\n    reg_bank_out_reg = sm_DCO_EN_SEL_dout;\nelseif linearAddr == 12\n    reg_bank_out_reg "
3524      "= sm_MGC_AGC_SEL_dout;\nelseif linearAddr == 13\n    reg_bank_out_reg = sm_RADIO4TXBUFF_TXEN_dout;\nelseif linearAd"
3525      "dr == 14\n    reg_bank_out_reg = sm_RADIO3RXBUFF_RXEN_dout;\nelseif linearAddr == 15\n    reg_bank_out_reg = sm_RAD"
3526      "IO3TXBUFF_TXEN_dout;\nelseif linearAddr == 16\n    reg_bank_out_reg = sm_RADIO1RXBUFF_RXEN_dout;\n\nend\n\n\n% 'Fro"
3527      "m FIFO' and 'To FIFO' blocks\n\n\n\n\n\nopCode = xl_concat(addrAck, RNWReg, bankAddr, linearAddr);\n\n% 'Shared Mem"
3528      "ory' blocks\n\nsm_TxBuff_Radio4_sel_value = xl_concat(xl_slice(linearAddr, ...\n                                   "
3529      "     xl_nbits(linearAddr) - 1, ...\n                                        14) ...\n                              "
3530      "      );\nif sm_TxBuff_Radio4_sel_value == xfix({xlUnsigned, ...\n                                        xl_nbits("
3531      "linearAddr) - 14, ...\n                                        0}, ...\n                                        0);"
3532      "\n    sm_TxBuff_Radio4_sel = true;\nelse\n    sm_TxBuff_Radio4_sel = false;\nend\nsm_RxBuff_Radio4_sel_value = xl_c"
3533      "oncat(xl_slice(linearAddr, ...\n                                        xl_nbits(linearAddr) - 1, ...\n            "
3534      "                            14) ...\n                                    );\nif sm_RxBuff_Radio4_sel_value == xfix("
3535      "{xlUnsigned, ...\n                                        xl_nbits(linearAddr) - 14, ...\n                         "
3536      "               0}, ...\n                                        1);\n    sm_RxBuff_Radio4_sel = true;\nelse\n    sm"
3537      "_RxBuff_Radio4_sel = false;\nend\nsm_RSSIBuff_Radio4_sel_value = xl_concat(xl_slice(linearAddr, ...\n              "
3538      "                          xl_nbits(linearAddr) - 1, ...\n                                        11) ...\n         "
3539      "                           );\nif sm_RSSIBuff_Radio4_sel_value == xfix({xlUnsigned, ...\n                          "
3540      "              xl_nbits(linearAddr) - 11, ...\n                                        0}, ...\n                    "
3541      "                    64);\n    sm_RSSIBuff_Radio4_sel = true;\nelse\n    sm_RSSIBuff_Radio4_sel = false;\nend\nsm_Tx"
3542      "Buff_Radio3_sel_value = xl_concat(xl_slice(linearAddr, ...\n                                        xl_nbits(linear"
3543      "Addr) - 1, ...\n                                        14) ...\n                                    );\nif sm_TxBu"
3544      "ff_Radio3_sel_value == xfix({xlUnsigned, ...\n                                        xl_nbits(linearAddr) - 14, .."
3545      ".\n                                        0}, ...\n                                        2);\n    sm_TxBuff_Radi"
3546      "o3_sel = true;\nelse\n    sm_TxBuff_Radio3_sel = false;\nend\nsm_RxBuff_Radio3_sel_value = xl_concat(xl_slice(linea"
3547      "rAddr, ...\n                                        xl_nbits(linearAddr) - 1, ...\n                                "
3548      "        14) ...\n                                    );\nif sm_RxBuff_Radio3_sel_value == xfix({xlUnsigned, ...\n  "
3549      "                                      xl_nbits(linearAddr) - 14, ...\n                                        0}, ."
3550      "..\n                                        3);\n    sm_RxBuff_Radio3_sel = true;\nelse\n    sm_RxBuff_Radio3_sel ="
3551      " false;\nend\nsm_RSSIBuff_Radio3_sel_value = xl_concat(xl_slice(linearAddr, ...\n                                  "
3552      "      xl_nbits(linearAddr) - 1, ...\n                                        11) ...\n                             "
3553      "       );\nif sm_RSSIBuff_Radio3_sel_value == xfix({xlUnsigned, ...\n                                        xl_nbi"
3554      "ts(linearAddr) - 11, ...\n                                        0}, ...\n                                        "
3555      "65);\n    sm_RSSIBuff_Radio3_sel = true;\nelse\n    sm_RSSIBuff_Radio3_sel = false;\nend\nsm_TxBuff_Radio2_sel_valu"
3556      "e = xl_concat(xl_slice(linearAddr, ...\n                                        xl_nbits(linearAddr) - 1, ...\n    "
3557      "                                    14) ...\n                                    );\nif sm_TxBuff_Radio2_sel_value "
3558      "== xfix({xlUnsigned, ...\n                                        xl_nbits(linearAddr) - 14, ...\n                 "
3559      "                       0}, ...\n                                        4);\n    sm_TxBuff_Radio2_sel = true;\nelse"
3560      "\n    sm_TxBuff_Radio2_sel = false;\nend\nsm_RxBuff_Radio2_sel_value = xl_concat(xl_slice(linearAddr, ...\n        "
3561      "                                xl_nbits(linearAddr) - 1, ...\n                                        14) ...\n   "
3562      "                                 );\nif sm_RxBuff_Radio2_sel_value == xfix({xlUnsigned, ...\n                      "
3563      "                  xl_nbits(linearAddr) - 14, ...\n                                        0}, ...\n                "
3564      "                        5);\n    sm_RxBuff_Radio2_sel = true;\nelse\n    sm_RxBuff_Radio2_sel = false;\nend\nsm_RSS"
3565      "IBuff_Radio2_sel_value = xl_concat(xl_slice(linearAddr, ...\n                                        xl_nbits(linea"
3566      "rAddr) - 1, ...\n                                        11) ...\n                                    );\nif sm_RSS"
3567      "IBuff_Radio2_sel_value == xfix({xlUnsigned, ...\n                                        xl_nbits(linearAddr) - 11,"
3568      " ...\n                                        0}, ...\n                                        66);\n    sm_RSSIBuf"
3569      "f_Radio2_sel = true;\nelse\n    sm_RSSIBuff_Radio2_sel = false;\nend\nsm_TxBuff_Radio1_sel_value = xl_concat(xl_sli"
3570      "ce(linearAddr, ...\n                                        xl_nbits(linearAddr) - 1, ...\n                        "
3571      "                14) ...\n                                    );\nif sm_TxBuff_Radio1_sel_value == xfix({xlUnsigned,"
3572      " ...\n                                        xl_nbits(linearAddr) - 14, ...\n                                     "
3573      "   0}, ...\n                                        6);\n    sm_TxBuff_Radio1_sel = true;\nelse\n    sm_TxBuff_Radi"
3574      "o1_sel = false;\nend\nsm_RxBuff_Radio1_sel_value = xl_concat(xl_slice(linearAddr, ...\n                            "
3575      "            xl_nbits(linearAddr) - 1, ...\n                                        14) ...\n                       "
3576      "             );\nif sm_RxBuff_Radio1_sel_value == xfix({xlUnsigned, ...\n                                        xl"
3577      "_nbits(linearAddr) - 14, ...\n                                        0}, ...\n                                    "
3578      "    7);\n    sm_RxBuff_Radio1_sel = true;\nelse\n    sm_RxBuff_Radio1_sel = false;\nend\nsm_RSSIBuff_Radio1_sel_val"
3579      "ue = xl_concat(xl_slice(linearAddr, ...\n                                        xl_nbits(linearAddr) - 1, ...\n   "
3580      "                                     11) ...\n                                    );\nif sm_RSSIBuff_Radio1_sel_val"
3581      "ue == xfix({xlUnsigned, ...\n                                        xl_nbits(linearAddr) - 11, ...\n              "
3582      "                          0}, ...\n                                        67);\n    sm_RSSIBuff_Radio1_sel = true;"
3583      "\nelse\n    sm_RSSIBuff_Radio1_sel = false;\nend\n\n\n% registered Shared Memory mux output\npersistent ram_bank_ou"
3584      "t_reg; ram_bank_out_reg = xl_state(0, {xlUnsigned, 32, 0});\nram_bank_out = ram_bank_out_reg;\nif sm_TxBuff_Radio4_"
3585      "sel\n    ram_bank_out_reg = sm_TxBuff_Radio4_bus;\nelseif sm_RxBuff_Radio4_sel\n    ram_bank_out_reg = sm_RxBuff_Ra"
3586      "dio4_bus;\nelseif sm_RSSIBuff_Radio4_sel\n    ram_bank_out_reg = sm_RSSIBuff_Radio4_bus;\nelseif sm_TxBuff_Radio3_s"
3587      "el\n    ram_bank_out_reg = sm_TxBuff_Radio3_bus;\nelseif sm_RxBuff_Radio3_sel\n    ram_bank_out_reg = sm_RxBuff_Rad"
3588      "io3_bus;\nelseif sm_RSSIBuff_Radio3_sel\n    ram_bank_out_reg = sm_RSSIBuff_Radio3_bus;\nelseif sm_TxBuff_Radio2_se"
3589      "l\n    ram_bank_out_reg = sm_TxBuff_Radio2_bus;\nelseif sm_RxBuff_Radio2_sel\n    ram_bank_out_reg = sm_RxBuff_Radi"
3590      "o2_bus;\nelseif sm_RSSIBuff_Radio2_sel\n    ram_bank_out_reg = sm_RSSIBuff_Radio2_bus;\nelseif sm_TxBuff_Radio1_sel"
3591      "\n    ram_bank_out_reg = sm_TxBuff_Radio1_bus;\nelseif sm_RxBuff_Radio1_sel\n    ram_bank_out_reg = sm_RxBuff_Radio"
3592      "1_bus;\nelseif sm_RSSIBuff_Radio1_sel\n    ram_bank_out_reg = sm_RSSIBuff_Radio1_bus;\nend\n\n% 'din' ports of 'Sha"
3593      "red Memory' blocks\nsm_TxBuff_Radio4_din = xl_force(xl_slice(wrDBus, 32 - 1, 0), ...\n                             "
3594      "    xlUnsigned, ...\n                                 0);\nsm_RxBuff_Radio4_din = xl_force(xl_slice(wrDBus, 32 - 1,"
3595      " 0), ...\n                                 xlUnsigned, ...\n                                 0);\nsm_RSSIBuff_Radio"
3596      "4_din = xl_force(xl_slice(wrDBus, 32 - 1, 0), ...\n                                 xlUnsigned, ...\n              "
3597      "                   0);\nsm_TxBuff_Radio3_din = xl_force(xl_slice(wrDBus, 32 - 1, 0), ...\n                         "
3598      "        xlUnsigned, ...\n                                 0);\nsm_RxBuff_Radio3_din = xl_force(xl_slice(wrDBus, 32 "
3599      "- 1, 0), ...\n                                 xlUnsigned, ...\n                                 0);\nsm_RSSIBuff_R"
3600      "adio3_din = xl_force(xl_slice(wrDBus, 32 - 1, 0), ...\n                                 xlUnsigned, ...\n          "
3601      "                       0);\nsm_TxBuff_Radio2_din = xl_force(xl_slice(wrDBus, 32 - 1, 0), ...\n                     "
3602      "            xlUnsigned, ...\n                                 0);\nsm_RxBuff_Radio2_din = xl_force(xl_slice(wrDBus,"
3603      " 32 - 1, 0), ...\n                                 xlUnsigned, ...\n                                 0);\nsm_RSSIBu"
3604      "ff_Radio2_din = xl_force(xl_slice(wrDBus, 32 - 1, 0), ...\n                                 xlUnsigned, ...\n      "
3605      "                           0);\nsm_TxBuff_Radio1_din = xl_force(xl_slice(wrDBus, 32 - 1, 0), ...\n                 "
3606      "                xlUnsigned, ...\n                                 0);\nsm_RxBuff_Radio1_din = xl_force(xl_slice(wrD"
3607      "Bus, 32 - 1, 0), ...\n                                 xlUnsigned, ...\n                                 0);\nsm_RS"
3608      "SIBuff_Radio1_din = xl_force(xl_slice(wrDBus, 32 - 1, 0), ...\n                                 xlUnsigned, ...\n  "
3609      "                               0);\n\n\n% 'we' ports of 'Shared Memory' blocks\npersistent sm_TxBuff_Radio4_we_reg;"
3610      " sm_TxBuff_Radio4_we_reg = xl_state(false, {xlBoolean});\nsm_TxBuff_Radio4_we = sm_TxBuff_Radio4_we_reg;\nopCode_sm"
3611      "_TxBuff_Radio4 = xl_concat(addrAck, ...\n                                     RNWReg, ...\n                        "
3612      "             bankAddr, ...\n                                     xl_slice(linearAddr, ...\n                        "
3613      "                      xl_nbits(linearAddr) - 1, ...\n                                              14) ...\n       "
3614      "                             );\nif opCode_sm_TxBuff_Radio4 == xl_concat(xfix({xlUnsigned, 4, 0}, 8), ...\n        "
3615      "                                 xfix({xlUnsigned, ...\n                                               xl_nbits(lin"
3616      "earAddr) - 14, ...\n                                               0}, ...\n                                       "
3617      "        0) ...\n                                        );\n    sm_TxBuff_Radio4_we_reg = true;\nelse\n    sm_TxBuf"
3618      "f_Radio4_we_reg = false;\nend\npersistent sm_RxBuff_Radio4_we_reg; sm_RxBuff_Radio4_we_reg = xl_state(false, {xlBoo"
3619      "lean});\nsm_RxBuff_Radio4_we = sm_RxBuff_Radio4_we_reg;\nopCode_sm_RxBuff_Radio4 = xl_concat(addrAck, ...\n        "
3620      "                             RNWReg, ...\n                                     bankAddr, ...\n                     "
3621      "                xl_slice(linearAddr, ...\n                                              xl_nbits(linearAddr) - 1, ."
3622      "..\n                                              14) ...\n                                    );\nif opCode_sm_RxB"
3623      "uff_Radio4 == xl_concat(xfix({xlUnsigned, 4, 0}, 8), ...\n                                         xfix({xlUnsigned"
3624      ", ...\n                                               xl_nbits(linearAddr) - 14, ...\n                             "
3625      "                  0}, ...\n                                               1) ...\n                                 "
3626      "       );\n    sm_RxBuff_Radio4_we_reg = true;\nelse\n    sm_RxBuff_Radio4_we_reg = false;\nend\npersistent sm_RSSI"
3627      "Buff_Radio4_we_reg; sm_RSSIBuff_Radio4_we_reg = xl_state(false, {xlBoolean});\nsm_RSSIBuff_Radio4_we = sm_RSSIBuff_"
3628      "Radio4_we_reg;\nopCode_sm_RSSIBuff_Radio4 = xl_concat(addrAck, ...\n                                     RNWReg, .."
3629      ".\n                                     bankAddr, ...\n                                     xl_slice(linearAddr, .."
3630      ".\n                                              xl_nbits(linearAddr) - 1, ...\n                                   "
3631      "           11) ...\n                                    );\nif opCode_sm_RSSIBuff_Radio4 == xl_concat(xfix({xlUnsig"
3632      "ned, 4, 0}, 8), ...\n                                         xfix({xlUnsigned, ...\n                              "
3633      "                 xl_nbits(linearAddr) - 11, ...\n                                               0}, ...\n          "
3634      "                                     64) ...\n                                        );\n    sm_RSSIBuff_Radio4_we"
3635      "_reg = true;\nelse\n    sm_RSSIBuff_Radio4_we_reg = false;\nend\npersistent sm_TxBuff_Radio3_we_reg; sm_TxBuff_Radi"
3636      "o3_we_reg = xl_state(false, {xlBoolean});\nsm_TxBuff_Radio3_we = sm_TxBuff_Radio3_we_reg;\nopCode_sm_TxBuff_Radio3 "
3637      "= xl_concat(addrAck, ...\n                                     RNWReg, ...\n                                     ba"
3638      "nkAddr, ...\n                                     xl_slice(linearAddr, ...\n                                       "
3639      "       xl_nbits(linearAddr) - 1, ...\n                                              14) ...\n                      "
3640      "              );\nif opCode_sm_TxBuff_Radio3 == xl_concat(xfix({xlUnsigned, 4, 0}, 8), ...\n                       "
3641      "                  xfix({xlUnsigned, ...\n                                               xl_nbits(linearAddr) - 14, "
3642      "...\n                                               0}, ...\n                                               2) ...\n"
3643      "                                        );\n    sm_TxBuff_Radio3_we_reg = true;\nelse\n    sm_TxBuff_Radio3_we_reg "
3644      "= false;\nend\npersistent sm_RxBuff_Radio3_we_reg; sm_RxBuff_Radio3_we_reg = xl_state(false, {xlBoolean});\nsm_RxBu"
3645      "ff_Radio3_we = sm_RxBuff_Radio3_we_reg;\nopCode_sm_RxBuff_Radio3 = xl_concat(addrAck, ...\n                        "
3646      "             RNWReg, ...\n                                     bankAddr, ...\n                                     "
3647      "xl_slice(linearAddr, ...\n                                              xl_nbits(linearAddr) - 1, ...\n            "
3648      "                                  14) ...\n                                    );\nif opCode_sm_RxBuff_Radio3 == xl"
3649      "_concat(xfix({xlUnsigned, 4, 0}, 8), ...\n                                         xfix({xlUnsigned, ...\n         "
3650      "                                      xl_nbits(linearAddr) - 14, ...\n                                             "
3651      "  0}, ...\n                                               3) ...\n                                        );\n    s"
3652      "m_RxBuff_Radio3_we_reg = true;\nelse\n    sm_RxBuff_Radio3_we_reg = false;\nend\npersistent sm_RSSIBuff_Radio3_we_r"
3653      "eg; sm_RSSIBuff_Radio3_we_reg = xl_state(false, {xlBoolean});\nsm_RSSIBuff_Radio3_we = sm_RSSIBuff_Radio3_we_reg;\n"
3654      "opCode_sm_RSSIBuff_Radio3 = xl_concat(addrAck, ...\n                                     RNWReg, ...\n             "
3655      "                        bankAddr, ...\n                                     xl_slice(linearAddr, ...\n             "
3656      "                                 xl_nbits(linearAddr) - 1, ...\n                                              11) ."
3657      "..\n                                    );\nif opCode_sm_RSSIBuff_Radio3 == xl_concat(xfix({xlUnsigned, 4, 0}, 8), "
3658      "...\n                                         xfix({xlUnsigned, ...\n                                              "
3659      " xl_nbits(linearAddr) - 11, ...\n                                               0}, ...\n                          "
3660      "                     65) ...\n                                        );\n    sm_RSSIBuff_Radio3_we_reg = true;\nel"
3661      "se\n    sm_RSSIBuff_Radio3_we_reg = false;\nend\npersistent sm_TxBuff_Radio2_we_reg; sm_TxBuff_Radio2_we_reg = xl_s"
3662      "tate(false, {xlBoolean});\nsm_TxBuff_Radio2_we = sm_TxBuff_Radio2_we_reg;\nopCode_sm_TxBuff_Radio2 = xl_concat(addr"
3663      "Ack, ...\n                                     RNWReg, ...\n                                     bankAddr, ...\n   "
3664      "                                  xl_slice(linearAddr, ...\n                                              xl_nbits("
3665      "linearAddr) - 1, ...\n                                              14) ...\n                                    );"
3666      "\nif opCode_sm_TxBuff_Radio2 == xl_concat(xfix({xlUnsigned, 4, 0}, 8), ...\n                                       "
3667      "  xfix({xlUnsigned, ...\n                                               xl_nbits(linearAddr) - 14, ...\n           "
3668      "                                    0}, ...\n                                               4) ...\n               "
3669      "                         );\n    sm_TxBuff_Radio2_we_reg = true;\nelse\n    sm_TxBuff_Radio2_we_reg = false;\nend\n"
3670      "persistent sm_RxBuff_Radio2_we_reg; sm_RxBuff_Radio2_we_reg = xl_state(false, {xlBoolean});\nsm_RxBuff_Radio2_we = "
3671      "sm_RxBuff_Radio2_we_reg;\nopCode_sm_RxBuff_Radio2 = xl_concat(addrAck, ...\n                                     RN"
3672      "WReg, ...\n                                     bankAddr, ...\n                                     xl_slice(linear"
3673      "Addr, ...\n                                              xl_nbits(linearAddr) - 1, ...\n                           "
3674      "                   14) ...\n                                    );\nif opCode_sm_RxBuff_Radio2 == xl_concat(xfix({x"
3675      "lUnsigned, 4, 0}, 8), ...\n                                         xfix({xlUnsigned, ...\n                        "
3676      "                       xl_nbits(linearAddr) - 14, ...\n                                               0}, ...\n    "
3677      "                                           5) ...\n                                        );\n    sm_RxBuff_Radio2"
3678      "_we_reg = true;\nelse\n    sm_RxBuff_Radio2_we_reg = false;\nend\npersistent sm_RSSIBuff_Radio2_we_reg; sm_RSSIBuff"
3679      "_Radio2_we_reg = xl_state(false, {xlBoolean});\nsm_RSSIBuff_Radio2_we = sm_RSSIBuff_Radio2_we_reg;\nopCode_sm_RSSIB"
3680      "uff_Radio2 = xl_concat(addrAck, ...\n                                     RNWReg, ...\n                            "
3681      "         bankAddr, ...\n                                     xl_slice(linearAddr, ...\n                            "
3682      "                  xl_nbits(linearAddr) - 1, ...\n                                              11) ...\n           "
3683      "                         );\nif opCode_sm_RSSIBuff_Radio2 == xl_concat(xfix({xlUnsigned, 4, 0}, 8), ...\n          "
3684      "                               xfix({xlUnsigned, ...\n                                               xl_nbits(linea"
3685      "rAddr) - 11, ...\n                                               0}, ...\n                                         "
3686      "      66) ...\n                                        );\n    sm_RSSIBuff_Radio2_we_reg = true;\nelse\n    sm_RSSI"
3687      "Buff_Radio2_we_reg = false;\nend\npersistent sm_TxBuff_Radio1_we_reg; sm_TxBuff_Radio1_we_reg = xl_state(false, {xl"
3688      "Boolean});\nsm_TxBuff_Radio1_we = sm_TxBuff_Radio1_we_reg;\nopCode_sm_TxBuff_Radio1 = xl_concat(addrAck, ...\n     "
3689      "                                RNWReg, ...\n                                     bankAddr, ...\n                  "
3690      "                   xl_slice(linearAddr, ...\n                                              xl_nbits(linearAddr) - 1"
3691      ", ...\n                                              14) ...\n                                    );\nif opCode_sm_"
3692      "TxBuff_Radio1 == xl_concat(xfix({xlUnsigned, 4, 0}, 8), ...\n                                         xfix({xlUnsig"
3693      "ned, ...\n                                               xl_nbits(linearAddr) - 14, ...\n                          "
3694      "                     0}, ...\n                                               6) ...\n                              "
3695      "          );\n    sm_TxBuff_Radio1_we_reg = true;\nelse\n    sm_TxBuff_Radio1_we_reg = false;\nend\npersistent sm_R"
3696      "xBuff_Radio1_we_reg; sm_RxBuff_Radio1_we_reg = xl_state(false, {xlBoolean});\nsm_RxBuff_Radio1_we = sm_RxBuff_Radio"
3697      "1_we_reg;\nopCode_sm_RxBuff_Radio1 = xl_concat(addrAck, ...\n                                     RNWReg, ...\n    "
3698      "                                 bankAddr, ...\n                                     xl_slice(linearAddr, ...\n    "
3699      "                                          xl_nbits(linearAddr) - 1, ...\n                                          "
3700      "    14) ...\n                                    );\nif opCode_sm_RxBuff_Radio1 == xl_concat(xfix({xlUnsigned, 4, 0"
3701      "}, 8), ...\n                                         xfix({xlUnsigned, ...\n                                       "
3702      "        xl_nbits(linearAddr) - 14, ...\n                                               0}, ...\n                   "
3703      "                            7) ...\n                                        );\n    sm_RxBuff_Radio1_we_reg = true;"
3704      "\nelse\n    sm_RxBuff_Radio1_we_reg = false;\nend\npersistent sm_RSSIBuff_Radio1_we_reg; sm_RSSIBuff_Radio1_we_reg "
3705      "= xl_state(false, {xlBoolean});\nsm_RSSIBuff_Radio1_we = sm_RSSIBuff_Radio1_we_reg;\nopCode_sm_RSSIBuff_Radio1 = xl"
3706      "_concat(addrAck, ...\n                                     RNWReg, ...\n                                     bankAd"
3707      "dr, ...\n                                     xl_slice(linearAddr, ...\n                                           "
3708      "   xl_nbits(linearAddr) - 1, ...\n                                              11) ...\n                          "
3709      "          );\nif opCode_sm_RSSIBuff_Radio1 == xl_concat(xfix({xlUnsigned, 4, 0}, 8), ...\n                         "
3710      "                xfix({xlUnsigned, ...\n                                               xl_nbits(linearAddr) - 11, .."
3711      ".\n                                               0}, ...\n                                               67) ...\n"
3712      "                                        );\n    sm_RSSIBuff_Radio1_we_reg = true;\nelse\n    sm_RSSIBuff_Radio1_we_"
3713      "reg = false;\nend\n\n\n% 'addr' ports of 'Shared Memory' blocks\npersistent sm_TxBuff_Radio4_addr_reg; \nsm_TxBuff_"
3714      "Radio4_addr_reg = xl_state(0, {xlUnsigned, 14, 0});\nsm_TxBuff_Radio4_addr = sm_TxBuff_Radio4_addr_reg;\nif addrAck"
3715      " == 1\n    sm_TxBuff_Radio4_addr_reg = xl_slice(linearAddr, 14, 0);\nelse\n    sm_TxBuff_Radio4_addr_reg = sm_TxBuf"
3716      "f_Radio4_addr_reg;\nend\npersistent sm_RxBuff_Radio4_addr_reg; \nsm_RxBuff_Radio4_addr_reg = xl_state(0, {xlUnsigne"
3717      "d, 14, 0});\nsm_RxBuff_Radio4_addr = sm_RxBuff_Radio4_addr_reg;\nif addrAck == 1\n    sm_RxBuff_Radio4_addr_reg = x"
3718      "l_slice(linearAddr, 14, 0);\nelse\n    sm_RxBuff_Radio4_addr_reg = sm_RxBuff_Radio4_addr_reg;\nend\npersistent sm_R"
3719      "SSIBuff_Radio4_addr_reg; \nsm_RSSIBuff_Radio4_addr_reg = xl_state(0, {xlUnsigned, 11, 0});\nsm_RSSIBuff_Radio4_addr"
3720      " = sm_RSSIBuff_Radio4_addr_reg;\nif addrAck == 1\n    sm_RSSIBuff_Radio4_addr_reg = xl_slice(linearAddr, 11, 0);\ne"
3721      "lse\n    sm_RSSIBuff_Radio4_addr_reg = sm_RSSIBuff_Radio4_addr_reg;\nend\npersistent sm_TxBuff_Radio3_addr_reg; \ns"
3722      "m_TxBuff_Radio3_addr_reg = xl_state(0, {xlUnsigned, 14, 0});\nsm_TxBuff_Radio3_addr = sm_TxBuff_Radio3_addr_reg;\ni"
3723      "f addrAck == 1\n    sm_TxBuff_Radio3_addr_reg = xl_slice(linearAddr, 14, 0);\nelse\n    sm_TxBuff_Radio3_addr_reg ="
3724      " sm_TxBuff_Radio3_addr_reg;\nend\npersistent sm_RxBuff_Radio3_addr_reg; \nsm_RxBuff_Radio3_addr_reg = xl_state(0, {"
3725      "xlUnsigned, 14, 0});\nsm_RxBuff_Radio3_addr = sm_RxBuff_Radio3_addr_reg;\nif addrAck == 1\n    sm_RxBuff_Radio3_add"
3726      "r_reg = xl_slice(linearAddr, 14, 0);\nelse\n    sm_RxBuff_Radio3_addr_reg = sm_RxBuff_Radio3_addr_reg;\nend\npersis"
3727      "tent sm_RSSIBuff_Radio3_addr_reg; \nsm_RSSIBuff_Radio3_addr_reg = xl_state(0, {xlUnsigned, 11, 0});\nsm_RSSIBuff_Ra"
3728      "dio3_addr = sm_RSSIBuff_Radio3_addr_reg;\nif addrAck == 1\n    sm_RSSIBuff_Radio3_addr_reg = xl_slice(linearAddr, 1"
3729      "1, 0);\nelse\n    sm_RSSIBuff_Radio3_addr_reg = sm_RSSIBuff_Radio3_addr_reg;\nend\npersistent sm_TxBuff_Radio2_addr"
3730      "_reg; \nsm_TxBuff_Radio2_addr_reg = xl_state(0, {xlUnsigned, 14, 0});\nsm_TxBuff_Radio2_addr = sm_TxBuff_Radio2_add"
3731      "r_reg;\nif addrAck == 1\n    sm_TxBuff_Radio2_addr_reg = xl_slice(linearAddr, 14, 0);\nelse\n    sm_TxBuff_Radio2_a"
3732      "ddr_reg = sm_TxBuff_Radio2_addr_reg;\nend\npersistent sm_RxBuff_Radio2_addr_reg; \nsm_RxBuff_Radio2_addr_reg = xl_s"
3733      "tate(0, {xlUnsigned, 14, 0});\nsm_RxBuff_Radio2_addr = sm_RxBuff_Radio2_addr_reg;\nif addrAck == 1\n    sm_RxBuff_R"
3734      "adio2_addr_reg = xl_slice(linearAddr, 14, 0);\nelse\n    sm_RxBuff_Radio2_addr_reg = sm_RxBuff_Radio2_addr_reg;\nen"
3735      "d\npersistent sm_RSSIBuff_Radio2_addr_reg; \nsm_RSSIBuff_Radio2_addr_reg = xl_state(0, {xlUnsigned, 11, 0});\nsm_RS"
3736      "SIBuff_Radio2_addr = sm_RSSIBuff_Radio2_addr_reg;\nif addrAck == 1\n    sm_RSSIBuff_Radio2_addr_reg = xl_slice(line"
3737      "arAddr, 11, 0);\nelse\n    sm_RSSIBuff_Radio2_addr_reg = sm_RSSIBuff_Radio2_addr_reg;\nend\npersistent sm_TxBuff_Ra"
3738      "dio1_addr_reg; \nsm_TxBuff_Radio1_addr_reg = xl_state(0, {xlUnsigned, 14, 0});\nsm_TxBuff_Radio1_addr = sm_TxBuff_R"
3739      "adio1_addr_reg;\nif addrAck == 1\n    sm_TxBuff_Radio1_addr_reg = xl_slice(linearAddr, 14, 0);\nelse\n    sm_TxBuff"
3740      "_Radio1_addr_reg = sm_TxBuff_Radio1_addr_reg;\nend\npersistent sm_RxBuff_Radio1_addr_reg; \nsm_RxBuff_Radio1_addr_r"
3741      "eg = xl_state(0, {xlUnsigned, 14, 0});\nsm_RxBuff_Radio1_addr = sm_RxBuff_Radio1_addr_reg;\nif addrAck == 1\n    sm"
3742      "_RxBuff_Radio1_addr_reg = xl_slice(linearAddr, 14, 0);\nelse\n    sm_RxBuff_Radio1_addr_reg = sm_RxBuff_Radio1_addr"
3743      "_reg;\nend\npersistent sm_RSSIBuff_Radio1_addr_reg; \nsm_RSSIBuff_Radio1_addr_reg = xl_state(0, {xlUnsigned, 11, 0}"
3744      ");\nsm_RSSIBuff_Radio1_addr = sm_RSSIBuff_Radio1_addr_reg;\nif addrAck == 1\n    sm_RSSIBuff_Radio1_addr_reg = xl_s"
3745      "lice(linearAddr, 11, 0);\nelse\n    sm_RSSIBuff_Radio1_addr_reg = sm_RSSIBuff_Radio1_addr_reg;\nend\n\n\n% 're' por"
3746      "ts of 'From FIFO' blocks\n\n\n% 'en' ports of 'To Register' blocks\nif opCode == xl_concat(xfix({xlUnsigned, 4, 0},"
3747      " 10), ...\n                       xfix({xlUnsigned, xl_nbits(linearAddr), 0}, 0))\n    sm_TxLength_en = true;\nelse"
3748      "\n    sm_TxLength_en = false;\nend\nif opCode == xl_concat(xfix({xlUnsigned, 4, 0}, 10), ...\n                     "
3749      "  xfix({xlUnsigned, xl_nbits(linearAddr), 0}, 1))\n    sm_TxDelay_en = true;\nelse\n    sm_TxDelay_en = false;\nend"
3750      "\nif opCode == xl_concat(xfix({xlUnsigned, 4, 0}, 10), ...\n                       xfix({xlUnsigned, xl_nbits(linea"
3751      "rAddr), 0}, 2))\n    sm_RADIO2TXBUFF_TXEN_en = true;\nelse\n    sm_RADIO2TXBUFF_TXEN_en = false;\nend\nif opCode =="
3752      " xl_concat(xfix({xlUnsigned, 4, 0}, 10), ...\n                       xfix({xlUnsigned, xl_nbits(linearAddr), 0}, 3)"
3753      ")\n    sm_TransMode_en = true;\nelse\n    sm_TransMode_en = false;\nend\nif opCode == xl_concat(xfix({xlUnsigned, 4"
3754      ", 0}, 10), ...\n                       xfix({xlUnsigned, xl_nbits(linearAddr), 0}, 4))\n    sm_StopTx_en = true;\ne"
3755      "lse\n    sm_StopTx_en = false;\nend\nif opCode == xl_concat(xfix({xlUnsigned, 4, 0}, 10), ...\n                    "
3756      "   xfix({xlUnsigned, xl_nbits(linearAddr), 0}, 5))\n    sm_RADIO1TXBUFF_TXEN_en = true;\nelse\n    sm_RADIO1TXBUFF_"
3757      "TXEN_en = false;\nend\nif opCode == xl_concat(xfix({xlUnsigned, 4, 0}, 10), ...\n                       xfix({xlUns"
3758      "igned, xl_nbits(linearAddr), 0}, 6))\n    sm_RADIO2RXBUFF_RXEN_en = true;\nelse\n    sm_RADIO2RXBUFF_RXEN_en = fals"
3759      "e;\nend\nif opCode == xl_concat(xfix({xlUnsigned, 4, 0}, 10), ...\n                       xfix({xlUnsigned, xl_nbit"
3760      "s(linearAddr), 0}, 7))\n    sm_StartTx_en = true;\nelse\n    sm_StartTx_en = false;\nend\nif opCode == xl_concat(xf"
3761      "ix({xlUnsigned, 4, 0}, 10), ...\n                       xfix({xlUnsigned, xl_nbits(linearAddr), 0}, 8))\n    sm_RAD"
3762      "IO4RXBUFF_RXEN_en = true;\nelse\n    sm_RADIO4RXBUFF_RXEN_en = false;\nend\nif opCode == xl_concat(xfix({xlUnsigned"
3763      ", 4, 0}, 10), ...\n                       xfix({xlUnsigned, xl_nbits(linearAddr), 0}, 9))\n    sm_StartCapture_en ="
3764      " true;\nelse\n    sm_StartCapture_en = false;\nend\nif opCode == xl_concat(xfix({xlUnsigned, 4, 0}, 10), ...\n     "
3765      "                  xfix({xlUnsigned, xl_nbits(linearAddr), 0}, 10))\n    sm_StartTxRx_en = true;\nelse\n    sm_Start"
3766      "TxRx_en = false;\nend\nif opCode == xl_concat(xfix({xlUnsigned, 4, 0}, 10), ...\n                       xfix({xlUns"
3767      "igned, xl_nbits(linearAddr), 0}, 11))\n    sm_DCO_EN_SEL_en = true;\nelse\n    sm_DCO_EN_SEL_en = false;\nend\nif o"
3768      "pCode == xl_concat(xfix({xlUnsigned, 4, 0}, 10), ...\n                       xfix({xlUnsigned, xl_nbits(linearAddr)"
3769      ", 0}, 12))\n    sm_MGC_AGC_SEL_en = true;\nelse\n    sm_MGC_AGC_SEL_en = false;\nend\nif opCode == xl_concat(xfix({"
3770      "xlUnsigned, 4, 0}, 10), ...\n                       xfix({xlUnsigned, xl_nbits(linearAddr), 0}, 13))\n    sm_RADIO4"
3771      "TXBUFF_TXEN_en = true;\nelse\n    sm_RADIO4TXBUFF_TXEN_en = false;\nend\nif opCode == xl_concat(xfix({xlUnsigned, 4"
3772      ", 0}, 10), ...\n                       xfix({xlUnsigned, xl_nbits(linearAddr), 0}, 14))\n    sm_RADIO3RXBUFF_RXEN_e"
3773      "n = true;\nelse\n    sm_RADIO3RXBUFF_RXEN_en = false;\nend\nif opCode == xl_concat(xfix({xlUnsigned, 4, 0}, 10), .."
3774      ".\n                       xfix({xlUnsigned, xl_nbits(linearAddr), 0}, 15))\n    sm_RADIO3TXBUFF_TXEN_en = true;\nel"
3775      "se\n    sm_RADIO3TXBUFF_TXEN_en = false;\nend\nif opCode == xl_concat(xfix({xlUnsigned, 4, 0}, 10), ...\n          "
3776      "             xfix({xlUnsigned, xl_nbits(linearAddr), 0}, 16))\n    sm_RADIO1RXBUFF_RXEN_en = true;\nelse\n    sm_RA"
3777      "DIO1RXBUFF_RXEN_en = false;\nend\n\n\n% 'din' ports of 'To FIFO' blocks\n\n\n% 'we' ports of 'To FIFO' blocks\n\n\n"
3778      "% 'din' ports of 'To Register' blocks\nsm_TxLength_din = xl_force(xl_slice(wrDBus, 14 - 1, 0), ...\n               "
3779      "                  xlUnsigned, ...\n                                 0);\nsm_TxDelay_din = xl_force(xl_slice(wrDBus,"
3780      " 14 - 1, 0), ...\n                                 xlUnsigned, ...\n                                 0);\nsm_RADIO2"
3781      "TXBUFF_TXEN_din = xl_force(xl_slice(wrDBus, 1 - 1, 0), ...\n                                 xlUnsigned, ...\n     "
3782      "                            0);\nsm_TransMode_din = xl_force(xl_slice(wrDBus, 1 - 1, 0), ...\n                     "
3783      "            xlUnsigned, ...\n                                 0);\nsm_StopTx_din = xl_force(xl_slice(wrDBus, 1 - 1,"
3784      " 0), ...\n                                 xlUnsigned, ...\n                                 0);\nsm_RADIO1TXBUFF_T"
3785      "XEN_din = xl_force(xl_slice(wrDBus, 1 - 1, 0), ...\n                                 xlUnsigned, ...\n             "
3786      "                    0);\nsm_RADIO2RXBUFF_RXEN_din = xl_force(xl_slice(wrDBus, 1 - 1, 0), ...\n                     "
3787      "            xlUnsigned, ...\n                                 0);\nsm_StartTx_din = xl_force(xl_slice(wrDBus, 1 - 1"
3788      ", 0), ...\n                                 xlUnsigned, ...\n                                 0);\nsm_RADIO4RXBUFF_"
3789      "RXEN_din = xl_force(xl_slice(wrDBus, 1 - 1, 0), ...\n                                 xlUnsigned, ...\n            "
3790      "                     0);\nsm_StartCapture_din = xl_force(xl_slice(wrDBus, 1 - 1, 0), ...\n                         "
3791      "        xlUnsigned, ...\n                                 0);\nsm_StartTxRx_din = xl_force(xl_slice(wrDBus, 1 - 1, "
3792      "0), ...\n                                 xlUnsigned, ...\n                                 0);\nsm_DCO_EN_SEL_din "
3793      "= xl_force(xl_slice(wrDBus, 1 - 1, 0), ...\n                                 xlUnsigned, ...\n                     "
3794      "            0);\nsm_MGC_AGC_SEL_din = xl_force(xl_slice(wrDBus, 1 - 1, 0), ...\n                                 xl"
3795      "Unsigned, ...\n                                 0);\nsm_RADIO4TXBUFF_TXEN_din = xl_force(xl_slice(wrDBus, 1 - 1, 0)"
3796      ", ...\n                                 xlUnsigned, ...\n                                 0);\nsm_RADIO3RXBUFF_RXEN"
3797      "_din = xl_force(xl_slice(wrDBus, 1 - 1, 0), ...\n                                 xlUnsigned, ...\n                "
3798      "                 0);\nsm_RADIO3TXBUFF_TXEN_din = xl_force(xl_slice(wrDBus, 1 - 1, 0), ...\n                        "
3799      "         xlUnsigned, ...\n                                 0);\nsm_RADIO1RXBUFF_RXEN_din = xl_force(xl_slice(wrDBus"
3800      ", 1 - 1, 0), ...\n                                 xlUnsigned, ...\n                                 0);\n\n\npersi"
3801      "stent read_bank_out_reg; read_bank_out_reg = xl_state(0, {xlUnsigned, 32, 0});\nread_bank_out = read_bank_out_reg;\n"
3802      "\npersistent bankAddr_reg; bankAddr_reg = xl_state(0, bankAddr);\n\nif bankAddr_reg == 0\n    % Bank 0: Shared Memo"
3803      "ries\n    read_bank_out_reg = ram_bank_out;\nelseif bankAddr_reg == 1\n    % Bank 1: From/To FIFOs\n    read_bank_o"
3804      "ut_reg =  0;\nelseif bankAddr_reg == 2\n    % Bank 2: From/To Registers\n    read_bank_out_reg = reg_bank_out;\nels"
3805      "eif bankAddr_reg == 3\n    % Bank 3: Configuration Registers\n    read_bank_out_reg = 0;\nend\n\nbankAddr_reg = ban"
3806      "kAddr;\n"
3807      suppress_output     "on"
3808      defparams       "{}"
3809      hide_port_list      "{}"
3810      has_advanced_control    "0"
3811      sggui_pos       "-1,-1,-1,-1"
3812      block_type          "mcode"
3813      sg_icon_stat        "170,350,40,71,white,blue,0,217dac58,right,,[ ],[ ]"
3814      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 170 170 0 0 ],[0 0 350 350 0 ],[0.77 0.82 "
3815      "0.91 ]);\nplot([0 170 170 0 0 ],[0 0 350 350 0 ]);\npatch([31.6 66.28 90.28 114.28 138.28 90.28 55.6 31.6 ],[201.64"
3816      " 201.64 225.64 201.64 225.64 225.64 225.64 201.64 ],[1 1 1 ]);\npatch([55.6 90.28 66.28 31.6 55.6 ],[177.64 177.64 "
3817      "201.64 201.64 177.64 ],[0.931 0.946 0.973 ]);\npatch([31.6 66.28 90.28 55.6 31.6 ],[153.64 153.64 177.64 177.64 153"
3818      ".64 ],[1 1 1 ]);\npatch([55.6 138.28 114.28 90.28 66.28 31.6 55.6 ],[129.64 129.64 153.64 129.64 153.64 153.64 129."
3819      "64 ],[0.931 0.946 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\nco"
3820      "lor('black');port_label('input',1,'wrDBus');\ncolor('black');port_label('input',2,'bankAddr');\ncolor('black');port"
3821      "_label('input',3,'linearAddr');\ncolor('black');port_label('input',4,'RNWReg');\ncolor('black');port_label('input',"
3822      "5,'addrAck');\ncolor('black');port_label('input',6,'sm_CaptureDone');\ncolor('black');port_label('input',7,'sm_Radi"
3823      "o4AGCDoneRSSI');\ncolor('black');port_label('input',8,'sm_Radio3AGCDoneRSSI');\ncolor('black');port_label('input',9"
3824      ",'sm_Radio2AGCDoneRSSI');\ncolor('black');port_label('input',10,'sm_Radio1AGCDoneRSSI');\ncolor('black');port_label"
3825      "('input',11,'sm_AGCDoneAddr');\ncolor('black');port_label('input',12,'sm_TxLength');\ncolor('black');port_label('in"
3826      "put',13,'sm_TxDelay');\ncolor('black');port_label('input',14,'sm_RADIO2TXBUFF_TXEN');\ncolor('black');port_label('i"
3827      "nput',15,'sm_TransMode');\ncolor('black');port_label('input',16,'sm_StopTx');\ncolor('black');port_label('input',17"
3828      ",'sm_RADIO1TXBUFF_TXEN');\ncolor('black');port_label('input',18,'sm_RADIO2RXBUFF_RXEN');\ncolor('black');port_label"
3829      "('input',19,'sm_StartTx');\ncolor('black');port_label('input',20,'sm_RADIO4RXBUFF_RXEN');\ncolor('black');port_labe"
3830      "l('input',21,'sm_StartCapture');\ncolor('black');port_label('input',22,'sm_StartTxRx');\ncolor('black');port_label("
3831      "'input',23,'sm_DCO_EN_SEL');\ncolor('black');port_label('input',24,'sm_MGC_AGC_SEL');\ncolor('black');port_label('i"
3832      "nput',25,'sm_RADIO4TXBUFF_TXEN');\ncolor('black');port_label('input',26,'sm_RADIO3RXBUFF_RXEN');\ncolor('black');po"
3833      "rt_label('input',27,'sm_RADIO3TXBUFF_TXEN');\ncolor('black');port_label('input',28,'sm_RADIO1RXBUFF_RXEN');\ncolor("
3834      "'black');port_label('input',29,'sm_TxBuff_Radio4');\ncolor('black');port_label('input',30,'sm_RxBuff_Radio4');\ncol"
3835      "or('black');port_label('input',31,'sm_RSSIBuff_Radio4');\ncolor('black');port_label('input',32,'sm_TxBuff_Radio3');"
3836      "\ncolor('black');port_label('input',33,'sm_RxBuff_Radio3');\ncolor('black');port_label('input',34,'sm_RSSIBuff_Radi"
3837      "o3');\ncolor('black');port_label('input',35,'sm_TxBuff_Radio2');\ncolor('black');port_label('input',36,'sm_RxBuff_R"
3838      "adio2');\ncolor('black');port_label('input',37,'sm_RSSIBuff_Radio2');\ncolor('black');port_label('input',38,'sm_TxB"
3839      "uff_Radio1');\ncolor('black');port_label('input',39,'sm_RxBuff_Radio1');\ncolor('black');port_label('input',40,'sm_"
3840      "RSSIBuff_Radio1');\ncolor('black');port_label('output',1,'read_bank_out');\ncolor('black');port_label('output',2,'s"
3841      "m_TxLength_din');\ncolor('black');port_label('output',3,'sm_TxLength_en');\ncolor('black');port_label('output',4,'s"
3842      "m_TxDelay_din');\ncolor('black');port_label('output',5,'sm_TxDelay_en');\ncolor('black');port_label('output',6,'sm_"
3843      "RADIO2TXBUFF_TXEN_din');\ncolor('black');port_label('output',7,'sm_RADIO2TXBUFF_TXEN_en');\ncolor('black');port_lab"
3844      "el('output',8,'sm_TransMode_din');\ncolor('black');port_label('output',9,'sm_TransMode_en');\ncolor('black');port_l"
3845      "abel('output',10,'sm_StopTx_din');\ncolor('black');port_label('output',11,'sm_StopTx_en');\ncolor('black');port_lab"
3846      "el('output',12,'sm_RADIO1TXBUFF_TXEN_din');\ncolor('black');port_label('output',13,'sm_RADIO1TXBUFF_TXEN_en');\ncol"
3847      "or('black');port_label('output',14,'sm_RADIO2RXBUFF_RXEN_din');\ncolor('black');port_label('output',15,'sm_RADIO2RX"
3848      "BUFF_RXEN_en');\ncolor('black');port_label('output',16,'sm_StartTx_din');\ncolor('black');port_label('output',17,'s"
3849      "m_StartTx_en');\ncolor('black');port_label('output',18,'sm_RADIO4RXBUFF_RXEN_din');\ncolor('black');port_label('out"
3850      "put',19,'sm_RADIO4RXBUFF_RXEN_en');\ncolor('black');port_label('output',20,'sm_StartCapture_din');\ncolor('black');"
3851      "port_label('output',21,'sm_StartCapture_en');\ncolor('black');port_label('output',22,'sm_StartTxRx_din');\ncolor('b"
3852      "lack');port_label('output',23,'sm_StartTxRx_en');\ncolor('black');port_label('output',24,'sm_DCO_EN_SEL_din');\ncol"
3853      "or('black');port_label('output',25,'sm_DCO_EN_SEL_en');\ncolor('black');port_label('output',26,'sm_MGC_AGC_SEL_din'"
3854      ");\ncolor('black');port_label('output',27,'sm_MGC_AGC_SEL_en');\ncolor('black');port_label('output',28,'sm_RADIO4TX"
3855      "BUFF_TXEN_din');\ncolor('black');port_label('output',29,'sm_RADIO4TXBUFF_TXEN_en');\ncolor('black');port_label('out"
3856      "put',30,'sm_RADIO3RXBUFF_RXEN_din');\ncolor('black');port_label('output',31,'sm_RADIO3RXBUFF_RXEN_en');\ncolor('bla"
3857      "ck');port_label('output',32,'sm_RADIO3TXBUFF_TXEN_din');\ncolor('black');port_label('output',33,'sm_RADIO3TXBUFF_TX"
3858      "EN_en');\ncolor('black');port_label('output',34,'sm_RADIO1RXBUFF_RXEN_din');\ncolor('black');port_label('output',35"
3859      ",'sm_RADIO1RXBUFF_RXEN_en');\ncolor('black');port_label('output',36,'sm_TxBuff_Radio4_addr');\ncolor('black');port_"
3860      "label('output',37,'sm_TxBuff_Radio4_din');\ncolor('black');port_label('output',38,'sm_TxBuff_Radio4_we');\ncolor('b"
3861      "lack');port_label('output',39,'sm_RxBuff_Radio4_addr');\ncolor('black');port_label('output',40,'sm_RxBuff_Radio4_di"
3862      "n');\ncolor('black');port_label('output',41,'sm_RxBuff_Radio4_we');\ncolor('black');port_label('output',42,'sm_RSSI"
3863      "Buff_Radio4_addr');\ncolor('black');port_label('output',43,'sm_RSSIBuff_Radio4_din');\ncolor('black');port_label('o"
3864      "utput',44,'sm_RSSIBuff_Radio4_we');\ncolor('black');port_label('output',45,'sm_TxBuff_Radio3_addr');\ncolor('black'"
3865      ");port_label('output',46,'sm_TxBuff_Radio3_din');\ncolor('black');port_label('output',47,'sm_TxBuff_Radio3_we');\nc"
3866      "olor('black');port_label('output',48,'sm_RxBuff_Radio3_addr');\ncolor('black');port_label('output',49,'sm_RxBuff_Ra"
3867      "dio3_din');\ncolor('black');port_label('output',50,'sm_RxBuff_Radio3_we');\ncolor('black');port_label('output',51,'"
3868      "sm_RSSIBuff_Radio3_addr');\ncolor('black');port_label('output',52,'sm_RSSIBuff_Radio3_din');\ncolor('black');port_l"
3869      "abel('output',53,'sm_RSSIBuff_Radio3_we');\ncolor('black');port_label('output',54,'sm_TxBuff_Radio2_addr');\ncolor("
3870      "'black');port_label('output',55,'sm_TxBuff_Radio2_din');\ncolor('black');port_label('output',56,'sm_TxBuff_Radio2_w"
3871      "e');\ncolor('black');port_label('output',57,'sm_RxBuff_Radio2_addr');\ncolor('black');port_label('output',58,'sm_Rx"
3872      "Buff_Radio2_din');\ncolor('black');port_label('output',59,'sm_RxBuff_Radio2_we');\ncolor('black');port_label('outpu"
3873      "t',60,'sm_RSSIBuff_Radio2_addr');\ncolor('black');port_label('output',61,'sm_RSSIBuff_Radio2_din');\ncolor('black')"
3874      ";port_label('output',62,'sm_RSSIBuff_Radio2_we');\ncolor('black');port_label('output',63,'sm_TxBuff_Radio1_addr');\n"
3875      "color('black');port_label('output',64,'sm_TxBuff_Radio1_din');\ncolor('black');port_label('output',65,'sm_TxBuff_Ra"
3876      "dio1_we');\ncolor('black');port_label('output',66,'sm_RxBuff_Radio1_addr');\ncolor('black');port_label('output',67,"
3877      "'sm_RxBuff_Radio1_din');\ncolor('black');port_label('output',68,'sm_RxBuff_Radio1_we');\ncolor('black');port_label("
3878      "'output',69,'sm_RSSIBuff_Radio1_addr');\ncolor('black');port_label('output',70,'sm_RSSIBuff_Radio1_din');\ncolor('b"
3879      "lack');port_label('output',71,'sm_RSSIBuff_Radio1_we');\ncolor('black');disp('\\bf{xlmax}','texmode','on');\nfprint"
3880      "f('','COMMENT: end icon text');"
3881      Port {
3882        PortNumber          1
3883        Name            "rdData"
3884        RTWStorageClass     "Auto"
3885        DataLoggingNameMode     "SignalName"
3886      }
3887      Port {
3888        PortNumber          2
3889        Name            "TxLength_din"
3890        RTWStorageClass     "Auto"
3891        DataLoggingNameMode     "SignalName"
3892      }
3893      Port {
3894        PortNumber          3
3895        Name            "TxLength_en"
3896        RTWStorageClass     "Auto"
3897        DataLoggingNameMode     "SignalName"
3898      }
3899      Port {
3900        PortNumber          4
3901        Name            "TxDelay_din"
3902        RTWStorageClass     "Auto"
3903        DataLoggingNameMode     "SignalName"
3904      }
3905      Port {
3906        PortNumber          5
3907        Name            "TxDelay_en"
3908        RTWStorageClass     "Auto"
3909        DataLoggingNameMode     "SignalName"
3910      }
3911      Port {
3912        PortNumber          6
3913        Name            "RADIO2TXBUFF_TXEN_din"
3914        RTWStorageClass     "Auto"
3915        DataLoggingNameMode     "SignalName"
3916      }
3917      Port {
3918        PortNumber          7
3919        Name            "RADIO2TXBUFF_TXEN_en"
3920        RTWStorageClass     "Auto"
3921        DataLoggingNameMode     "SignalName"
3922      }
3923      Port {
3924        PortNumber          8
3925        Name            "TransMode_din"
3926        RTWStorageClass     "Auto"
3927        DataLoggingNameMode     "SignalName"
3928      }
3929      Port {
3930        PortNumber          9
3931        Name            "TransMode_en"
3932        RTWStorageClass     "Auto"
3933        DataLoggingNameMode     "SignalName"
3934      }
3935      Port {
3936        PortNumber          10
3937        Name            "StopTx_din"
3938        RTWStorageClass     "Auto"
3939        DataLoggingNameMode     "SignalName"
3940      }
3941      Port {
3942        PortNumber          11
3943        Name            "StopTx_en"
3944        RTWStorageClass     "Auto"
3945        DataLoggingNameMode     "SignalName"
3946      }
3947      Port {
3948        PortNumber          12
3949        Name            "RADIO1TXBUFF_TXEN_din"
3950        RTWStorageClass     "Auto"
3951        DataLoggingNameMode     "SignalName"
3952      }
3953      Port {
3954        PortNumber          13
3955        Name            "RADIO1TXBUFF_TXEN_en"
3956        RTWStorageClass     "Auto"
3957        DataLoggingNameMode     "SignalName"
3958      }
3959      Port {
3960        PortNumber          14
3961        Name            "RADIO2RXBUFF_RXEN_din"
3962        RTWStorageClass     "Auto"
3963        DataLoggingNameMode     "SignalName"
3964      }
3965      Port {
3966        PortNumber          15
3967        Name            "RADIO2RXBUFF_RXEN_en"
3968        RTWStorageClass     "Auto"
3969        DataLoggingNameMode     "SignalName"
3970      }
3971      Port {
3972        PortNumber          16
3973        Name            "StartTx_din"
3974        RTWStorageClass     "Auto"
3975        DataLoggingNameMode     "SignalName"
3976      }
3977      Port {
3978        PortNumber          17
3979        Name            "StartTx_en"
3980        RTWStorageClass     "Auto"
3981        DataLoggingNameMode     "SignalName"
3982      }
3983      Port {
3984        PortNumber          18
3985        Name            "RADIO4RXBUFF_RXEN_din"
3986        RTWStorageClass     "Auto"
3987        DataLoggingNameMode     "SignalName"
3988      }
3989      Port {
3990        PortNumber          19
3991        Name            "RADIO4RXBUFF_RXEN_en"
3992        RTWStorageClass     "Auto"
3993        DataLoggingNameMode     "SignalName"
3994      }
3995      Port {
3996        PortNumber          20
3997        Name            "StartCapture_din"
3998        RTWStorageClass     "Auto"
3999        DataLoggingNameMode     "SignalName"
4000      }
4001      Port {
4002        PortNumber          21
4003        Name            "StartCapture_en"
4004        RTWStorageClass     "Auto"
4005        DataLoggingNameMode     "SignalName"
4006      }
4007      Port {
4008        PortNumber          22
4009        Name            "StartTxRx_din"
4010        RTWStorageClass     "Auto"
4011        DataLoggingNameMode     "SignalName"
4012      }
4013      Port {
4014        PortNumber          23
4015        Name            "StartTxRx_en"
4016        RTWStorageClass     "Auto"
4017        DataLoggingNameMode     "SignalName"
4018      }
4019      Port {
4020        PortNumber          24
4021        Name            "DCO_EN_SEL_din"
4022        RTWStorageClass     "Auto"
4023        DataLoggingNameMode     "SignalName"
4024      }
4025      Port {
4026        PortNumber          25
4027        Name            "DCO_EN_SEL_en"
4028        RTWStorageClass     "Auto"
4029        DataLoggingNameMode     "SignalName"
4030      }
4031      Port {
4032        PortNumber          26
4033        Name            "MGC_AGC_SEL_din"
4034        RTWStorageClass     "Auto"
4035        DataLoggingNameMode     "SignalName"
4036      }
4037      Port {
4038        PortNumber          27
4039        Name            "MGC_AGC_SEL_en"
4040        RTWStorageClass     "Auto"
4041        DataLoggingNameMode     "SignalName"
4042      }
4043      Port {
4044        PortNumber          28
4045        Name            "RADIO4TXBUFF_TXEN_din"
4046        RTWStorageClass     "Auto"
4047        DataLoggingNameMode     "SignalName"
4048      }
4049      Port {
4050        PortNumber          29
4051        Name            "RADIO4TXBUFF_TXEN_en"
4052        RTWStorageClass     "Auto"
4053        DataLoggingNameMode     "SignalName"
4054      }
4055      Port {
4056        PortNumber          30
4057        Name            "RADIO3RXBUFF_RXEN_din"
4058        RTWStorageClass     "Auto"
4059        DataLoggingNameMode     "SignalName"
4060      }
4061      Port {
4062        PortNumber          31
4063        Name            "RADIO3RXBUFF_RXEN_en"
4064        RTWStorageClass     "Auto"
4065        DataLoggingNameMode     "SignalName"
4066      }
4067      Port {
4068        PortNumber          32
4069        Name            "RADIO3TXBUFF_TXEN_din"
4070        RTWStorageClass     "Auto"
4071        DataLoggingNameMode     "SignalName"
4072      }
4073      Port {
4074        PortNumber          33
4075        Name            "RADIO3TXBUFF_TXEN_en"
4076        RTWStorageClass     "Auto"
4077        DataLoggingNameMode     "SignalName"
4078      }
4079      Port {
4080        PortNumber          34
4081        Name            "RADIO1RXBUFF_RXEN_din"
4082        RTWStorageClass     "Auto"
4083        DataLoggingNameMode     "SignalName"
4084      }
4085      Port {
4086        PortNumber          35
4087        Name            "RADIO1RXBUFF_RXEN_en"
4088        RTWStorageClass     "Auto"
4089        DataLoggingNameMode     "SignalName"
4090      }
4091      Port {
4092        PortNumber          36
4093        Name            "TxBuff_Radio4_addr"
4094        RTWStorageClass     "Auto"
4095        DataLoggingNameMode     "SignalName"
4096      }
4097      Port {
4098        PortNumber          37
4099        Name            "TxBuff_Radio4_din"
4100        RTWStorageClass     "Auto"
4101        DataLoggingNameMode     "SignalName"
4102      }
4103      Port {
4104        PortNumber          38
4105        Name            "TxBuff_Radio4_we"
4106        RTWStorageClass     "Auto"
4107        DataLoggingNameMode     "SignalName"
4108      }
4109      Port {
4110        PortNumber          39
4111        Name            "RxBuff_Radio4_addr"
4112        RTWStorageClass     "Auto"
4113        DataLoggingNameMode     "SignalName"
4114      }
4115      Port {
4116        PortNumber          40
4117        Name            "RxBuff_Radio4_din"
4118        RTWStorageClass     "Auto"
4119        DataLoggingNameMode     "SignalName"
4120      }
4121      Port {
4122        PortNumber          41
4123        Name            "RxBuff_Radio4_we"
4124        RTWStorageClass     "Auto"
4125        DataLoggingNameMode     "SignalName"
4126      }
4127      Port {
4128        PortNumber          42
4129        Name            "RSSIBuff_Radio4_addr"
4130        RTWStorageClass     "Auto"
4131        DataLoggingNameMode     "SignalName"
4132      }
4133      Port {
4134        PortNumber          43
4135        Name            "RSSIBuff_Radio4_din"
4136        RTWStorageClass     "Auto"
4137        DataLoggingNameMode     "SignalName"
4138      }
4139      Port {
4140        PortNumber          44
4141        Name            "RSSIBuff_Radio4_we"
4142        RTWStorageClass     "Auto"
4143        DataLoggingNameMode     "SignalName"
4144      }
4145      Port {
4146        PortNumber          45
4147        Name            "TxBuff_Radio3_addr"
4148        RTWStorageClass     "Auto"
4149        DataLoggingNameMode     "SignalName"
4150      }
4151      Port {
4152        PortNumber          46
4153        Name            "TxBuff_Radio3_din"
4154        RTWStorageClass     "Auto"
4155        DataLoggingNameMode     "SignalName"
4156      }
4157      Port {
4158        PortNumber          47
4159        Name            "TxBuff_Radio3_we"
4160        RTWStorageClass     "Auto"
4161        DataLoggingNameMode     "SignalName"
4162      }
4163      Port {
4164        PortNumber          48
4165        Name            "RxBuff_Radio3_addr"
4166        RTWStorageClass     "Auto"
4167        DataLoggingNameMode     "SignalName"
4168      }
4169      Port {
4170        PortNumber          49
4171        Name            "RxBuff_Radio3_din"
4172        RTWStorageClass     "Auto"
4173        DataLoggingNameMode     "SignalName"
4174      }
4175      Port {
4176        PortNumber          50
4177        Name            "RxBuff_Radio3_we"
4178        RTWStorageClass     "Auto"
4179        DataLoggingNameMode     "SignalName"
4180      }
4181      Port {
4182        PortNumber          51
4183        Name            "RSSIBuff_Radio3_addr"
4184        RTWStorageClass     "Auto"
4185        DataLoggingNameMode     "SignalName"
4186      }
4187      Port {
4188        PortNumber          52
4189        Name            "RSSIBuff_Radio3_din"
4190        RTWStorageClass     "Auto"
4191        DataLoggingNameMode     "SignalName"
4192      }
4193      Port {
4194        PortNumber          53
4195        Name            "RSSIBuff_Radio3_we"
4196        RTWStorageClass     "Auto"
4197        DataLoggingNameMode     "SignalName"
4198      }
4199      Port {
4200        PortNumber          54
4201        Name            "TxBuff_Radio2_addr"
4202        RTWStorageClass     "Auto"
4203        DataLoggingNameMode     "SignalName"
4204      }
4205      Port {
4206        PortNumber          55
4207        Name            "TxBuff_Radio2_din"
4208        RTWStorageClass     "Auto"
4209        DataLoggingNameMode     "SignalName"
4210      }
4211      Port {
4212        PortNumber          56
4213        Name            "TxBuff_Radio2_we"
4214        RTWStorageClass     "Auto"
4215        DataLoggingNameMode     "SignalName"
4216      }
4217      Port {
4218        PortNumber          57
4219        Name            "RxBuff_Radio2_addr"
4220        RTWStorageClass     "Auto"
4221        DataLoggingNameMode     "SignalName"
4222      }
4223      Port {
4224        PortNumber          58
4225        Name            "RxBuff_Radio2_din"
4226        RTWStorageClass     "Auto"
4227        DataLoggingNameMode     "SignalName"
4228      }
4229      Port {
4230        PortNumber          59
4231        Name            "RxBuff_Radio2_we"
4232        RTWStorageClass     "Auto"
4233        DataLoggingNameMode     "SignalName"
4234      }
4235      Port {
4236        PortNumber          60
4237        Name            "RSSIBuff_Radio2_addr"
4238        RTWStorageClass     "Auto"
4239        DataLoggingNameMode     "SignalName"
4240      }
4241      Port {
4242        PortNumber          61
4243        Name            "RSSIBuff_Radio2_din"
4244        RTWStorageClass     "Auto"
4245        DataLoggingNameMode     "SignalName"
4246      }
4247      Port {
4248        PortNumber          62
4249        Name            "RSSIBuff_Radio2_we"
4250        RTWStorageClass     "Auto"
4251        DataLoggingNameMode     "SignalName"
4252      }
4253      Port {
4254        PortNumber          63
4255        Name            "TxBuff_Radio1_addr"
4256        RTWStorageClass     "Auto"
4257        DataLoggingNameMode     "SignalName"
4258      }
4259      Port {
4260        PortNumber          64
4261        Name            "TxBuff_Radio1_din"
4262        RTWStorageClass     "Auto"
4263        DataLoggingNameMode     "SignalName"
4264      }
4265      Port {
4266        PortNumber          65
4267        Name            "TxBuff_Radio1_we"
4268        RTWStorageClass     "Auto"
4269        DataLoggingNameMode     "SignalName"
4270      }
4271      Port {
4272        PortNumber          66
4273        Name            "RxBuff_Radio1_addr"
4274        RTWStorageClass     "Auto"
4275        DataLoggingNameMode     "SignalName"
4276      }
4277      Port {
4278        PortNumber          67
4279        Name            "RxBuff_Radio1_din"
4280        RTWStorageClass     "Auto"
4281        DataLoggingNameMode     "SignalName"
4282      }
4283      Port {
4284        PortNumber          68
4285        Name            "RxBuff_Radio1_we"
4286        RTWStorageClass     "Auto"
4287        DataLoggingNameMode     "SignalName"
4288      }
4289      Port {
4290        PortNumber          69
4291        Name            "RSSIBuff_Radio1_addr"
4292        RTWStorageClass     "Auto"
4293        DataLoggingNameMode     "SignalName"
4294      }
4295      Port {
4296        PortNumber          70
4297        Name            "RSSIBuff_Radio1_din"
4298        RTWStorageClass     "Auto"
4299        DataLoggingNameMode     "SignalName"
4300      }
4301      Port {
4302        PortNumber          71
4303        Name            "RSSIBuff_Radio1_we"
4304        RTWStorageClass     "Auto"
4305        DataLoggingNameMode     "SignalName"
4306      }
4307    }
4308    Block {
4309      BlockType       Reference
4310      Name            "sg_plb_addrpref"
4311      SID             "670:233"
4312      Ports           [1, 1]
4313      Position        [175, 1055, 245, 1075]
4314      LibraryVersion      "1.2"
4315      SourceBlock         "xbsIndex_r4/Gateway In"
4316      SourceType          "Xilinx Gateway In Block"
4317      infoedit        "Gateway in block.  Converts inputs of type Simulink integer, single, double and fixed point to  Xilinx"
4318      " fixed-point or floating-point data type.<br><br>Hardware notes:  In hardware these blocks become top level input p"
4319      "orts."
4320      gui_display_data_type   "Fixed-point"
4321      arith_type          "Unsigned"
4322      n_bits          "10"
4323      bin_pt          "0"
4324      preci_type          "Single"
4325      exp_width       "8"
4326      frac_width          "24"
4327      quantization        "Round  (unbiased: +/- Inf)"
4328      overflow        "Saturate"
4329      period          "xlGetNormalizedPeriod()"
4330      dbl_ovrd        off
4331      timing_constraint   "None"
4332      locs_specified      off
4333      LOCs            "{}"
4334      xl_use_area         off
4335      xl_area         "[0,0,0,0,0,0,0]"
4336      inherit_from_input      off
4337      UseAsADC        off
4338      ADCChannel          "'1'"
4339      hdl_port        "on"
4340      sginterface         "{'Xilinx'=>{'jtaghwcosim'=>{'non_memory_mapped_port'=>'sg_plb_addrpref'}},'iopad'=>{'constraint'=>'"
4341      "#'}}"
4342      has_advanced_control    "0"
4343      sggui_pos       "-1,-1,-1,-1"
4344      block_type          "gatewayin"
4345      sg_icon_stat        "70,20,1,1,white,yellow,1,00d3666e,right,,[ ],[ ]"
4346      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 70 70 0 0 ],[0 0 20 20 0 ],[0.95 0.93 0.65"
4347      " ]);\nplot([0 70 70 0 0 ],[0 0 20 20 0 ]);\npatch([30.55 33.44 35.44 37.44 39.44 35.44 32.55 30.55 ],[12.22 12.22 1"
4348      "4.22 12.22 14.22 14.22 14.22 12.22 ],[1 1 1 ]);\npatch([32.55 35.44 33.44 30.55 32.55 ],[10.22 10.22 12.22 12.22 10"
4349      ".22 ],[0.985 0.979 0.895 ]);\npatch([30.55 33.44 35.44 32.55 30.55 ],[8.22 8.22 10.22 10.22 8.22 ],[1 1 1 ]);\npatc"
4350      "h([32.55 39.44 37.44 35.44 33.44 30.55 32.55 ],[6.22 6.22 8.22 6.22 8.22 8.22 6.22 ],[0.985 0.979 0.895 ]);\nfprint"
4351      "f('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1,'"
4352      "\\fontsize{11pt}\\bf In ','texmode','on');\ncolor('black');port_label('output',1,' ');\nfprintf('','COMMENT: end ic"
4353      "on text');"
4354      Port {
4355        PortNumber          1
4356        Name            "addrPref"
4357        RTWStorageClass     "Auto"
4358        DataLoggingNameMode     "SignalName"
4359      }
4360    }
4361    Line {
4362      Name            "Sl_addrAck"
4363      SrcBlock        "plb_decode"
4364      SrcPort         2
4365      Points          [0, 0]
4366      Branch {
4367        Labels          [1, 0]
4368        DstBlock            "plb_memmap"
4369        DstPort         5
4370      }
4371      Branch {
4372        Labels          [0, 0]
4373        DstBlock            "Sl_addrAck"
4374        DstPort         1
4375      }
4376    }
4377    Line {
4378      Name            "Sl_wrDAck"
4379      SrcBlock        "plb_decode"
4380      SrcPort         4
4381      Points          [0, 0]
4382      Branch {
4383        Labels          [1, 0]
4384        DstBlock            "Sl_wrDAck"
4385        DstPort         1
4386      }
4387      Branch {
4388        Labels          [0, 0]
4389        DstBlock            "Sl_wrComp"
4390        DstPort         1
4391      }
4392    }
4393    Line {
4394      Name            "RSSIBuff_Radio1_we"
4395      Labels          [0, 0; 0, 0]
4396      SrcBlock        "plb_memmap"
4397      SrcPort         71
4398      DstBlock        "Shared Memory11"
4399      DstPort         3
4400    }
4401    Line {
4402      Name            "RSSIBuff_Radio1_din"
4403      Labels          [0, 0; 0, 0]
4404      SrcBlock        "plb_memmap"
4405      SrcPort         70
4406      DstBlock        "Shared Memory11"
4407      DstPort         2
4408    }
4409    Line {
4410      Name            "RSSIBuff_Radio1_addr"
4411      Labels          [0, 0; 0, 0]
4412      SrcBlock        "plb_memmap"
4413      SrcPort         69
4414      DstBlock        "Shared Memory11"
4415      DstPort         1
4416    }
4417    Line {
4418      Name            "RxBuff_Radio1_we"
4419      Labels          [0, 0; 0, 0]
4420      SrcBlock        "plb_memmap"
4421      SrcPort         68
4422      DstBlock        "Shared Memory10"
4423      DstPort         3
4424    }
4425    Line {
4426      Name            "RxBuff_Radio1_din"
4427      Labels          [0, 0; 0, 0]
4428      SrcBlock        "plb_memmap"
4429      SrcPort         67
4430      DstBlock        "Shared Memory10"
4431      DstPort         2
4432    }
4433    Line {
4434      Name            "RxBuff_Radio1_addr"
4435      Labels          [0, 0; 0, 0]
4436      SrcBlock        "plb_memmap"
4437      SrcPort         66
4438      DstBlock        "Shared Memory10"
4439      DstPort         1
4440    }
4441    Line {
4442      Name            "TxBuff_Radio1_we"
4443      Labels          [0, 0; 0, 0]
4444      SrcBlock        "plb_memmap"
4445      SrcPort         65
4446      DstBlock        "Shared Memory9"
4447      DstPort         3
4448    }
4449    Line {
4450      Name            "TxBuff_Radio1_din"
4451      Labels          [0, 0; 0, 0]
4452      SrcBlock        "plb_memmap"
4453      SrcPort         64
4454      DstBlock        "Shared Memory9"
4455      DstPort         2
4456    }
4457    Line {
4458      Name            "TxBuff_Radio1_addr"
4459      Labels          [0, 0; 0, 0]
4460      SrcBlock        "plb_memmap"
4461      SrcPort         63
4462      DstBlock        "Shared Memory9"
4463      DstPort         1
4464    }
4465    Line {
4466      Name            "RSSIBuff_Radio2_we"
4467      Labels          [0, 0; 0, 0]
4468      SrcBlock        "plb_memmap"
4469      SrcPort         62
4470      DstBlock        "Shared Memory8"
4471      DstPort         3
4472    }
4473    Line {
4474      Name            "RSSIBuff_Radio2_din"
4475      Labels          [0, 0; 0, 0]
4476      SrcBlock        "plb_memmap"
4477      SrcPort         61
4478      DstBlock        "Shared Memory8"
4479      DstPort         2
4480    }
4481    Line {
4482      Name            "RSSIBuff_Radio2_addr"
4483      Labels          [0, 0; 0, 0]
4484      SrcBlock        "plb_memmap"
4485      SrcPort         60
4486      DstBlock        "Shared Memory8"
4487      DstPort         1
4488    }
4489    Line {
4490      Name            "RxBuff_Radio2_we"
4491      Labels          [0, 0; 0, 0]
4492      SrcBlock        "plb_memmap"
4493      SrcPort         59
4494      DstBlock        "Shared Memory7"
4495      DstPort         3
4496    }
4497    Line {
4498      Name            "RxBuff_Radio2_din"
4499      Labels          [0, 0; 0, 0]
4500      SrcBlock        "plb_memmap"
4501      SrcPort         58
4502      DstBlock        "Shared Memory7"
4503      DstPort         2
4504    }
4505    Line {
4506      Name            "RxBuff_Radio2_addr"
4507      Labels          [0, 0; 0, 0]
4508      SrcBlock        "plb_memmap"
4509      SrcPort         57
4510      DstBlock        "Shared Memory7"
4511      DstPort         1
4512    }
4513    Line {
4514      Name            "TxBuff_Radio2_we"
4515      Labels          [0, 0; 0, 0]
4516      SrcBlock        "plb_memmap"
4517      SrcPort         56
4518      DstBlock        "Shared Memory6"
4519      DstPort         3
4520    }
4521    Line {
4522      Name            "TxBuff_Radio2_din"
4523      Labels          [0, 0; 0, 0]
4524      SrcBlock        "plb_memmap"
4525      SrcPort         55
4526      DstBlock        "Shared Memory6"
4527      DstPort         2
4528    }
4529    Line {
4530      Name            "TxBuff_Radio2_addr"
4531      Labels          [0, 0; 0, 0]
4532      SrcBlock        "plb_memmap"
4533      SrcPort         54
4534      DstBlock        "Shared Memory6"
4535      DstPort         1
4536    }
4537    Line {
4538      Name            "RSSIBuff_Radio3_we"
4539      Labels          [0, 0; 0, 0]
4540      SrcBlock        "plb_memmap"
4541      SrcPort         53
4542      DstBlock        "Shared Memory5"
4543      DstPort         3
4544    }
4545    Line {
4546      Name            "RSSIBuff_Radio3_din"
4547      Labels          [0, 0; 0, 0]
4548      SrcBlock        "plb_memmap"
4549      SrcPort         52
4550      DstBlock        "Shared Memory5"
4551      DstPort         2
4552    }
4553    Line {
4554      Name            "RSSIBuff_Radio3_addr"
4555      Labels          [0, 0; 0, 0]
4556      SrcBlock        "plb_memmap"
4557      SrcPort         51
4558      DstBlock        "Shared Memory5"
4559      DstPort         1
4560    }
4561    Line {
4562      Name            "RxBuff_Radio3_we"
4563      Labels          [0, 0; 0, 0]
4564      SrcBlock        "plb_memmap"
4565      SrcPort         50
4566      DstBlock        "Shared Memory4"
4567      DstPort         3
4568    }
4569    Line {
4570      Name            "RxBuff_Radio3_din"
4571      Labels          [0, 0; 0, 0]
4572      SrcBlock        "plb_memmap"
4573      SrcPort         49
4574      DstBlock        "Shared Memory4"
4575      DstPort         2
4576    }
4577    Line {
4578      Name            "RxBuff_Radio3_addr"
4579      Labels          [0, 0; 0, 0]
4580      SrcBlock        "plb_memmap"
4581      SrcPort         48
4582      DstBlock        "Shared Memory4"
4583      DstPort         1
4584    }
4585    Line {
4586      Name            "TxBuff_Radio3_we"
4587      Labels          [0, 0; 0, 0]
4588      SrcBlock        "plb_memmap"
4589      SrcPort         47
4590      DstBlock        "Shared Memory3"
4591      DstPort         3
4592    }
4593    Line {
4594      Name            "TxBuff_Radio3_din"
4595      Labels          [0, 0; 0, 0]
4596      SrcBlock        "plb_memmap"
4597      SrcPort         46
4598      DstBlock        "Shared Memory3"
4599      DstPort         2
4600    }
4601    Line {
4602      Name            "TxBuff_Radio3_addr"
4603      Labels          [0, 0; 0, 0]
4604      SrcBlock        "plb_memmap"
4605      SrcPort         45
4606      DstBlock        "Shared Memory3"
4607      DstPort         1
4608    }
4609    Line {
4610      Name            "RSSIBuff_Radio4_we"
4611      Labels          [0, 0; 0, 0]
4612      SrcBlock        "plb_memmap"
4613      SrcPort         44
4614      DstBlock        "Shared Memory2"
4615      DstPort         3
4616    }
4617    Line {
4618      Name            "RSSIBuff_Radio4_din"
4619      Labels          [0, 0; 0, 0]
4620      SrcBlock        "plb_memmap"
4621      SrcPort         43
4622      DstBlock        "Shared Memory2"
4623      DstPort         2
4624    }
4625    Line {
4626      Name            "RSSIBuff_Radio4_addr"
4627      Labels          [0, 0; 0, 0]
4628      SrcBlock        "plb_memmap"
4629      SrcPort         42
4630      DstBlock        "Shared Memory2"
4631      DstPort         1
4632    }
4633    Line {
4634      Name            "RxBuff_Radio4_we"
4635      Labels          [0, 0; 0, 0]
4636      SrcBlock        "plb_memmap"
4637      SrcPort         41
4638      DstBlock        "Shared Memory1"
4639      DstPort         3
4640    }
4641    Line {
4642      Name            "RxBuff_Radio4_din"
4643      Labels          [0, 0; 0, 0]
4644      SrcBlock        "plb_memmap"
4645      SrcPort         40
4646      DstBlock        "Shared Memory1"
4647      DstPort         2
4648    }
4649    Line {
4650      Name            "RxBuff_Radio4_addr"
4651      Labels          [0, 0; 0, 0]
4652      SrcBlock        "plb_memmap"
4653      SrcPort         39
4654      DstBlock        "Shared Memory1"
4655      DstPort         1
4656    }
4657    Line {
4658      Name            "TxBuff_Radio4_we"
4659      Labels          [0, 0; 0, 0]
4660      SrcBlock        "plb_memmap"
4661      SrcPort         38
4662      DstBlock        "Shared Memory"
4663      DstPort         3
4664    }
4665    Line {
4666      Name            "TxBuff_Radio4_din"
4667      Labels          [0, 0; 0, 0]
4668      SrcBlock        "plb_memmap"
4669      SrcPort         37
4670      DstBlock        "Shared Memory"
4671      DstPort         2
4672    }
4673    Line {
4674      Name            "TxBuff_Radio4_addr"
4675      Labels          [0, 0; 0, 0]
4676      SrcBlock        "plb_memmap"
4677      SrcPort         36
4678      DstBlock        "Shared Memory"
4679      DstPort         1
4680    }
4681    Line {
4682      Name            "RADIO1RXBUFF_RXEN_en"
4683      Labels          [0, 0; 0, 0]
4684      SrcBlock        "plb_memmap"
4685      SrcPort         35
4686      DstBlock        "To Register16"
4687      DstPort         2
4688    }
4689    Line {
4690      Name            "RADIO1RXBUFF_RXEN_din"
4691      Labels          [0, 0; 0, 0]
4692      SrcBlock        "plb_memmap"
4693      SrcPort         34
4694      DstBlock        "To Register16"
4695      DstPort         1
4696    }
4697    Line {
4698      Name            "RADIO3TXBUFF_TXEN_en"
4699      Labels          [0, 0; 0, 0]
4700      SrcBlock        "plb_memmap"
4701      SrcPort         33
4702      DstBlock        "To Register15"
4703      DstPort         2
4704    }
4705    Line {
4706      Name            "RADIO3TXBUFF_TXEN_din"
4707      Labels          [0, 0; 0, 0]
4708      SrcBlock        "plb_memmap"
4709      SrcPort         32
4710      DstBlock        "To Register15"
4711      DstPort         1
4712    }
4713    Line {
4714      Name            "RADIO3RXBUFF_RXEN_en"
4715      Labels          [0, 0; 0, 0]
4716      SrcBlock        "plb_memmap"
4717      SrcPort         31
4718      DstBlock        "To Register14"
4719      DstPort         2
4720    }
4721    Line {
4722      Name            "RADIO3RXBUFF_RXEN_din"
4723      Labels          [0, 0; 0, 0]
4724      SrcBlock        "plb_memmap"
4725      SrcPort         30
4726      DstBlock        "To Register14"
4727      DstPort         1
4728    }
4729    Line {
4730      Name            "RADIO4TXBUFF_TXEN_en"
4731      Labels          [0, 0; 0, 0]
4732      SrcBlock        "plb_memmap"
4733      SrcPort         29
4734      DstBlock        "To Register13"
4735      DstPort         2
4736    }
4737    Line {
4738      Name            "RADIO4TXBUFF_TXEN_din"
4739      Labels          [0, 0; 0, 0]
4740      SrcBlock        "plb_memmap"
4741      SrcPort         28
4742      DstBlock        "To Register13"
4743      DstPort         1
4744    }
4745    Line {
4746      Name            "MGC_AGC_SEL_en"
4747      Labels          [0, 0; 0, 0]
4748      SrcBlock        "plb_memmap"
4749      SrcPort         27
4750      DstBlock        "To Register12"
4751      DstPort         2
4752    }
4753    Line {
4754      Name            "MGC_AGC_SEL_din"
4755      Labels          [0, 0; 0, 0]
4756      SrcBlock        "plb_memmap"
4757      SrcPort         26
4758      DstBlock        "To Register12"
4759      DstPort         1
4760    }
4761    Line {
4762      Name            "DCO_EN_SEL_en"
4763      Labels          [0, 0; 0, 0]
4764      SrcBlock        "plb_memmap"
4765      SrcPort         25
4766      DstBlock        "To Register11"
4767      DstPort         2
4768    }
4769    Line {
4770      Name            "DCO_EN_SEL_din"
4771      Labels          [0, 0; 0, 0]
4772      SrcBlock        "plb_memmap"
4773      SrcPort         24
4774      DstBlock        "To Register11"
4775      DstPort         1
4776    }
4777    Line {
4778      Name            "StartTxRx_en"
4779      Labels          [0, 0; 0, 0]
4780      SrcBlock        "plb_memmap"
4781      SrcPort         23
4782      DstBlock        "To Register10"
4783      DstPort         2
4784    }
4785    Line {
4786      Name            "StartTxRx_din"
4787      Labels          [0, 0; 0, 0]
4788      SrcBlock        "plb_memmap"
4789      SrcPort         22
4790      DstBlock        "To Register10"
4791      DstPort         1
4792    }
4793    Line {
4794      Name            "StartCapture_en"
4795      Labels          [0, 0; 0, 0]
4796      SrcBlock        "plb_memmap"
4797      SrcPort         21
4798      DstBlock        "To Register9"
4799      DstPort         2
4800    }
4801    Line {
4802      Name            "StartCapture_din"
4803      Labels          [0, 0; 0, 0]
4804      SrcBlock        "plb_memmap"
4805      SrcPort         20
4806      DstBlock        "To Register9"
4807      DstPort         1
4808    }
4809    Line {
4810      Name            "RADIO4RXBUFF_RXEN_en"
4811      Labels          [0, 0; 0, 0]
4812      SrcBlock        "plb_memmap"
4813      SrcPort         19
4814      DstBlock        "To Register8"
4815      DstPort         2
4816    }
4817    Line {
4818      Name            "RADIO4RXBUFF_RXEN_din"
4819      Labels          [0, 0; 0, 0]
4820      SrcBlock        "plb_memmap"
4821      SrcPort         18
4822      DstBlock        "To Register8"
4823      DstPort         1
4824    }
4825    Line {
4826      Name            "StartTx_en"
4827      Labels          [0, 0; 0, 0]
4828      SrcBlock        "plb_memmap"
4829      SrcPort         17
4830      DstBlock        "To Register7"
4831      DstPort         2
4832    }
4833    Line {
4834      Name            "StartTx_din"
4835      Labels          [0, 0; 0, 0]
4836      SrcBlock        "plb_memmap"
4837      SrcPort         16
4838      DstBlock        "To Register7"
4839      DstPort         1
4840    }
4841    Line {
4842      Name            "RADIO2RXBUFF_RXEN_en"
4843      Labels          [0, 0; 0, 0]
4844      SrcBlock        "plb_memmap"
4845      SrcPort         15
4846      DstBlock        "To Register6"
4847      DstPort         2
4848    }
4849    Line {
4850      Name            "RADIO2RXBUFF_RXEN_din"
4851      Labels          [0, 0; 0, 0]
4852      SrcBlock        "plb_memmap"
4853      SrcPort         14
4854      DstBlock        "To Register6"
4855      DstPort         1
4856    }
4857    Line {
4858      Name            "RADIO1TXBUFF_TXEN_en"
4859      Labels          [0, 0; 0, 0]
4860      SrcBlock        "plb_memmap"
4861      SrcPort         13
4862      DstBlock        "To Register5"
4863      DstPort         2
4864    }
4865    Line {
4866      Name            "RADIO1TXBUFF_TXEN_din"
4867      Labels          [0, 0; 0, 0]
4868      SrcBlock        "plb_memmap"
4869      SrcPort         12
4870      DstBlock        "To Register5"
4871      DstPort         1
4872    }
4873    Line {
4874      Name            "StopTx_en"
4875      Labels          [0, 0; 0, 0]
4876      SrcBlock        "plb_memmap"
4877      SrcPort         11
4878      DstBlock        "To Register4"
4879      DstPort         2
4880    }
4881    Line {
4882      Name            "StopTx_din"
4883      Labels          [0, 0; 0, 0]
4884      SrcBlock        "plb_memmap"
4885      SrcPort         10
4886      DstBlock        "To Register4"
4887      DstPort         1
4888    }
4889    Line {
4890      Name            "TransMode_en"
4891      Labels          [0, 0; 0, 0]
4892      SrcBlock        "plb_memmap"
4893      SrcPort         9
4894      DstBlock        "To Register3"
4895      DstPort         2
4896    }
4897    Line {
4898      Name            "TransMode_din"
4899      Labels          [0, 0; 0, 0]
4900      SrcBlock        "plb_memmap"
4901      SrcPort         8
4902      DstBlock        "To Register3"
4903      DstPort         1
4904    }
4905    Line {
4906      Name            "RADIO2TXBUFF_TXEN_en"
4907      Labels          [0, 0; 0, 0]
4908      SrcBlock        "plb_memmap"
4909      SrcPort         7
4910      DstBlock        "To Register2"
4911      DstPort         2
4912    }
4913    Line {
4914      Name            "RADIO2TXBUFF_TXEN_din"
4915      Labels          [0, 0; 0, 0]
4916      SrcBlock        "plb_memmap"
4917      SrcPort         6
4918      DstBlock        "To Register2"
4919      DstPort         1
4920    }
4921    Line {
4922      Name            "TxDelay_en"
4923      Labels          [0, 0; 0, 0]
4924      SrcBlock        "plb_memmap"
4925      SrcPort         5
4926      DstBlock        "To Register1"
4927      DstPort         2
4928    }
4929    Line {
4930      Name            "TxDelay_din"
4931      Labels          [0, 0; 0, 0]
4932      SrcBlock        "plb_memmap"
4933      SrcPort         4
4934      DstBlock        "To Register1"
4935      DstPort         1
4936    }
4937    Line {
4938      Name            "TxLength_en"
4939      Labels          [0, 0; 0, 0]
4940      SrcBlock        "plb_memmap"
4941      SrcPort         3
4942      DstBlock        "To Register"
4943      DstPort         2
4944    }
4945    Line {
4946      Name            "TxLength_din"
4947      Labels          [0, 0; 0, 0]
4948      SrcBlock        "plb_memmap"
4949      SrcPort         2
4950      DstBlock        "To Register"
4951      DstPort         1
4952    }
4953    Line {
4954      Name            "rdData"
4955      Labels          [0, 0; 0, 0]
4956      SrcBlock        "plb_memmap"
4957      SrcPort         1
4958      DstBlock        "plb_decode"
4959      DstPort         6
4960    }
4961    Line {
4962      Name            "RSSIBuff_Radio1_dout"
4963      Labels          [0, 0; 0, 0]
4964      SrcBlock        "Shared Memory11"
4965      SrcPort         1
4966      DstBlock        "plb_memmap"
4967      DstPort         40
4968    }
4969    Line {
4970      Name            "RxBuff_Radio1_dout"
4971      Labels          [0, 0; 0, 0]
4972      SrcBlock        "Shared Memory10"
4973      SrcPort         1
4974      DstBlock        "plb_memmap"
4975      DstPort         39
4976    }
4977    Line {
4978      Name            "TxBuff_Radio1_dout"
4979      Labels          [0, 0; 0, 0]
4980      SrcBlock        "Shared Memory9"
4981      SrcPort         1
4982      DstBlock        "plb_memmap"
4983      DstPort         38
4984    }
4985    Line {
4986      Name            "RSSIBuff_Radio2_dout"
4987      Labels          [0, 0; 0, 0]
4988      SrcBlock        "Shared Memory8"
4989      SrcPort         1
4990      DstBlock        "plb_memmap"
4991      DstPort         37
4992    }
4993    Line {
4994      Name            "RxBuff_Radio2_dout"
4995      Labels          [0, 0; 0, 0]
4996      SrcBlock        "Shared Memory7"
4997      SrcPort         1
4998      DstBlock        "plb_memmap"
4999      DstPort         36
5000    }
5001    Line {
5002      Name            "TxBuff_Radio2_dout"
5003      Labels          [0, 0; 0, 0]
5004      SrcBlock        "Shared Memory6"
5005      SrcPort         1
5006      DstBlock        "plb_memmap"
5007      DstPort         35
5008    }
5009    Line {
5010      Name            "RSSIBuff_Radio3_dout"
5011      Labels          [0, 0; 0, 0]
5012      SrcBlock        "Shared Memory5"
5013      SrcPort         1
5014      DstBlock        "plb_memmap"
5015      DstPort         34
5016    }
5017    Line {
5018      Name            "RxBuff_Radio3_dout"
5019      Labels          [0, 0; 0, 0]
5020      SrcBlock        "Shared Memory4"
5021      SrcPort         1
5022      DstBlock        "plb_memmap"
5023      DstPort         33
5024    }
5025    Line {
5026      Name            "TxBuff_Radio3_dout"
5027      Labels          [0, 0; 0, 0]
5028      SrcBlock        "Shared Memory3"
5029      SrcPort         1
5030      DstBlock        "plb_memmap"
5031      DstPort         32
5032    }
5033    Line {
5034      Name            "RSSIBuff_Radio4_dout"
5035      Labels          [0, 0; 0, 0]
5036      SrcBlock        "Shared Memory2"
5037      SrcPort         1
5038      DstBlock        "plb_memmap"
5039      DstPort         31
5040    }
5041    Line {
5042      Name            "RxBuff_Radio4_dout"
5043      Labels          [0, 0; 0, 0]
5044      SrcBlock        "Shared Memory1"
5045      SrcPort         1
5046      DstBlock        "plb_memmap"
5047      DstPort         30
5048    }
5049    Line {
5050      Name            "TxBuff_Radio4_dout"
5051      Labels          [0, 0; 0, 0]
5052      SrcBlock        "Shared Memory"
5053      SrcPort         1
5054      DstBlock        "plb_memmap"
5055      DstPort         29
5056    }
5057    Line {
5058      Name            "RADIO1RXBUFF_RXEN_dout"
5059      Labels          [0, 0; 0, 0]
5060      SrcBlock        "To Register16"
5061      SrcPort         1
5062      DstBlock        "plb_memmap"
5063      DstPort         28
5064    }
5065    Line {
5066      Name            "RADIO3TXBUFF_TXEN_dout"
5067      Labels          [0, 0; 0, 0]
5068      SrcBlock        "To Register15"
5069      SrcPort         1
5070      DstBlock        "plb_memmap"
5071      DstPort         27
5072    }
5073    Line {
5074      Name            "RADIO3RXBUFF_RXEN_dout"
5075      Labels          [0, 0; 0, 0]
5076      SrcBlock        "To Register14"
5077      SrcPort         1
5078      DstBlock        "plb_memmap"
5079      DstPort         26
5080    }
5081    Line {
5082      Name            "RADIO4TXBUFF_TXEN_dout"
5083      Labels          [0, 0; 0, 0]
5084      SrcBlock        "To Register13"
5085      SrcPort         1
5086      DstBlock        "plb_memmap"
5087      DstPort         25
5088    }
5089    Line {
5090      Name            "MGC_AGC_SEL_dout"
5091      Labels          [0, 0; 0, 0]
5092      SrcBlock        "To Register12"
5093      SrcPort         1
5094      DstBlock        "plb_memmap"
5095      DstPort         24
5096    }
5097    Line {
5098      Name            "DCO_EN_SEL_dout"
5099      Labels          [0, 0; 0, 0]
5100      SrcBlock        "To Register11"
5101      SrcPort         1
5102      DstBlock        "plb_memmap"
5103      DstPort         23
5104    }
5105    Line {
5106      Name            "StartTxRx_dout"
5107      Labels          [0, 0; 0, 0]
5108      SrcBlock        "To Register10"
5109      SrcPort         1
5110      DstBlock        "plb_memmap"
5111      DstPort         22
5112    }
5113    Line {
5114      Name            "StartCapture_dout"
5115      Labels          [0, 0; 0, 0]
5116      SrcBlock        "To Register9"
5117      SrcPort         1
5118      DstBlock        "plb_memmap"
5119      DstPort         21
5120    }
5121    Line {
5122      Name            "RADIO4RXBUFF_RXEN_dout"
5123      Labels          [0, 0; 0, 0]
5124      SrcBlock        "To Register8"
5125      SrcPort         1
5126      DstBlock        "plb_memmap"
5127      DstPort         20
5128    }
5129    Line {
5130      Name            "StartTx_dout"
5131      Labels          [0, 0; 0, 0]
5132      SrcBlock        "To Register7"
5133      SrcPort         1
5134      DstBlock        "plb_memmap"
5135      DstPort         19
5136    }
5137    Line {
5138      Name            "RADIO2RXBUFF_RXEN_dout"
5139      Labels          [0, 0; 0, 0]
5140      SrcBlock        "To Register6"
5141      SrcPort         1
5142      DstBlock        "plb_memmap"
5143      DstPort         18
5144    }
5145    Line {
5146      Name            "RADIO1TXBUFF_TXEN_dout"
5147      Labels          [0, 0; 0, 0]
5148      SrcBlock        "To Register5"
5149      SrcPort         1
5150      DstBlock        "plb_memmap"
5151      DstPort         17
5152    }
5153    Line {
5154      Name            "StopTx_dout"
5155      Labels          [0, 0; 0, 0]
5156      SrcBlock        "To Register4"
5157      SrcPort         1
5158      DstBlock        "plb_memmap"
5159      DstPort         16
5160    }
5161    Line {
5162      Name            "TransMode_dout"
5163      Labels          [0, 0; 0, 0]
5164      SrcBlock        "To Register3"
5165      SrcPort         1
5166      DstBlock        "plb_memmap"
5167      DstPort         15
5168    }
5169    Line {
5170      Name            "RADIO2TXBUFF_TXEN_dout"
5171      Labels          [0, 0; 0, 0]
5172      SrcBlock        "To Register2"
5173      SrcPort         1
5174      DstBlock        "plb_memmap"
5175      DstPort         14
5176    }
5177    Line {
5178      Name            "TxDelay_dout"
5179      Labels          [0, 0; 0, 0]
5180      SrcBlock        "To Register1"
5181      SrcPort         1
5182      DstBlock        "plb_memmap"
5183      DstPort         13
5184    }
5185    Line {
5186      Name            "TxLength_dout"
5187      Labels          [0, 0; 0, 0]
5188      SrcBlock        "To Register"
5189      SrcPort         1
5190      DstBlock        "plb_memmap"
5191      DstPort         12
5192    }
5193    Line {
5194      Name            "AGCDoneAddr_dout"
5195      Labels          [0, 0; 0, 0]
5196      SrcBlock        "From Register5"
5197      SrcPort         1
5198      DstBlock        "plb_memmap"
5199      DstPort         11
5200    }
5201    Line {
5202      Name            "Radio1AGCDoneRSSI_dout"
5203      Labels          [0, 0; 0, 0]
5204      SrcBlock        "From Register4"
5205      SrcPort         1
5206      DstBlock        "plb_memmap"
5207      DstPort         10
5208    }
5209    Line {
5210      Name            "Radio2AGCDoneRSSI_dout"
5211      Labels          [0, 0; 0, 0]
5212      SrcBlock        "From Register3"
5213      SrcPort         1
5214      DstBlock        "plb_memmap"
5215      DstPort         9
5216    }
5217    Line {
5218      Name            "Radio3AGCDoneRSSI_dout"
5219      Labels          [0, 0; 0, 0]
5220      SrcBlock        "From Register2"
5221      SrcPort         1
5222      DstBlock        "plb_memmap"
5223      DstPort         8
5224    }
5225    Line {
5226      Name            "Radio4AGCDoneRSSI_dout"
5227      Labels          [0, 0; 0, 0]
5228      SrcBlock        "From Register1"
5229      SrcPort         1
5230      DstBlock        "plb_memmap"
5231      DstPort         7
5232    }
5233    Line {
5234      Name            "CaptureDone_dout"
5235      Labels          [0, 0; 0, 0]
5236      SrcBlock        "From Register"
5237      SrcPort         1
5238      DstBlock        "plb_memmap"
5239      DstPort         6
5240    }
5241    Line {
5242      Name            "RNWReg"
5243      Labels          [0, 0; 0, 0]
5244      SrcBlock        "plb_decode"
5245      SrcPort         6
5246      DstBlock        "plb_memmap"
5247      DstPort         4
5248    }
5249    Line {
5250      Name            "linearAddr"
5251      Labels          [0, 0; 0, 0]
5252      SrcBlock        "plb_decode"
5253      SrcPort         9
5254      DstBlock        "plb_memmap"
5255      DstPort         3
5256    }
5257    Line {
5258      Name            "bankAddr"
5259      Labels          [0, 0; 0, 0]
5260      SrcBlock        "plb_decode"
5261      SrcPort         5
5262      DstBlock        "plb_memmap"
5263      DstPort         2
5264    }
5265    Line {
5266      Name            "wrDBusReg"
5267      Labels          [0, 0; 0, 0]
5268      SrcBlock        "plb_decode"
5269      SrcPort         1
5270      DstBlock        "plb_memmap"
5271      DstPort         1
5272    }
5273    Line {
5274      Name            "Sl_rdDBus"
5275      Labels          [0, 0; 0, 0]
5276      SrcBlock        "plb_decode"
5277      SrcPort         8
5278      DstBlock        "Sl_rdDBus"
5279      DstPort         1
5280    }
5281    Line {
5282      Name            "Sl_rdDAck"
5283      Labels          [0, 0; 0, 0]
5284      SrcBlock        "plb_decode"
5285      SrcPort         7
5286      DstBlock        "Sl_rdDAck"
5287      DstPort         1
5288    }
5289    Line {
5290      Name            "Sl_rdComp"
5291      Labels          [0, 0; 0, 0]
5292      SrcBlock        "plb_decode"
5293      SrcPort         3
5294      DstBlock        "Sl_rdComp"
5295      DstPort         1
5296    }
5297    Line {
5298      Name            "addrPref"
5299      Labels          [0, 0; 0, 0]
5300      SrcBlock        "sg_plb_addrpref"
5301      SrcPort         1
5302      DstBlock        "plb_decode"
5303      DstPort         7
5304    }
5305    Line {
5306      Name            "PLB_wrDBus"
5307      Labels          [0, 0; 0, 0]
5308      SrcBlock        "PLB_wrDBus"
5309      SrcPort         1
5310      DstBlock        "plb_decode"
5311      DstPort         5
5312    }
5313    Line {
5314      Name            "PLB_RNW"
5315      Labels          [0, 0; 0, 0]
5316      SrcBlock        "PLB_RNW"
5317      SrcPort         1
5318      DstBlock        "plb_decode"
5319      DstPort         4
5320    }
5321    Line {
5322      Name            "PLB_PAValid"
5323      Labels          [0, 0; 0, 0]
5324      SrcBlock        "PLB_PAValid"
5325      SrcPort         1
5326      DstBlock        "plb_decode"
5327      DstPort         3
5328    }
5329    Line {
5330      Name            "PLB_ABus"
5331      Labels          [0, 0; 0, 0]
5332      SrcBlock        "PLB_ABus"
5333      SrcPort         1
5334      DstBlock        "plb_decode"
5335      DstPort         2
5336    }
5337    Line {
5338      Name            "SPLB_Rst"
5339      Labels          [0, 0; 0, 0]
5340      SrcBlock        "SPLB_Rst"
5341      SrcPort         1
5342      DstBlock        "plb_decode"
5343      DstPort         1
5344    }
5345    Line {
5346      SrcBlock        "Constant6"
5347      SrcPort         1
5348      DstBlock        "sg_plb_addrpref"
5349      DstPort         1
5350    }
5351    Line {
5352      Name            "Sl_wait"
5353      Labels          [0, 0; 0, 0]
5354      SrcBlock        "Constant5"
5355      SrcPort         1
5356      DstBlock        "Sl_wait"
5357      DstPort         1
5358    }
5359    Line {
5360      SrcBlock        "Constant4"
5361      SrcPort         1
5362      DstBlock        "PLB_wrDBus"
5363      DstPort         1
5364    }
5365    Line {
5366      SrcBlock        "Constant3"
5367      SrcPort         1
5368      DstBlock        "PLB_RNW"
5369      DstPort         1
5370    }
5371    Line {
5372      SrcBlock        "Constant2"
5373      SrcPort         1
5374      DstBlock        "PLB_PAValid"
5375      DstPort         1
5376    }
5377    Line {
5378      SrcBlock        "Constant1"
5379      SrcPort         1
5380      DstBlock        "PLB_ABus"
5381      DstPort         1
5382    }
5383    Line {
5384      SrcBlock        "Constant"
5385      SrcPort         1
5386      DstBlock        "SPLB_Rst"
5387      DstPort         1
5388    }
5389    Line {
5390      SrcBlock        "Sl_wrComp"
5391      SrcPort         1
5392      DstBlock        "Terminator6"
5393      DstPort         1
5394    }
5395    Line {
5396      SrcBlock        "Sl_wrDAck"
5397      SrcPort         1
5398      DstBlock        "Terminator5"
5399      DstPort         1
5400    }
5401    Line {
5402      SrcBlock        "Sl_wait"
5403      SrcPort         1
5404      DstBlock        "Terminator4"
5405      DstPort         1
5406    }
5407    Line {
5408      SrcBlock        "Sl_rdDBus"
5409      SrcPort         1
5410      DstBlock        "Terminator3"
5411      DstPort         1
5412    }
5413    Line {
5414      SrcBlock        "Sl_rdDAck"
5415      SrcPort         1
5416      DstBlock        "Terminator2"
5417      DstPort         1
5418    }
5419    Line {
5420      SrcBlock        "Sl_rdComp"
5421      SrcPort         1
5422      DstBlock        "Terminator1"
5423      DstPort         1
5424    }
5425    Line {
5426      SrcBlock        "Sl_addrAck"
5427      SrcPort         1
5428      DstBlock        "Terminator"
5429      DstPort         1
5430    }
5431      }
5432    }
5433    Block {
5434      BlockType           From
5435      Name            "From1"
5436      SID             "7"
5437      Position            [115, 766, 250, 784]
5438      ShowName            off
5439      CloseFcn            "tagdialog Close"
5440      GotoTag             "StopTx"
5441      TagVisibility       "global"
5442    }
5443    Block {
5444      BlockType           From
5445      Name            "From10"
5446      SID             "8"
5447      Position            [940, 121, 1075, 139]
5448      ShowName            off
5449      CloseFcn            "tagdialog Close"
5450      GotoTag             "RADIO1RXBUFF_RXEN"
5451      TagVisibility       "global"
5452    }
5453    Block {
5454      BlockType           From
5455      Name            "From11"
5456      SID             "9"
5457      Position            [945, 266, 1080, 284]
5458      ShowName            off
5459      CloseFcn            "tagdialog Close"
5460      GotoTag             "RADIO2RXBUFF_RXEN"
5461      TagVisibility       "global"
5462    }
5463    Block {
5464      BlockType           From
5465      Name            "From12"
5466      SID             "10"
5467      Position            [945, 406, 1080, 424]
5468      ShowName            off
5469      CloseFcn            "tagdialog Close"
5470      GotoTag             "RADIO3RXBUFF_RXEN"
5471      TagVisibility       "global"
5472    }
5473    Block {
5474      BlockType           From
5475      Name            "From13"
5476      SID             "11"
5477      Position            [945, 546, 1080, 564]
5478      ShowName            off
5479      CloseFcn            "tagdialog Close"
5480      GotoTag             "RADIO4RXBUFF_RXEN"
5481      TagVisibility       "global"
5482    }
5483    Block {
5484      BlockType           From
5485      Name            "From18"
5486      SID             "16"
5487      Position            [705, 549, 855, 571]
5488      ShowName            off
5489      CloseFcn            "tagdialog Close"
5490      GotoTag             "MGCAGC_AGCDCO_SEL"
5491      TagVisibility       "global"
5492    }
5493    Block {
5494      BlockType           From
5495      Name            "From19"
5496      SID             "17"
5497      Position            [40, 466, 175, 484]
5498      ShowName            off
5499      CloseFcn            "tagdialog Close"
5500      GotoTag             "StartTxRx"
5501      TagVisibility       "global"
5502    }
5503    Block {
5504      BlockType           From
5505      Name            "From2"
5506      SID             "18"
5507      Position            [275, 821, 410, 839]
5508      ShowName            off
5509      CloseFcn            "tagdialog Close"
5510      GotoTag             "TransMode"
5511      TagVisibility       "global"
5512    }
5513    Block {
5514      BlockType           From
5515      Name            "From3"
5516      SID             "19"
5517      Position            [110, 671, 245, 689]
5518      ShowName            off
5519      CloseFcn            "tagdialog Close"
5520      GotoTag             "StartTx"
5521      TagVisibility       "global"
5522    }
5523    Block {
5524      BlockType           From
5525      Name            "From4"
5526      SID             "20"
5527      Position            [270, 896, 405, 914]
5528      ShowName            off
5529      CloseFcn            "tagdialog Close"
5530      GotoTag             "TxDelay"
5531      TagVisibility       "global"
5532    }
5533    Block {
5534      BlockType           From
5535      Name            "From5"
5536      SID             "21"
5537      Position            [860, 701, 995, 719]
5538      ShowName            off
5539      CloseFcn            "tagdialog Close"
5540      GotoTag             "RADIO1TXBUFF_TXEN"
5541      TagVisibility       "global"
5542    }
5543    Block {
5544      BlockType           From
5545      Name            "From6"
5546      SID             "22"
5547      Position            [860, 796, 995, 814]
5548      ShowName            off
5549      CloseFcn            "tagdialog Close"
5550      GotoTag             "RADIO2TXBUFF_TXEN"
5551      TagVisibility       "global"
5552    }
5553    Block {
5554      BlockType           From
5555      Name            "From7"
5556      SID             "23"
5557      Position            [860, 891, 995, 909]
5558      ShowName            off
5559      CloseFcn            "tagdialog Close"
5560      GotoTag             "RADIO3TXBUFF_TXEN"
5561      TagVisibility       "global"
5562    }
5563    Block {
5564      BlockType           From
5565      Name            "From8"
5566      SID             "24"
5567      Position            [860, 991, 995, 1009]
5568      ShowName            off
5569      CloseFcn            "tagdialog Close"
5570      GotoTag             "RADIO4TXBUFF_TXEN"
5571      TagVisibility       "global"
5572    }
5573    Block {
5574      BlockType           From
5575      Name            "From9"
5576      SID             "25"
5577      Position            [80, 236, 215, 254]
5578      ShowName            off
5579      CloseFcn            "tagdialog Close"
5580      GotoTag             "StartCapture"
5581      TagVisibility       "global"
5582    }
5583    Block {
5584      BlockType           Goto
5585      Name            "Goto1"
5586      SID             "26"
5587      Position            [555, 129, 660, 151]
5588      ShowName            off
5589      GotoTag             "WR_ADDR"
5590      TagVisibility       "global"
5591    }
5592    Block {
5593      BlockType           Goto
5594      Name            "Goto2"
5595      SID             "27"
5596      Position            [1275, 17, 1435, 43]
5597      ShowName            off
5598      GotoTag             "Radio1_RSSI"
5599      TagVisibility       "global"
5600    }
5601    Block {
5602      BlockType           Goto
5603      Name            "Goto3"
5604      SID             "28"
5605      Position            [495, 1007, 655, 1033]
5606      ShowName            off
5607      GotoTag             "AGC_Done_Detect"
5608      TagVisibility       "global"
5609    }
5610    Block {
5611      BlockType           Goto
5612      Name            "Goto4"
5613      SID             "29"
5614      Position            [1275, 162, 1435, 188]
5615      ShowName            off
5616      GotoTag             "Radio2_RSSI"
5617      TagVisibility       "global"
5618    }
5619    Block {
5620      BlockType           Goto
5621      Name            "Goto5"
5622      SID             "30"
5623      Position            [1275, 302, 1435, 328]
5624      ShowName            off
5625      GotoTag             "Radio3_RSSI"
5626      TagVisibility       "global"
5627    }
5628    Block {
5629      BlockType           Goto
5630      Name            "Goto6"
5631      SID             "31"
5632      Position            [1275, 442, 1435, 468]
5633      ShowName            off
5634      GotoTag             "Radio4_RSSI"
5635      TagVisibility       "global"
5636    }
5637    Block {
5638      BlockType           Reference
5639      Name            "Inverter"
5640      SID             "32"
5641      Ports           [1, 1]
5642      Position            [770, 691, 795, 709]
5643      ShowName            off
5644      LibraryVersion          "1.2"
5645      SourceBlock         "xbsIndex_r4/Inverter"
5646      SourceType          "Xilinx Inverter Block"
5647      infoedit            "Bitwise logical negation (one's complement) operator."
5648      en              off
5649      latency             "0"
5650      dbl_ovrd            off
5651      xl_use_area         off
5652      xl_area             "[0,0,0,0,0,0,0]"
5653      has_advanced_control    "0"
5654      sggui_pos           "-1,-1,-1,-1"
5655      block_type          "inv"
5656      block_version       "8.2"
5657      sg_icon_stat        "25,18,1,1,white,blue,0,267846e5,right,,[ ],[ ]"
5658      sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npatch([0 25 25 0 0 ],[0 0 18 18 0 ],[0.77 0."
5659      "82 0.91 ]);\nplot([0 25 25 0 0 ],[0 0 18 18 0 ]);\npatch([7.55 10.44 12.44 14.44 16.44 12.44 9.55 7.55 ],[11.22 "
5660      "11.22 13.22 11.22 13.22 13.22 13.22 11.22 ],[1 1 1 ]);\npatch([9.55 12.44 10.44 7.55 9.55 ],[9.22 9.22 11.22 11."
5661      "22 9.22 ],[0.931 0.946 0.973 ]);\npatch([7.55 10.44 12.44 9.55 7.55 ],[7.22 7.22 9.22 9.22 7.22 ],[1 1 1 ]);\npa"
5662      "tch([9.55 16.44 14.44 12.44 10.44 7.55 9.55 ],[5.22 5.22 7.22 5.22 7.22 7.22 5.22 ],[0.931 0.946 0.973 ]);\nfpri"
5663      "ntf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\n\n\ncolor('black');disp('not');\n"
5664      "fprintf('','COMMENT: end icon text');"
5665    }
5666    Block {
5667      BlockType           Reference
5668      Name            "Logical"
5669      SID             "33"
5670      Ports           [3, 1]
5671      Position            [235, 205, 275, 285]
5672      ShowName            off
5673      LibraryVersion          "1.2"
5674      SourceBlock         "xbsIndex_r4/Logical"
5675      SourceType          "Xilinx Logical Block Block"
5676      logical_function        "OR"
5677      inputs              "3"
5678      en              off
5679      latency             "0"
5680      precision           "Full"
5681      arith_type          "Unsigned"
5682      n_bits              "16"
5683      bin_pt              "0"
5684      align_bp            on
5685      dbl_ovrd            off
5686      xl_use_area         off
5687      xl_area             "[0,0,0,0,0,0,0]"
5688      has_advanced_control    "0"
5689      sggui_pos           "20,20,348,261"
5690      block_type          "logical"
5691      block_version       "8.2"
5692      sg_icon_stat        "40,80,3,1,white,blue,0,a2abe52d,right,,[ ],[ ]"
5693      sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npatch([0 40 40 0 0 ],[0 0 80 80 0 ],[0.77 0."
5694      "82 0.91 ]);\nplot([0 40 40 0 0 ],[0 0 80 80 0 ]);\npatch([8.875 16.1 21.1 26.1 31.1 21.1 13.875 8.875 ],[45.55 4"
5695      "5.55 50.55 45.55 50.55 50.55 50.55 45.55 ],[1 1 1 ]);\npatch([13.875 21.1 16.1 8.875 13.875 ],[40.55 40.55 45.55"
5696      " 45.55 40.55 ],[0.931 0.946 0.973 ]);\npatch([8.875 16.1 21.1 13.875 8.875 ],[35.55 35.55 40.55 40.55 35.55 ],[1"
5697      " 1 1 ]);\npatch([13.875 31.1 26.1 21.1 16.1 8.875 13.875 ],[30.55 30.55 35.55 30.55 35.55 35.55 30.55 ],[0.931 0"
5698      ".946 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\n\n\n\n\ncolo"
5699      "r('black');disp('or');\nfprintf('','COMMENT: end icon text');"
5700    }
5701    Block {
5702      BlockType           SubSystem
5703      Name            "Memmory-mapped Registers"
5704      SID             "34"
5705      Ports           []
5706      Position            [270, 25, 310, 85]
5707      MinAlgLoopOccurrences   off
5708      PropExecContextOutsideSubsystem off
5709      RTWSystemCode       "Auto"
5710      FunctionWithSeparateData off
5711      Opaque              off
5712      RequestExecContextInheritance off
5713      MaskHideContents        off
5714      System {
5715    Name            "Memmory-mapped Registers"
5716    Location        [280, 82, 1680, 1131]
5717    Open            off
5718    ModelBrowserVisibility  off
5719    ModelBrowserWidth   200
5720    ScreenColor     "white"
5721    PaperOrientation    "landscape"
5722    PaperPositionMode   "auto"
5723    PaperType       "usletter"
5724    PaperUnits      "inches"
5725    TiledPaperMargins   [0.500000, 0.500000, 0.500000, 0.500000]
5726    TiledPageScale      1
5727    ShowPageBoundaries  off
5728    ZoomFactor      "100"
5729    Block {
5730      BlockType       Reference
5731      Name            "AGCDoneAddr"
5732      SID             "35"
5733      Ports           [2, 1]
5734      Position        [975, 17, 1035, 73]
5735      AttributesFormatString  "<< %<shared_memory_name> >>"
5736      LibraryVersion      "1.2"
5737      SourceBlock         "xbsIndex_r4/To Register"
5738      SourceType          "Xilinx Shared Memory Based To Register Block"
5739      infoedit        "Register block that writes data to a shared memory register.  Delay of one sample period."
5740      shared_memory_name      "'AGCDoneAddr'"
5741      init            "0"
5742      ownership       "Locally owned and initialized"
5743      explicit_data_type      on
5744      gui_display_data_type   "Fixed-point"
5745      arith_type          "Unsigned"
5746      n_bits          "14"
5747      bin_pt          "0"
5748      preci_type          "Single"
5749      dbl_ovrd        off
5750      xl_use_area         off
5751      xl_area         "[0,0,0,0,0,0,0]"
5752      has_advanced_control    "0"
5753      sggui_pos       "20,20,381,266"
5754      block_type          "toreg"
5755      block_version       "10.1.3"
5756      sg_icon_stat        "60,56,2,1,white,blue,0,10ab453e,right,,[ ],[ ]"
5757      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 0 ],[0 0 56 56 0 ],[0.77 0.82 0.91"
5758      " ]);\nplot([0 60 60 0 0 ],[0 0 56 56 0 ]);\npatch([12.2 23.76 31.76 39.76 47.76 31.76 20.2 12.2 ],[36.88 36.88 44.8"
5759      "8 36.88 44.88 44.88 44.88 36.88 ],[1 1 1 ]);\npatch([20.2 31.76 23.76 12.2 20.2 ],[28.88 28.88 36.88 36.88 28.88 ],"
5760      "[0.931 0.946 0.973 ]);\npatch([12.2 23.76 31.76 20.2 12.2 ],[20.88 20.88 28.88 28.88 20.88 ],[1 1 1 ]);\npatch([20."
5761      "2 47.76 39.76 31.76 23.76 12.2 20.2 ],[12.88 12.88 20.88 12.88 20.88 20.88 12.88 ],[0.931 0.946 0.973 ]);\nfprintf("
5762      "'','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1,'di"
5763      "n');\ncolor('black');port_label('input',2,'en');\ncolor('black');port_label('output',1,'dout');\nfprintf('','COMMEN"
5764      "T: end icon text');"
5765    }
5766    Block {
5767      BlockType       Reference
5768      Name            "Convert1"
5769      SID             "36"
5770      Ports           [1, 1]
5771      Position        [870, 512, 895, 528]
5772      ShowName        off
5773      LibraryVersion      "1.2"
5774      SourceBlock         "xbsIndex_r4/Convert"
5775      SourceType          "Xilinx Type Converter Block"
5776      infoedit        "Hardware notes: rounding and saturating require hardware resources; truncating and wrapping do not."
5777      gui_display_data_type   "Fixed-point"
5778      arith_type          "Boolean"
5779      n_bits          "16"
5780      bin_pt          "14"
5781      float_type          "Single"
5782      exp_bits        "8"
5783      fraction_bits       "24"
5784      quantization        "Truncate"
5785      overflow        "Wrap"
5786      en              off
5787      latency         "0"
5788      dbl_ovrd        off
5789      pipeline        off
5790      xl_use_area         off
5791      xl_area         "[0,0,0,0,0,0,0]"
5792      has_advanced_control    "0"
5793      sggui_pos       "20,20,461,375"
5794      block_type          "convert"
5795      block_version       "8.2"
5796      sg_icon_stat        "25,16,1,1,white,blue,0,edca21da,right,,[ ],[ ]"
5797      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 25 25 0 0 ],[0 0 16 16 0 ],[0.77 0.82 0.91"
5798      " ]);\nplot([0 25 25 0 0 ],[0 0 16 16 0 ]);\npatch([7.55 10.44 12.44 14.44 16.44 12.44 9.55 7.55 ],[10.22 10.22 12.2"
5799      "2 10.22 12.22 12.22 12.22 10.22 ],[1 1 1 ]);\npatch([9.55 12.44 10.44 7.55 9.55 ],[8.22 8.22 10.22 10.22 8.22 ],[0."
5800      "931 0.946 0.973 ]);\npatch([7.55 10.44 12.44 9.55 7.55 ],[6.22 6.22 8.22 8.22 6.22 ],[1 1 1 ]);\npatch([9.55 16.44 "
5801      "14.44 12.44 10.44 7.55 9.55 ],[4.22 4.22 6.22 4.22 6.22 6.22 4.22 ],[0.931 0.946 0.973 ]);\nfprintf('','COMMENT: en"
5802      "d icon graphics');\nfprintf('','COMMENT: begin icon text');\n\ncolor('black');port_label('output',1,'cast');\nfprin"
5803      "tf('','COMMENT: end icon text');"
5804    }
5805    Block {
5806      BlockType       Reference
5807      Name            "Convert2"
5808      SID             "37"
5809      Ports           [1, 1]
5810      Position        [870, 582, 895, 598]
5811      ShowName        off
5812      LibraryVersion      "1.2"
5813      SourceBlock         "xbsIndex_r4/Convert"
5814      SourceType          "Xilinx Type Converter Block"
5815      infoedit        "Hardware notes: rounding and saturating require hardware resources; truncating and wrapping do not."
5816      gui_display_data_type   "Fixed-point"
5817      arith_type          "Boolean"
5818      n_bits          "16"
5819      bin_pt          "14"
5820      float_type          "Single"
5821      exp_bits        "8"
5822      fraction_bits       "24"
5823      quantization        "Truncate"
5824      overflow        "Wrap"
5825      en              off
5826      latency         "0"
5827      dbl_ovrd        off
5828      pipeline        off
5829      xl_use_area         off
5830      xl_area         "[0,0,0,0,0,0,0]"
5831      has_advanced_control    "0"
5832      sggui_pos       "20,20,461,375"
5833      block_type          "convert"
5834      block_version       "8.2"
5835      sg_icon_stat        "25,16,1,1,white,blue,0,edca21da,right,,[ ],[ ]"
5836      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 25 25 0 0 ],[0 0 16 16 0 ],[0.77 0.82 0.91"
5837      " ]);\nplot([0 25 25 0 0 ],[0 0 16 16 0 ]);\npatch([7.55 10.44 12.44 14.44 16.44 12.44 9.55 7.55 ],[10.22 10.22 12.2"
5838      "2 10.22 12.22 12.22 12.22 10.22 ],[1 1 1 ]);\npatch([9.55 12.44 10.44 7.55 9.55 ],[8.22 8.22 10.22 10.22 8.22 ],[0."
5839      "931 0.946 0.973 ]);\npatch([7.55 10.44 12.44 9.55 7.55 ],[6.22 6.22 8.22 8.22 6.22 ],[1 1 1 ]);\npatch([9.55 16.44 "
5840      "14.44 12.44 10.44 7.55 9.55 ],[4.22 4.22 6.22 4.22 6.22 6.22 4.22 ],[0.931 0.946 0.973 ]);\nfprintf('','COMMENT: en"
5841      "d icon graphics');\nfprintf('','COMMENT: begin icon text');\n\ncolor('black');port_label('output',1,'cast');\nfprin"
5842      "tf('','COMMENT: end icon text');"
5843    }
5844    Block {
5845      BlockType       Reference
5846      Name            "Convert3"
5847      SID             "38"
5848      Ports           [1, 1]
5849      Position        [1035, 527, 1060, 543]
5850      ShowName        off
5851      LibraryVersion      "1.2"
5852      SourceBlock         "xbsIndex_r4/Convert"
5853      SourceType          "Xilinx Type Converter Block"
5854      infoedit        "Hardware notes: rounding and saturating require hardware resources; truncating and wrapping do not."
5855      gui_display_data_type   "Fixed-point"
5856      arith_type          "Unsigned"
5857      n_bits          "1"
5858      bin_pt          "0"
5859      float_type          "Single"
5860      exp_bits        "8"
5861      fraction_bits       "24"
5862      quantization        "Truncate"
5863      overflow        "Wrap"
5864      en              off
5865      latency         "0"
5866      dbl_ovrd        off
5867      pipeline        off
5868      xl_use_area         off
5869      xl_area         "[0,0,0,0,0,0,0]"
5870      has_advanced_control    "0"
5871      sggui_pos       "20,20,461,375"
5872      block_type          "convert"
5873      block_version       "8.2"
5874      sg_icon_stat        "25,16,1,1,white,blue,0,edca21da,right,,[ ],[ ]"
5875      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 25 25 0 0 ],[0 0 16 16 0 ],[0.77 0.82 0.91"
5876      " ]);\nplot([0 25 25 0 0 ],[0 0 16 16 0 ]);\npatch([7.55 10.44 12.44 14.44 16.44 12.44 9.55 7.55 ],[10.22 10.22 12.2"
5877      "2 10.22 12.22 12.22 12.22 10.22 ],[1 1 1 ]);\npatch([9.55 12.44 10.44 7.55 9.55 ],[8.22 8.22 10.22 10.22 8.22 ],[0."
5878      "931 0.946 0.973 ]);\npatch([7.55 10.44 12.44 9.55 7.55 ],[6.22 6.22 8.22 8.22 6.22 ],[1 1 1 ]);\npatch([9.55 16.44 "
5879      "14.44 12.44 10.44 7.55 9.55 ],[4.22 4.22 6.22 4.22 6.22 6.22 4.22 ],[0.931 0.946 0.973 ]);\nfprintf('','COMMENT: en"
5880      "d icon graphics');\nfprintf('','COMMENT: begin icon text');\n\ncolor('black');port_label('output',1,'cast');\nfprin"
5881      "tf('','COMMENT: end icon text');"
5882    }
5883    Block {
5884      BlockType       Reference
5885      Name            "From Register1"
5886      SID             "43"
5887      Ports           [0, 1]
5888      Position        [450, 100, 495, 130]
5889      ShowName        off
5890      AttributesFormatString  "RADIO1RXBUFF_RXEN\\n<< %<shared_memory_name> >>"
5891      LibraryVersion      "1.2"
5892      SourceBlock         "xbsIndex_r4/From Register"
5893      SourceType          "Xilinx Shared Memory Based From Register Block"
5894      infoedit        "Register block that reads data to a shared memory register.  Delay of one sample period."
5895      shared_memory_name      "'RADIO1RXBUFF_RXEN'"
5896      init            "0"
5897      period          "1"
5898      ownership       "Locally owned and initialized"
5899      gui_display_data_type   "Fixed-point"
5900      arith_type          "Unsigned"
5901      n_bits          "1"
5902      bin_pt          "0"
5903      preci_type          "Single"
5904      dbl_ovrd        off
5905      xl_use_area         off
5906      xl_area         "[0,0,0,0,0,0,0]"
5907      has_advanced_control    "0"
5908      sggui_pos       "20,20,381,246"
5909      block_type          "fromreg"
5910      block_version       "8.2"
5911      sg_icon_stat        "45,30,0,1,white,blue,0,b27a07ff,right,,[ ],[ ]"
5912      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 45 45 0 0 ],[0 0 30 30 0 ],[0.77 0.82 0.91"
5913      " ]);\nplot([0 45 45 0 0 ],[0 0 30 30 0 ]);\npatch([13.1 18.88 22.88 26.88 30.88 22.88 17.1 13.1 ],[19.44 19.44 23.4"
5914      "4 19.44 23.44 23.44 23.44 19.44 ],[1 1 1 ]);\npatch([17.1 22.88 18.88 13.1 17.1 ],[15.44 15.44 19.44 19.44 15.44 ],"
5915      "[0.931 0.946 0.973 ]);\npatch([13.1 18.88 22.88 17.1 13.1 ],[11.44 11.44 15.44 15.44 11.44 ],[1 1 1 ]);\npatch([17."
5916      "1 30.88 26.88 22.88 18.88 13.1 17.1 ],[7.44 7.44 11.44 7.44 11.44 11.44 7.44 ],[0.931 0.946 0.973 ]);\nfprintf('','"
5917      "COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('output',1,'dout'"
5918      ");\nfprintf('','COMMENT: end icon text');"
5919    }
5920    Block {
5921      BlockType       Reference
5922      Name            "From Register10"
5923      SID             "44"
5924      Ports           [0, 1]
5925      Position        [55, 590, 100, 620]
5926      ShowName        off
5927      AttributesFormatString  "RADIO3TXBUFF_TXEN\\n<< %<shared_memory_name> >>"
5928      LibraryVersion      "1.2"
5929      SourceBlock         "xbsIndex_r4/From Register"
5930      SourceType          "Xilinx Shared Memory Based From Register Block"
5931      infoedit        "Register block that reads data to a shared memory register.  Delay of one sample period."
5932      shared_memory_name      "'RADIO3TXBUFF_TXEN'"
5933      init            "0"
5934      period          "1"
5935      ownership       "Locally owned and initialized"
5936      gui_display_data_type   "Fixed-point"
5937      arith_type          "Unsigned"
5938      n_bits          "1"
5939      bin_pt          "0"
5940      preci_type          "Single"
5941      dbl_ovrd        off
5942      xl_use_area         off
5943      xl_area         "[0,0,0,0,0,0,0]"
5944      has_advanced_control    "0"
5945      sggui_pos       "20,20,379,246"
5946      block_type          "fromreg"
5947      block_version       "8.2"
5948      sg_icon_stat        "45,30,0,1,white,blue,0,b27a07ff,right,,[ ],[ ]"
5949      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 45 45 0 0 ],[0 0 30 30 0 ],[0.77 0.82 0.91"
5950      " ]);\nplot([0 45 45 0 0 ],[0 0 30 30 0 ]);\npatch([13.1 18.88 22.88 26.88 30.88 22.88 17.1 13.1 ],[19.44 19.44 23.4"
5951      "4 19.44 23.44 23.44 23.44 19.44 ],[1 1 1 ]);\npatch([17.1 22.88 18.88 13.1 17.1 ],[15.44 15.44 19.44 19.44 15.44 ],"
5952      "[0.931 0.946 0.973 ]);\npatch([13.1 18.88 22.88 17.1 13.1 ],[11.44 11.44 15.44 15.44 11.44 ],[1 1 1 ]);\npatch([17."
5953      "1 30.88 26.88 22.88 18.88 13.1 17.1 ],[7.44 7.44 11.44 7.44 11.44 11.44 7.44 ],[0.931 0.946 0.973 ]);\nfprintf('','"
5954      "COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('output',1,'dout'"
5955      ");\nfprintf('','COMMENT: end icon text');"
5956    }
5957    Block {
5958      BlockType       Reference
5959      Name            "From Register11"
5960      SID             "45"
5961      Ports           [0, 1]
5962      Position        [450, 265, 495, 295]
5963      ShowName        off
5964      AttributesFormatString  "RADIO3RXBUFF_RXEN\\n<< %<shared_memory_name> >>"
5965      LibraryVersion      "1.2"
5966      SourceBlock         "xbsIndex_r4/From Register"
5967      SourceType          "Xilinx Shared Memory Based From Register Block"
5968      infoedit        "Register block that reads data to a shared memory register.  Delay of one sample period."
5969      shared_memory_name      "'RADIO3RXBUFF_RXEN'"
5970      init            "0"
5971      period          "1"
5972      ownership       "Locally owned and initialized"
5973      gui_display_data_type   "Fixed-point"
5974      arith_type          "Unsigned"
5975      n_bits          "1"
5976      bin_pt          "0"
5977      preci_type          "Single"
5978      dbl_ovrd        off
5979      xl_use_area         off
5980      xl_area         "[0,0,0,0,0,0,0]"
5981      has_advanced_control    "0"
5982      sggui_pos       "20,20,381,246"
5983      block_type          "fromreg"
5984      block_version       "8.2"
5985      sg_icon_stat        "45,30,0,1,white,blue,0,b27a07ff,right,,[ ],[ ]"
5986      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 45 45 0 0 ],[0 0 30 30 0 ],[0.77 0.82 0.91"
5987      " ]);\nplot([0 45 45 0 0 ],[0 0 30 30 0 ]);\npatch([13.1 18.88 22.88 26.88 30.88 22.88 17.1 13.1 ],[19.44 19.44 23.4"
5988      "4 19.44 23.44 23.44 23.44 19.44 ],[1 1 1 ]);\npatch([17.1 22.88 18.88 13.1 17.1 ],[15.44 15.44 19.44 19.44 15.44 ],"
5989      "[0.931 0.946 0.973 ]);\npatch([13.1 18.88 22.88 17.1 13.1 ],[11.44 11.44 15.44 15.44 11.44 ],[1 1 1 ]);\npatch([17."
5990      "1 30.88 26.88 22.88 18.88 13.1 17.1 ],[7.44 7.44 11.44 7.44 11.44 11.44 7.44 ],[0.931 0.946 0.973 ]);\nfprintf('','"
5991      "COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('output',1,'dout'"
5992      ");\nfprintf('','COMMENT: end icon text');"
5993    }
5994    Block {
5995      BlockType       Reference
5996      Name            "From Register12"
5997      SID             "46"
5998      Ports           [0, 1]
5999      Position        [55, 670, 100, 700]
6000      ShowName        off
6001      AttributesFormatString  "RADIO4TXBUFF_TXEN\\n<< %<shared_memory_name> >>"
6002      LibraryVersion      "1.2"
6003      SourceBlock         "xbsIndex_r4/From Register"
6004      SourceType          "Xilinx Shared Memory Based From Register Block"
6005      infoedit        "Register block that reads data to a shared memory register.  Delay of one sample period."
6006      shared_memory_name      "'RADIO4TXBUFF_TXEN'"
6007      init            "0"
6008      period          "1"
6009      ownership       "Locally owned and initialized"
6010      gui_display_data_type   "Fixed-point"
6011      arith_type          "Unsigned"
6012      n_bits          "1"
6013      bin_pt          "0"
6014      preci_type          "Single"
6015      dbl_ovrd        off
6016      xl_use_area         off
6017      xl_area         "[0,0,0,0,0,0,0]"
6018      has_advanced_control    "0"
6019      sggui_pos       "20,20,381,246"
6020      block_type          "fromreg"
6021      block_version       "8.2"
6022      sg_icon_stat        "45,30,0,1,white,blue,0,b27a07ff,right,,[ ],[ ]"
6023      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 45 45 0 0 ],[0 0 30 30 0 ],[0.77 0.82 0.91"
6024      " ]);\nplot([0 45 45 0 0 ],[0 0 30 30 0 ]);\npatch([13.1 18.88 22.88 26.88 30.88 22.88 17.1 13.1 ],[19.44 19.44 23.4"
6025      "4 19.44 23.44 23.44 23.44 19.44 ],[1 1 1 ]);\npatch([17.1 22.88 18.88 13.1 17.1 ],[15.44 15.44 19.44 19.44 15.44 ],"
6026      "[0.931 0.946 0.973 ]);\npatch([13.1 18.88 22.88 17.1 13.1 ],[11.44 11.44 15.44 15.44 11.44 ],[1 1 1 ]);\npatch([17."
6027      "1 30.88 26.88 22.88 18.88 13.1 17.1 ],[7.44 7.44 11.44 7.44 11.44 11.44 7.44 ],[0.931 0.946 0.973 ]);\nfprintf('','"
6028      "COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('output',1,'dout'"
6029      ");\nfprintf('','COMMENT: end icon text');"
6030    }
6031    Block {
6032      BlockType       Reference
6033      Name            "From Register13"
6034      SID             "47"
6035      Ports           [0, 1]
6036      Position        [770, 505, 815, 535]
6037      ShowName        off
6038      AttributesFormatString  "MGC_AGC_SEL\\n<< %<shared_memory_name> >>"
6039      LibraryVersion      "1.2"
6040      SourceBlock         "xbsIndex_r4/From Register"
6041      SourceType          "Xilinx Shared Memory Based From Register Block"
6042      infoedit        "Register block that reads data to a shared memory register.  Delay of one sample period."
6043      shared_memory_name      "'MGC_AGC_SEL'"
6044      init            "1"
6045      period          "1"
6046      ownership       "Locally owned and initialized"
6047      gui_display_data_type   "Fixed-point"
6048      arith_type          "Unsigned"
6049      n_bits          "1"
6050      bin_pt          "0"
6051      preci_type          "Single"
6052      dbl_ovrd        off
6053      xl_use_area         off
6054      xl_area         "[0,0,0,0,0,0,0]"
6055      has_advanced_control    "0"
6056      sggui_pos       "20,20,447,246"
6057      block_type          "fromreg"
6058      block_version       "8.2"
6059      sg_icon_stat        "45,30,0,1,white,blue,0,b27a07ff,right,,[ ],[ ]"
6060      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 45 45 0 0 ],[0 0 30 30 0 ],[0.77 0.82 0.91"
6061      " ]);\nplot([0 45 45 0 0 ],[0 0 30 30 0 ]);\npatch([13.1 18.88 22.88 26.88 30.88 22.88 17.1 13.1 ],[19.44 19.44 23.4"
6062      "4 19.44 23.44 23.44 23.44 19.44 ],[1 1 1 ]);\npatch([17.1 22.88 18.88 13.1 17.1 ],[15.44 15.44 19.44 19.44 15.44 ],"
6063      "[0.931 0.946 0.973 ]);\npatch([13.1 18.88 22.88 17.1 13.1 ],[11.44 11.44 15.44 15.44 11.44 ],[1 1 1 ]);\npatch([17."
6064      "1 30.88 26.88 22.88 18.88 13.1 17.1 ],[7.44 7.44 11.44 7.44 11.44 11.44 7.44 ],[0.931 0.946 0.973 ]);\nfprintf('','"
6065      "COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('output',1,'dout'"
6066      ");\nfprintf('','COMMENT: end icon text');"
6067    }
6068    Block {
6069      BlockType       Reference
6070      Name            "From Register14"
6071      SID             "48"
6072      Ports           [0, 1]
6073      Position        [770, 575, 815, 605]
6074      ShowName        off
6075      AttributesFormatString  "DCO_EN_SEL\\n<< %<shared_memory_name> >>"
6076      LibraryVersion      "1.2"
6077      SourceBlock         "xbsIndex_r4/From Register"
6078      SourceType          "Xilinx Shared Memory Based From Register Block"
6079      infoedit        "Register block that reads data to a shared memory register.  Delay of one sample period."
6080      shared_memory_name      "'DCO_EN_SEL'"
6081      init            "1"
6082      period          "1"
6083      ownership       "Locally owned and initialized"
6084      gui_display_data_type   "Fixed-point"
6085      arith_type          "Unsigned"
6086      n_bits          "1"
6087      bin_pt          "0"
6088      preci_type          "Single"
6089      dbl_ovrd        off
6090      xl_use_area         off
6091      xl_area         "[0,0,0,0,0,0,0]"
6092      has_advanced_control    "0"
6093      sggui_pos       "20,20,447,246"
6094      block_type          "fromreg"
6095      block_version       "8.2"
6096      sg_icon_stat        "45,30,0,1,white,blue,0,b27a07ff,right,,[ ],[ ]"
6097      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 45 45 0 0 ],[0 0 30 30 0 ],[0.77 0.82 0.91"
6098      " ]);\nplot([0 45 45 0 0 ],[0 0 30 30 0 ]);\npatch([13.1 18.88 22.88 26.88 30.88 22.88 17.1 13.1 ],[19.44 19.44 23.4"
6099      "4 19.44 23.44 23.44 23.44 19.44 ],[1 1 1 ]);\npatch([17.1 22.88 18.88 13.1 17.1 ],[15.44 15.44 19.44 19.44 15.44 ],"
6100      "[0.931 0.946 0.973 ]);\npatch([13.1 18.88 22.88 17.1 13.1 ],[11.44 11.44 15.44 15.44 11.44 ],[1 1 1 ]);\npatch([17."
6101      "1 30.88 26.88 22.88 18.88 13.1 17.1 ],[7.44 7.44 11.44 7.44 11.44 11.44 7.44 ],[0.931 0.946 0.973 ]);\nfprintf('','"
6102      "COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('output',1,'dout'"
6103      ");\nfprintf('','COMMENT: end icon text');"
6104    }
6105    Block {
6106      BlockType       Reference
6107      Name            "From Register15"
6108      SID             "49"
6109      Ports           [0, 1]
6110      Position        [55, 185, 100, 215]
6111      ShowName        off
6112      AttributesFormatString  "StartTxRx\\n<< %<shared_memory_name> >>"
6113      LibraryVersion      "1.2"
6114      SourceBlock         "xbsIndex_r4/From Register"
6115      SourceType          "Xilinx Shared Memory Based From Register Block"
6116      infoedit        "Register block that reads data to a shared memory register.  Delay of one sample period."
6117      shared_memory_name      "'StartTxRx'"
6118      init            "0"
6119      period          "1"
6120      ownership       "Locally owned and initialized"
6121      gui_display_data_type   "Fixed-point"
6122      arith_type          "Unsigned"
6123      n_bits          "1"
6124      bin_pt          "0"
6125      preci_type          "Single"
6126      dbl_ovrd        off
6127      xl_use_area         off
6128      xl_area         "[0,0,0,0,0,0,0]"
6129      has_advanced_control    "0"
6130      sggui_pos       "20,20,381,246"
6131      block_type          "fromreg"
6132      block_version       "8.2"
6133      sg_icon_stat        "45,30,0,1,white,blue,0,b27a07ff,right,,[ ],[ ]"
6134      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 45 45 0 0 ],[0 0 30 30 0 ],[0.77 0.82 0.91"
6135      " ]);\nplot([0 45 45 0 0 ],[0 0 30 30 0 ]);\npatch([13.1 18.88 22.88 26.88 30.88 22.88 17.1 13.1 ],[19.44 19.44 23.4"
6136      "4 19.44 23.44 23.44 23.44 19.44 ],[1 1 1 ]);\npatch([17.1 22.88 18.88 13.1 17.1 ],[15.44 15.44 19.44 19.44 15.44 ],"
6137      "[0.931 0.946 0.973 ]);\npatch([13.1 18.88 22.88 17.1 13.1 ],[11.44 11.44 15.44 15.44 11.44 ],[1 1 1 ]);\npatch([17."
6138      "1 30.88 26.88 22.88 18.88 13.1 17.1 ],[7.44 7.44 11.44 7.44 11.44 11.44 7.44 ],[0.931 0.946 0.973 ]);\nfprintf('','"
6139      "COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('output',1,'dout'"
6140      ");\nfprintf('','COMMENT: end icon text');"
6141    }
6142    Block {
6143      BlockType       Reference
6144      Name            "From Register2"
6145      SID             "50"
6146      Ports           [0, 1]
6147      Position        [450, 15, 495, 45]
6148      ShowName        off
6149      AttributesFormatString  "StartCapture\\n<< %<shared_memory_name> >>"
6150      LibraryVersion      "1.2"
6151      SourceBlock         "xbsIndex_r4/From Register"
6152      SourceType          "Xilinx Shared Memory Based From Register Block"
6153      infoedit        "Register block that reads data to a shared memory register.  Delay of one sample period."
6154      shared_memory_name      "'StartCapture'"
6155      init            "0"
6156      period          "1"
6157      ownership       "Locally owned and initialized"
6158      gui_display_data_type   "Fixed-point"
6159      arith_type          "Unsigned"
6160      n_bits          "1"
6161      bin_pt          "0"
6162      preci_type          "Single"
6163      dbl_ovrd        off
6164      xl_use_area         off
6165      xl_area         "[0,0,0,0,0,0,0]"
6166      has_advanced_control    "0"
6167      sggui_pos       "20,20,447,246"
6168      block_type          "fromreg"
6169      block_version       "8.2"
6170      sg_icon_stat        "45,30,0,1,white,blue,0,b27a07ff,right,,[ ],[ ]"
6171      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 45 45 0 0 ],[0 0 30 30 0 ],[0.77 0.82 0.91"
6172      " ]);\nplot([0 45 45 0 0 ],[0 0 30 30 0 ]);\npatch([13.1 18.88 22.88 26.88 30.88 22.88 17.1 13.1 ],[19.44 19.44 23.4"
6173      "4 19.44 23.44 23.44 23.44 19.44 ],[1 1 1 ]);\npatch([17.1 22.88 18.88 13.1 17.1 ],[15.44 15.44 19.44 19.44 15.44 ],"
6174      "[0.931 0.946 0.973 ]);\npatch([13.1 18.88 22.88 17.1 13.1 ],[11.44 11.44 15.44 15.44 11.44 ],[1 1 1 ]);\npatch([17."
6175      "1 30.88 26.88 22.88 18.88 13.1 17.1 ],[7.44 7.44 11.44 7.44 11.44 11.44 7.44 ],[0.931 0.946 0.973 ]);\nfprintf('','"
6176      "COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('output',1,'dout'"
6177      ");\nfprintf('','COMMENT: end icon text');"
6178    }
6179    Block {
6180      BlockType       Reference
6181      Name            "From Register3"
6182      SID             "51"
6183      Ports           [0, 1]
6184      Position        [450, 345, 495, 375]
6185      ShowName        off
6186      AttributesFormatString  "RADIO4RXBUFF_RXEN\\n<< %<shared_memory_name> >>"
6187      LibraryVersion      "1.2"
6188      SourceBlock         "xbsIndex_r4/From Register"
6189      SourceType          "Xilinx Shared Memory Based From Register Block"
6190      infoedit        "Register block that reads data to a shared memory register.  Delay of one sample period."
6191      shared_memory_name      "'RADIO4RXBUFF_RXEN'"
6192      init            "0"
6193      period          "1"
6194      ownership       "Locally owned and initialized"
6195      gui_display_data_type   "Fixed-point"
6196      arith_type          "Unsigned"
6197      n_bits          "1"
6198      bin_pt          "0"
6199      preci_type          "Single"
6200      dbl_ovrd        off
6201      xl_use_area         off
6202      xl_area         "[0,0,0,0,0,0,0]"
6203      has_advanced_control    "0"
6204      sggui_pos       "20,20,381,246"
6205      block_type          "fromreg"
6206      block_version       "8.2"
6207      sg_icon_stat        "45,30,0,1,white,blue,0,b27a07ff,right,,[ ],[ ]"
6208      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 45 45 0 0 ],[0 0 30 30 0 ],[0.77 0.82 0.91"
6209      " ]);\nplot([0 45 45 0 0 ],[0 0 30 30 0 ]);\npatch([13.1 18.88 22.88 26.88 30.88 22.88 17.1 13.1 ],[19.44 19.44 23.4"
6210      "4 19.44 23.44 23.44 23.44 19.44 ],[1 1 1 ]);\npatch([17.1 22.88 18.88 13.1 17.1 ],[15.44 15.44 19.44 19.44 15.44 ],"
6211      "[0.931 0.946 0.973 ]);\npatch([13.1 18.88 22.88 17.1 13.1 ],[11.44 11.44 15.44 15.44 11.44 ],[1 1 1 ]);\npatch([17."
6212      "1 30.88 26.88 22.88 18.88 13.1 17.1 ],[7.44 7.44 11.44 7.44 11.44 11.44 7.44 ],[0.931 0.946 0.973 ]);\nfprintf('','"
6213      "COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('output',1,'dout'"
6214      ");\nfprintf('','COMMENT: end icon text');"
6215    }
6216    Block {
6217      BlockType       Reference
6218      Name            "From Register4"
6219      SID             "52"
6220      Ports           [0, 1]
6221      Position        [55, 25, 100, 55]
6222      ShowName        off
6223      AttributesFormatString  "StartTx\\n<< %<shared_memory_name> >>"
6224      LibraryVersion      "1.2"
6225      SourceBlock         "xbsIndex_r4/From Register"
6226      SourceType          "Xilinx Shared Memory Based From Register Block"
6227      infoedit        "Register block that reads data to a shared memory register.  Delay of one sample period."
6228      shared_memory_name      "'StartTx'"
6229      init            "0"
6230      period          "1"
6231      ownership       "Locally owned and initialized"
6232      gui_display_data_type   "Fixed-point"
6233      arith_type          "Unsigned"
6234      n_bits          "1"
6235      bin_pt          "0"
6236      preci_type          "Single"
6237      dbl_ovrd        off
6238      xl_use_area         off
6239      xl_area         "[0,0,0,0,0,0,0]"
6240      has_advanced_control    "0"
6241      sggui_pos       "20,20,379,246"
6242      block_type          "fromreg"
6243      block_version       "8.2"
6244      sg_icon_stat        "45,30,0,1,white,blue,0,b27a07ff,right,,[ ],[ ]"
6245      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 45 45 0 0 ],[0 0 30 30 0 ],[0.77 0.82 0.91"
6246      " ]);\nplot([0 45 45 0 0 ],[0 0 30 30 0 ]);\npatch([13.1 18.88 22.88 26.88 30.88 22.88 17.1 13.1 ],[19.44 19.44 23.4"
6247      "4 19.44 23.44 23.44 23.44 19.44 ],[1 1 1 ]);\npatch([17.1 22.88 18.88 13.1 17.1 ],[15.44 15.44 19.44 19.44 15.44 ],"
6248      "[0.931 0.946 0.973 ]);\npatch([13.1 18.88 22.88 17.1 13.1 ],[11.44 11.44 15.44 15.44 11.44 ],[1 1 1 ]);\npatch([17."
6249      "1 30.88 26.88 22.88 18.88 13.1 17.1 ],[7.44 7.44 11.44 7.44 11.44 11.44 7.44 ],[0.931 0.946 0.973 ]);\nfprintf('','"
6250      "COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('output',1,'dout'"
6251      ");\nfprintf('','COMMENT: end icon text');"
6252    }
6253    Block {
6254      BlockType       Reference
6255      Name            "From Register5"
6256      SID             "53"
6257      Ports           [0, 1]
6258      Position        [450, 185, 495, 215]
6259      ShowName        off
6260      AttributesFormatString  "RADIO2RXBUFF_RXEN\\n<< %<shared_memory_name> >>"
6261      LibraryVersion      "1.2"
6262      SourceBlock         "xbsIndex_r4/From Register"
6263      SourceType          "Xilinx Shared Memory Based From Register Block"
6264      infoedit        "Register block that reads data to a shared memory register.  Delay of one sample period."
6265      shared_memory_name      "'RADIO2RXBUFF_RXEN'"
6266      init            "0"
6267      period          "1"
6268      ownership       "Locally owned and initialized"
6269      gui_display_data_type   "Fixed-point"
6270      arith_type          "Unsigned"
6271      n_bits          "1"
6272      bin_pt          "0"
6273      preci_type          "Single"
6274      dbl_ovrd        off
6275      xl_use_area         off
6276      xl_area         "[0,0,0,0,0,0,0]"
6277      has_advanced_control    "0"
6278      sggui_pos       "20,20,381,246"
6279      block_type          "fromreg"
6280      block_version       "8.2"
6281      sg_icon_stat        "45,30,0,1,white,blue,0,b27a07ff,right,,[ ],[ ]"
6282      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 45 45 0 0 ],[0 0 30 30 0 ],[0.77 0.82 0.91"
6283      " ]);\nplot([0 45 45 0 0 ],[0 0 30 30 0 ]);\npatch([13.1 18.88 22.88 26.88 30.88 22.88 17.1 13.1 ],[19.44 19.44 23.4"
6284      "4 19.44 23.44 23.44 23.44 19.44 ],[1 1 1 ]);\npatch([17.1 22.88 18.88 13.1 17.1 ],[15.44 15.44 19.44 19.44 15.44 ],"
6285      "[0.931 0.946 0.973 ]);\npatch([13.1 18.88 22.88 17.1 13.1 ],[11.44 11.44 15.44 15.44 11.44 ],[1 1 1 ]);\npatch([17."
6286      "1 30.88 26.88 22.88 18.88 13.1 17.1 ],[7.44 7.44 11.44 7.44 11.44 11.44 7.44 ],[0.931 0.946 0.973 ]);\nfprintf('','"
6287      "COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('output',1,'dout'"
6288      ");\nfprintf('','COMMENT: end icon text');"
6289    }
6290    Block {
6291      BlockType       Reference
6292      Name            "From Register6"
6293      SID             "54"
6294      Ports           [0, 1]
6295      Position        [55, 430, 100, 460]
6296      ShowName        off
6297      AttributesFormatString  "RADIO1TXBUFF_TXEN\\n<< %<shared_memory_name> >>"
6298      LibraryVersion      "1.2"
6299      SourceBlock         "xbsIndex_r4/From Register"
6300      SourceType          "Xilinx Shared Memory Based From Register Block"
6301      infoedit        "Register block that reads data to a shared memory register.  Delay of one sample period."
6302      shared_memory_name      "'RADIO1TXBUFF_TXEN'"
6303      init            "0"
6304      period          "1"
6305      ownership       "Locally owned and initialized"
6306      gui_display_data_type   "Fixed-point"
6307      arith_type          "Unsigned"
6308      n_bits          "1"
6309      bin_pt          "0"
6310      preci_type          "Single"
6311      dbl_ovrd        off
6312      xl_use_area         off
6313      xl_area         "[0,0,0,0,0,0,0]"
6314      has_advanced_control    "0"
6315      sggui_pos       "20,20,381,246"
6316      block_type          "fromreg"
6317      block_version       "8.2"
6318      sg_icon_stat        "45,30,0,1,white,blue,0,b27a07ff,right,,[ ],[ ]"
6319      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 45 45 0 0 ],[0 0 30 30 0 ],[0.77 0.82 0.91"
6320      " ]);\nplot([0 45 45 0 0 ],[0 0 30 30 0 ]);\npatch([13.1 18.88 22.88 26.88 30.88 22.88 17.1 13.1 ],[19.44 19.44 23.4"
6321      "4 19.44 23.44 23.44 23.44 19.44 ],[1 1 1 ]);\npatch([17.1 22.88 18.88 13.1 17.1 ],[15.44 15.44 19.44 19.44 15.44 ],"
6322      "[0.931 0.946 0.973 ]);\npatch([13.1 18.88 22.88 17.1 13.1 ],[11.44 11.44 15.44 15.44 11.44 ],[1 1 1 ]);\npatch([17."
6323      "1 30.88 26.88 22.88 18.88 13.1 17.1 ],[7.44 7.44 11.44 7.44 11.44 11.44 7.44 ],[0.931 0.946 0.973 ]);\nfprintf('','"
6324      "COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('output',1,'dout'"
6325      ");\nfprintf('','COMMENT: end icon text');"
6326    }
6327    Block {
6328      BlockType       Reference
6329      Name            "From Register7"
6330      SID             "55"
6331      Ports           [0, 1]
6332      Position        [55, 105, 100, 135]
6333      ShowName        off
6334      AttributesFormatString  "StopTx\\n<< %<shared_memory_name> >>"
6335      LibraryVersion      "1.2"
6336      SourceBlock         "xbsIndex_r4/From Register"
6337      SourceType          "Xilinx Shared Memory Based From Register Block"
6338      infoedit        "Register block that reads data to a shared memory register.  Delay of one sample period."
6339      shared_memory_name      "'StopTx'"
6340      init            "0"
6341      period          "1"
6342      ownership       "Locally owned and initialized"
6343      gui_display_data_type   "Fixed-point"
6344      arith_type          "Unsigned"
6345      n_bits          "1"
6346      bin_pt          "0"
6347      preci_type          "Single"
6348      dbl_ovrd        off
6349      xl_use_area         off
6350      xl_area         "[0,0,0,0,0,0,0]"
6351      has_advanced_control    "0"
6352      sggui_pos       "20,20,381,246"
6353      block_type          "fromreg"
6354      block_version       "8.2"
6355      sg_icon_stat        "45,30,0,1,white,blue,0,b27a07ff,right,,[ ],[ ]"
6356      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 45 45 0 0 ],[0 0 30 30 0 ],[0.77 0.82 0.91"
6357      " ]);\nplot([0 45 45 0 0 ],[0 0 30 30 0 ]);\npatch([13.1 18.88 22.88 26.88 30.88 22.88 17.1 13.1 ],[19.44 19.44 23.4"
6358      "4 19.44 23.44 23.44 23.44 19.44 ],[1 1 1 ]);\npatch([17.1 22.88 18.88 13.1 17.1 ],[15.44 15.44 19.44 19.44 15.44 ],"
6359      "[0.931 0.946 0.973 ]);\npatch([13.1 18.88 22.88 17.1 13.1 ],[11.44 11.44 15.44 15.44 11.44 ],[1 1 1 ]);\npatch([17."
6360      "1 30.88 26.88 22.88 18.88 13.1 17.1 ],[7.44 7.44 11.44 7.44 11.44 11.44 7.44 ],[0.931 0.946 0.973 ]);\nfprintf('','"
6361      "COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('output',1,'dout'"
6362      ");\nfprintf('','COMMENT: end icon text');"
6363    }
6364    Block {
6365      BlockType       Reference
6366      Name            "From Register8"
6367      SID             "56"
6368      Ports           [0, 1]
6369      Position        [55, 265, 100, 295]
6370      ShowName        off
6371      AttributesFormatString  "TransMode\\n<< %<shared_memory_name> >>"
6372      LibraryVersion      "1.2"
6373      SourceBlock         "xbsIndex_r4/From Register"
6374      SourceType          "Xilinx Shared Memory Based From Register Block"
6375      infoedit        "Register block that reads data to a shared memory register.  Delay of one sample period."
6376      shared_memory_name      "'TransMode'"
6377      init            "0"
6378      period          "1"
6379      ownership       "Locally owned and initialized"
6380      gui_display_data_type   "Fixed-point"
6381      arith_type          "Unsigned"
6382      n_bits          "1"
6383      bin_pt          "0"
6384      preci_type          "Single"
6385      dbl_ovrd        off
6386      xl_use_area         off
6387      xl_area         "[0,0,0,0,0,0,0]"
6388      has_advanced_control    "0"
6389      sggui_pos       "20,20,381,246"
6390      block_type          "fromreg"
6391      block_version       "8.2"
6392      sg_icon_stat        "45,30,0,1,white,blue,0,b27a07ff,right,,[ ],[ ]"
6393      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 45 45 0 0 ],[0 0 30 30 0 ],[0.77 0.82 0.91"
6394      " ]);\nplot([0 45 45 0 0 ],[0 0 30 30 0 ]);\npatch([13.1 18.88 22.88 26.88 30.88 22.88 17.1 13.1 ],[19.44 19.44 23.4"
6395      "4 19.44 23.44 23.44 23.44 19.44 ],[1 1 1 ]);\npatch([17.1 22.88 18.88 13.1 17.1 ],[15.44 15.44 19.44 19.44 15.44 ],"
6396      "[0.931 0.946 0.973 ]);\npatch([13.1 18.88 22.88 17.1 13.1 ],[11.44 11.44 15.44 15.44 11.44 ],[1 1 1 ]);\npatch([17."
6397      "1 30.88 26.88 22.88 18.88 13.1 17.1 ],[7.44 7.44 11.44 7.44 11.44 11.44 7.44 ],[0.931 0.946 0.973 ]);\nfprintf('','"
6398      "COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('output',1,'dout'"
6399      ");\nfprintf('','COMMENT: end icon text');"
6400    }
6401    Block {
6402      BlockType       Reference
6403      Name            "From Register9"
6404      SID             "57"
6405      Ports           [0, 1]
6406      Position        [55, 510, 100, 540]
6407      ShowName        off
6408      AttributesFormatString  "RADIO2TXBUFF_TXEN\\n<< %<shared_memory_name> >>"
6409      LibraryVersion      "1.2"
6410      SourceBlock         "xbsIndex_r4/From Register"
6411      SourceType          "Xilinx Shared Memory Based From Register Block"
6412      infoedit        "Register block that reads data to a shared memory register.  Delay of one sample period."
6413      shared_memory_name      "'RADIO2TXBUFF_TXEN'"
6414      init            "0"
6415      period          "1"
6416      ownership       "Locally owned and initialized"
6417      gui_display_data_type   "Fixed-point"
6418      arith_type          "Unsigned"
6419      n_bits          "1"
6420      bin_pt          "0"
6421      preci_type          "Single"
6422      dbl_ovrd        off
6423      xl_use_area         off
6424      xl_area         "[0,0,0,0,0,0,0]"
6425      has_advanced_control    "0"
6426      sggui_pos       "20,20,379,246"
6427      block_type          "fromreg"
6428      block_version       "8.2"
6429      sg_icon_stat        "45,30,0,1,white,blue,0,b27a07ff,right,,[ ],[ ]"
6430      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 45 45 0 0 ],[0 0 30 30 0 ],[0.77 0.82 0.91"
6431      " ]);\nplot([0 45 45 0 0 ],[0 0 30 30 0 ]);\npatch([13.1 18.88 22.88 26.88 30.88 22.88 17.1 13.1 ],[19.44 19.44 23.4"
6432      "4 19.44 23.44 23.44 23.44 19.44 ],[1 1 1 ]);\npatch([17.1 22.88 18.88 13.1 17.1 ],[15.44 15.44 19.44 19.44 15.44 ],"
6433      "[0.931 0.946 0.973 ]);\npatch([13.1 18.88 22.88 17.1 13.1 ],[11.44 11.44 15.44 15.44 11.44 ],[1 1 1 ]);\npatch([17."
6434      "1 30.88 26.88 22.88 18.88 13.1 17.1 ],[7.44 7.44 11.44 7.44 11.44 11.44 7.44 ],[0.931 0.946 0.973 ]);\nfprintf('','"
6435      "COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('output',1,'dout'"
6436      ");\nfprintf('','COMMENT: end icon text');"
6437    }
6438    Block {
6439      BlockType       From
6440      Name            "From1"
6441      SID             "58"
6442      Position        [770, 21, 905, 39]
6443      ShowName        off
6444      CloseFcn        "tagdialog Close"
6445      GotoTag         "WR_ADDR"
6446      TagVisibility       "global"
6447    }
6448    Block {
6449      BlockType       From
6450      Name            "From10"
6451      SID             "59"
6452      Position        [765, 116, 900, 134]
6453      ShowName        off
6454      CloseFcn        "tagdialog Close"
6455      GotoTag         "Radio1_RSSI"
6456      TagVisibility       "global"
6457    }
6458    Block {
6459      BlockType       From
6460      Name            "From2"
6461      SID             "60"
6462      Position        [770, 51, 905, 69]
6463      ShowName        off
6464      CloseFcn        "tagdialog Close"
6465      GotoTag         "AGC_Done_Detect"
6466      TagVisibility       "global"
6467    }
6468    Block {
6469      BlockType       From
6470      Name            "From3"
6471      SID             "61"
6472      Position        [765, 676, 900, 694]
6473      ShowName        off
6474      CloseFcn        "tagdialog Close"
6475      GotoTag         "CAPTURE_IS_DONE"
6476      TagVisibility       "global"
6477    }
6478    Block {
6479      BlockType       From
6480      Name            "From4"
6481      SID             "62"
6482      Position        [765, 206, 900, 224]
6483      ShowName        off
6484      CloseFcn        "tagdialog Close"
6485      GotoTag         "Radio2_RSSI"
6486      TagVisibility       "global"
6487    }
6488    Block {
6489      BlockType       From
6490      Name            "From6"
6491      SID             "63"
6492      Position        [765, 296, 900, 314]
6493      ShowName        off
6494      CloseFcn        "tagdialog Close"
6495      GotoTag         "Radio3_RSSI"
6496      TagVisibility       "global"
6497    }
6498    Block {
6499      BlockType       From
6500      Name            "From8"
6501      SID             "64"
6502      Position        [770, 401, 905, 419]
6503      ShowName        off
6504      CloseFcn        "tagdialog Close"
6505      GotoTag         "Radio4_RSSI"
6506      TagVisibility       "global"
6507    }
6508    Block {
6509      BlockType       Goto
6510      Name            "Goto1"
6511      SID             "65"
6512      Position        [175, 107, 335, 133]
6513      ShowName        off
6514      GotoTag         "StopTx"
6515      TagVisibility       "global"
6516    }
6517    Block {
6518      BlockType       Goto
6519      Name            "Goto10"
6520      SID             "66"
6521      Position        [175, 27, 335, 53]
6522      ShowName        off
6523      GotoTag         "StartTx"
6524      TagVisibility       "global"
6525    }
6526    Block {
6527      BlockType       Goto
6528      Name            "Goto11"
6529      SID             "67"
6530      Position        [575, 187, 735, 213]
6531      ShowName        off
6532      GotoTag         "RADIO2RXBUFF_RXEN"
6533      TagVisibility       "global"
6534    }
6535    Block {
6536      BlockType       Goto
6537      Name            "Goto12"
6538      SID             "68"
6539      Position        [575, 267, 735, 293]
6540      ShowName        off
6541      GotoTag         "RADIO3RXBUFF_RXEN"
6542      TagVisibility       "global"
6543    }
6544    Block {
6545      BlockType       Goto
6546      Name            "Goto13"
6547      SID             "69"
6548      Position        [575, 347, 735, 373]
6549      ShowName        off
6550      GotoTag         "RADIO4RXBUFF_RXEN"
6551      TagVisibility       "global"
6552    }
6553    Block {
6554      BlockType       Goto
6555      Name            "Goto18"
6556      SID             "74"
6557      Position        [1090, 522, 1250, 548]
6558      ShowName        off
6559      GotoTag         "MGCAGC_AGCDCO_SEL"
6560      TagVisibility       "global"
6561    }
6562    Block {
6563      BlockType       Goto
6564      Name            "Goto19"
6565      SID             "75"
6566      Position        [175, 187, 335, 213]
6567      ShowName        off
6568      GotoTag         "StartTxRx"
6569      TagVisibility       "global"
6570    }
6571    Block {
6572      BlockType       Goto
6573      Name            "Goto2"
6574      SID             "76"
6575      Position        [175, 347, 335, 373]
6576      ShowName        off
6577      GotoTag         "TxDelay"
6578      TagVisibility       "global"
6579    }
6580    Block {
6581      BlockType       Goto
6582      Name            "Goto3"
6583      SID             "77"
6584      Position        [175, 267, 335, 293]
6585      ShowName        off
6586      GotoTag         "TransMode"
6587      TagVisibility       "global"
6588    }
6589    Block {
6590      BlockType       Goto
6591      Name            "Goto4"
6592      SID             "78"
6593      Position        [175, 432, 335, 458]
6594      ShowName        off
6595      GotoTag         "RADIO1TXBUFF_TXEN"
6596      TagVisibility       "global"
6597    }
6598    Block {
6599      BlockType       Goto
6600      Name            "Goto5"
6601      SID             "79"
6602      Position        [175, 512, 335, 538]
6603      ShowName        off
6604      GotoTag         "RADIO2TXBUFF_TXEN"
6605      TagVisibility       "global"
6606    }
6607    Block {
6608      BlockType       Goto
6609      Name            "Goto6"
6610      SID             "80"
6611      Position        [175, 592, 335, 618]
6612      ShowName        off
6613      GotoTag         "RADIO3TXBUFF_TXEN"
6614      TagVisibility       "global"
6615    }
6616    Block {
6617      BlockType       Goto
6618      Name            "Goto7"
6619      SID             "81"
6620      Position        [175, 672, 335, 698]
6621      ShowName        off
6622      GotoTag         "RADIO4TXBUFF_TXEN"
6623      TagVisibility       "global"
6624    }
6625    Block {
6626      BlockType       Goto
6627      Name            "Goto8"
6628      SID             "82"
6629      Position        [575, 17, 735, 43]
6630      ShowName        off
6631      GotoTag         "StartCapture"
6632      TagVisibility       "global"
6633    }
6634    Block {
6635      BlockType       Goto
6636      Name            "Goto9"
6637      SID             "83"
6638      Position        [575, 102, 735, 128]
6639      ShowName        off
6640      GotoTag         "RADIO1RXBUFF_RXEN"
6641      TagVisibility       "global"
6642    }
6643    Block {
6644      BlockType       Reference
6645      Name            "Logical"
6646      SID             "84"
6647      Ports           [2, 1]
6648      Position        [945, 505, 1000, 565]
6649      LibraryVersion      "1.2"
6650      SourceBlock         "xbsIndex_r4/Logical"
6651      SourceType          "Xilinx Logical Block Block"
6652      logical_function    "AND"
6653      inputs          "2"
6654      en              off
6655      latency         "0"
6656      precision       "Full"
6657      arith_type          "Unsigned"
6658      n_bits          "16"
6659      bin_pt          "0"
6660      align_bp        on
6661      dbl_ovrd        off
6662      xl_use_area         off
6663      xl_area         "[0,0,0,0,0,0,0]"
6664      has_advanced_control    "0"
6665      sggui_pos       "20,20,348,261"
6666      block_type          "logical"
6667      block_version       "10.1.3"
6668      sg_icon_stat        "55,60,2,1,white,blue,0,83a4b621,right,,[ ],[ ]"
6669      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 0 ],[0 0 60 60 0 ],[0.77 0.82 0.91"
6670      " ]);\nplot([0 55 55 0 0 ],[0 0 60 60 0 ]);\npatch([11.425 21.54 28.54 35.54 42.54 28.54 18.425 11.425 ],[37.77 37.7"
6671      "7 44.77 37.77 44.77 44.77 44.77 37.77 ],[1 1 1 ]);\npatch([18.425 28.54 21.54 11.425 18.425 ],[30.77 30.77 37.77 37"
6672      ".77 30.77 ],[0.931 0.946 0.973 ]);\npatch([11.425 21.54 28.54 18.425 11.425 ],[23.77 23.77 30.77 30.77 23.77 ],[1 1"
6673      " 1 ]);\npatch([18.425 42.54 35.54 28.54 21.54 11.425 18.425 ],[16.77 16.77 23.77 16.77 23.77 23.77 16.77 ],[0.931 0"
6674      ".946 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\n\n\n\ncolor('bl"
6675      "ack');disp('and');\nfprintf('','COMMENT: end icon text');"
6676    }
6677    Block {
6678      BlockType       Reference
6679      Name            "Radio1AGCDoneRSSI"
6680      SID             "85"
6681      Ports           [2, 1]
6682      Position        [970, 112, 1030, 168]
6683      AttributesFormatString  "<< %<shared_memory_name> >>"
6684      LibraryVersion      "1.2"
6685      SourceBlock         "xbsIndex_r4/To Register"
6686      SourceType          "Xilinx Shared Memory Based To Register Block"
6687      infoedit        "Register block that writes data to a shared memory register.  Delay of one sample period."
6688      shared_memory_name      "'Radio1AGCDoneRSSI'"
6689      init            "0"
6690      ownership       "Locally owned and initialized"
6691      explicit_data_type      on
6692      gui_display_data_type   "Fixed-point"
6693      arith_type          "Unsigned"
6694      n_bits          "10"
6695      bin_pt          "0"
6696      preci_type          "Single"
6697      dbl_ovrd        off
6698      xl_use_area         off
6699      xl_area         "[0,0,0,0,0,0,0]"
6700      has_advanced_control    "0"
6701      sggui_pos       "20,20,381,266"
6702      block_type          "toreg"
6703      block_version       "10.1.3"
6704      sg_icon_stat        "60,56,2,1,white,blue,0,10ab453e,right,,[ ],[ ]"
6705      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 0 ],[0 0 56 56 0 ],[0.77 0.82 0.91"
6706      " ]);\nplot([0 60 60 0 0 ],[0 0 56 56 0 ]);\npatch([12.2 23.76 31.76 39.76 47.76 31.76 20.2 12.2 ],[36.88 36.88 44.8"
6707      "8 36.88 44.88 44.88 44.88 36.88 ],[1 1 1 ]);\npatch([20.2 31.76 23.76 12.2 20.2 ],[28.88 28.88 36.88 36.88 28.88 ],"
6708      "[0.931 0.946 0.973 ]);\npatch([12.2 23.76 31.76 20.2 12.2 ],[20.88 20.88 28.88 28.88 20.88 ],[1 1 1 ]);\npatch([20."
6709      "2 47.76 39.76 31.76 23.76 12.2 20.2 ],[12.88 12.88 20.88 12.88 20.88 20.88 12.88 ],[0.931 0.946 0.973 ]);\nfprintf("
6710      "'','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1,'di"
6711      "n');\ncolor('black');port_label('input',2,'en');\ncolor('black');port_label('output',1,'dout');\nfprintf('','COMMEN"
6712      "T: end icon text');"
6713    }
6714    Block {
6715      BlockType       Reference
6716      Name            "Radio2AGCDoneRSSI"
6717      SID             "86"
6718      Ports           [2, 1]
6719      Position        [970, 202, 1030, 258]
6720      AttributesFormatString  "<< %<shared_memory_name> >>"
6721      LibraryVersion      "1.2"
6722      SourceBlock         "xbsIndex_r4/To Register"
6723      SourceType          "Xilinx Shared Memory Based To Register Block"
6724      infoedit        "Register block that writes data to a shared memory register.  Delay of one sample period."
6725      shared_memory_name      "'Radio2AGCDoneRSSI'"
6726      init            "0"
6727      ownership       "Locally owned and initialized"
6728      explicit_data_type      on
6729      gui_display_data_type   "Fixed-point"
6730      arith_type          "Unsigned"
6731      n_bits          "10"
6732      bin_pt          "0"
6733      preci_type          "Single"
6734      dbl_ovrd        off
6735      xl_use_area         off
6736      xl_area         "[0,0,0,0,0,0,0]"
6737      has_advanced_control    "0"
6738      sggui_pos       "20,20,381,266"
6739      block_type          "toreg"
6740      block_version       "10.1.3"
6741      sg_icon_stat        "60,56,2,1,white,blue,0,10ab453e,right,,[ ],[ ]"
6742      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 0 ],[0 0 56 56 0 ],[0.77 0.82 0.91"
6743      " ]);\nplot([0 60 60 0 0 ],[0 0 56 56 0 ]);\npatch([12.2 23.76 31.76 39.76 47.76 31.76 20.2 12.2 ],[36.88 36.88 44.8"
6744      "8 36.88 44.88 44.88 44.88 36.88 ],[1 1 1 ]);\npatch([20.2 31.76 23.76 12.2 20.2 ],[28.88 28.88 36.88 36.88 28.88 ],"
6745      "[0.931 0.946 0.973 ]);\npatch([12.2 23.76 31.76 20.2 12.2 ],[20.88 20.88 28.88 28.88 20.88 ],[1 1 1 ]);\npatch([20."
6746      "2 47.76 39.76 31.76 23.76 12.2 20.2 ],[12.88 12.88 20.88 12.88 20.88 20.88 12.88 ],[0.931 0.946 0.973 ]);\nfprintf("
6747      "'','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1,'di"
6748      "n');\ncolor('black');port_label('input',2,'en');\ncolor('black');port_label('output',1,'dout');\nfprintf('','COMMEN"
6749      "T: end icon text');"
6750    }
6751    Block {
6752      BlockType       Reference
6753      Name            "Radio3AGCDoneRSSI"
6754      SID             "87"
6755      Ports           [2, 1]
6756      Position        [970, 292, 1030, 348]
6757      AttributesFormatString  "<< %<shared_memory_name> >>"
6758      LibraryVersion      "1.2"
6759      SourceBlock         "xbsIndex_r4/To Register"
6760      SourceType          "Xilinx Shared Memory Based To Register Block"
6761      infoedit        "Register block that writes data to a shared memory register.  Delay of one sample period."
6762      shared_memory_name      "'Radio3AGCDoneRSSI'"
6763      init            "0"
6764      ownership       "Locally owned and initialized"
6765      explicit_data_type      on
6766      gui_display_data_type   "Fixed-point"
6767      arith_type          "Unsigned"
6768      n_bits          "10"
6769      bin_pt          "0"
6770      preci_type          "Single"
6771      dbl_ovrd        off
6772      xl_use_area         off
6773      xl_area         "[0,0,0,0,0,0,0]"
6774      has_advanced_control    "0"
6775      sggui_pos       "20,20,381,266"
6776      block_type          "toreg"
6777      block_version       "10.1.3"
6778      sg_icon_stat        "60,56,2,1,white,blue,0,10ab453e,right,,[ ],[ ]"
6779      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 0 ],[0 0 56 56 0 ],[0.77 0.82 0.91"
6780      " ]);\nplot([0 60 60 0 0 ],[0 0 56 56 0 ]);\npatch([12.2 23.76 31.76 39.76 47.76 31.76 20.2 12.2 ],[36.88 36.88 44.8"
6781      "8 36.88 44.88 44.88 44.88 36.88 ],[1 1 1 ]);\npatch([20.2 31.76 23.76 12.2 20.2 ],[28.88 28.88 36.88 36.88 28.88 ],"
6782      "[0.931 0.946 0.973 ]);\npatch([12.2 23.76 31.76 20.2 12.2 ],[20.88 20.88 28.88 28.88 20.88 ],[1 1 1 ]);\npatch([20."
6783      "2 47.76 39.76 31.76 23.76 12.2 20.2 ],[12.88 12.88 20.88 12.88 20.88 20.88 12.88 ],[0.931 0.946 0.973 ]);\nfprintf("
6784      "'','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1,'di"
6785      "n');\ncolor('black');port_label('input',2,'en');\ncolor('black');port_label('output',1,'dout');\nfprintf('','COMMEN"
6786      "T: end icon text');"
6787    }
6788    Block {
6789      BlockType       Reference
6790      Name            "Radio4AGCDoneRSSI"
6791      SID             "88"
6792      Ports           [2, 1]
6793      Position        [975, 397, 1035, 453]
6794      AttributesFormatString  "<< %<shared_memory_name> >>"
6795      LibraryVersion      "1.2"
6796      SourceBlock         "xbsIndex_r4/To Register"
6797      SourceType          "Xilinx Shared Memory Based To Register Block"
6798      infoedit        "Register block that writes data to a shared memory register.  Delay of one sample period."
6799      shared_memory_name      "'Radio4AGCDoneRSSI'"
6800      init            "0"
6801      ownership       "Locally owned and initialized"
6802      explicit_data_type      on
6803      gui_display_data_type   "Fixed-point"
6804      arith_type          "Unsigned"
6805      n_bits          "10"
6806      bin_pt          "0"
6807      preci_type          "Single"
6808      dbl_ovrd        off
6809      xl_use_area         off
6810      xl_area         "[0,0,0,0,0,0,0]"
6811      has_advanced_control    "0"
6812      sggui_pos       "20,20,381,266"
6813      block_type          "toreg"
6814      block_version       "10.1.3"
6815      sg_icon_stat        "60,56,2,1,white,blue,0,10ab453e,right,,[ ],[ ]"
6816      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 0 ],[0 0 56 56 0 ],[0.77 0.82 0.91"
6817      " ]);\nplot([0 60 60 0 0 ],[0 0 56 56 0 ]);\npatch([12.2 23.76 31.76 39.76 47.76 31.76 20.2 12.2 ],[36.88 36.88 44.8"
6818      "8 36.88 44.88 44.88 44.88 36.88 ],[1 1 1 ]);\npatch([20.2 31.76 23.76 12.2 20.2 ],[28.88 28.88 36.88 36.88 28.88 ],"
6819      "[0.931 0.946 0.973 ]);\npatch([12.2 23.76 31.76 20.2 12.2 ],[20.88 20.88 28.88 28.88 20.88 ],[1 1 1 ]);\npatch([20."
6820      "2 47.76 39.76 31.76 23.76 12.2 20.2 ],[12.88 12.88 20.88 12.88 20.88 20.88 12.88 ],[0.931 0.946 0.973 ]);\nfprintf("
6821      "'','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1,'di"
6822      "n');\ncolor('black');port_label('input',2,'en');\ncolor('black');port_label('output',1,'dout');\nfprintf('','COMMEN"
6823      "T: end icon text');"
6824    }
6825    Block {
6826      BlockType       Reference
6827      Name            "To Register"
6828      SID             "89"
6829      Ports           [2, 1]
6830      Position        [935, 671, 980, 729]
6831      NamePlacement       "alternate"
6832      ShowName        off
6833      AttributesFormatString  "CaptureDone\\n<< %<shared_memory_name> >>"
6834      LibraryVersion      "1.2"
6835      SourceBlock         "xbsIndex_r4/To Register"
6836      SourceType          "Xilinx Shared Memory Based To Register Block"
6837      infoedit        "Register block that writes data to a shared memory register.  Delay of one sample period."
6838      shared_memory_name      "'CaptureDone'"
6839      init            "0"
6840      ownership       "Locally owned and initialized"
6841      explicit_data_type      on
6842      gui_display_data_type   "Fixed-point"
6843      arith_type          "Unsigned"
6844      n_bits          "1"
6845      bin_pt          "0"
6846      preci_type          "Single"
6847      dbl_ovrd        off
6848      xl_use_area         off
6849      xl_area         "[0,0,0,0,0,0,0]"
6850      has_advanced_control    "0"
6851      sggui_pos       "20,20,381,270"
6852      block_type          "toreg"
6853      block_version       "8.2"
6854      sg_icon_stat        "45,58,2,1,white,blue,0,10ab453e,right,,[ ],[ ]"
6855      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 45 45 0 0 ],[0 0 58 58 0 ],[0.77 0.82 0.91"
6856      " ]);\nplot([0 45 45 0 0 ],[0 0 58 58 0 ]);\npatch([8.65 17.32 23.32 29.32 35.32 23.32 14.65 8.65 ],[35.66 35.66 41."
6857      "66 35.66 41.66 41.66 41.66 35.66 ],[1 1 1 ]);\npatch([14.65 23.32 17.32 8.65 14.65 ],[29.66 29.66 35.66 35.66 29.66"
6858      " ],[0.931 0.946 0.973 ]);\npatch([8.65 17.32 23.32 14.65 8.65 ],[23.66 23.66 29.66 29.66 23.66 ],[1 1 1 ]);\npatch("
6859      "[14.65 35.32 29.32 23.32 17.32 8.65 14.65 ],[17.66 17.66 23.66 17.66 23.66 23.66 17.66 ],[0.931 0.946 0.973 ]);\nfp"
6860      "rintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input'"
6861      ",1,'din');\ncolor('black');port_label('input',2,'en');\ncolor('black');port_label('output',1,'dout');\nfprintf('','"
6862      "COMMENT: end icon text');"
6863    }
6864    Block {
6865      BlockType       Reference
6866      Name            "TxDelay"
6867      SID             "90"
6868      Ports           [0, 1]
6869      Position        [55, 345, 100, 375]
6870      AttributesFormatString  "<< %<shared_memory_name> >>"
6871      LibraryVersion      "1.2"
6872      SourceBlock         "xbsIndex_r4/From Register"
6873      SourceType          "Xilinx Shared Memory Based From Register Block"
6874      infoedit        "Register block that reads data to a shared memory register.  Delay of one sample period."
6875      shared_memory_name      "'TxDelay'"
6876      init            "1000"
6877      period          "1"
6878      ownership       "Locally owned and initialized"
6879      gui_display_data_type   "Fixed-point"
6880      arith_type          "Unsigned"
6881      n_bits          "14"
6882      bin_pt          "0"
6883      preci_type          "Single"
6884      dbl_ovrd        off
6885      xl_use_area         off
6886      xl_area         "[0,0,0,0,0,0,0]"
6887      has_advanced_control    "0"
6888      sggui_pos       "20,20,381,234"
6889      block_type          "fromreg"
6890      block_version       "10.1.2"
6891      sg_icon_stat        "45,30,0,1,white,blue,0,b27a07ff,right,,[ ],[ ]"
6892      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 45 45 0 0 ],[0 0 30 30 0 ],[0.77 0.82 0.91"
6893      " ]);\nplot([0 45 45 0 0 ],[0 0 30 30 0 ]);\npatch([13.1 18.88 22.88 26.88 30.88 22.88 17.1 13.1 ],[19.44 19.44 23.4"
6894      "4 19.44 23.44 23.44 23.44 19.44 ],[1 1 1 ]);\npatch([17.1 22.88 18.88 13.1 17.1 ],[15.44 15.44 19.44 19.44 15.44 ],"
6895      "[0.931 0.946 0.973 ]);\npatch([13.1 18.88 22.88 17.1 13.1 ],[11.44 11.44 15.44 15.44 11.44 ],[1 1 1 ]);\npatch([17."
6896      "1 30.88 26.88 22.88 18.88 13.1 17.1 ],[7.44 7.44 11.44 7.44 11.44 11.44 7.44 ],[0.931 0.946 0.973 ]);\nfprintf('','"
6897      "COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('output',1,'dout'"
6898      ");\nfprintf('','COMMENT: end icon text');"
6899    }
6900    Block {
6901      BlockType       Reference
6902      Name            "zero1"
6903      SID             "91"
6904      Ports           [0, 1]
6905      Position        [865, 706, 885, 724]
6906      ShowName        off
6907      LibraryVersion      "1.2"
6908      SourceBlock         "xbsIndex_r4/Constant"
6909      SourceType          "Xilinx Constant Block Block"
6910      const           "1"
6911      gui_display_data_type   "Fixed-point"
6912      arith_type          "Boolean"
6913      n_bits          "1"
6914      bin_pt          "0"
6915      preci_type          "Single"
6916      exp_width       "8"
6917      frac_width          "24"
6918      explicit_period     off
6919      period          "1"
6920      dsp48_infoedit      "The use of this block for DSP48 instructions is deprecated.  Please use the Opmode block."
6921      equ             "P=C"
6922      opselect        "C"
6923      inp2            "PCIN>>17"
6924      opr             "+"
6925      inp1            "P"
6926      carry           "CIN"
6927      dbl_ovrd        off
6928      has_advanced_control    "0"
6929      sggui_pos       "-1,-1,-1,-1"
6930      block_type          "constant"
6931      block_version       "VER_STRING_GOES_HERE"
6932      sg_icon_stat        "20,18,0,1,white,blue,0,1c72b5be,right,,[ ],[ ]"
6933      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 20 20 0 0 ],[0 0 18 18 0 ],[0.77 0.82 0.91"
6934      " ]);\nplot([0 20 20 0 0 ],[0 0 18 18 0 ]);\npatch([5.55 8.44 10.44 12.44 14.44 10.44 7.55 5.55 ],[11.22 11.22 13.22"
6935      " 11.22 13.22 13.22 13.22 11.22 ],[1 1 1 ]);\npatch([7.55 10.44 8.44 5.55 7.55 ],[9.22 9.22 11.22 11.22 9.22 ],[0.93"
6936      "1 0.946 0.973 ]);\npatch([5.55 8.44 10.44 7.55 5.55 ],[7.22 7.22 9.22 9.22 7.22 ],[1 1 1 ]);\npatch([7.55 14.44 12."
6937      "44 10.44 8.44 5.55 7.55 ],[5.22 5.22 7.22 5.22 7.22 7.22 5.22 ],[0.931 0.946 0.973 ]);\nfprintf('','COMMENT: end ic"
6938      "on graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('output',1,'1');\nfprintf('','CO"
6939      "MMENT: end icon text');"
6940    }
6941    Line {
6942      SrcBlock        "From Register4"
6943      SrcPort         1
6944      DstBlock        "Goto10"
6945      DstPort         1
6946    }
6947    Line {
6948      SrcBlock        "From Register7"
6949      SrcPort         1
6950      DstBlock        "Goto1"
6951      DstPort         1
6952    }
6953    Line {
6954      SrcBlock        "From Register8"
6955      SrcPort         1
6956      DstBlock        "Goto3"
6957      DstPort         1
6958    }
6959    Line {
6960      SrcBlock        "TxDelay"
6961      SrcPort         1
6962      DstBlock        "Goto2"
6963      DstPort         1
6964    }
6965    Line {
6966      SrcBlock        "From Register6"
6967      SrcPort         1
6968      DstBlock        "Goto4"
6969      DstPort         1
6970    }
6971    Line {
6972      SrcBlock        "From Register9"
6973      SrcPort         1
6974      DstBlock        "Goto5"
6975      DstPort         1
6976    }
6977    Line {
6978      SrcBlock        "From Register10"
6979      SrcPort         1
6980      DstBlock        "Goto6"
6981      DstPort         1
6982    }
6983    Line {
6984      SrcBlock        "From Register12"
6985      SrcPort         1
6986      DstBlock        "Goto7"
6987      DstPort         1
6988    }
6989    Line {
6990      SrcBlock        "From Register2"
6991      SrcPort         1
6992      DstBlock        "Goto8"
6993      DstPort         1
6994    }
6995    Line {
6996      SrcBlock        "From Register5"
6997      SrcPort         1
6998      DstBlock        "Goto11"
6999      DstPort         1
7000    }
7001    Line {
7002      SrcBlock        "From Register11"
7003      SrcPort         1
7004      DstBlock        "Goto12"
7005      DstPort         1
7006    }
7007    Line {
7008      SrcBlock        "From Register3"
7009      SrcPort         1
7010      DstBlock        "Goto13"
7011      DstPort         1
7012    }
7013    Line {
7014      SrcBlock        "From Register1"
7015      SrcPort         1
7016      DstBlock        "Goto9"
7017      DstPort         1
7018    }
7019    Line {
7020      SrcBlock        "From2"
7021      SrcPort         1
7022      Points          [15, 0]
7023      Branch {
7024        DstBlock            "AGCDoneAddr"
7025        DstPort         2
7026      }
7027      Branch {
7028        Points          [0, 95]
7029        Branch {
7030          DstBlock            "Radio1AGCDoneRSSI"
7031          DstPort             2
7032        }
7033        Branch {
7034          Points              [0, 90]
7035          Branch {
7036        Points          [0, 90]
7037        Branch {
7038          Points          [0, 105]
7039          DstBlock        "Radio4AGCDoneRSSI"
7040          DstPort         2
7041        }
7042        Branch {
7043          DstBlock        "Radio3AGCDoneRSSI"
7044          DstPort         2
7045        }
7046          }
7047          Branch {
7048        DstBlock        "Radio2AGCDoneRSSI"
7049        DstPort         2
7050          }
7051        }
7052      }
7053    }
7054    Line {
7055      SrcBlock        "From1"
7056      SrcPort         1
7057      DstBlock        "AGCDoneAddr"
7058      DstPort         1
7059    }
7060    Line {
7061      SrcBlock        "From4"
7062      SrcPort         1
7063      DstBlock        "Radio2AGCDoneRSSI"
7064      DstPort         1
7065    }
7066    Line {
7067      SrcBlock        "From6"
7068      SrcPort         1
7069      DstBlock        "Radio3AGCDoneRSSI"
7070      DstPort         1
7071    }
7072    Line {
7073      SrcBlock        "From8"
7074      SrcPort         1
7075      DstBlock        "Radio4AGCDoneRSSI"
7076      DstPort         1
7077    }
7078    Line {
7079      SrcBlock        "From10"
7080      SrcPort         1
7081      DstBlock        "Radio1AGCDoneRSSI"
7082      DstPort         1
7083    }
7084    Line {
7085      SrcBlock        "From Register13"
7086      SrcPort         1
7087      DstBlock        "Convert1"
7088      DstPort         1
7089    }
7090    Line {
7091      SrcBlock        "From Register14"
7092      SrcPort         1
7093      DstBlock        "Convert2"
7094      DstPort         1
7095    }
7096    Line {
7097      SrcBlock        "Convert1"
7098      SrcPort         1
7099      DstBlock        "Logical"
7100      DstPort         1
7101    }
7102    Line {
7103      SrcBlock        "Convert2"
7104      SrcPort         1
7105      Points          [25, 0; 0, -40]
7106      DstBlock        "Logical"
7107      DstPort         2
7108    }
7109    Line {
7110      SrcBlock        "Logical"
7111      SrcPort         1
7112      DstBlock        "Convert3"
7113      DstPort         1
7114    }
7115    Line {
7116      SrcBlock        "Convert3"
7117      SrcPort         1
7118      DstBlock        "Goto18"
7119      DstPort         1
7120    }
7121    Line {
7122      SrcBlock        "zero1"
7123      SrcPort         1
7124      DstBlock        "To Register"
7125      DstPort         2
7126    }
7127    Line {
7128      SrcBlock        "From3"
7129      SrcPort         1
7130      DstBlock        "To Register"
7131      DstPort         1
7132    }
7133    Line {
7134      SrcBlock        "From Register15"
7135      SrcPort         1
7136      DstBlock        "Goto19"
7137      DstPort         1
7138    }
7139    Annotation {
7140      Name            "MGCAGC_AGCDCO_SEL will go high\nonly when AGC is selected (MGC_AGC_SEL=1) AND\nDC Offset (DCO) Correction"
7141      " in enabled (DCO_EN_SEL=1)"
7142      Position        [1140, 572]
7143    }
7144      }
7145    }
7146    Block {
7147      BlockType           SubSystem
7148      Name            "Posedge"
7149      SID             "92"
7150      Ports           [1, 1]
7151      Position            [330, 233, 375, 257]
7152      MinAlgLoopOccurrences   off
7153      PropExecContextOutsideSubsystem off
7154      RTWSystemCode       "Auto"
7155      FunctionWithSeparateData off
7156      Opaque              off
7157      RequestExecContextInheritance off
7158      MaskHideContents        off
7159      System {
7160    Name            "Posedge"
7161    Location        [132, 719, 452, 817]
7162    Open            off
7163    ModelBrowserVisibility  off
7164    ModelBrowserWidth   200
7165    ScreenColor     "white"
7166    PaperOrientation    "landscape"
7167    PaperPositionMode   "auto"
7168    PaperType       "usletter"
7169    PaperUnits      "inches"
7170    TiledPaperMargins   [0.500000, 0.500000, 0.500000, 0.500000]
7171    TiledPageScale      1
7172    ShowPageBoundaries  off
7173    ZoomFactor      "100"
7174    Block {
7175      BlockType       Inport
7176      Name            "D"
7177      SID             "93"
7178      Position        [25, 33, 55, 47]
7179      IconDisplay         "Port number"
7180    }
7181    Block {
7182      BlockType       Reference
7183      Name            "Delay"
7184      SID             "94"
7185      Ports           [1, 1]
7186      Position        [145, 45, 175, 75]
7187      ShowName        off
7188      LibraryVersion      "1.2"
7189      SourceBlock         "xbsIndex_r4/Delay"
7190      SourceType          "Xilinx Delay Block"
7191      infoedit        "Hardware notes: A delay line is a chain, each link of which is an SRL16 followed by a flip-flop. If re"
7192      "gister retiming is enabled, the delay line is a chain of flip-flops."
7193      rst             off
7194      infoeditControl     "Selection of Reset will increase slice count due to use of real FFs and instead of SRLs"
7195      en              off
7196      latency         "1"
7197      dbl_ovrd        off
7198      reg_retiming        off
7199      xl_use_area         off
7200      xl_area         "[0,0,0,0,0,0,0]"
7201      has_advanced_control    "0"
7202      sggui_pos       "-1,-1,-1,-1"
7203      block_type          "delay"
7204      block_version       "8.2"
7205      sg_icon_stat        "30,30,1,1,white,blue,0,07b98262,right,,[ ],[ ]"
7206      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 30 30 0 0 ],[0 0 30 30 0 ],[0.77 0.82 0.91"
7207      " ]);\nplot([0 30 30 0 0 ],[0 0 30 30 0 ]);\npatch([6.1 11.88 15.88 19.88 23.88 15.88 10.1 6.1 ],[19.44 19.44 23.44 "
7208      "19.44 23.44 23.44 23.44 19.44 ],[1 1 1 ]);\npatch([10.1 15.88 11.88 6.1 10.1 ],[15.44 15.44 19.44 19.44 15.44 ],[0."
7209      "931 0.946 0.973 ]);\npatch([6.1 11.88 15.88 10.1 6.1 ],[11.44 11.44 15.44 15.44 11.44 ],[1 1 1 ]);\npatch([10.1 23."
7210      "88 19.88 15.88 11.88 6.1 10.1 ],[7.44 7.44 11.44 7.44 11.44 11.44 7.44 ],[0.931 0.946 0.973 ]);\nfprintf('','COMMEN"
7211      "T: end icon graphics');\nfprintf('','COMMENT: begin icon text');\n\n\ncolor('black');disp('z^{-1}','texmode','on');"
7212      "\nfprintf('','COMMENT: end icon text');"
7213    }
7214    Block {
7215      BlockType       Reference
7216      Name            "Inverter"
7217      SID             "95"
7218      Ports           [1, 1]
7219      Position        [90, 47, 120, 73]
7220      ShowName        off
7221      LibraryVersion      "1.2"
7222      SourceBlock         "xbsIndex_r4/Inverter"
7223      SourceType          "Xilinx Inverter Block"
7224      infoedit        "Bitwise logical negation (one's complement) operator."
7225      en              off
7226      latency         "0"
7227      dbl_ovrd        off
7228      xl_use_area         off
7229      xl_area         "[0,0,0,0,0,0,0]"
7230      has_advanced_control    "0"
7231      sggui_pos       "-1,-1,-1,-1"
7232      block_type          "inv"
7233      block_version       "8.2"
7234      sg_icon_stat        "30,26,1,1,white,blue,0,267846e5,right,,[ ],[ ]"
7235      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 30 30 0 0 ],[0 0 26 26 0 ],[0.77 0.82 0.91"
7236      " ]);\nplot([0 30 30 0 0 ],[0 0 26 26 0 ]);\npatch([8.325 12.66 15.66 18.66 21.66 15.66 11.325 8.325 ],[16.33 16.33 "
7237      "19.33 16.33 19.33 19.33 19.33 16.33 ],[1 1 1 ]);\npatch([11.325 15.66 12.66 8.325 11.325 ],[13.33 13.33 16.33 16.33"
7238      " 13.33 ],[0.931 0.946 0.973 ]);\npatch([8.325 12.66 15.66 11.325 8.325 ],[10.33 10.33 13.33 13.33 10.33 ],[1 1 1 ])"
7239      ";\npatch([11.325 21.66 18.66 15.66 12.66 8.325 11.325 ],[7.33 7.33 10.33 7.33 10.33 10.33 7.33 ],[0.931 0.946 0.973"
7240      " ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\n\n\ncolor('black');disp('"
7241      "not');\nfprintf('','COMMENT: end icon text');"
7242    }
7243    Block {
7244      BlockType       Reference
7245      Name            "Logical"
7246      SID             "96"
7247      Ports           [2, 1]
7248      Position        [205, 29, 240, 71]
7249      ShowName        off
7250      LibraryVersion      "1.2"
7251      SourceBlock         "xbsIndex_r4/Logical"
7252      SourceType          "Xilinx Logical Block Block"
7253      logical_function    "AND"
7254      inputs          "2"
7255      en              off
7256      latency         "0"
7257      precision       "Full"
7258      arith_type          "Unsigned"
7259      n_bits          "16"
7260      bin_pt          "0"
7261      align_bp        on
7262      dbl_ovrd        off
7263      xl_use_area         off
7264      xl_area         "[0,0,0,0,0,0,0]"
7265      has_advanced_control    "0"
7266      sggui_pos       "-1,-1,-1,-1"
7267      block_type          "logical"
7268      block_version       "8.2"
7269      sg_icon_stat        "35,42,2,1,white,blue,0,83a4b621,right,,[ ],[ ]"
7270      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 35 35 0 0 ],[0 0 42 42 0 ],[0.77 0.82 0.91"
7271      " ]);\nplot([0 35 35 0 0 ],[0 0 42 42 0 ]);\npatch([5.875 13.1 18.1 23.1 28.1 18.1 10.875 5.875 ],[26.55 26.55 31.55"
7272      " 26.55 31.55 31.55 31.55 26.55 ],[1 1 1 ]);\npatch([10.875 18.1 13.1 5.875 10.875 ],[21.55 21.55 26.55 26.55 21.55 "
7273      "],[0.931 0.946 0.973 ]);\npatch([5.875 13.1 18.1 10.875 5.875 ],[16.55 16.55 21.55 21.55 16.55 ],[1 1 1 ]);\npatch("
7274      "[10.875 28.1 23.1 18.1 13.1 5.875 10.875 ],[11.55 11.55 16.55 11.55 16.55 16.55 11.55 ],[0.931 0.946 0.973 ]);\nfpr"
7275      "intf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\n\n\n\ncolor('black');disp('and');\n"
7276      "fprintf('','COMMENT: end icon text');"
7277    }
7278    Block {
7279      BlockType       Outport
7280      Name            "Q"
7281      SID             "97"
7282      Position        [265, 43, 295, 57]
7283      IconDisplay         "Port number"
7284    }
7285    Line {
7286      SrcBlock        "D"
7287      SrcPort         1
7288      Points          [0, 0; 15, 0]
7289      Branch {
7290        DstBlock            "Inverter"
7291        DstPort         1
7292      }
7293      Branch {
7294        DstBlock            "Logical"
7295        DstPort         1
7296      }
7297    }
7298    Line {
7299      SrcBlock        "Inverter"
7300      SrcPort         1
7301      DstBlock        "Delay"
7302      DstPort         1
7303    }
7304    Line {
7305      SrcBlock        "Delay"
7306      SrcPort         1
7307      Points          [0, 0]
7308      DstBlock        "Logical"
7309      DstPort         2
7310    }
7311    Line {
7312      SrcBlock        "Logical"
7313      SrcPort         1
7314      Points          [0, 0]
7315      DstBlock        "Q"
7316      DstPort         1
7317    }
7318      }
7319    }
7320    Block {
7321      BlockType           SubSystem
7322      Name            "Posedge1"
7323      SID             "98"
7324      Ports           [1, 1]
7325      Position            [365, 648, 410, 672]
7326      MinAlgLoopOccurrences   off
7327      PropExecContextOutsideSubsystem off
7328      RTWSystemCode       "Auto"
7329      FunctionWithSeparateData off
7330      Opaque              off
7331      RequestExecContextInheritance off
7332      MaskHideContents        off
7333      System {
7334    Name            "Posedge1"
7335    Location        [132, 719, 452, 817]
7336    Open            off
7337    ModelBrowserVisibility  off
7338    ModelBrowserWidth   200
7339    ScreenColor     "white"
7340    PaperOrientation    "landscape"
7341    PaperPositionMode   "auto"
7342    PaperType       "usletter"
7343    PaperUnits      "inches"
7344    TiledPaperMargins   [0.500000, 0.500000, 0.500000, 0.500000]
7345    TiledPageScale      1
7346    ShowPageBoundaries  off
7347    ZoomFactor      "100"
7348    Block {
7349      BlockType       Inport
7350      Name            "D"
7351      SID             "99"
7352      Position        [25, 33, 55, 47]
7353      IconDisplay         "Port number"
7354    }
7355    Block {
7356      BlockType       Reference
7357      Name            "Delay"
7358      SID             "100"
7359      Ports           [1, 1]
7360      Position        [145, 45, 175, 75]
7361      ShowName        off
7362      LibraryVersion      "1.2"
7363      SourceBlock         "xbsIndex_r4/Delay"
7364      SourceType          "Xilinx Delay Block"
7365      infoedit        "Hardware notes: A delay line is a chain, each link of which is an SRL16 followed by a flip-flop. If re"
7366      "gister retiming is enabled, the delay line is a chain of flip-flops."
7367      rst             off
7368      infoeditControl     "Selection of Reset will increase slice count due to use of real FFs and instead of SRLs"
7369      en              off
7370      latency         "1"
7371      dbl_ovrd        off
7372      reg_retiming        off
7373      xl_use_area         off
7374      xl_area         "[0,0,0,0,0,0,0]"
7375      has_advanced_control    "0"
7376      sggui_pos       "-1,-1,-1,-1"
7377      block_type          "delay"
7378      block_version       "8.2"
7379      sg_icon_stat        "30,30,1,1,white,blue,0,07b98262,right,,[ ],[ ]"
7380      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 30 30 0 0 ],[0 0 30 30 0 ],[0.77 0.82 0.91"
7381      " ]);\nplot([0 30 30 0 0 ],[0 0 30 30 0 ]);\npatch([6.1 11.88 15.88 19.88 23.88 15.88 10.1 6.1 ],[19.44 19.44 23.44 "
7382      "19.44 23.44 23.44 23.44 19.44 ],[1 1 1 ]);\npatch([10.1 15.88 11.88 6.1 10.1 ],[15.44 15.44 19.44 19.44 15.44 ],[0."
7383      "931 0.946 0.973 ]);\npatch([6.1 11.88 15.88 10.1 6.1 ],[11.44 11.44 15.44 15.44 11.44 ],[1 1 1 ]);\npatch([10.1 23."
7384      "88 19.88 15.88 11.88 6.1 10.1 ],[7.44 7.44 11.44 7.44 11.44 11.44 7.44 ],[0.931 0.946 0.973 ]);\nfprintf('','COMMEN"
7385      "T: end icon graphics');\nfprintf('','COMMENT: begin icon text');\n\n\ncolor('black');disp('z^{-1}','texmode','on');"
7386      "\nfprintf('','COMMENT: end icon text');"
7387    }
7388    Block {
7389      BlockType       Reference
7390      Name            "Inverter"
7391      SID             "101"
7392      Ports           [1, 1]
7393      Position        [90, 47, 120, 73]
7394      ShowName        off
7395      LibraryVersion      "1.2"
7396      SourceBlock         "xbsIndex_r4/Inverter"
7397      SourceType          "Xilinx Inverter Block"
7398      infoedit        "Bitwise logical negation (one's complement) operator."
7399      en              off
7400      latency         "0"
7401      dbl_ovrd        off
7402      xl_use_area         off
7403      xl_area         "[0,0,0,0,0,0,0]"
7404      has_advanced_control    "0"
7405      sggui_pos       "-1,-1,-1,-1"
7406      block_type          "inv"
7407      block_version       "8.2"
7408      sg_icon_stat        "30,26,1,1,white,blue,0,267846e5,right,,[ ],[ ]"
7409      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 30 30 0 0 ],[0 0 26 26 0 ],[0.77 0.82 0.91"
7410      " ]);\nplot([0 30 30 0 0 ],[0 0 26 26 0 ]);\npatch([8.325 12.66 15.66 18.66 21.66 15.66 11.325 8.325 ],[16.33 16.33 "
7411      "19.33 16.33 19.33 19.33 19.33 16.33 ],[1 1 1 ]);\npatch([11.325 15.66 12.66 8.325 11.325 ],[13.33 13.33 16.33 16.33"
7412      " 13.33 ],[0.931 0.946 0.973 ]);\npatch([8.325 12.66 15.66 11.325 8.325 ],[10.33 10.33 13.33 13.33 10.33 ],[1 1 1 ])"
7413      ";\npatch([11.325 21.66 18.66 15.66 12.66 8.325 11.325 ],[7.33 7.33 10.33 7.33 10.33 10.33 7.33 ],[0.931 0.946 0.973"
7414      " ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\n\n\ncolor('black');disp('"
7415      "not');\nfprintf('','COMMENT: end icon text');"
7416    }
7417    Block {
7418      BlockType       Reference
7419      Name            "Logical"
7420      SID             "102"
7421      Ports           [2, 1]
7422      Position        [205, 29, 240, 71]
7423      ShowName        off
7424      LibraryVersion      "1.2"
7425      SourceBlock         "xbsIndex_r4/Logical"
7426      SourceType          "Xilinx Logical Block Block"
7427      logical_function    "AND"
7428      inputs          "2"
7429      en              off
7430      latency         "0"
7431      precision       "Full"
7432      arith_type          "Unsigned"
7433      n_bits          "16"
7434      bin_pt          "0"
7435      align_bp        on
7436      dbl_ovrd        off
7437      xl_use_area         off
7438      xl_area         "[0,0,0,0,0,0,0]"
7439      has_advanced_control    "0"
7440      sggui_pos       "-1,-1,-1,-1"
7441      block_type          "logical"
7442      block_version       "8.2"
7443      sg_icon_stat        "35,42,2,1,white,blue,0,83a4b621,right,,[ ],[ ]"
7444      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 35 35 0 0 ],[0 0 42 42 0 ],[0.77 0.82 0.91"
7445      " ]);\nplot([0 35 35 0 0 ],[0 0 42 42 0 ]);\npatch([5.875 13.1 18.1 23.1 28.1 18.1 10.875 5.875 ],[26.55 26.55 31.55"
7446      " 26.55 31.55 31.55 31.55 26.55 ],[1 1 1 ]);\npatch([10.875 18.1 13.1 5.875 10.875 ],[21.55 21.55 26.55 26.55 21.55 "
7447      "],[0.931 0.946 0.973 ]);\npatch([5.875 13.1 18.1 10.875 5.875 ],[16.55 16.55 21.55 21.55 16.55 ],[1 1 1 ]);\npatch("
7448      "[10.875 28.1 23.1 18.1 13.1 5.875 10.875 ],[11.55 11.55 16.55 11.55 16.55 16.55 11.55 ],[0.931 0.946 0.973 ]);\nfpr"
7449      "intf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\n\n\n\ncolor('black');disp('and');\n"
7450      "fprintf('','COMMENT: end icon text');"
7451    }
7452    Block {
7453      BlockType       Outport
7454      Name            "Q"
7455      SID             "103"
7456      Position        [265, 43, 295, 57]
7457      IconDisplay         "Port number"
7458    }
7459    Line {
7460      SrcBlock        "Logical"
7461      SrcPort         1
7462      Points          [0, 0]
7463      DstBlock        "Q"
7464      DstPort         1
7465    }
7466    Line {
7467      SrcBlock        "Delay"
7468      SrcPort         1
7469      Points          [0, 0]
7470      DstBlock        "Logical"
7471      DstPort         2
7472    }
7473    Line {
7474      SrcBlock        "Inverter"
7475      SrcPort         1
7476      DstBlock        "Delay"
7477      DstPort         1
7478    }
7479    Line {
7480      SrcBlock        "D"
7481      SrcPort         1
7482      Points          [0, 0; 15, 0]
7483      Branch {
7484        DstBlock            "Logical"
7485        DstPort         1
7486      }
7487      Branch {
7488        DstBlock            "Inverter"
7489        DstPort         1
7490      }
7491    }
7492      }
7493    }
7494    Block {
7495      BlockType           SubSystem
7496      Name            "Posedge2"
7497      SID             "104"
7498      Ports           [1, 1]
7499      Position            [365, 748, 410, 772]
7500      MinAlgLoopOccurrences   off
7501      PropExecContextOutsideSubsystem off
7502      RTWSystemCode       "Auto"
7503      FunctionWithSeparateData off
7504      Opaque              off
7505      RequestExecContextInheritance off
7506      MaskHideContents        off
7507      System {
7508    Name            "Posedge2"
7509    Location        [132, 719, 452, 817]
7510    Open            off
7511    ModelBrowserVisibility  off
7512    ModelBrowserWidth   200
7513    ScreenColor     "white"
7514    PaperOrientation    "landscape"
7515    PaperPositionMode   "auto"
7516    PaperType       "usletter"
7517    PaperUnits      "inches"
7518    TiledPaperMargins   [0.500000, 0.500000, 0.500000, 0.500000]
7519    TiledPageScale      1
7520    ShowPageBoundaries  off
7521    ZoomFactor      "100"
7522    Block {
7523      BlockType       Inport
7524      Name            "D"
7525      SID             "105"
7526      Position        [25, 33, 55, 47]
7527      IconDisplay         "Port number"
7528    }
7529    Block {
7530      BlockType       Reference
7531      Name            "Delay"
7532      SID             "106"
7533      Ports           [1, 1]
7534      Position        [145, 45, 175, 75]
7535      ShowName        off
7536      LibraryVersion      "1.2"
7537      SourceBlock         "xbsIndex_r4/Delay"
7538      SourceType          "Xilinx Delay Block"
7539      infoedit        "Hardware notes: A delay line is a chain, each link of which is an SRL16 followed by a flip-flop. If re"
7540      "gister retiming is enabled, the delay line is a chain of flip-flops."
7541      rst             off
7542      infoeditControl     "Selection of Reset will increase slice count due to use of real FFs and instead of SRLs"
7543      en              off
7544      latency         "1"
7545      dbl_ovrd        off
7546      reg_retiming        off
7547      xl_use_area         off
7548      xl_area         "[0,0,0,0,0,0,0]"
7549      has_advanced_control    "0"
7550      sggui_pos       "-1,-1,-1,-1"
7551      block_type          "delay"
7552      block_version       "8.2"
7553      sg_icon_stat        "30,30,1,1,white,blue,0,07b98262,right,,[ ],[ ]"
7554      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 30 30 0 0 ],[0 0 30 30 0 ],[0.77 0.82 0.91"
7555      " ]);\nplot([0 30 30 0 0 ],[0 0 30 30 0 ]);\npatch([6.1 11.88 15.88 19.88 23.88 15.88 10.1 6.1 ],[19.44 19.44 23.44 "
7556      "19.44 23.44 23.44 23.44 19.44 ],[1 1 1 ]);\npatch([10.1 15.88 11.88 6.1 10.1 ],[15.44 15.44 19.44 19.44 15.44 ],[0."
7557      "931 0.946 0.973 ]);\npatch([6.1 11.88 15.88 10.1 6.1 ],[11.44 11.44 15.44 15.44 11.44 ],[1 1 1 ]);\npatch([10.1 23."
7558      "88 19.88 15.88 11.88 6.1 10.1 ],[7.44 7.44 11.44 7.44 11.44 11.44 7.44 ],[0.931 0.946 0.973 ]);\nfprintf('','COMMEN"
7559      "T: end icon graphics');\nfprintf('','COMMENT: begin icon text');\n\n\ncolor('black');disp('z^{-1}','texmode','on');"
7560      "\nfprintf('','COMMENT: end icon text');"
7561    }
7562    Block {
7563      BlockType       Reference
7564      Name            "Inverter"
7565      SID             "107"
7566      Ports           [1, 1]
7567      Position        [90, 47, 120, 73]
7568      ShowName        off
7569      LibraryVersion      "1.2"
7570      SourceBlock         "xbsIndex_r4/Inverter"
7571      SourceType          "Xilinx Inverter Block"
7572      infoedit        "Bitwise logical negation (one's complement) operator."
7573      en              off
7574      latency         "0"
7575      dbl_ovrd        off
7576      xl_use_area         off
7577      xl_area         "[0,0,0,0,0,0,0]"
7578      has_advanced_control    "0"
7579      sggui_pos       "-1,-1,-1,-1"
7580      block_type          "inv"
7581      block_version       "8.2"
7582      sg_icon_stat        "30,26,1,1,white,blue,0,267846e5,right,,[ ],[ ]"
7583      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 30 30 0 0 ],[0 0 26 26 0 ],[0.77 0.82 0.91"
7584      " ]);\nplot([0 30 30 0 0 ],[0 0 26 26 0 ]);\npatch([8.325 12.66 15.66 18.66 21.66 15.66 11.325 8.325 ],[16.33 16.33 "
7585      "19.33 16.33 19.33 19.33 19.33 16.33 ],[1 1 1 ]);\npatch([11.325 15.66 12.66 8.325 11.325 ],[13.33 13.33 16.33 16.33"
7586      " 13.33 ],[0.931 0.946 0.973 ]);\npatch([8.325 12.66 15.66 11.325 8.325 ],[10.33 10.33 13.33 13.33 10.33 ],[1 1 1 ])"
7587      ";\npatch([11.325 21.66 18.66 15.66 12.66 8.325 11.325 ],[7.33 7.33 10.33 7.33 10.33 10.33 7.33 ],[0.931 0.946 0.973"
7588      " ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\n\n\ncolor('black');disp('"
7589      "not');\nfprintf('','COMMENT: end icon text');"
7590    }
7591    Block {
7592      BlockType       Reference
7593      Name            "Logical"
7594      SID             "108"
7595      Ports           [2, 1]
7596      Position        [205, 29, 240, 71]
7597      ShowName        off
7598      LibraryVersion      "1.2"
7599      SourceBlock         "xbsIndex_r4/Logical"
7600      SourceType          "Xilinx Logical Block Block"
7601      logical_function    "AND"
7602      inputs          "2"
7603      en              off
7604      latency         "0"
7605      precision       "Full"
7606      arith_type          "Unsigned"
7607      n_bits          "16"
7608      bin_pt          "0"
7609      align_bp        on
7610      dbl_ovrd        off
7611      xl_use_area         off
7612      xl_area         "[0,0,0,0,0,0,0]"
7613      has_advanced_control    "0"
7614      sggui_pos       "-1,-1,-1,-1"
7615      block_type          "logical"
7616      block_version       "8.2"
7617      sg_icon_stat        "35,42,2,1,white,blue,0,83a4b621,right,,[ ],[ ]"
7618      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 35 35 0 0 ],[0 0 42 42 0 ],[0.77 0.82 0.91"
7619      " ]);\nplot([0 35 35 0 0 ],[0 0 42 42 0 ]);\npatch([5.875 13.1 18.1 23.1 28.1 18.1 10.875 5.875 ],[26.55 26.55 31.55"
7620      " 26.55 31.55 31.55 31.55 26.55 ],[1 1 1 ]);\npatch([10.875 18.1 13.1 5.875 10.875 ],[21.55 21.55 26.55 26.55 21.55 "
7621      "],[0.931 0.946 0.973 ]);\npatch([5.875 13.1 18.1 10.875 5.875 ],[16.55 16.55 21.55 21.55 16.55 ],[1 1 1 ]);\npatch("
7622      "[10.875 28.1 23.1 18.1 13.1 5.875 10.875 ],[11.55 11.55 16.55 11.55 16.55 16.55 11.55 ],[0.931 0.946 0.973 ]);\nfpr"
7623      "intf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\n\n\n\ncolor('black');disp('and');\n"
7624      "fprintf('','COMMENT: end icon text');"
7625    }
7626    Block {
7627      BlockType       Outport
7628      Name            "Q"
7629      SID             "109"
7630      Position        [265, 43, 295, 57]
7631      IconDisplay         "Port number"
7632    }
7633    Line {
7634      SrcBlock        "Logical"
7635      SrcPort         1
7636      Points          [0, 0]
7637      DstBlock        "Q"
7638      DstPort         1
7639    }
7640    Line {
7641      SrcBlock        "Delay"
7642      SrcPort         1
7643      Points          [0, 0]
7644      DstBlock        "Logical"
7645      DstPort         2
7646    }
7647    Line {
7648      SrcBlock        "Inverter"
7649      SrcPort         1
7650      DstBlock        "Delay"
7651      DstPort         1
7652    }
7653    Line {
7654      SrcBlock        "D"
7655      SrcPort         1
7656      Points          [0, 0; 15, 0]
7657      Branch {
7658        DstBlock            "Logical"
7659        DstPort         1
7660      }
7661      Branch {
7662        DstBlock            "Inverter"
7663        DstPort         1
7664      }
7665    }
7666      }
7667    }
7668    Block {
7669      BlockType           SubSystem
7670      Name            "Posedge3"
7671      SID             "110"
7672      Ports           [1, 1]
7673      Position            [325, 1008, 370, 1032]
7674      MinAlgLoopOccurrences   off
7675      PropExecContextOutsideSubsystem off
7676      RTWSystemCode       "Auto"
7677      FunctionWithSeparateData off
7678      Opaque              off
7679      RequestExecContextInheritance off
7680      MaskHideContents        off
7681      System {
7682    Name            "Posedge3"
7683    Location        [132, 719, 452, 817]
7684    Open            off
7685    ModelBrowserVisibility  off
7686    ModelBrowserWidth   200
7687    ScreenColor     "white"
7688    PaperOrientation    "landscape"
7689    PaperPositionMode   "auto"
7690    PaperType       "usletter"
7691    PaperUnits      "inches"
7692    TiledPaperMargins   [0.500000, 0.500000, 0.500000, 0.500000]
7693    TiledPageScale      1
7694    ShowPageBoundaries  off
7695    ZoomFactor      "100"
7696    Block {
7697      BlockType       Inport
7698      Name            "D"
7699      SID             "111"
7700      Position        [25, 33, 55, 47]
7701      IconDisplay         "Port number"
7702    }
7703    Block {
7704      BlockType       Reference
7705      Name            "Delay"
7706      SID             "112"
7707      Ports           [1, 1]
7708      Position        [145, 45, 175, 75]
7709      ShowName        off
7710      LibraryVersion      "1.2"
7711      SourceBlock         "xbsIndex_r4/Delay"
7712      SourceType          "Xilinx Delay Block"
7713      infoedit        "Hardware notes: A delay line is a chain, each link of which is an SRL16 followed by a flip-flop. If re"
7714      "gister retiming is enabled, the delay line is a chain of flip-flops."
7715      rst             off
7716      infoeditControl     "Selection of Reset will increase slice count due to use of real FFs and instead of SRLs"
7717      en              off
7718      latency         "1"
7719      dbl_ovrd        off
7720      reg_retiming        off
7721      xl_use_area         off
7722      xl_area         "[0,0,0,0,0,0,0]"
7723      has_advanced_control    "0"
7724      sggui_pos       "-1,-1,-1,-1"
7725      block_type          "delay"
7726      block_version       "8.2"
7727      sg_icon_stat        "30,30,1,1,white,blue,0,07b98262,right,,[ ],[ ]"
7728      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 30 30 0 0 ],[0 0 30 30 0 ],[0.77 0.82 0.91"
7729      " ]);\nplot([0 30 30 0 0 ],[0 0 30 30 0 ]);\npatch([6.1 11.88 15.88 19.88 23.88 15.88 10.1 6.1 ],[19.44 19.44 23.44 "
7730      "19.44 23.44 23.44 23.44 19.44 ],[1 1 1 ]);\npatch([10.1 15.88 11.88 6.1 10.1 ],[15.44 15.44 19.44 19.44 15.44 ],[0."
7731      "931 0.946 0.973 ]);\npatch([6.1 11.88 15.88 10.1 6.1 ],[11.44 11.44 15.44 15.44 11.44 ],[1 1 1 ]);\npatch([10.1 23."
7732      "88 19.88 15.88 11.88 6.1 10.1 ],[7.44 7.44 11.44 7.44 11.44 11.44 7.44 ],[0.931 0.946 0.973 ]);\nfprintf('','COMMEN"
7733      "T: end icon graphics');\nfprintf('','COMMENT: begin icon text');\n\n\ncolor('black');disp('z^{-1}','texmode','on');"
7734      "\nfprintf('','COMMENT: end icon text');"
7735    }
7736    Block {
7737      BlockType       Reference
7738      Name            "Inverter"
7739      SID             "113"
7740      Ports           [1, 1]
7741      Position        [90, 47, 120, 73]
7742      ShowName        off
7743      LibraryVersion      "1.2"
7744      SourceBlock         "xbsIndex_r4/Inverter"
7745      SourceType          "Xilinx Inverter Block"
7746      infoedit        "Bitwise logical negation (one's complement) operator."
7747      en              off
7748      latency         "0"
7749      dbl_ovrd        off
7750      xl_use_area         off
7751      xl_area         "[0,0,0,0,0,0,0]"
7752      has_advanced_control    "0"
7753      sggui_pos       "-1,-1,-1,-1"
7754      block_type          "inv"
7755      block_version       "8.2"
7756      sg_icon_stat        "30,26,1,1,white,blue,0,267846e5,right,,[ ],[ ]"
7757      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 30 30 0 0 ],[0 0 26 26 0 ],[0.77 0.82 0.91"
7758      " ]);\nplot([0 30 30 0 0 ],[0 0 26 26 0 ]);\npatch([8.325 12.66 15.66 18.66 21.66 15.66 11.325 8.325 ],[16.33 16.33 "
7759      "19.33 16.33 19.33 19.33 19.33 16.33 ],[1 1 1 ]);\npatch([11.325 15.66 12.66 8.325 11.325 ],[13.33 13.33 16.33 16.33"
7760      " 13.33 ],[0.931 0.946 0.973 ]);\npatch([8.325 12.66 15.66 11.325 8.325 ],[10.33 10.33 13.33 13.33 10.33 ],[1 1 1 ])"
7761      ";\npatch([11.325 21.66 18.66 15.66 12.66 8.325 11.325 ],[7.33 7.33 10.33 7.33 10.33 10.33 7.33 ],[0.931 0.946 0.973"
7762      " ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\n\n\ncolor('black');disp('"
7763      "not');\nfprintf('','COMMENT: end icon text');"
7764    }
7765    Block {
7766      BlockType       Reference
7767      Name            "Logical"
7768      SID             "114"
7769      Ports           [2, 1]
7770      Position        [205, 29, 240, 71]
7771      ShowName        off
7772      LibraryVersion      "1.2"
7773      SourceBlock         "xbsIndex_r4/Logical"
7774      SourceType          "Xilinx Logical Block Block"
7775      logical_function    "AND"
7776      inputs          "2"
7777      en              off
7778      latency         "0"
7779      precision       "Full"
7780      arith_type          "Unsigned"
7781      n_bits          "16"
7782      bin_pt          "0"
7783      align_bp        on
7784      dbl_ovrd        off
7785      xl_use_area         off
7786      xl_area         "[0,0,0,0,0,0,0]"
7787      has_advanced_control    "0"
7788      sggui_pos       "-1,-1,-1,-1"
7789      block_type          "logical"
7790      block_version       "8.2"
7791      sg_icon_stat        "35,42,2,1,white,blue,0,83a4b621,right,,[ ],[ ]"
7792      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 35 35 0 0 ],[0 0 42 42 0 ],[0.77 0.82 0.91"
7793      " ]);\nplot([0 35 35 0 0 ],[0 0 42 42 0 ]);\npatch([5.875 13.1 18.1 23.1 28.1 18.1 10.875 5.875 ],[26.55 26.55 31.55"
7794      " 26.55 31.55 31.55 31.55 26.55 ],[1 1 1 ]);\npatch([10.875 18.1 13.1 5.875 10.875 ],[21.55 21.55 26.55 26.55 21.55 "
7795      "],[0.931 0.946 0.973 ]);\npatch([5.875 13.1 18.1 10.875 5.875 ],[16.55 16.55 21.55 21.55 16.55 ],[1 1 1 ]);\npatch("
7796      "[10.875 28.1 23.1 18.1 13.1 5.875 10.875 ],[11.55 11.55 16.55 11.55 16.55 16.55 11.55 ],[0.931 0.946 0.973 ]);\nfpr"
7797      "intf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\n\n\n\ncolor('black');disp('and');\n"
7798      "fprintf('','COMMENT: end icon text');"
7799    }
7800    Block {
7801      BlockType       Outport
7802      Name            "Q"
7803      SID             "115"
7804      Position        [265, 43, 295, 57]
7805      IconDisplay         "Port number"
7806    }
7807    Line {
7808      SrcBlock        "D"
7809      SrcPort         1
7810      Points          [0, 0; 15, 0]
7811      Branch {
7812        DstBlock            "Inverter"
7813        DstPort         1
7814      }
7815      Branch {
7816        DstBlock            "Logical"
7817        DstPort         1
7818      }
7819    }
7820    Line {
7821      SrcBlock        "Inverter"
7822      SrcPort         1
7823      DstBlock        "Delay"
7824      DstPort         1
7825    }
7826    Line {
7827      SrcBlock        "Delay"
7828      SrcPort         1
7829      Points          [0, 0]
7830      DstBlock        "Logical"
7831      DstPort         2
7832    }
7833    Line {
7834      SrcBlock        "Logical"
7835      SrcPort         1
7836      Points          [0, 0]
7837      DstBlock        "Q"
7838      DstPort         1
7839    }
7840      }
7841    }
7842    Block {
7843      BlockType           DiscretePulseGenerator
7844      Name            "Pulse\nGenerator"
7845      SID             "116"
7846      Ports           [0, 1]
7847      Position            [35, 202, 65, 238]
7848      Period              "1000000"
7849      PulseWidth          "50"
7850      PhaseDelay          "2"
7851    }
7852    Block {
7853      BlockType           DiscretePulseGenerator
7854      Name            "Pulse\nGenerator1"
7855      SID             "117"
7856      Ports           [0, 1]
7857      Position            [60, 643, 105, 677]
7858      Period              "10 * 2^14"
7859      PulseWidth          "50"
7860      PhaseDelay          "2"
7861    }
7862    Block {
7863      BlockType           DiscretePulseGenerator
7864      Name            "Pulse\nGenerator2"
7865      SID             "118"
7866      Ports           [0, 1]
7867      Position            [60, 728, 105, 762]
7868      Period              "10 * 2^14"
7869      PulseWidth          "50"
7870      PhaseDelay          "2.5 * 2^14"
7871    }
7872    Block {
7873      BlockType           DiscretePulseGenerator
7874      Name            "Pulse\nGenerator3"
7875      SID             "119"
7876      Ports           [0, 1]
7877      Position            [55, 1003, 100, 1037]
7878      Period              "20000"
7879      PulseWidth          "50"
7880      PhaseDelay          "374"
7881    }
7882    Block {
7883      BlockType           Reference
7884      Name            "RSSI Clock\nGenerator"
7885      SID             "120"
7886      Ports           [0, 1]
7887      Position            [65, 942, 110, 968]
7888      LibraryVersion          "1.2"
7889      SourceBlock         "xbsIndex_r4/Counter"
7890      SourceType          "Xilinx Counter Block"
7891      infoedit            "Hardware notes: Free running counters are the least expensive in hardware.  A count limited cou"
7892      "nter is implemented by combining a counter with a comparator."
7893      cnt_type            "Free Running"
7894      cnt_to              "Inf"
7895      operation           "Up"
7896      start_count         "0"
7897      cnt_by_val          "1"
7898      arith_type          "Unsigned"
7899      n_bits              "1"
7900      bin_pt              "0"
7901      load_pin            off
7902      rst             off
7903      en              off
7904      explicit_period         "on"
7905      period              "2"
7906      dbl_ovrd            off
7907      use_behavioral_HDL      off
7908      implementation          "Fabric"
7909      xl_use_area         off
7910      xl_area             "[0,0,0,0,0,0,0]"
7911      use_rpm             "off"
7912      has_advanced_control    "0"
7913      sggui_pos           "20,20,356,630"
7914      block_type          "counter"
7915      block_version       "VER_STRING_GOES_HERE"
7916      sg_icon_stat        "45,26,0,1,white,blue,0,7ac47ef5,right,,[ ],[ ]"
7917      sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npatch([0 45 45 0 0 ],[0 0 26 26 0 ],[0.77 0."
7918      "82 0.91 ]);\nplot([0 45 45 0 0 ],[0 0 26 26 0 ]);\npatch([15.325 19.66 22.66 25.66 28.66 22.66 18.325 15.325 ],["
7919      "16.33 16.33 19.33 16.33 19.33 19.33 19.33 16.33 ],[1 1 1 ]);\npatch([18.325 22.66 19.66 15.325 18.325 ],[13.33 1"
7920      "3.33 16.33 16.33 13.33 ],[0.931 0.946 0.973 ]);\npatch([15.325 19.66 22.66 18.325 15.325 ],[10.33 10.33 13.33 13"
7921      ".33 10.33 ],[1 1 1 ]);\npatch([18.325 28.66 25.66 22.66 19.66 15.325 18.325 ],[7.33 7.33 10.33 7.33 10.33 10.33 "
7922      "7.33 ],[0.931 0.946 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text')"
7923      ";\n\ncolor('black');disp('{\\fontsize{14}\\bf++}','texmode','on');\nfprintf('','COMMENT: end icon text');"
7924    }
7925    Block {
7926      BlockType           Reference
7927      Name            "RSSI_ADC_CLK"
7928      SID             "121"
7929      Ports           [1, 1]
7930      Position            [170, 948, 210, 962]
7931      LibraryVersion          "1.2"
7932      SourceBlock         "xbsIndex_r4/Gateway Out"
7933      SourceType          "Xilinx Gateway Out Block"
7934      infoedit            "Gateway out block.  Converts Xilinx fixed point inputs into ouputs of type Simulink integer, do"
7935      "uble, or fixed point.<P><P>Hardware notes:  In hardware these blocks become top level output ports or are discar"
7936      "ded, depending on how they are configured."
7937      inherit_from_input      off
7938      hdl_port            on
7939      timing_constraint       "None"
7940      locs_specified          off
7941      LOCs            "{}"
7942      xl_use_area         off
7943      xl_area             "[0,0,0,0,0,0,0]"
7944      UseAsDAC            off
7945      DACChannel          "'1'"
7946      has_advanced_control    "0"
7947      sggui_pos           "-1,-1,-1,-1"
7948      block_type          "gatewayout"
7949      block_version       "8.2"
7950      sg_icon_stat        "40,14,1,1,white,yellow,1,cc31b7ac,right,,[ ],[ ]"
7951      sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npatch([0 40 40 0 0 ],[0 0 14 14 0 ],[0.95 0."
7952      "93 0.65 ]);\nplot([0 40 40 0 0 ],[0 0 14 14 0 ]);\npatch([15.55 18.44 20.44 22.44 24.44 20.44 17.55 15.55 ],[9.2"
7953      "2 9.22 11.22 9.22 11.22 11.22 11.22 9.22 ],[1 1 1 ]);\npatch([17.55 20.44 18.44 15.55 17.55 ],[7.22 7.22 9.22 9."
7954      "22 7.22 ],[0.985 0.979 0.895 ]);\npatch([15.55 18.44 20.44 17.55 15.55 ],[5.22 5.22 7.22 7.22 5.22 ],[1 1 1 ]);\n"
7955      "patch([17.55 24.44 22.44 20.44 18.44 15.55 17.55 ],[3.22 3.22 5.22 3.22 5.22 5.22 3.22 ],[0.985 0.979 0.895 ]);\n"
7956      "fprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('i"
7957      "nput',1,' ');\ncolor('black');port_label('output',1,'\\fontsize{11pt}\\bf Out ','texmode','on');\nfprintf('','CO"
7958      "MMENT: end icon text');"
7959    }
7960    Block {
7961      BlockType           SubSystem
7962      Name            "Radio 1\nRx Buffers"
7963      SID             "122"
7964      Ports           [5]
7965      Position            [1175, 38, 1240, 142]
7966      MinAlgLoopOccurrences   off
7967      PropExecContextOutsideSubsystem off
7968      RTWSystemCode       "Auto"
7969      FunctionWithSeparateData off
7970      Opaque              off
7971      RequestExecContextInheritance off
7972      MaskHideContents        off
7973      System {
7974    Name            "Radio 1\nRx Buffers"
7975    Location        [2, 82, 1270, 734]
7976    Open            off
7977    ModelBrowserVisibility  off
7978    ModelBrowserWidth   200
7979    ScreenColor     "white"
7980    PaperOrientation    "landscape"
7981    PaperPositionMode   "auto"
7982    PaperType       "usletter"
7983    PaperUnits      "inches"
7984    TiledPaperMargins   [0.500000, 0.500000, 0.500000, 0.500000]
7985    TiledPageScale      1
7986    ShowPageBoundaries  off
7987    ZoomFactor      "100"
7988    Block {
7989      BlockType       Inport
7990      Name            "RSSI"
7991      SID             "123"
7992      Position        [450, 313, 480, 327]
7993      IconDisplay         "Port number"
7994    }
7995    Block {
7996      BlockType       Inport
7997      Name            "I/Q"
7998      SID             "124"
7999      Position        [545, 163, 575, 177]
8000      Port            "2"
8001      IconDisplay         "Port number"
8002    }
8003    Block {
8004      BlockType       Inport
8005      Name            "Addr"
8006      SID             "125"
8007      Position        [325, 128, 355, 142]
8008      Port            "3"
8009      IconDisplay         "Port number"
8010    }
8011    Block {
8012      BlockType       Inport
8013      Name            "WE"
8014      SID             "126"
8015      Position        [110, 183, 140, 197]
8016      Port            "4"
8017      IconDisplay         "Port number"
8018    }
8019    Block {
8020      BlockType       Inport
8021      Name            "EN"
8022      SID             "127"
8023      Position        [110, 213, 140, 227]
8024      Port            "5"
8025      IconDisplay         "Port number"
8026    }
8027    Block {
8028      BlockType       Reference
8029      Name            "Convert1"
8030      SID             "128"
8031      Ports           [1, 1]
8032      Position        [185, 212, 210, 228]
8033      ShowName        off
8034      LibraryVersion      "1.2"
8035      SourceBlock         "xbsIndex_r4/Convert"
8036      SourceType          "Xilinx Type Converter Block"
8037      infoedit        "Hardware notes: rounding and saturating require hardware resources; truncating and wrapping do not."
8038      gui_display_data_type   "Fixed-point"
8039      arith_type          "Boolean"
8040      n_bits          "16"
8041      bin_pt          "14"
8042      float_type          "Single"
8043      exp_bits        "8"
8044      fraction_bits       "24"
8045      quantization        "Truncate"
8046      overflow        "Wrap"
8047      en              off
8048      latency         "0"
8049      dbl_ovrd        off
8050      pipeline        off
8051      xl_use_area         off
8052      xl_area         "[0,0,0,0,0,0,0]"
8053      has_advanced_control    "0"
8054      sggui_pos       "20,20,374,375"
8055      block_type          "convert"
8056      block_version       "8.2"
8057      sg_icon_stat        "25,16,1,1,white,blue,0,edca21da,right,,[ ],[ ]"
8058      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 25 25 0 0 ],[0 0 16 16 0 ],[0.77 0.82 0.91"
8059      " ]);\nplot([0 25 25 0 0 ],[0 0 16 16 0 ]);\npatch([7.55 10.44 12.44 14.44 16.44 12.44 9.55 7.55 ],[10.22 10.22 12.2"
8060      "2 10.22 12.22 12.22 12.22 10.22 ],[1 1 1 ]);\npatch([9.55 12.44 10.44 7.55 9.55 ],[8.22 8.22 10.22 10.22 8.22 ],[0."
8061      "931 0.946 0.973 ]);\npatch([7.55 10.44 12.44 9.55 7.55 ],[6.22 6.22 8.22 8.22 6.22 ],[1 1 1 ]);\npatch([9.55 16.44 "
8062      "14.44 12.44 10.44 7.55 9.55 ],[4.22 4.22 6.22 4.22 6.22 6.22 4.22 ],[0.931 0.946 0.973 ]);\nfprintf('','COMMENT: en"
8063      "d icon graphics');\nfprintf('','COMMENT: begin icon text');\n\ncolor('black');port_label('output',1,'cast');\nfprin"
8064      "tf('','COMMENT: end icon text');"
8065    }
8066    Block {
8067      BlockType       Reference
8068      Name            "Gateway Out"
8069      SID             "129"
8070      Ports           [1, 1]
8071      Position        [500, 30, 530, 40]
8072      ShowName        off
8073      LibraryVersion      "1.2"
8074      SourceBlock         "xbsIndex_r4/Gateway Out"
8075      SourceType          "Xilinx Gateway Out Block"
8076      infoedit        "Gateway out block.  Converts Xilinx fixed point inputs into ouputs of type Simulink integer, double, o"
8077      "r fixed point.<P><P>Hardware notes:  In hardware these blocks become top level output ports or are discarded, depen"
8078      "ding on how they are configured."
8079      inherit_from_input      off
8080      hdl_port        off
8081      timing_constraint   "None"
8082      locs_specified      off
8083      LOCs            "{}"
8084      xl_use_area         off
8085      xl_area         "[0,0,0,0,0,0,0]"
8086      UseAsDAC        off
8087      DACChannel          "'1'"
8088      has_advanced_control    "0"
8089      sggui_pos       "20,20,336,386"
8090      block_type          "gatewayout"
8091      block_version       "10.1.2"
8092      sg_icon_stat        "30,10,1,1,white,grey,1,632ec840,right,,[ ],[ ]"
8093      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 30 30 0 0 ],[0 0 10 10 0 ],[0.88 0.88 0.88"
8094      " ]);\nplot([0 30 30 0 0 ],[0 0 10 10 0 ]);\npatch([12.775 14.22 15.22 16.22 17.22 15.22 13.775 12.775 ],[6.11 6.11 "
8095      "7.11 6.11 7.11 7.11 7.11 6.11 ],[1 1 1 ]);\npatch([13.775 15.22 14.22 12.775 13.775 ],[5.11 5.11 6.11 6.11 5.11 ],["
8096      "0.964 0.964 0.964 ]);\npatch([12.775 14.22 15.22 13.775 12.775 ],[4.11 4.11 5.11 5.11 4.11 ],[1 1 1 ]);\npatch([13."
8097      "775 17.22 16.22 15.22 14.22 12.775 13.775 ],[3.11 3.11 4.11 3.11 4.11 4.11 3.11 ],[0.964 0.964 0.964 ]);\nfprintf('"
8098      "','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1,' ')"
8099      ";\ncolor('black');port_label('output',1,'\\fontsize{11pt} Out ','texmode','on');\nfprintf('','COMMENT: end icon tex"
8100      "t');"
8101    }
8102    Block {
8103      BlockType       Reference
8104      Name            "Gateway Out1"
8105      SID             "130"
8106      Ports           [1, 1]
8107      Position        [500, 70, 530, 80]
8108      ShowName        off
8109      LibraryVersion      "1.2"
8110      SourceBlock         "xbsIndex_r4/Gateway Out"
8111      SourceType          "Xilinx Gateway Out Block"
8112      infoedit        "Gateway out block.  Converts Xilinx fixed point inputs into ouputs of type Simulink integer, double, o"
8113      "r fixed point.<P><P>Hardware notes:  In hardware these blocks become top level output ports or are discarded, depen"
8114      "ding on how they are configured."
8115      inherit_from_input      off
8116      hdl_port        off
8117      timing_constraint   "None"
8118      locs_specified      off
8119      LOCs            "{}"
8120      xl_use_area         off
8121      xl_area         "[0,0,0,0,0,0,0]"
8122      UseAsDAC        off
8123      DACChannel          "'1'"
8124      has_advanced_control    "0"
8125      sggui_pos       "20,20,336,386"
8126      block_type          "gatewayout"
8127      block_version       "10.1.2"
8128      sg_icon_stat        "30,10,1,1,white,grey,1,632ec840,right,,[ ],[ ]"
8129      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 30 30 0 0 ],[0 0 10 10 0 ],[0.88 0.88 0.88"
8130      " ]);\nplot([0 30 30 0 0 ],[0 0 10 10 0 ]);\npatch([12.775 14.22 15.22 16.22 17.22 15.22 13.775 12.775 ],[6.11 6.11 "
8131      "7.11 6.11 7.11 7.11 7.11 6.11 ],[1 1 1 ]);\npatch([13.775 15.22 14.22 12.775 13.775 ],[5.11 5.11 6.11 6.11 5.11 ],["
8132      "0.964 0.964 0.964 ]);\npatch([12.775 14.22 15.22 13.775 12.775 ],[4.11 4.11 5.11 5.11 4.11 ],[1 1 1 ]);\npatch([13."
8133      "775 17.22 16.22 15.22 14.22 12.775 13.775 ],[3.11 3.11 4.11 3.11 4.11 4.11 3.11 ],[0.964 0.964 0.964 ]);\nfprintf('"
8134      "','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1,' ')"
8135      ";\ncolor('black');port_label('output',1,'\\fontsize{11pt} Out ','texmode','on');\nfprintf('','COMMENT: end icon tex"
8136      "t');"
8137    }
8138    Block {
8139      BlockType       Reference
8140      Name            "Logical"
8141      SID             "131"
8142      Ports           [2, 1]
8143      Position        [255, 175, 310, 235]
8144      LibraryVersion      "1.2"
8145      SourceBlock         "xbsIndex_r4/Logical"
8146      SourceType          "Xilinx Logical Block Block"
8147      logical_function    "AND"
8148      inputs          "2"
8149      en              off
8150      latency         "0"
8151      precision       "Full"
8152      arith_type          "Unsigned"
8153      n_bits          "16"
8154      bin_pt          "0"
8155      align_bp        on
8156      dbl_ovrd        off
8157      xl_use_area         off
8158      xl_area         "[0,0,0,0,0,0,0]"
8159      has_advanced_control    "0"
8160      sggui_pos       "-1,-1,-1,-1"
8161      block_type          "logical"
8162      block_version       "9.1.01"
8163      sg_icon_stat        "55,60,2,1,white,blue,0,83a4b621,right,,[ ],[ ]"
8164      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 0 ],[0 0 60 60 0 ],[0.77 0.82 0.91"
8165      " ]);\nplot([0 55 55 0 0 ],[0 0 60 60 0 ]);\npatch([11.425 21.54 28.54 35.54 42.54 28.54 18.425 11.425 ],[37.77 37.7"
8166      "7 44.77 37.77 44.77 44.77 44.77 37.77 ],[1 1 1 ]);\npatch([18.425 28.54 21.54 11.425 18.425 ],[30.77 30.77 37.77 37"
8167      ".77 30.77 ],[0.931 0.946 0.973 ]);\npatch([11.425 21.54 28.54 18.425 11.425 ],[23.77 23.77 30.77 30.77 23.77 ],[1 1"
8168      " 1 ]);\npatch([18.425 42.54 35.54 28.54 21.54 11.425 18.425 ],[16.77 16.77 23.77 16.77 23.77 23.77 16.77 ],[0.931 0"
8169      ".946 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\n\n\n\ncolor('bl"
8170      "ack');disp('and');\nfprintf('','COMMENT: end icon text');"
8171    }
8172    Block {
8173      BlockType       SubSystem
8174      Name            "Radio 1\nRSSI Buffer"
8175      SID             "132"
8176      Ports           [3]
8177      Position        [645, 264, 700, 376]
8178      MinAlgLoopOccurrences   off
8179      PropExecContextOutsideSubsystem off
8180      RTWSystemCode       "Auto"
8181      FunctionWithSeparateData off
8182      Opaque          off
8183      RequestExecContextInheritance off
8184      MaskHideContents    off
8185      System {
8186        Name            "Radio 1\nRSSI Buffer"
8187        Location            [2, 82, 1918, 1162]
8188        Open            off
8189        ModelBrowserVisibility  off
8190        ModelBrowserWidth       200
8191        ScreenColor         "white"
8192        PaperOrientation        "landscape"
8193        PaperPositionMode       "auto"
8194        PaperType           "usletter"
8195        PaperUnits          "inches"
8196        TiledPaperMargins       [0.500000, 0.500000, 0.500000, 0.500000]
8197        TiledPageScale      1
8198        ShowPageBoundaries      off
8199        ZoomFactor          "100"
8200        Block {
8201          BlockType           Inport
8202          Name            "Addr_14b"
8203          SID             "133"
8204          Position            [325, 348, 355, 362]
8205          NamePlacement       "alternate"
8206          IconDisplay         "Port number"
8207        }
8208        Block {
8209          BlockType           Inport
8210          Name            "RSSI"
8211          SID             "134"
8212          Position            [95, 383, 125, 397]
8213          Port            "2"
8214          IconDisplay         "Port number"
8215        }
8216        Block {
8217          BlockType           Inport
8218          Name            "WE"
8219          SID             "135"
8220          Position            [720, 478, 750, 492]
8221          Port            "3"
8222          IconDisplay         "Port number"
8223        }
8224        Block {
8225          BlockType           Reference
8226          Name            "11MSB"
8227          SID             "136"
8228          Ports           [1, 1]
8229          Position            [430, 346, 470, 364]
8230          NamePlacement       "alternate"
8231          LibraryVersion          "1.2"
8232          SourceBlock         "xbsIndex_r4/Slice"
8233          SourceType          "Xilinx Bit Slice Extractor Block"
8234          infoedit            "Extracts a given range of bits from each input sample and presents it at the output.  The outp"
8235          "ut type is ordinarily unsigned with binary point at zero, but can be Boolean when the slice is one bit wide.<P>"
8236          "<P>Hardware notes: In hardware this block costs nothing."
8237          nbits           "11"
8238          boolean_output          off
8239          mode            "Upper Bit Location + Width"
8240          bit1            "0"
8241          base1           "MSB of Input"
8242          bit0            "0"
8243          base0           "LSB of Input"
8244          dbl_ovrd            off
8245          has_advanced_control    "0"
8246          sggui_pos           "20,20,449,407"
8247          block_type          "slice"
8248          block_version       "8.2"
8249          sg_icon_stat        "40,18,1,1,white,blue,0,1fd851a7,right,,[ ],[ ]"
8250          sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npatch([0 40 40 0 0 ],[0 0 18 18 0 ],[0.77 0"
8251          ".82 0.91 ]);\nplot([0 40 40 0 0 ],[0 0 18 18 0 ]);\npatch([15.55 18.44 20.44 22.44 24.44 20.44 17.55 15.55 ],[1"
8252          "1.22 11.22 13.22 11.22 13.22 13.22 13.22 11.22 ],[1 1 1 ]);\npatch([17.55 20.44 18.44 15.55 17.55 ],[9.22 9.22 "
8253          "11.22 11.22 9.22 ],[0.931 0.946 0.973 ]);\npatch([15.55 18.44 20.44 17.55 15.55 ],[7.22 7.22 9.22 9.22 7.22 ],["
8254          "1 1 1 ]);\npatch([17.55 24.44 22.44 20.44 18.44 15.55 17.55 ],[5.22 5.22 7.22 5.22 7.22 7.22 5.22 ],[0.931 0.94"
8255          "6 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\n\ncolor('black"
8256          "');port_label('output',1,'[a:b]');\nfprintf('','COMMENT: end icon text');"
8257        }
8258        Block {
8259          BlockType           Reference
8260          Name            "BIT[2]"
8261          SID             "137"
8262          Ports           [1, 1]
8263          Position            [430, 401, 470, 419]
8264          LibraryVersion          "1.2"
8265          SourceBlock         "xbsIndex_r4/Slice"
8266          SourceType          "Xilinx Bit Slice Extractor Block"
8267          infoedit            "Extracts a given range of bits from each input sample and presents it at the output.  The outp"
8268          "ut type is ordinarily unsigned with binary point at zero, but can be Boolean when the slice is one bit wide.<P>"
8269          "<P>Hardware notes: In hardware this block costs nothing."
8270          nbits           "1"
8271          boolean_output          on
8272          mode            "Lower Bit Location + Width"
8273          bit1            "0"
8274          base1           "MSB of Input"
8275          bit0            "2"
8276          base0           "LSB of Input"
8277          dbl_ovrd            off
8278          has_advanced_control    "0"
8279          sggui_pos           "20,20,449,407"
8280          block_type          "slice"
8281          block_version       "8.2"
8282          sg_icon_stat        "40,18,1,1,white,blue,0,1fd851a7,right,,[ ],[ ]"
8283          sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npatch([0 40 40 0 0 ],[0 0 18 18 0 ],[0.77 0"
8284          ".82 0.91 ]);\nplot([0 40 40 0 0 ],[0 0 18 18 0 ]);\npatch([15.55 18.44 20.44 22.44 24.44 20.44 17.55 15.55 ],[1"
8285          "1.22 11.22 13.22 11.22 13.22 13.22 13.22 11.22 ],[1 1 1 ]);\npatch([17.55 20.44 18.44 15.55 17.55 ],[9.22 9.22 "
8286          "11.22 11.22 9.22 ],[0.931 0.946 0.973 ]);\npatch([15.55 18.44 20.44 17.55 15.55 ],[7.22 7.22 9.22 9.22 7.22 ],["
8287          "1 1 1 ]);\npatch([17.55 24.44 22.44 20.44 18.44 15.55 17.55 ],[5.22 5.22 7.22 5.22 7.22 7.22 5.22 ],[0.931 0.94"
8288          "6 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\n\ncolor('black"
8289          "');port_label('output',1,'[a:b]');\nfprintf('','COMMENT: end icon text');"
8290        }
8291        Block {
8292          BlockType           Reference
8293          Name            "Concat"
8294          SID             "138"
8295          Ports           [2, 1]
8296          Position            [685, 381, 725, 459]
8297          ShowName            off
8298          LibraryVersion          "1.2"
8299          SourceBlock         "xbsIndex_r4/Concat"
8300          SourceType          "Xilinx Bus Concatenator Block"
8301          infoedit            "Concatenates two or more inputs.  Output will be cast to an unsigned value with the binary poi"
8302          "nt at zero."
8303          num_inputs          "2"
8304          dbl_ovrd            off
8305          has_advanced_control    "0"
8306          sggui_pos           "-1,-1,-1,-1"
8307          block_type          "concat"
8308          block_version       "10.1.2"
8309          sg_icon_stat        "40,78,2,1,white,blue,0,16398980,right,,[ ],[ ]"
8310          sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npatch([0 40 40 0 0 ],[0 0 78 78 0 ],[0.77 0"
8311          ".82 0.91 ]);\nplot([0 40 40 0 0 ],[0 0 78 78 0 ]);\npatch([8.875 16.1 21.1 26.1 31.1 21.1 13.875 8.875 ],[44.55"
8312          " 44.55 49.55 44.55 49.55 49.55 49.55 44.55 ],[1 1 1 ]);\npatch([13.875 21.1 16.1 8.875 13.875 ],[39.55 39.55 44"
8313          ".55 44.55 39.55 ],[0.931 0.946 0.973 ]);\npatch([8.875 16.1 21.1 13.875 8.875 ],[34.55 34.55 39.55 39.55 34.55 "
8314          "],[1 1 1 ]);\npatch([13.875 31.1 26.1 21.1 16.1 8.875 13.875 ],[29.55 29.55 34.55 29.55 34.55 34.55 29.55 ],[0."
8315          "931 0.946 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor("
8316          "'black');port_label('input',1,'hi');\ncolor('black');port_label('input',2,'lo');\n\ncolor('black');disp('\\font"
8317          "size{20}\\}','texmode','on');\nfprintf('','COMMENT: end icon text');"
8318        }
8319        Block {
8320          BlockType           Reference
8321          Name            "Convert"
8322          SID             "139"
8323          Ports           [1, 1]
8324          Position            [285, 382, 320, 398]
8325          ShowName            off
8326          LibraryVersion          "1.2"
8327          SourceBlock         "xbsIndex_r4/Convert"
8328          SourceType          "Xilinx Type Converter Block"
8329          infoedit            "Hardware notes: rounding and saturating require hardware resources; truncating and wrapping do"
8330          " not."
8331          gui_display_data_type   "Fixed-point"
8332          arith_type          "Unsigned"
8333          n_bits              "16"
8334          bin_pt              "0"
8335          float_type          "Single"
8336          exp_bits            "8"
8337          fraction_bits       "24"
8338          quantization        "Truncate"
8339          overflow            "Wrap"
8340          en              off
8341          latency             "0"
8342          dbl_ovrd            off
8343          pipeline            off
8344          xl_use_area         off
8345          xl_area             "[0,0,0,0,0,0,0]"
8346          has_advanced_control    "0"
8347          sggui_pos           "20,20,461,375"
8348          block_type          "convert"
8349          block_version       "8.2"
8350          sg_icon_stat        "35,16,1,1,white,blue,0,edca21da,right,,[ ],[ ]"
8351          sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npatch([0 35 35 0 0 ],[0 0 16 16 0 ],[0.77 0"
8352          ".82 0.91 ]);\nplot([0 35 35 0 0 ],[0 0 16 16 0 ]);\npatch([12.55 15.44 17.44 19.44 21.44 17.44 14.55 12.55 ],[1"
8353          "0.22 10.22 12.22 10.22 12.22 12.22 12.22 10.22 ],[1 1 1 ]);\npatch([14.55 17.44 15.44 12.55 14.55 ],[8.22 8.22 "
8354          "10.22 10.22 8.22 ],[0.931 0.946 0.973 ]);\npatch([12.55 15.44 17.44 14.55 12.55 ],[6.22 6.22 8.22 8.22 6.22 ],["
8355          "1 1 1 ]);\npatch([14.55 21.44 19.44 17.44 15.44 12.55 14.55 ],[4.22 4.22 6.22 4.22 6.22 6.22 4.22 ],[0.931 0.94"
8356          "6 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\n\ncolor('black"
8357          "');port_label('output',1,'cast');\nfprintf('','COMMENT: end icon text');"
8358        }
8359        Block {
8360          BlockType           Reference
8361          Name            "Inverter"
8362          SID             "140"
8363          Ports           [1, 1]
8364          Position            [510, 402, 540, 418]
8365          ShowName            off
8366          LibraryVersion          "1.2"
8367          SourceBlock         "xbsIndex_r4/Inverter"
8368          SourceType          "Xilinx Inverter Block"
8369          infoedit            "Bitwise logical negation (one's complement) operator."
8370          en              off
8371          latency             "0"
8372          dbl_ovrd            off
8373          xl_use_area         off
8374          xl_area             "[0,0,0,0,0,0,0]"
8375          has_advanced_control    "0"
8376          sggui_pos           "20,20,348,251"
8377          block_type          "inv"
8378          block_version       "10.1.2"
8379          sg_icon_stat        "30,16,1,1,white,blue,0,267846e5,right,,[ ],[ ]"
8380          sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npatch([0 30 30 0 0 ],[0 0 16 16 0 ],[0.77 0"
8381          ".82 0.91 ]);\nplot([0 30 30 0 0 ],[0 0 16 16 0 ]);\npatch([10.55 13.44 15.44 17.44 19.44 15.44 12.55 10.55 ],[1"
8382          "0.22 10.22 12.22 10.22 12.22 12.22 12.22 10.22 ],[1 1 1 ]);\npatch([12.55 15.44 13.44 10.55 12.55 ],[8.22 8.22 "
8383          "10.22 10.22 8.22 ],[0.931 0.946 0.973 ]);\npatch([10.55 13.44 15.44 12.55 10.55 ],[6.22 6.22 8.22 8.22 6.22 ],["
8384          "1 1 1 ]);\npatch([12.55 19.44 17.44 15.44 13.44 10.55 12.55 ],[4.22 4.22 6.22 4.22 6.22 6.22 4.22 ],[0.931 0.94"
8385          "6 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\n\n\ncolor('bla"
8386          "ck');disp('not');\nfprintf('','COMMENT: end icon text');"
8387        }
8388        Block {
8389          BlockType           Reference
8390          Name            "Register"
8391          SID             "141"
8392          Ports           [2, 1]
8393          Position            [580, 378, 625, 422]
8394          ShowName            off
8395          LibraryVersion          "1.2"
8396          SourceBlock         "xbsIndex_r4/Register"
8397          SourceType          "Xilinx Register Block"
8398          init            "0"
8399          rst             off
8400          en              on
8401          dbl_ovrd            off
8402          xl_use_area         off
8403          xl_area             "[0,0,0,0,0,0,0]"
8404          has_advanced_control    "0"
8405          sggui_pos           "20,20,348,193"
8406          block_type          "register"
8407          block_version       "10.1.2"
8408          sg_icon_stat        "45,44,2,1,white,blue,0,6bd0930c,right,,[ ],[ ]"
8409          sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npatch([0 45 45 0 0 ],[0 0 44 44 0 ],[0.77 0"
8410          ".82 0.91 ]);\nplot([0 45 45 0 0 ],[0 0 44 44 0 ]);\npatch([8.65 17.32 23.32 29.32 35.32 23.32 14.65 8.65 ],[28."
8411          "66 28.66 34.66 28.66 34.66 34.66 34.66 28.66 ],[1 1 1 ]);\npatch([14.65 23.32 17.32 8.65 14.65 ],[22.66 22.66 2"
8412          "8.66 28.66 22.66 ],[0.931 0.946 0.973 ]);\npatch([8.65 17.32 23.32 14.65 8.65 ],[16.66 16.66 22.66 22.66 16.66 "
8413          "],[1 1 1 ]);\npatch([14.65 35.32 29.32 23.32 17.32 8.65 14.65 ],[10.66 10.66 16.66 10.66 16.66 16.66 10.66 ],[0"
8414          ".931 0.946 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor"
8415          "('black');port_label('input',1,'d');\ncolor('black');port_label('input',2,'en');\ncolor('black');port_label('ou"
8416          "tput',1,'q');\ncolor('black');disp('z^{-1}','texmode','on');\nfprintf('','COMMENT: end icon text');"
8417        }
8418        Block {
8419          BlockType           Reference
8420          Name            "Reinterpret1"
8421          SID             "142"
8422          Ports           [1, 1]
8423          Position            [180, 382, 215, 398]
8424          ShowName            off
8425          LibraryVersion          "1.2"
8426          SourceBlock         "xbsIndex_r4/Reinterpret"
8427          SourceType          "Xilinx Type Reinterpreter Block"
8428          infoedit            "Changes signal type without altering the binary representation.   You can changed the signal b"
8429          "etween signed and unsigned, and relocate the binary point.<P><P>Hardware notes: In hardware this block costs no"
8430          "thing.<P><P>Example:  Suppose the input is 6 bits wide, signed, with 2 fractional bits, and the output is force"
8431          "d to unsigned with 0 fractional bits.  Then an input of -2.0 (1110.00 in binary 2's complement) becomes an outp"
8432          "ut of 56 (111000 in binary)."
8433          force_arith_type        on
8434          arith_type          "Unsigned"
8435          force_bin_pt        on
8436          bin_pt              "0"
8437          has_advanced_control    "0"
8438          sggui_pos           "436,54,356,312"
8439          block_type          "reinterpret"
8440          block_version       "9.1.01"
8441          sg_icon_stat        "35,16,1,1,white,blue,0,6b04d0b0,right,,[ ],[ ]"
8442          sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npatch([0 35 35 0 0 ],[0 0 16 16 0 ],[0.77 0"
8443          ".82 0.91 ]);\nplot([0 35 35 0 0 ],[0 0 16 16 0 ]);\npatch([12.55 15.44 17.44 19.44 21.44 17.44 14.55 12.55 ],[1"
8444          "0.22 10.22 12.22 10.22 12.22 12.22 12.22 10.22 ],[1 1 1 ]);\npatch([14.55 17.44 15.44 12.55 14.55 ],[8.22 8.22 "
8445          "10.22 10.22 8.22 ],[0.931 0.946 0.973 ]);\npatch([12.55 15.44 17.44 14.55 12.55 ],[6.22 6.22 8.22 8.22 6.22 ],["
8446          "1 1 1 ]);\npatch([14.55 21.44 19.44 17.44 15.44 12.55 14.55 ],[4.22 4.22 6.22 4.22 6.22 6.22 4.22 ],[0.931 0.94"
8447          "6 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\n\n\ncolor('bla"
8448          "ck');disp('reinterpret');\nfprintf('','COMMENT: end icon text');"
8449        }
8450        Block {
8451          BlockType           Reference
8452          Name            "Shared Memory"
8453          SID             "143"
8454          Ports           [3, 1]
8455          Position            [800, 323, 880, 517]
8456          AttributesFormatString  "<< %<shared_memory_name> >>"
8457          LibraryVersion          "1.2"
8458          SourceBlock         "xbsIndex_r4/Shared Memory"
8459          SourceType          "Xilinx Shared Memory Random Access Memory Block"
8460          shared_memory_name      "'RSSIBuff_Radio1'"
8461          depth           "2^11"
8462          ownership           "Locally Owned and Initialized"
8463          initVector          "0"
8464          en              off
8465          mutex           "Unprotected"
8466          mode            "Read and Write"
8467          write_mode          "Read After Write"
8468          time_out            "0"
8469          latency             "1"
8470          explicit_data_type      on
8471          gui_display_data_type   "Fixed-point"
8472          arith_type          "Unsigned"
8473          n_bits              "32"
8474          bin_pt              "0"
8475          preci_type          "Single"
8476          xl_use_area         off
8477          xl_area             "[0,0,0,0,0,0,0]"
8478          implementation          "Block RAM"
8479          use_rpm             "off"
8480          has_advanced_control    "0"
8481          sggui_pos           "20,20,384,381"
8482          block_type          "shmem"
8483          block_version       "10.1.2"
8484          sg_icon_stat        "80,194,3,1,white,blue,0,bf435243,right,,[ ],[ ]"
8485          sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npatch([0 80 80 0 0 ],[0 0 194 194 0 ],[0.77"
8486          " 0.82 0.91 ]);\nplot([0 80 80 0 0 ],[0 0 194 194 0 ]);\npatch([15.525 31.42 42.42 53.42 64.42 42.42 26.525 15.5"
8487          "25 ],[109.21 109.21 120.21 109.21 120.21 120.21 120.21 109.21 ],[1 1 1 ]);\npatch([26.525 42.42 31.42 15.525 26"
8488          ".525 ],[98.21 98.21 109.21 109.21 98.21 ],[0.931 0.946 0.973 ]);\npatch([15.525 31.42 42.42 26.525 15.525 ],[87"
8489          ".21 87.21 98.21 98.21 87.21 ],[1 1 1 ]);\npatch([26.525 64.42 53.42 42.42 31.42 15.525 26.525 ],[76.21 76.21 87"
8490          ".21 76.21 87.21 87.21 76.21 ],[0.931 0.946 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','CO"
8491          "MMENT: begin icon text');\ncolor('black');port_label('input',1,'addr');\ncolor('black');port_label('input',2,'d"
8492          "in');\ncolor('black');port_label('input',3,'we');\ncolor('black');port_label('output',1,'dout');\nfprintf('','C"
8493          "OMMENT: end icon text');"
8494        }
8495        Block {
8496          BlockType           Terminator
8497          Name            "Terminator2"
8498          SID             "144"
8499          Position            [945, 410, 965, 430]
8500          ShowName            off
8501        }
8502        Line {
8503          SrcBlock            "RSSI"
8504          SrcPort             1
8505          DstBlock            "Reinterpret1"
8506          DstPort             1
8507        }
8508        Line {
8509          SrcBlock            "Convert"
8510          SrcPort             1
8511          Points              [35, 0]
8512          Branch {
8513        DstBlock        "Register"
8514        DstPort         1
8515          }
8516          Branch {
8517        Points          [0, 50]
8518        DstBlock        "Concat"
8519        DstPort         2
8520          }
8521        }
8522        Line {
8523          SrcBlock            "Addr_14b"
8524          SrcPort             1
8525          Points              [40, 0]
8526          Branch {
8527        DstBlock        "11MSB"
8528        DstPort         1
8529          }
8530          Branch {
8531        Points          [0, 55]
8532        DstBlock        "BIT[2]"
8533        DstPort         1
8534          }
8535        }
8536        Line {
8537          SrcBlock            "WE"
8538          SrcPort             1
8539          DstBlock            "Shared Memory"
8540          DstPort             3
8541        }
8542        Line {
8543          SrcBlock            "Reinterpret1"
8544          SrcPort             1
8545          DstBlock            "Convert"
8546          DstPort             1
8547        }
8548        Line {
8549          SrcBlock            "Concat"
8550          SrcPort             1
8551          DstBlock            "Shared Memory"
8552          DstPort             2
8553        }
8554        Line {
8555          SrcBlock            "BIT[2]"
8556          SrcPort             1
8557          DstBlock            "Inverter"
8558          DstPort             1
8559        }
8560        Line {
8561          SrcBlock            "11MSB"
8562          SrcPort             1
8563          DstBlock            "Shared Memory"
8564          DstPort             1
8565        }
8566        Line {
8567          SrcBlock            "Shared Memory"
8568          SrcPort             1
8569          DstBlock            "Terminator2"
8570          DstPort             1
8571        }
8572        Line {
8573          SrcBlock            "Register"
8574          SrcPort             1
8575          DstBlock            "Concat"
8576          DstPort             1
8577        }
8578        Line {
8579          SrcBlock            "Inverter"
8580          SrcPort             1
8581          DstBlock            "Register"
8582          DstPort             2
8583        }
8584      }
8585    }
8586    Block {
8587      BlockType       SubSystem
8588      Name            "Radio 1 I/Q\nBuffer"
8589      SID             "145"
8590      Ports           [3]
8591      Position        [645, 118, 700, 222]
8592      MinAlgLoopOccurrences   off
8593      PropExecContextOutsideSubsystem off
8594      RTWSystemCode       "Auto"
8595      FunctionWithSeparateData off
8596      Opaque          off
8597      RequestExecContextInheritance off
8598      MaskHideContents    off
8599      System {
8600        Name            "Radio 1 I/Q\nBuffer"
8601        Location            [2, 82, 1270, 734]
8602        Open            off
8603        ModelBrowserVisibility  off
8604        ModelBrowserWidth       200
8605        ScreenColor         "white"
8606        PaperOrientation        "landscape"
8607        PaperPositionMode       "auto"
8608        PaperType           "usletter"
8609        PaperUnits          "inches"
8610        TiledPaperMargins       [0.500000, 0.500000, 0.500000, 0.500000]
8611        TiledPageScale      1
8612        ShowPageBoundaries      off
8613        ZoomFactor          "100"
8614        Block {
8615          BlockType           Inport
8616          Name            "Addr_14b"
8617          SID             "146"
8618          Position            [190, 68, 220, 82]
8619          IconDisplay         "Port number"
8620        }
8621        Block {
8622          BlockType           Inport
8623          Name            "I/Q_32b"
8624          SID             "147"
8625          Position            [190, 98, 220, 112]
8626          Port            "2"
8627          IconDisplay         "Port number"
8628        }
8629        Block {
8630          BlockType           Inport
8631          Name            "WE"
8632          SID             "148"
8633          Position            [190, 128, 220, 142]
8634          Port            "3"
8635          IconDisplay         "Port number"
8636        }
8637        Block {
8638          BlockType           Reference
8639          Name            "Shared Memory"
8640          SID             "149"
8641          Ports           [3, 1]
8642          Position            [320, 60, 400, 150]
8643          AttributesFormatString  "<< %<shared_memory_name> >>"
8644          LibraryVersion          "1.2"
8645          SourceBlock         "xbsIndex_r4/Shared Memory"
8646          SourceType          "Xilinx Shared Memory Random Access Memory Block"
8647          shared_memory_name      "'RxBuff_Radio1'"
8648          depth           "2^14"
8649          ownership           "Locally Owned and Initialized"
8650          initVector          "0"
8651          en              off
8652          mutex           "Unprotected"
8653          mode            "Read and Write"
8654          write_mode          "Read After Write"
8655          time_out            "0"
8656          latency             "1"
8657          explicit_data_type      on
8658          gui_display_data_type   "Fixed-point"
8659          arith_type          "Unsigned"
8660          n_bits              "32"
8661          bin_pt              "0"
8662          preci_type          "Single"
8663          xl_use_area         off
8664          xl_area             "[0,0,0,0,0,0,0]"
8665          implementation          "Block RAM"
8666          use_rpm             "off"
8667          has_advanced_control    "0"
8668          sggui_pos           "20,20,384,381"
8669          block_type          "shmem"
8670          block_version       "10.1.2"
8671          sg_icon_stat        "80,90,3,1,white,blue,0,bf435243,right,,[ ],[ ]"
8672          sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npatch([0 80 80 0 0 ],[0 0 90 90 0 ],[0.77 0"
8673          ".82 0.91 ]);\nplot([0 80 80 0 0 ],[0 0 90 90 0 ]);\npatch([15.525 31.42 42.42 53.42 64.42 42.42 26.525 15.525 ]"
8674          ",[57.21 57.21 68.21 57.21 68.21 68.21 68.21 57.21 ],[1 1 1 ]);\npatch([26.525 42.42 31.42 15.525 26.525 ],[46.2"
8675          "1 46.21 57.21 57.21 46.21 ],[0.931 0.946 0.973 ]);\npatch([15.525 31.42 42.42 26.525 15.525 ],[35.21 35.21 46.2"
8676          "1 46.21 35.21 ],[1 1 1 ]);\npatch([26.525 64.42 53.42 42.42 31.42 15.525 26.525 ],[24.21 24.21 35.21 24.21 35.2"
8677          "1 35.21 24.21 ],[0.931 0.946 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin i"
8678          "con text');\ncolor('black');port_label('input',1,'addr');\ncolor('black');port_label('input',2,'din');\ncolor('"
8679          "black');port_label('input',3,'we');\ncolor('black');port_label('output',1,'dout');\nfprintf('','COMMENT: end ic"
8680          "on text');"
8681        }
8682        Block {
8683          BlockType           Terminator
8684          Name            "Terminator"
8685          SID             "150"
8686          Position            [450, 95, 470, 115]
8687          ShowName            off
8688        }
8689        Line {
8690          SrcBlock            "Addr_14b"
8691          SrcPort             1
8692          DstBlock            "Shared Memory"
8693          DstPort             1
8694        }
8695        Line {
8696          SrcBlock            "I/Q_32b"
8697          SrcPort             1
8698          DstBlock            "Shared Memory"
8699          DstPort             2
8700        }
8701        Line {
8702          SrcBlock            "WE"
8703          SrcPort             1
8704          DstBlock            "Shared Memory"
8705          DstPort             3
8706        }
8707        Line {
8708          SrcBlock            "Shared Memory"
8709          SrcPort             1
8710          DstBlock            "Terminator"
8711          DstPort             1
8712        }
8713      }
8714    }
8715    Block {
8716      BlockType       Scope
8717      Name            "Scope"
8718      SID             "151"
8719      Ports           [2]
8720      Position        [585, 14, 625, 96]
8721      Floating        off
8722      Location        [5, 49, 1285, 757]
8723      Open            off
8724      NumInputPorts       "2"
8725      ZoomMode        "yonly"
8726      List {
8727        ListType            AxesTitles
8728        axes1           "%<SignalLabel>"
8729        axes2           "%<SignalLabel>"
8730      }
8731      YMin            "-5~-5"
8732      YMax            "5~5"
8733      SaveName        "ScopeData2"
8734      DataFormat          "StructureWithTime"
8735      MaxDataPoints       "40000"
8736      SampleTime          "0"
8737    }
8738    Line {
8739      SrcBlock        "Logical"
8740      SrcPort         1
8741      Points          [55, 0]
8742      Branch {
8743        Points          [95, 0]
8744        Branch {
8745          Points              [0, -130]
8746          DstBlock            "Gateway Out1"
8747          DstPort             1
8748        }
8749        Branch {
8750          Labels              [0, 0]
8751          DstBlock            "Radio 1 I/Q\nBuffer"
8752          DstPort             3
8753        }
8754      }
8755      Branch {
8756        Points          [0, 150]
8757        DstBlock            "Radio 1\nRSSI Buffer"
8758        DstPort         3
8759      }
8760    }
8761    Line {
8762      SrcBlock        "Addr"
8763      SrcPort         1
8764      Points          [75, 0]
8765      Branch {
8766        Points          [0, -100]
8767        DstBlock            "Gateway Out"
8768        DstPort         1
8769      }
8770      Branch {
8771        Labels          [0, 0]
8772        DstBlock            "Radio 1 I/Q\nBuffer"
8773        DstPort         1
8774      }
8775      Branch {
8776        Points          [0, 150]
8777        DstBlock            "Radio 1\nRSSI Buffer"
8778        DstPort         1
8779      }
8780    }
8781    Line {
8782      SrcBlock        "WE"
8783      SrcPort         1
8784      DstBlock        "Logical"
8785      DstPort         1
8786    }
8787    Line {
8788      SrcBlock        "EN"
8789      SrcPort         1
8790      DstBlock        "Convert1"
8791      DstPort         1
8792    }
8793    Line {
8794      SrcBlock        "Convert1"
8795      SrcPort         1
8796      DstBlock        "Logical"
8797      DstPort         2
8798    }
8799    Line {
8800      SrcBlock        "I/Q"
8801      SrcPort         1
8802      DstBlock        "Radio 1 I/Q\nBuffer"
8803      DstPort         2
8804    }
8805    Line {
8806      SrcBlock        "Gateway Out"
8807      SrcPort         1
8808      DstBlock        "Scope"
8809      DstPort         1
8810    }
8811    Line {
8812      SrcBlock        "Gateway Out1"
8813      SrcPort         1
8814      DstBlock        "Scope"
8815      DstPort         2
8816    }
8817    Line {
8818      SrcBlock        "RSSI"
8819      SrcPort         1
8820      DstBlock        "Radio 1\nRSSI Buffer"
8821      DstPort         2
8822    }
8823      }
8824    }
8825    Block {
8826      BlockType           SubSystem
8827      Name            "Radio 1\nTx Buffer"
8828      SID             "152"
8829      Ports           [3, 1]
8830      Position            [1015, 661, 1095, 719]
8831      MinAlgLoopOccurrences   off
8832      PropExecContextOutsideSubsystem off
8833      RTWSystemCode       "Auto"
8834      FunctionWithSeparateData off
8835      Opaque              off
8836      RequestExecContextInheritance off
8837      MaskHideContents        off
8838      System {
8839    Name            "Radio 1\nTx Buffer"
8840    Location        [646, 409, 1914, 1031]
8841    Open            off
8842    ModelBrowserVisibility  off
8843    ModelBrowserWidth   200
8844    ScreenColor     "white"
8845    PaperOrientation    "landscape"
8846    PaperPositionMode   "auto"
8847    PaperType       "usletter"
8848    PaperUnits      "inches"
8849    TiledPaperMargins   [0.500000, 0.500000, 0.500000, 0.500000]
8850    TiledPageScale      1
8851    ShowPageBoundaries  off
8852    ZoomFactor      "100"
8853    Block {
8854      BlockType       Inport
8855      Name            "RdAddr_14b"
8856      SID             "153"
8857      Position        [350, 288, 380, 302]
8858      NamePlacement       "alternate"
8859      IconDisplay         "Port number"
8860    }
8861    Block {
8862      BlockType       Inport
8863      Name            "Rst"
8864      SID             "154"
8865      Position        [30, 173, 60, 187]
8866      Port            "2"
8867      IconDisplay         "Port number"
8868    }
8869    Block {
8870      BlockType       Inport
8871      Name            "En"
8872      SID             "155"
8873      Position        [30, 203, 60, 217]
8874      Port            "3"
8875      IconDisplay         "Port number"
8876    }
8877    Block {
8878      BlockType       Reference
8879      Name            "Constant"
8880      SID             "156"
8881      Ports           [0, 1]
8882      Position        [630, 350, 655, 370]
8883      ShowName        off
8884      LibraryVersion      "1.2"
8885      SourceBlock         "xbsIndex_r4/Constant"
8886      SourceType          "Xilinx Constant Block Block"
8887      const           "0"
8888      gui_display_data_type   "Fixed-point"
8889      arith_type          "Unsigned"
8890      n_bits          "32"
8891      bin_pt          "0"
8892      preci_type          "Single"
8893      exp_width       "8"
8894      frac_width          "24"
8895      explicit_period     off
8896      period          "1"
8897      dsp48_infoedit      "The use of this block for DSP48 instructions is deprecated.  Please use the Opmode block."
8898      equ             "P=C"
8899      opselect        "C"
8900      inp2            "PCIN>>17"
8901      opr             "+"
8902      inp1            "P"
8903      carry           "CIN"
8904      dbl_ovrd        off
8905      has_advanced_control    "0"
8906      sggui_pos       "20,20,400,346"
8907      block_type          "constant"
8908      block_version       "10.1.2"
8909      sg_icon_stat        "25,20,0,1,white,blue,0,bf4ddd8b,right,,[ ],[ ]"
8910      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 25 25 0 0 ],[0 0 20 20 0 ],[0.77 0.82 0.91"
8911      " ]);\nplot([0 25 25 0 0 ],[0 0 20 20 0 ]);\npatch([7.55 10.44 12.44 14.44 16.44 12.44 9.55 7.55 ],[12.22 12.22 14.2"
8912      "2 12.22 14.22 14.22 14.22 12.22 ],[1 1 1 ]);\npatch([9.55 12.44 10.44 7.55 9.55 ],[10.22 10.22 12.22 12.22 10.22 ],"
8913      "[0.931 0.946 0.973 ]);\npatch([7.55 10.44 12.44 9.55 7.55 ],[8.22 8.22 10.22 10.22 8.22 ],[1 1 1 ]);\npatch([9.55 1"
8914      "6.44 14.44 12.44 10.44 7.55 9.55 ],[6.22 6.22 8.22 6.22 8.22 8.22 6.22 ],[0.931 0.946 0.973 ]);\nfprintf('','COMMEN"
8915      "T: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('output',1,'0');\nfprin"
8916      "tf('','COMMENT: end icon text');"
8917    }
8918    Block {
8919      BlockType       Reference
8920      Name            "Constant1"
8921      SID             "157"
8922      Ports           [0, 1]
8923      Position        [355, 345, 380, 365]
8924      ShowName        off
8925      LibraryVersion      "1.2"
8926      SourceBlock         "xbsIndex_r4/Constant"
8927      SourceType          "Xilinx Constant Block Block"
8928      const           "0"
8929      gui_display_data_type   "Fixed-point"
8930      arith_type          "Boolean"
8931      n_bits          "32"
8932      bin_pt          "0"
8933      preci_type          "Single"
8934      exp_width       "8"
8935      frac_width          "24"
8936      explicit_period     off
8937      period          "1"
8938      dsp48_infoedit      "The use of this block for DSP48 instructions is deprecated.  Please use the Opmode block."
8939      equ             "P=C"
8940      opselect        "C"
8941      inp2            "PCIN>>17"
8942      opr             "+"
8943      inp1            "P"
8944      carry           "CIN"
8945      dbl_ovrd        off
8946      has_advanced_control    "0"
8947      sggui_pos       "20,20,400,346"
8948      block_type          "constant"
8949      block_version       "10.1.2"
8950      sg_icon_stat        "25,20,0,1,white,blue,0,bf4ddd8b,right,,[ ],[ ]"
8951      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 25 25 0 0 ],[0 0 20 20 0 ],[0.77 0.82 0.91"
8952      " ]);\nplot([0 25 25 0 0 ],[0 0 20 20 0 ]);\npatch([7.55 10.44 12.44 14.44 16.44 12.44 9.55 7.55 ],[12.22 12.22 14.2"
8953      "2 12.22 14.22 14.22 14.22 12.22 ],[1 1 1 ]);\npatch([9.55 12.44 10.44 7.55 9.55 ],[10.22 10.22 12.22 12.22 10.22 ],"
8954      "[0.931 0.946 0.973 ]);\npatch([7.55 10.44 12.44 9.55 7.55 ],[8.22 8.22 10.22 10.22 8.22 ],[1 1 1 ]);\npatch([9.55 1"
8955      "6.44 14.44 12.44 10.44 7.55 9.55 ],[6.22 6.22 8.22 6.22 8.22 8.22 6.22 ],[0.931 0.946 0.973 ]);\nfprintf('','COMMEN"
8956      "T: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('output',1,'0');\nfprin"
8957      "tf('','COMMENT: end icon text');"
8958    }
8959    Block {
8960      BlockType       Reference
8961      Name            "Constant2"
8962      SID             "158"
8963      Ports           [0, 1]
8964      Position        [355, 315, 380, 335]
8965      ShowName        off
8966      LibraryVersion      "1.2"
8967      SourceBlock         "xbsIndex_r4/Constant"
8968      SourceType          "Xilinx Constant Block Block"
8969      const           "0"
8970      gui_display_data_type   "Fixed-point"
8971      arith_type          "Unsigned"
8972      n_bits          "32"
8973      bin_pt          "0"
8974      preci_type          "Single"
8975      exp_width       "8"
8976      frac_width          "24"
8977      explicit_period     off
8978      period          "1"
8979      dsp48_infoedit      "The use of this block for DSP48 instructions is deprecated.  Please use the Opmode block."
8980      equ             "P=C"
8981      opselect        "C"
8982      inp2            "PCIN>>17"
8983      opr             "+"
8984      inp1            "P"
8985      carry           "CIN"
8986      dbl_ovrd        off
8987      has_advanced_control    "0"
8988      sggui_pos       "20,20,400,346"
8989      block_type          "constant"
8990      block_version       "10.1.2"
8991      sg_icon_stat        "25,20,0,1,white,blue,0,bf4ddd8b,right,,[ ],[ ]"
8992      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 25 25 0 0 ],[0 0 20 20 0 ],[0.77 0.82 0.91"
8993      " ]);\nplot([0 25 25 0 0 ],[0 0 20 20 0 ]);\npatch([7.55 10.44 12.44 14.44 16.44 12.44 9.55 7.55 ],[12.22 12.22 14.2"
8994      "2 12.22 14.22 14.22 14.22 12.22 ],[1 1 1 ]);\npatch([9.55 12.44 10.44 7.55 9.55 ],[10.22 10.22 12.22 12.22 10.22 ],"
8995      "[0.931 0.946 0.973 ]);\npatch([7.55 10.44 12.44 9.55 7.55 ],[8.22 8.22 10.22 10.22 8.22 ],[1 1 1 ]);\npatch([9.55 1"
8996      "6.44 14.44 12.44 10.44 7.55 9.55 ],[6.22 6.22 8.22 6.22 8.22 8.22 6.22 ],[0.931 0.946 0.973 ]);\nfprintf('','COMMEN"
8997      "T: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('output',1,'0');\nfprin"
8998      "tf('','COMMENT: end icon text');"
8999    }
9000    Block {
9001      BlockType       Reference
9002      Name            "Convert"
9003      SID             "159"
9004      Ports           [1, 1]
9005      Position        [350, 188, 375, 202]
9006      ShowName        off
9007      LibraryVersion      "1.2"
9008      SourceBlock         "xbsIndex_r4/Convert"
9009      SourceType          "Xilinx Type Converter Block"
9010      infoedit        "Hardware notes: rounding and saturating require hardware resources; truncating and wrapping do not."
9011      gui_display_data_type   "Fixed-point"
9012      arith_type          "Boolean"
9013      n_bits          "16"
9014      bin_pt          "14"
9015      float_type          "Single"
9016      exp_bits        "8"
9017      fraction_bits       "24"
9018      quantization        "Truncate"
9019      overflow        "Wrap"
9020      en              off
9021      latency         "0"
9022      dbl_ovrd        off
9023      pipeline        off
9024      xl_use_area         off
9025      xl_area         "[0,0,0,0,0,0,0]"
9026      has_advanced_control    "0"
9027      sggui_pos       "20,20,374,375"
9028      block_type          "convert"
9029      block_version       "8.2"
9030      sg_icon_stat        "25,14,1,1,white,blue,0,edca21da,right,,[ ],[ ]"
9031      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 25 25 0 0 ],[0 0 14 14 0 ],[0.77 0.82 0.91"
9032      " ]);\nplot([0 25 25 0 0 ],[0 0 14 14 0 ]);\npatch([7.55 10.44 12.44 14.44 16.44 12.44 9.55 7.55 ],[9.22 9.22 11.22 "
9033      "9.22 11.22 11.22 11.22 9.22 ],[1 1 1 ]);\npatch([9.55 12.44 10.44 7.55 9.55 ],[7.22 7.22 9.22 9.22 7.22 ],[0.931 0."
9034      "946 0.973 ]);\npatch([7.55 10.44 12.44 9.55 7.55 ],[5.22 5.22 7.22 7.22 5.22 ],[1 1 1 ]);\npatch([9.55 16.44 14.44 "
9035      "12.44 10.44 7.55 9.55 ],[3.22 3.22 5.22 3.22 5.22 5.22 3.22 ],[0.931 0.946 0.973 ]);\nfprintf('','COMMENT: end icon"
9036      " graphics');\nfprintf('','COMMENT: begin icon text');\n\ncolor('black');port_label('output',1,'cast');\nfprintf('',"
9037      "'COMMENT: end icon text');"
9038    }
9039    Block {
9040      BlockType       Reference
9041      Name            "Convert1"
9042      SID             "160"
9043      Ports           [1, 1]
9044      Position        [100, 203, 120, 217]
9045      ShowName        off
9046      LibraryVersion      "1.2"
9047      SourceBlock         "xbsIndex_r4/Convert"
9048      SourceType          "Xilinx Type Converter Block"
9049      infoedit        "Hardware notes: rounding and saturating require hardware resources; truncating and wrapping do not."
9050      gui_display_data_type   "Fixed-point"
9051      arith_type          "Boolean"
9052      n_bits          "16"
9053      bin_pt          "14"
9054      float_type          "Single"
9055      exp_bits        "8"
9056      fraction_bits       "24"
9057      quantization        "Truncate"
9058      overflow        "Wrap"
9059      en              off
9060      latency         "0"
9061      dbl_ovrd        off
9062      pipeline        off
9063      xl_use_area         off
9064      xl_area         "[0,0,0,0,0,0,0]"
9065      has_advanced_control    "0"
9066      sggui_pos       "20,20,374,375"
9067      block_type          "convert"
9068      block_version       "8.2"
9069      sg_icon_stat        "20,14,1,1,white,blue,0,edca21da,right,,[ ],[ ]"
9070      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 20 20 0 0 ],[0 0 14 14 0 ],[0.77 0.82 0.91"
9071      " ]);\nplot([0 20 20 0 0 ],[0 0 14 14 0 ]);\npatch([5.55 8.44 10.44 12.44 14.44 10.44 7.55 5.55 ],[9.22 9.22 11.22 9"
9072      ".22 11.22 11.22 11.22 9.22 ],[1 1 1 ]);\npatch([7.55 10.44 8.44 5.55 7.55 ],[7.22 7.22 9.22 9.22 7.22 ],[0.931 0.94"
9073      "6 0.973 ]);\npatch([5.55 8.44 10.44 7.55 5.55 ],[5.22 5.22 7.22 7.22 5.22 ],[1 1 1 ]);\npatch([7.55 14.44 12.44 10."
9074      "44 8.44 5.55 7.55 ],[3.22 3.22 5.22 3.22 5.22 5.22 3.22 ],[0.931 0.946 0.973 ]);\nfprintf('','COMMENT: end icon gra"
9075      "phics');\nfprintf('','COMMENT: begin icon text');\n\ncolor('black');port_label('output',1,'cast');\nfprintf('','COM"
9076      "MENT: end icon text');"
9077    }
9078    Block {
9079      BlockType       Reference
9080      Name            "Inverter"
9081      SID             "161"
9082      Ports           [1, 1]
9083      Position        [150, 201, 180, 219]
9084      LibraryVersion      "1.2"
9085      SourceBlock         "xbsIndex_r4/Inverter"
9086      SourceType          "Xilinx Inverter Block"
9087      infoedit        "Bitwise logical negation (one's complement) operator."
9088      en              off
9089      latency         "0"
9090      dbl_ovrd        off
9091      xl_use_area         off
9092      xl_area         "[0,0,0,0,0,0,0]"
9093      has_advanced_control    "0"
9094      sggui_pos       "-1,-1,-1,-1"
9095      block_type          "inv"
9096      block_version       "9.1.01"
9097      sg_icon_stat        "30,18,1,1,white,blue,0,267846e5,right,,[ ],[ ]"
9098      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 30 30 0 0 ],[0 0 18 18 0 ],[0.77 0.82 0.91"
9099      " ]);\nplot([0 30 30 0 0 ],[0 0 18 18 0 ]);\npatch([10.55 13.44 15.44 17.44 19.44 15.44 12.55 10.55 ],[11.22 11.22 1"
9100      "3.22 11.22 13.22 13.22 13.22 11.22 ],[1 1 1 ]);\npatch([12.55 15.44 13.44 10.55 12.55 ],[9.22 9.22 11.22 11.22 9.22"
9101      " ],[0.931 0.946 0.973 ]);\npatch([10.55 13.44 15.44 12.55 10.55 ],[7.22 7.22 9.22 9.22 7.22 ],[1 1 1 ]);\npatch([12"
9102      ".55 19.44 17.44 15.44 13.44 10.55 12.55 ],[5.22 5.22 7.22 5.22 7.22 7.22 5.22 ],[0.931 0.946 0.973 ]);\nfprintf('',"
9103      "'COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\n\n\ncolor('black');disp('not');\nfprintf('"
9104      "','COMMENT: end icon text');"
9105    }
9106    Block {
9107      BlockType       Reference
9108      Name            "Logical"
9109      SID             "162"
9110      Ports           [2, 1]
9111      Position        [215, 165, 270, 225]
9112      LibraryVersion      "1.2"
9113      SourceBlock         "xbsIndex_r4/Logical"
9114      SourceType          "Xilinx Logical Block Block"
9115      logical_function    "OR"
9116      inputs          "2"
9117      en              off
9118      latency         "0"
9119      precision       "Full"
9120      arith_type          "Unsigned"
9121      n_bits          "16"
9122      bin_pt          "0"
9123      align_bp        on
9124      dbl_ovrd        off
9125      xl_use_area         off
9126      xl_area         "[0,0,0,0,0,0,0]"
9127      has_advanced_control    "0"
9128      sggui_pos       "20,20,348,261"
9129      block_type          "logical"
9130      block_version       "9.1.01"
9131      sg_icon_stat        "55,60,2,1,white,blue,0,7ede7d88,right,,[ ],[ ]"
9132      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 0 ],[0 0 60 60 0 ],[0.77 0.82 0.91"
9133      " ]);\nplot([0 55 55 0 0 ],[0 0 60 60 0 ]);\npatch([11.425 21.54 28.54 35.54 42.54 28.54 18.425 11.425 ],[37.77 37.7"
9134      "7 44.77 37.77 44.77 44.77 44.77 37.77 ],[1 1 1 ]);\npatch([18.425 28.54 21.54 11.425 18.425 ],[30.77 30.77 37.77 37"
9135      ".77 30.77 ],[0.931 0.946 0.973 ]);\npatch([11.425 21.54 28.54 18.425 11.425 ],[23.77 23.77 30.77 30.77 23.77 ],[1 1"
9136      " 1 ]);\npatch([18.425 42.54 35.54 28.54 21.54 11.425 18.425 ],[16.77 16.77 23.77 16.77 23.77 23.77 16.77 ],[0.931 0"
9137      ".946 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\n\n\n\ncolor('bl"
9138      "ack');disp('or');\nfprintf('','COMMENT: end icon text');"
9139    }
9140    Block {
9141      BlockType       Reference
9142      Name            "Mux"
9143      SID             "163"
9144      Ports           [3, 1]
9145      Position        [750, 273, 795, 377]
9146      LibraryVersion      "1.2"
9147      SourceBlock         "xbsIndex_r4/Mux"
9148      SourceType          "Xilinx Bus Multiplexer Block"
9149      inputs          "2"
9150      en              off
9151      latency         "0"
9152      precision       "Full"
9153      arith_type          "Unsigned"
9154      n_bits          "16"
9155      bin_pt          "14"
9156      quantization        "Truncate"
9157      overflow        "Wrap"
9158      dbl_ovrd        off
9159      xl_use_area         off
9160      xl_area         "[0,0,0,0,0,0,0]"
9161      has_advanced_control    "0"
9162      sggui_pos       "20,20,348,303"
9163      block_type          "mux"
9164      block_version       "10.1.2"
9165      sg_icon_stat        "45,104,3,1,white,blue,3,eb98d690,right,,[ ],[ ]"
9166      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 45 45 0 0 ],[0 14.8571 89.1429 104 0 ],[0."
9167      "77 0.82 0.91 ]);\nplot([0 45 45 0 0 ],[0 14.8571 89.1429 104 0 ]);\npatch([8.65 17.32 23.32 29.32 35.32 23.32 14.65"
9168      " 8.65 ],[58.66 58.66 64.66 58.66 64.66 64.66 64.66 58.66 ],[1 1 1 ]);\npatch([14.65 23.32 17.32 8.65 14.65 ],[52.66"
9169      " 52.66 58.66 58.66 52.66 ],[0.931 0.946 0.973 ]);\npatch([8.65 17.32 23.32 14.65 8.65 ],[46.66 46.66 52.66 52.66 46"
9170      ".66 ],[1 1 1 ]);\npatch([14.65 35.32 29.32 23.32 17.32 8.65 14.65 ],[40.66 40.66 46.66 40.66 46.66 46.66 40.66 ],[0"
9171      ".931 0.946 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('bl"
9172      "ack');port_label('input',1,'sel');\ncolor('black');port_label('input',2,'d0');\ncolor('black');port_label('input',3"
9173      ",'d1');\n\ncolor('black');disp('\\bf{}','texmode','on');\nfprintf('','COMMENT: end icon text');"
9174    }
9175    Block {
9176      BlockType       Reference
9177      Name            "Shared Memory"
9178      SID             "164"
9179      Ports           [3, 1]
9180      Position        [445, 280, 525, 370]
9181      AttributesFormatString  "<< %<shared_memory_name> >>"
9182      LibraryVersion      "1.2"
9183      SourceBlock         "xbsIndex_r4/Shared Memory"
9184      SourceType          "Xilinx Shared Memory Random Access Memory Block"
9185      shared_memory_name      "'TxBuff_Radio1'"
9186      depth           "2^14"
9187      ownership       "Locally Owned and Initialized"
9188      initVector          "0"
9189      en              off
9190      mutex           "Unprotected"
9191      mode            "Read and Write"
9192      write_mode          "Read After Write"
9193      time_out        "0"
9194      latency         "1"
9195      explicit_data_type      on
9196      gui_display_data_type   "Fixed-point"
9197      arith_type          "Unsigned"
9198      n_bits          "32"
9199      bin_pt          "0"
9200      preci_type          "Single"
9201      xl_use_area         off
9202      xl_area         "[0,0,0,0,0,0,0]"
9203      implementation      "Block RAM"
9204      use_rpm         "off"
9205      has_advanced_control    "0"
9206      sggui_pos       "20,20,384,381"
9207      block_type          "shmem"
9208      block_version       "10.1.2"
9209      sg_icon_stat        "80,90,3,1,white,blue,0,bf435243,right,,[ ],[ ]"
9210      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 80 80 0 0 ],[0 0 90 90 0 ],[0.77 0.82 0.91"
9211      " ]);\nplot([0 80 80 0 0 ],[0 0 90 90 0 ]);\npatch([15.525 31.42 42.42 53.42 64.42 42.42 26.525 15.525 ],[57.21 57.2"
9212      "1 68.21 57.21 68.21 68.21 68.21 57.21 ],[1 1 1 ]);\npatch([26.525 42.42 31.42 15.525 26.525 ],[46.21 46.21 57.21 57"
9213      ".21 46.21 ],[0.931 0.946 0.973 ]);\npatch([15.525 31.42 42.42 26.525 15.525 ],[35.21 35.21 46.21 46.21 35.21 ],[1 1"
9214      " 1 ]);\npatch([26.525 64.42 53.42 42.42 31.42 15.525 26.525 ],[24.21 24.21 35.21 24.21 35.21 35.21 24.21 ],[0.931 0"
9215      ".946 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');"
9216      "port_label('input',1,'addr');\ncolor('black');port_label('input',2,'din');\ncolor('black');port_label('input',3,'we"
9217      "');\ncolor('black');port_label('output',1,'dout');\nfprintf('','COMMENT: end icon text');"
9218    }
9219    Block {
9220      BlockType       Outport
9221      Name            "IQ_32b"
9222      SID             "165"
9223      Position        [885, 318, 915, 332]
9224      IconDisplay         "Port number"
9225    }
9226    Line {
9227      SrcBlock        "Logical"
9228      SrcPort         1
9229      DstBlock        "Convert"
9230      DstPort         1
9231    }
9232    Line {
9233      SrcBlock        "Convert"
9234      SrcPort         1
9235      Points          [160, 0; 0, 95]
9236      DstBlock        "Mux"
9237      DstPort         1
9238    }
9239    Line {
9240      SrcBlock        "Rst"
9241      SrcPort         1
9242      DstBlock        "Logical"
9243      DstPort         1
9244    }
9245    Line {
9246      SrcBlock        "En"
9247      SrcPort         1
9248      DstBlock        "Convert1"
9249      DstPort         1
9250    }
9251    Line {
9252      SrcBlock        "Convert1"
9253      SrcPort         1
9254      DstBlock        "Inverter"
9255      DstPort         1
9256    }
9257    Line {
9258      SrcBlock        "Inverter"
9259      SrcPort         1
9260      DstBlock        "Logical"
9261      DstPort         2
9262    }
9263    Line {
9264      SrcBlock        "RdAddr_14b"
9265      SrcPort         1
9266      DstBlock        "Shared Memory"
9267      DstPort         1
9268    }
9269    Line {
9270      SrcBlock        "Constant"
9271      SrcPort         1
9272      DstBlock        "Mux"
9273      DstPort         3
9274    }
9275    Line {
9276      SrcBlock        "Shared Memory"
9277      SrcPort         1
9278      DstBlock        "Mux"
9279      DstPort         2
9280    }
9281    Line {
9282      SrcBlock        "Constant1"
9283      SrcPort         1
9284      DstBlock        "Shared Memory"
9285      DstPort         3
9286    }
9287    Line {
9288      SrcBlock        "Constant2"
9289      SrcPort         1
9290      DstBlock        "Shared Memory"
9291      DstPort         2
9292    }
9293    Line {
9294      SrcBlock        "Mux"
9295      SrcPort         1
9296      DstBlock        "IQ_32b"
9297      DstPort         1
9298    }
9299      }
9300    }
9301    Block {
9302      BlockType           SubSystem
9303      Name            "Radio 1 Inputs"
9304      SID             "166"
9305      Ports           [1, 2]
9306      Position            [905, 40, 1090, 80]
9307      NamePlacement       "alternate"
9308      MinAlgLoopOccurrences   off
9309      PropExecContextOutsideSubsystem off
9310      RTWSystemCode       "Auto"
9311      FunctionWithSeparateData off
9312      Opaque              off
9313      RequestExecContextInheritance off
9314      MaskHideContents        off
9315      System {
9316    Name            "Radio 1 Inputs"
9317    Location        [534, 197, 1546, 748]
9318    Open            off
9319    ModelBrowserVisibility  off
9320    ModelBrowserWidth   200
9321    ScreenColor     "white"
9322    PaperOrientation    "landscape"
9323    PaperPositionMode   "auto"
9324    PaperType       "usletter"
9325    PaperUnits      "inches"
9326    TiledPaperMargins   [0.500000, 0.500000, 0.500000, 0.500000]
9327    TiledPageScale      1
9328    ShowPageBoundaries  off
9329    ZoomFactor      "100"
9330    Block {
9331      BlockType       Inport
9332      Name            "MGCAGC_AGCDCO_SEL"
9333      SID             "168"
9334      Position        [240, 258, 270, 272]
9335      IconDisplay         "Port number"
9336    }
9337    Block {
9338      BlockType       SubSystem
9339      Name            "ADC I"
9340      SID             "169"
9341      Ports           [1, 1]
9342      Position        [420, 243, 575, 287]
9343      NamePlacement       "alternate"
9344      MinAlgLoopOccurrences   off
9345      PropExecContextOutsideSubsystem off
9346      RTWSystemCode       "Auto"
9347      FunctionWithSeparateData off
9348      Opaque          off
9349      RequestExecContextInheritance off
9350      MaskHideContents    off
9351      System {
9352        Name            "ADC I"
9353        Location            [2, 82, 2558, 1387]
9354        Open            off
9355        ModelBrowserVisibility  off
9356        ModelBrowserWidth       200
9357        ScreenColor         "white"
9358        PaperOrientation        "landscape"
9359        PaperPositionMode       "auto"
9360        PaperType           "usletter"
9361        PaperUnits          "inches"
9362        TiledPaperMargins       [0.500000, 0.500000, 0.500000, 0.500000]
9363        TiledPageScale      1
9364        ShowPageBoundaries      off
9365        ZoomFactor          "100"
9366        Block {
9367          BlockType           Inport
9368          Name            "MGCAGC_AGCDCO_SEL"
9369          SID             "763"
9370          Position            [175, 73, 205, 87]
9371          IconDisplay         "Port number"
9372        }
9373        Block {
9374          BlockType           Constant
9375          Name            "Constant2"
9376          SID             "759"
9377          Position            [55, 150, 85, 180]
9378          ShowName            off
9379          Value           "0"
9380        }
9381        Block {
9382          BlockType           Reference
9383          Name            "FromAGC_Radio1_I"
9384          SID             "764"
9385          Ports           [1, 1]
9386          Position            [160, 255, 225, 275]
9387          LibraryVersion          "1.2"
9388          SourceBlock         "xbsIndex_r4/Gateway In"
9389          SourceType          "Xilinx Gateway In Block"
9390          infoedit            "Gateway in block.  Converts inputs of type Simulink integer, single, double and fixed point to"
9391          "  Xilinx fixed-point or floating-point data type.<br><br>Hardware notes:  In hardware these blocks become top l"
9392          "evel input ports."
9393          gui_display_data_type   "Fixed-point"
9394          arith_type          "Signed  (2's comp)"
9395          n_bits              "12"
9396          bin_pt              "11"
9397          preci_type          "Single"
9398          exp_width           "8"
9399          frac_width          "24"
9400          quantization        "Round  (unbiased: +/- Inf)"
9401          overflow            "Saturate"
9402          period              "1"
9403          dbl_ovrd            off
9404          timing_constraint       "None"
9405          locs_specified          off
9406          LOCs            "{}"
9407          xl_use_area         off
9408          xl_area             "[0,0,0,0,0,0,0]"
9409          inherit_from_input      off
9410          UseAsADC            off
9411          ADCChannel          "'1'"
9412          hdl_port            "on"
9413          has_advanced_control    "0"
9414          sggui_pos           "20,20,348,406"
9415          block_type          "gatewayin"
9416          block_version       "10.1.3"
9417          sg_icon_stat        "65,20,1,1,white,yellow,1,00d3666e,right,,[ ],[ ]"
9418          sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npatch([0 65 65 0 0 ],[0 0 20 20 0 ],[0.95 0"
9419          ".93 0.65 ]);\nplot([0 65 65 0 0 ],[0 0 20 20 0 ]);\npatch([27.55 30.44 32.44 34.44 36.44 32.44 29.55 27.55 ],[1"
9420          "2.22 12.22 14.22 12.22 14.22 14.22 14.22 12.22 ],[1 1 1 ]);\npatch([29.55 32.44 30.44 27.55 29.55 ],[10.22 10.2"
9421          "2 12.22 12.22 10.22 ],[0.985 0.979 0.895 ]);\npatch([27.55 30.44 32.44 29.55 27.55 ],[8.22 8.22 10.22 10.22 8.2"
9422          "2 ],[1 1 1 ]);\npatch([29.55 36.44 34.44 32.44 30.44 27.55 29.55 ],[6.22 6.22 8.22 6.22 8.22 8.22 6.22 ],[0.985"
9423          " 0.979 0.895 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('bl"
9424          "ack');port_label('input',1,'\\fontsize{11pt}\\bf In ','texmode','on');\ncolor('black');port_label('output',1,' "
9425          "');\nfprintf('','COMMENT: end icon text');"
9426        }
9427        Block {
9428          BlockType           Reference
9429          Name            "Mux3"
9430          SID             "765"
9431          Ports           [3, 1]
9432          Position            [320, 113, 365, 217]
9433          LibraryVersion          "1.2"
9434          SourceBlock         "xbsIndex_r4/Mux"
9435          SourceType          "Xilinx Bus Multiplexer Block"
9436          inputs              "2"
9437          en              off
9438          latency             "0"
9439          precision           "Full"
9440          arith_type          "Unsigned"
9441          n_bits              "1"
9442          bin_pt              "0"
9443          quantization        "Truncate"
9444          overflow            "Wrap"
9445          dbl_ovrd            off
9446          xl_use_area         off
9447          xl_area             "[0,0,0,0,0,0,0]"
9448          has_advanced_control    "0"
9449          sggui_pos           "20,20,348,303"
9450          block_type          "mux"
9451          block_version       "10.1.3"
9452          sg_icon_stat        "45,104,3,1,white,blue,3,eb98d690,right,,[ ],[ ]"
9453          sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npatch([0 45 45 0 0 ],[0 14.8571 89.1429 104"
9454          " 0 ],[0.77 0.82 0.91 ]);\nplot([0 45 45 0 0 ],[0 14.8571 89.1429 104 0 ]);\npatch([8.65 17.32 23.32 29.32 35.32"
9455          " 23.32 14.65 8.65 ],[58.66 58.66 64.66 58.66 64.66 64.66 64.66 58.66 ],[1 1 1 ]);\npatch([14.65 23.32 17.32 8.6"
9456          "5 14.65 ],[52.66 52.66 58.66 58.66 52.66 ],[0.931 0.946 0.973 ]);\npatch([8.65 17.32 23.32 14.65 8.65 ],[46.66 "
9457          "46.66 52.66 52.66 46.66 ],[1 1 1 ]);\npatch([14.65 35.32 29.32 23.32 17.32 8.65 14.65 ],[40.66 40.66 46.66 40.6"
9458          "6 46.66 46.66 40.66 ],[0.931 0.946 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: b"
9459          "egin icon text');\ncolor('black');port_label('input',1,'sel');\ncolor('black');port_label('input',2,'d0');\ncol"
9460          "or('black');port_label('input',3,'d1');\n\ncolor('black');disp('\\bf{}','texmode','on');\nfprintf('','COMMENT: "
9461          "end icon text');"
9462        }
9463        Block {
9464          BlockType           Reference
9465          Name            "Register1"
9466          SID             "760"
9467          Ports           [1, 1]
9468          Position            [490, 151, 525, 179]
9469          ShowName            off
9470          LibraryVersion          "1.2"
9471          SourceBlock         "xbsIndex_r4/Register"
9472          SourceType          "Xilinx Register Block"
9473          init            "0"
9474          rst             off
9475          en              off
9476          dbl_ovrd            off
9477          xl_use_area         off
9478          xl_area             "[0,0,0,0,0,0,0]"
9479          has_advanced_control    "0"
9480          sggui_pos           "-1,-1,-1,-1"
9481          block_type          "register"
9482          block_version       "8.2"
9483          sg_icon_stat        "35,28,1,1,white,blue,0,c80657c5,right,,[ ],[ ]"
9484          sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npatch([0 35 35 0 0 ],[0 0 28 28 0 ],[0.77 0"
9485          ".82 0.91 ]);\nplot([0 35 35 0 0 ],[0 0 28 28 0 ]);\npatch([8.1 13.88 17.88 21.88 25.88 17.88 12.1 8.1 ],[18.44 "
9486          "18.44 22.44 18.44 22.44 22.44 22.44 18.44 ],[1 1 1 ]);\npatch([12.1 17.88 13.88 8.1 12.1 ],[14.44 14.44 18.44 1"
9487          "8.44 14.44 ],[0.931 0.946 0.973 ]);\npatch([8.1 13.88 17.88 12.1 8.1 ],[10.44 10.44 14.44 14.44 10.44 ],[1 1 1 "
9488          "]);\npatch([12.1 25.88 21.88 17.88 13.88 8.1 12.1 ],[6.44 6.44 10.44 6.44 10.44 10.44 6.44 ],[0.931 0.946 0.973"
9489          " ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_l"
9490          "abel('input',1,'d');\ncolor('black');port_label('output',1,'q');\ncolor('black');disp('z^{-1}','texmode','on');"
9491          "\nfprintf('','COMMENT: end icon text');"
9492        }
9493        Block {
9494          BlockType           Reference
9495          Name            "radio1_ADC_I"
9496          SID             "761"
9497          Ports           [1, 1]
9498          Position            [160, 155, 225, 175]
9499          LibraryVersion          "1.2"
9500          SourceBlock         "xbsIndex_r4/Gateway In"
9501          SourceType          "Xilinx Gateway In Block"
9502          infoedit            "Gateway in block.  Converts inputs of type Simulink integer, single, double and fixed point to"
9503          "  Xilinx fixed-point or floating-point data type.<br><br>Hardware notes:  In hardware these blocks become top l"
9504          "evel input ports."
9505          gui_display_data_type   "Fixed-point"
9506          arith_type          "Signed  (2's comp)"
9507          n_bits              "12"
9508          bin_pt              "11"
9509          preci_type          "Single"
9510          exp_width           "8"
9511          frac_width          "24"
9512          quantization        "Round  (unbiased: +/- Inf)"
9513          overflow            "Saturate"
9514          period              "1"
9515          dbl_ovrd            off
9516          timing_constraint       "None"
9517          locs_specified          off
9518          LOCs            "{}"
9519          xl_use_area         off
9520          xl_area             "[0,0,0,0,0,0,0]"
9521          inherit_from_input      off
9522          UseAsADC            off
9523          ADCChannel          "'1'"
9524          hdl_port            "on"
9525          has_advanced_control    "0"
9526          sggui_pos           "20,20,348,406"
9527          block_type          "gatewayin"
9528          block_version       "10.1.3"
9529          sg_icon_stat        "65,20,1,1,white,yellow,1,00d3666e,right,,[ ],[ ]"
9530          sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npatch([0 65 65 0 0 ],[0 0 20 20 0 ],[0.95 0"
9531          ".93 0.65 ]);\nplot([0 65 65 0 0 ],[0 0 20 20 0 ]);\npatch([27.55 30.44 32.44 34.44 36.44 32.44 29.55 27.55 ],[1"
9532          "2.22 12.22 14.22 12.22 14.22 14.22 14.22 12.22 ],[1 1 1 ]);\npatch([29.55 32.44 30.44 27.55 29.55 ],[10.22 10.2"
9533          "2 12.22 12.22 10.22 ],[0.985 0.979 0.895 ]);\npatch([27.55 30.44 32.44 29.55 27.55 ],[8.22 8.22 10.22 10.22 8.2"
9534          "2 ],[1 1 1 ]);\npatch([29.55 36.44 34.44 32.44 30.44 27.55 29.55 ],[6.22 6.22 8.22 6.22 8.22 8.22 6.22 ],[0.985"
9535          " 0.979 0.895 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('bl"
9536          "ack');port_label('input',1,'\\fontsize{11pt}\\bf In ','texmode','on');\ncolor('black');port_label('output',1,' "
9537          "');\nfprintf('','COMMENT: end icon text');"
9538        }
9539        Block {
9540          BlockType           Outport
9541          Name            "ADC I"
9542          SID             "762"
9543          Position            [595, 158, 625, 172]
9544          IconDisplay         "Port number"
9545        }
9546        Line {
9547          SrcBlock            "Register1"
9548          SrcPort             1
9549          DstBlock            "ADC I"
9550          DstPort             1
9551        }
9552        Line {
9553          SrcBlock            "Constant2"
9554          SrcPort             1
9555          DstBlock            "radio1_ADC_I"
9556          DstPort             1
9557        }
9558        Line {
9559          SrcBlock            "MGCAGC_AGCDCO_SEL"
9560          SrcPort             1
9561          Points              [70, 0; 0, 50]
9562          DstBlock            "Mux3"
9563          DstPort             1
9564        }
9565        Line {
9566          SrcBlock            "FromAGC_Radio1_I"
9567          SrcPort             1
9568          Points              [50, 0; 0, -65]
9569          DstBlock            "Mux3"
9570          DstPort             3
9571        }
9572        Line {
9573          SrcBlock            "radio1_ADC_I"
9574          SrcPort             1
9575          DstBlock            "Mux3"
9576          DstPort             2
9577        }
9578        Line {
9579          SrcBlock            "Mux3"
9580          SrcPort             1
9581          DstBlock            "Register1"
9582          DstPort             1
9583        }
9584      }
9585    }
9586    Block {
9587      BlockType       SubSystem
9588      Name            "ADC Q"
9589      SID             "185"
9590      Ports           [1, 1]
9591      Position        [420, 304, 575, 346]
9592      MinAlgLoopOccurrences   off
9593      PropExecContextOutsideSubsystem off
9594      RTWSystemCode       "Auto"
9595      FunctionWithSeparateData off
9596      Opaque          off
9597      RequestExecContextInheritance off
9598      MaskHideContents    off
9599      System {
9600        Name            "ADC Q"
9601        Location            [66, 91, 1078, 743]
9602        Open            off
9603        ModelBrowserVisibility  off
9604        ModelBrowserWidth       200
9605        ScreenColor         "white"
9606        PaperOrientation        "landscape"
9607        PaperPositionMode       "auto"
9608        PaperType           "usletter"
9609        PaperUnits          "inches"
9610        TiledPaperMargins       [0.500000, 0.500000, 0.500000, 0.500000]
9611        TiledPageScale      1
9612        ShowPageBoundaries      off
9613        ZoomFactor          "100"
9614        Block {
9615          BlockType           Inport
9616          Name            "MGCAGC_AGCDCO_SEL"
9617          SID             "766"
9618          Position            [160, 43, 190, 57]
9619          IconDisplay         "Port number"
9620        }
9621        Block {
9622          BlockType           Constant
9623          Name            "Constant2"
9624          SID             "767"
9625          Position            [40, 120, 70, 150]
9626          ShowName            off
9627          Value           "0"
9628        }
9629        Block {
9630          BlockType           Reference
9631          Name            "FromAGC_Radio1_Q"
9632          SID             "768"
9633          Ports           [1, 1]
9634          Position            [145, 225, 210, 245]
9635          LibraryVersion          "1.2"
9636          SourceBlock         "xbsIndex_r4/Gateway In"
9637          SourceType          "Xilinx Gateway In Block"
9638          infoedit            "Gateway in block.  Converts inputs of type Simulink integer, single, double and fixed point to"
9639          "  Xilinx fixed-point or floating-point data type.<br><br>Hardware notes:  In hardware these blocks become top l"
9640          "evel input ports."
9641          gui_display_data_type   "Fixed-point"
9642          arith_type          "Signed  (2's comp)"
9643          n_bits              "12"
9644          bin_pt              "11"
9645          preci_type          "Single"
9646          exp_width           "8"
9647          frac_width          "24"
9648          quantization        "Round  (unbiased: +/- Inf)"
9649          overflow            "Saturate"
9650          period              "1"
9651          dbl_ovrd            off
9652          timing_constraint       "None"
9653          locs_specified          off
9654          LOCs            "{}"
9655          xl_use_area         off
9656          xl_area             "[0,0,0,0,0,0,0]"
9657          inherit_from_input      off
9658          UseAsADC            off
9659          ADCChannel          "'1'"
9660          hdl_port            "on"
9661          has_advanced_control    "0"
9662          sggui_pos           "20,20,348,406"
9663          block_type          "gatewayin"
9664          block_version       "10.1.3"
9665          sg_icon_stat        "65,20,1,1,white,yellow,1,00d3666e,right,,[ ],[ ]"
9666          sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npatch([0 65 65 0 0 ],[0 0 20 20 0 ],[0.95 0"
9667          ".93 0.65 ]);\nplot([0 65 65 0 0 ],[0 0 20 20 0 ]);\npatch([27.55 30.44 32.44 34.44 36.44 32.44 29.55 27.55 ],[1"
9668          "2.22 12.22 14.22 12.22 14.22 14.22 14.22 12.22 ],[1 1 1 ]);\npatch([29.55 32.44 30.44 27.55 29.55 ],[10.22 10.2"
9669          "2 12.22 12.22 10.22 ],[0.985 0.979 0.895 ]);\npatch([27.55 30.44 32.44 29.55 27.55 ],[8.22 8.22 10.22 10.22 8.2"
9670          "2 ],[1 1 1 ]);\npatch([29.55 36.44 34.44 32.44 30.44 27.55 29.55 ],[6.22 6.22 8.22 6.22 8.22 8.22 6.22 ],[0.985"
9671          " 0.979 0.895 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('bl"
9672          "ack');port_label('input',1,'\\fontsize{11pt}\\bf In ','texmode','on');\ncolor('black');port_label('output',1,' "
9673          "');\nfprintf('','COMMENT: end icon text');"
9674        }
9675        Block {
9676          BlockType           Reference
9677          Name            "Mux3"
9678          SID             "769"
9679          Ports           [3, 1]
9680          Position            [305, 83, 350, 187]
9681          LibraryVersion          "1.2"
9682          SourceBlock         "xbsIndex_r4/Mux"
9683          SourceType          "Xilinx Bus Multiplexer Block"
9684          inputs              "2"
9685          en              off
9686          latency             "0"
9687          precision           "Full"
9688          arith_type          "Unsigned"
9689          n_bits              "1"
9690          bin_pt              "0"
9691          quantization        "Truncate"
9692          overflow            "Wrap"
9693          dbl_ovrd            off
9694          xl_use_area         off
9695          xl_area             "[0,0,0,0,0,0,0]"
9696          has_advanced_control    "0"
9697          sggui_pos           "20,20,348,303"
9698          block_type          "mux"
9699          block_version       "10.1.3"
9700          sg_icon_stat        "45,104,3,1,white,blue,3,eb98d690,right,,[ ],[ ]"
9701          sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npatch([0 45 45 0 0 ],[0 14.8571 89.1429 104"
9702          " 0 ],[0.77 0.82 0.91 ]);\nplot([0 45 45 0 0 ],[0 14.8571 89.1429 104 0 ]);\npatch([8.65 17.32 23.32 29.32 35.32"
9703          " 23.32 14.65 8.65 ],[58.66 58.66 64.66 58.66 64.66 64.66 64.66 58.66 ],[1 1 1 ]);\npatch([14.65 23.32 17.32 8.6"
9704          "5 14.65 ],[52.66 52.66 58.66 58.66 52.66 ],[0.931 0.946 0.973 ]);\npatch([8.65 17.32 23.32 14.65 8.65 ],[46.66 "
9705          "46.66 52.66 52.66 46.66 ],[1 1 1 ]);\npatch([14.65 35.32 29.32 23.32 17.32 8.65 14.65 ],[40.66 40.66 46.66 40.6"
9706          "6 46.66 46.66 40.66 ],[0.931 0.946 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: b"
9707          "egin icon text');\ncolor('black');port_label('input',1,'sel');\ncolor('black');port_label('input',2,'d0');\ncol"
9708          "or('black');port_label('input',3,'d1');\n\ncolor('black');disp('\\bf{}','texmode','on');\nfprintf('','COMMENT: "
9709          "end icon text');"
9710        }
9711        Block {
9712          BlockType           Reference
9713          Name            "Register1"
9714          SID             "770"
9715          Ports           [1, 1]
9716          Position            [475, 121, 510, 149]
9717          ShowName            off
9718          LibraryVersion          "1.2"
9719          SourceBlock         "xbsIndex_r4/Register"
9720          SourceType          "Xilinx Register Block"
9721          init            "0"
9722          rst             off
9723          en              off
9724          dbl_ovrd            off
9725          xl_use_area         off
9726          xl_area             "[0,0,0,0,0,0,0]"
9727          has_advanced_control    "0"
9728          sggui_pos           "-1,-1,-1,-1"
9729          block_type          "register"
9730          block_version       "8.2"
9731          sg_icon_stat        "35,28,1,1,white,blue,0,c80657c5,right,,[ ],[ ]"
9732          sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npatch([0 35 35 0 0 ],[0 0 28 28 0 ],[0.77 0"
9733          ".82 0.91 ]);\nplot([0 35 35 0 0 ],[0 0 28 28 0 ]);\npatch([8.1 13.88 17.88 21.88 25.88 17.88 12.1 8.1 ],[18.44 "
9734          "18.44 22.44 18.44 22.44 22.44 22.44 18.44 ],[1 1 1 ]);\npatch([12.1 17.88 13.88 8.1 12.1 ],[14.44 14.44 18.44 1"
9735          "8.44 14.44 ],[0.931 0.946 0.973 ]);\npatch([8.1 13.88 17.88 12.1 8.1 ],[10.44 10.44 14.44 14.44 10.44 ],[1 1 1 "
9736          "]);\npatch([12.1 25.88 21.88 17.88 13.88 8.1 12.1 ],[6.44 6.44 10.44 6.44 10.44 10.44 6.44 ],[0.931 0.946 0.973"
9737          " ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_l"
9738          "abel('input',1,'d');\ncolor('black');port_label('output',1,'q');\ncolor('black');disp('z^{-1}','texmode','on');"
9739          "\nfprintf('','COMMENT: end icon text');"
9740        }
9741        Block {
9742          BlockType           Reference
9743          Name            "radio1_ADC_Q"
9744          SID             "771"
9745          Ports           [1, 1]
9746          Position            [145, 125, 210, 145]
9747          LibraryVersion          "1.2"
9748          SourceBlock         "xbsIndex_r4/Gateway In"
9749          SourceType          "Xilinx Gateway In Block"
9750          infoedit            "Gateway in block.  Converts inputs of type Simulink integer, single, double and fixed point to"
9751          "  Xilinx fixed-point or floating-point data type.<br><br>Hardware notes:  In hardware these blocks become top l"
9752          "evel input ports."
9753          gui_display_data_type   "Fixed-point"
9754          arith_type          "Signed  (2's comp)"
9755          n_bits              "12"
9756          bin_pt              "11"
9757          preci_type          "Single"
9758          exp_width           "8"
9759          frac_width          "24"
9760          quantization        "Round  (unbiased: +/- Inf)"
9761          overflow            "Saturate"
9762          period              "1"
9763          dbl_ovrd            off
9764          timing_constraint       "None"
9765          locs_specified          off
9766          LOCs            "{}"
9767          xl_use_area         off
9768          xl_area             "[0,0,0,0,0,0,0]"
9769          inherit_from_input      off
9770          UseAsADC            off
9771          ADCChannel          "'1'"
9772          hdl_port            "on"
9773          has_advanced_control    "0"
9774          sggui_pos           "20,20,348,406"
9775          block_type          "gatewayin"
9776          block_version       "10.1.3"
9777          sg_icon_stat        "65,20,1,1,white,yellow,1,00d3666e,right,,[ ],[ ]"
9778          sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npatch([0 65 65 0 0 ],[0 0 20 20 0 ],[0.95 0"
9779          ".93 0.65 ]);\nplot([0 65 65 0 0 ],[0 0 20 20 0 ]);\npatch([27.55 30.44 32.44 34.44 36.44 32.44 29.55 27.55 ],[1"
9780          "2.22 12.22 14.22 12.22 14.22 14.22 14.22 12.22 ],[1 1 1 ]);\npatch([29.55 32.44 30.44 27.55 29.55 ],[10.22 10.2"
9781          "2 12.22 12.22 10.22 ],[0.985 0.979 0.895 ]);\npatch([27.55 30.44 32.44 29.55 27.55 ],[8.22 8.22 10.22 10.22 8.2"
9782          "2 ],[1 1 1 ]);\npatch([29.55 36.44 34.44 32.44 30.44 27.55 29.55 ],[6.22 6.22 8.22 6.22 8.22 8.22 6.22 ],[0.985"
9783          " 0.979 0.895 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('bl"
9784          "ack');port_label('input',1,'\\fontsize{11pt}\\bf In ','texmode','on');\ncolor('black');port_label('output',1,' "
9785          "');\nfprintf('','COMMENT: end icon text');"
9786        }
9787        Block {
9788          BlockType           Outport
9789          Name            "ADC Q"
9790          SID             "772"
9791          Position            [580, 128, 610, 142]
9792          IconDisplay         "Port number"
9793        }
9794        Line {
9795          SrcBlock            "Register1"
9796          SrcPort             1
9797          DstBlock            "ADC Q"
9798          DstPort             1
9799        }
9800        Line {
9801          SrcBlock            "Constant2"
9802          SrcPort             1
9803          DstBlock            "radio1_ADC_Q"
9804          DstPort             1
9805        }
9806        Line {
9807          SrcBlock            "MGCAGC_AGCDCO_SEL"
9808          SrcPort             1
9809          Points              [70, 0; 0, 50]
9810          DstBlock            "Mux3"
9811          DstPort             1
9812        }
9813        Line {
9814          SrcBlock            "FromAGC_Radio1_Q"
9815          SrcPort             1
9816          Points              [50, 0; 0, -65]
9817          DstBlock            "Mux3"
9818          DstPort             3
9819        }
9820        Line {
9821          SrcBlock            "radio1_ADC_Q"
9822          SrcPort             1
9823          DstBlock            "Mux3"
9824          DstPort             2
9825        }
9826        Line {
9827          SrcBlock            "Mux3"
9828          SrcPort             1
9829          DstBlock            "Register1"
9830          DstPort             1
9831        }
9832      }
9833    }
9834    Block {
9835      BlockType       SubSystem
9836      Name            "Concatenates_1"
9837      SID             "773"
9838      Ports           [2, 1]
9839      Position        [690, 235, 740, 355]
9840      NamePlacement       "alternate"
9841      MinAlgLoopOccurrences   off
9842      PropExecContextOutsideSubsystem off
9843      RTWSystemCode       "Auto"
9844      FunctionWithSeparateData off
9845      Opaque          off
9846      RequestExecContextInheritance off
9847      MaskHideContents    off
9848      System {
9849        Name            "Concatenates_1"
9850        Location            [266, 282, 2174, 1362]
9851        Open            off
9852        ModelBrowserVisibility  off
9853        ModelBrowserWidth       200
9854        ScreenColor         "white"
9855        PaperOrientation        "landscape"
9856        PaperPositionMode       "auto"
9857        PaperType           "usletter"
9858        PaperUnits          "inches"
9859        TiledPaperMargins       [0.500000, 0.500000, 0.500000, 0.500000]
9860        TiledPageScale      1
9861        ShowPageBoundaries      off
9862        ZoomFactor          "100"
9863        Block {
9864          BlockType           Inport
9865          Name            "ADC_I"
9866          SID             "774"
9867          Position            [330, 93, 360, 107]
9868          IconDisplay         "Port number"
9869        }
9870        Block {
9871          BlockType           Inport
9872          Name            "ADC_Q"
9873          SID             "775"
9874          Position            [330, 213, 360, 227]
9875          Port            "2"
9876          IconDisplay         "Port number"
9877        }
9878        Block {
9879          BlockType           Reference
9880          Name            "Concat1"
9881          SID             "776"
9882          Ports           [2, 1]
9883          Position            [490, 191, 520, 229]
9884          ShowName            off
9885          LibraryVersion          "1.2"
9886          SourceBlock         "xbsIndex_r4/Concat"
9887          SourceType          "Xilinx Bus Concatenator Block"
9888          infoedit            "Concatenates two or more inputs.  Output will be cast to an unsigned value with the binary poi"
9889          "nt at zero."
9890          num_inputs          "2"
9891          dbl_ovrd            off
9892          has_advanced_control    "0"
9893          sggui_pos           "-1,-1,-1,-1"
9894          block_type          "concat"
9895          block_version       "8.2"
9896          sg_icon_stat        "30,38,2,1,white,blue,0,16398980,right,,[ ],[ ]"
9897          sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npatch([0 30 30 0 0 ],[0 0 38 38 0 ],[0.77 0"
9898          ".82 0.91 ]);\nplot([0 30 30 0 0 ],[0 0 38 38 0 ]);\npatch([6.1 11.88 15.88 19.88 23.88 15.88 10.1 6.1 ],[23.44 "
9899          "23.44 27.44 23.44 27.44 27.44 27.44 23.44 ],[1 1 1 ]);\npatch([10.1 15.88 11.88 6.1 10.1 ],[19.44 19.44 23.44 2"
9900          "3.44 19.44 ],[0.931 0.946 0.973 ]);\npatch([6.1 11.88 15.88 10.1 6.1 ],[15.44 15.44 19.44 19.44 15.44 ],[1 1 1 "
9901          "]);\npatch([10.1 23.88 19.88 15.88 11.88 6.1 10.1 ],[11.44 11.44 15.44 11.44 15.44 15.44 11.44 ],[0.931 0.946 0"
9902          ".973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');po"
9903          "rt_label('input',1,'hi');\ncolor('black');port_label('input',2,'lo');\n\ncolor('black');disp('\\fontsize{20}\\}"
9904          "','texmode','on');\nfprintf('','COMMENT: end icon text');"
9905        }
9906        Block {
9907          BlockType           Reference
9908          Name            "Concat2"
9909          SID             "777"
9910          Ports           [2, 1]
9911          Position            [490, 71, 520, 109]
9912          ShowName            off
9913          LibraryVersion          "1.2"
9914          SourceBlock         "xbsIndex_r4/Concat"
9915          SourceType          "Xilinx Bus Concatenator Block"
9916          infoedit            "Concatenates two or more inputs.  Output will be cast to an unsigned value with the binary poi"
9917          "nt at zero."
9918          num_inputs          "2"
9919          dbl_ovrd            off
9920          has_advanced_control    "0"
9921          sggui_pos           "-1,-1,-1,-1"
9922          block_type          "concat"
9923          block_version       "8.2"
9924          sg_icon_stat        "30,38,2,1,white,blue,0,16398980,right,,[ ],[ ]"
9925          sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npatch([0 30 30 0 0 ],[0 0 38 38 0 ],[0.77 0"
9926          ".82 0.91 ]);\nplot([0 30 30 0 0 ],[0 0 38 38 0 ]);\npatch([6.1 11.88 15.88 19.88 23.88 15.88 10.1 6.1 ],[23.44 "
9927          "23.44 27.44 23.44 27.44 27.44 27.44 23.44 ],[1 1 1 ]);\npatch([10.1 15.88 11.88 6.1 10.1 ],[19.44 19.44 23.44 2"
9928          "3.44 19.44 ],[0.931 0.946 0.973 ]);\npatch([6.1 11.88 15.88 10.1 6.1 ],[15.44 15.44 19.44 19.44 15.44 ],[1 1 1 "
9929          "]);\npatch([10.1 23.88 19.88 15.88 11.88 6.1 10.1 ],[11.44 11.44 15.44 11.44 15.44 15.44 11.44 ],[0.931 0.946 0"
9930          ".973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');po"
9931          "rt_label('input',1,'hi');\ncolor('black');port_label('input',2,'lo');\n\ncolor('black');disp('\\fontsize{20}\\}"
9932          "','texmode','on');\nfprintf('','COMMENT: end icon text');"
9933        }
9934        Block {
9935          BlockType           Reference
9936          Name            "Concat3"
9937          SID             "889"
9938          Ports           [2, 1]
9939          Position            [545, 81, 575, 119]
9940          ShowName            off
9941          LibraryVersion          "1.2"
9942          SourceBlock         "xbsIndex_r4/Concat"
9943          SourceType          "Xilinx Bus Concatenator Block"
9944          infoedit            "Concatenates two or more inputs.  Output will be cast to an unsigned value with the binary poi"
9945          "nt at zero."
9946          num_inputs          "2"
9947          dbl_ovrd            off
9948          has_advanced_control    "0"
9949          sggui_pos           "-1,-1,-1,-1"
9950          block_type          "concat"
9951          block_version       "8.2"
9952          sg_icon_stat        "30,38,2,1,white,blue,0,16398980,right,,[ ],[ ]"
9953          sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npatch([0 30 30 0 0 ],[0 0 38 38 0 ],[0.77 0"
9954          ".82 0.91 ]);\nplot([0 30 30 0 0 ],[0 0 38 38 0 ]);\npatch([6.1 11.88 15.88 19.88 23.88 15.88 10.1 6.1 ],[23.44 "
9955          "23.44 27.44 23.44 27.44 27.44 27.44 23.44 ],[1 1 1 ]);\npatch([10.1 15.88 11.88 6.1 10.1 ],[19.44 19.44 23.44 2"
9956          "3.44 19.44 ],[0.931 0.946 0.973 ]);\npatch([6.1 11.88 15.88 10.1 6.1 ],[15.44 15.44 19.44 19.44 15.44 ],[1 1 1 "
9957          "]);\npatch([10.1 23.88 19.88 15.88 11.88 6.1 10.1 ],[11.44 11.44 15.44 11.44 15.44 15.44 11.44 ],[0.931 0.946 0"
9958          ".973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');po"
9959          "rt_label('input',1,'hi');\ncolor('black');port_label('input',2,'lo');\n\ncolor('black');disp('\\fontsize{20}\\}"
9960          "','texmode','on');\nfprintf('','COMMENT: end icon text');"
9961        }
9962        Block {
9963          BlockType           Reference
9964          Name            "Concat4"
9965          SID             "890"
9966          Ports           [2, 1]
9967          Position            [545, 201, 575, 239]
9968          ShowName            off
9969          LibraryVersion          "1.2"
9970          SourceBlock         "xbsIndex_r4/Concat"
9971          SourceType          "Xilinx Bus Concatenator Block"
9972          infoedit            "Concatenates two or more inputs.  Output will be cast to an unsigned value with the binary poi"
9973          "nt at zero."
9974          num_inputs          "2"
9975          dbl_ovrd            off
9976          has_advanced_control    "0"
9977          sggui_pos           "-1,-1,-1,-1"
9978          block_type          "concat"
9979          block_version       "8.2"
9980          sg_icon_stat        "30,38,2,1,white,blue,0,16398980,right,,[ ],[ ]"
9981          sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npatch([0 30 30 0 0 ],[0 0 38 38 0 ],[0.77 0"
9982          ".82 0.91 ]);\nplot([0 30 30 0 0 ],[0 0 38 38 0 ]);\npatch([6.1 11.88 15.88 19.88 23.88 15.88 10.1 6.1 ],[23.44 "
9983          "23.44 27.44 23.44 27.44 27.44 27.44 23.44 ],[1 1 1 ]);\npatch([10.1 15.88 11.88 6.1 10.1 ],[19.44 19.44 23.44 2"
9984          "3.44 19.44 ],[0.931 0.946 0.973 ]);\npatch([6.1 11.88 15.88 10.1 6.1 ],[15.44 15.44 19.44 19.44 15.44 ],[1 1 1 "
9985          "]);\npatch([10.1 23.88 19.88 15.88 11.88 6.1 10.1 ],[11.44 11.44 15.44 11.44 15.44 15.44 11.44 ],[0.931 0.946 0"
9986          ".973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');po"
9987          "rt_label('input',1,'hi');\ncolor('black');port_label('input',2,'lo');\n\ncolor('black');disp('\\fontsize{20}\\}"
9988          "','texmode','on');\nfprintf('','COMMENT: end icon text');"
9989        }
9990        Block {
9991          BlockType           Reference
9992          Name            "Concat5"
9993          SID             "778"
9994          Ports           [2, 1]
9995          Position            [635, 80, 660, 160]
9996          ShowName            off
9997          LibraryVersion          "1.2"
9998          SourceBlock         "xbsIndex_r4/Concat"
9999          SourceType          "Xilinx Bus Concatenator Block"
10000          infoedit            "Concatenates two or more inputs.  Output will be cast to an unsigned value with the binary poi"
10001          "nt at zero."
10002          num_inputs          "2"
10003          dbl_ovrd            off
10004          has_advanced_control    "0"
10005          sggui_pos           "-1,-1,-1,-1"
10006          block_type          "concat"
10007          block_version       "8.2"
10008          sg_icon_stat        "25,80,2,1,white,blue,0,16398980,right,,[ ],[ ]"
10009          sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npatch([0 25 25 0 0 ],[0 0 80 80 0 ],[0.77 0"
10010          ".82 0.91 ]);\nplot([0 25 25 0 0 ],[0 0 80 80 0 ]);\npatch([5.325 9.66 12.66 15.66 18.66 12.66 8.325 5.325 ],[43"
10011          ".33 43.33 46.33 43.33 46.33 46.33 46.33 43.33 ],[1 1 1 ]);\npatch([8.325 12.66 9.66 5.325 8.325 ],[40.33 40.33 "
10012          "43.33 43.33 40.33 ],[0.931 0.946 0.973 ]);\npatch([5.325 9.66 12.66 8.325 5.325 ],[37.33 37.33 40.33 40.33 37.3"
10013          "3 ],[1 1 1 ]);\npatch([8.325 18.66 15.66 12.66 9.66 5.325 8.325 ],[34.33 34.33 37.33 34.33 37.33 37.33 34.33 ],"
10014          "[0.931 0.946 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncol"
10015          "or('black');port_label('input',1,'hi');\ncolor('black');port_label('input',2,'lo');\n\ncolor('black');disp('\\f"
10016          "ontsize{20}\\}','texmode','on');\nfprintf('','COMMENT: end icon text');"
10017        }
10018        Block {
10019          BlockType           Reference
10020          Name            "Reinterpret"
10021          SID             "779"
10022          Ports           [1, 1]
10023          Position            [385, 90, 420, 110]
10024          ShowName            off
10025          LibraryVersion          "1.2"
10026          SourceBlock         "xbsIndex_r4/Reinterpret"
10027          SourceType          "Xilinx Type Reinterpreter Block"
10028          infoedit            "Changes signal type without altering the binary representation.   You can changed the signal b"
10029          "etween signed and unsigned, and relocate the binary point.<P><P>Hardware notes: In hardware this block costs no"
10030          "thing.<P><P>Example:  Suppose the input is 6 bits wide, signed, with 2 fractional bits, and the output is force"
10031          "d to unsigned with 0 fractional bits.  Then an input of -2.0 (1110.00 in binary 2's complement) becomes an outp"
10032          "ut of 56 (111000 in binary)."
10033          force_arith_type        on
10034          arith_type          "Unsigned"
10035          force_bin_pt        on
10036          bin_pt              "0"
10037          has_advanced_control    "0"
10038          sggui_pos           "20,20,356,309"
10039          block_type          "reinterpret"
10040          block_version       "8.2"
10041          sg_icon_stat        "35,20,1,1,white,blue,0,6b04d0b0,right,,[ ],[ ]"
10042          sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npatch([0 35 35 0 0 ],[0 0 20 20 0 ],[0.77 0"
10043          ".82 0.91 ]);\nplot([0 35 35 0 0 ],[0 0 20 20 0 ]);\npatch([12.55 15.44 17.44 19.44 21.44 17.44 14.55 12.55 ],[1"
10044          "2.22 12.22 14.22 12.22 14.22 14.22 14.22 12.22 ],[1 1 1 ]);\npatch([14.55 17.44 15.44 12.55 14.55 ],[10.22 10.2"
10045          "2 12.22 12.22 10.22 ],[0.931 0.946 0.973 ]);\npatch([12.55 15.44 17.44 14.55 12.55 ],[8.22 8.22 10.22 10.22 8.2"
10046          "2 ],[1 1 1 ]);\npatch([14.55 21.44 19.44 17.44 15.44 12.55 14.55 ],[6.22 6.22 8.22 6.22 8.22 8.22 6.22 ],[0.931"
10047          " 0.946 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\n\n\ncolor"
10048          "('black');disp('reinterpret');\nfprintf('','COMMENT: end icon text');"
10049        }
10050        Block {
10051          BlockType           Reference
10052          Name            "Reinterpret1"
10053          SID             "780"
10054          Ports           [1, 1]
10055          Position            [390, 210, 425, 230]
10056          ShowName            off
10057          LibraryVersion          "1.2"
10058          SourceBlock         "xbsIndex_r4/Reinterpret"
10059          SourceType          "Xilinx Type Reinterpreter Block"
10060          infoedit            "Changes signal type without altering the binary representation.   You can changed the signal b"
10061          "etween signed and unsigned, and relocate the binary point.<P><P>Hardware notes: In hardware this block costs no"
10062          "thing.<P><P>Example:  Suppose the input is 6 bits wide, signed, with 2 fractional bits, and the output is force"
10063          "d to unsigned with 0 fractional bits.  Then an input of -2.0 (1110.00 in binary 2's complement) becomes an outp"
10064          "ut of 56 (111000 in binary)."
10065          force_arith_type        on
10066          arith_type          "Unsigned"
10067          force_bin_pt        on
10068          bin_pt              "0"
10069          has_advanced_control    "0"
10070          sggui_pos           "20,20,356,309"
10071          block_type          "reinterpret"
10072          block_version       "8.2"
10073          sg_icon_stat        "35,20,1,1,white,blue,0,6b04d0b0,right,,[ ],[ ]"
10074          sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npatch([0 35 35 0 0 ],[0 0 20 20 0 ],[0.77 0"
10075          ".82 0.91 ]);\nplot([0 35 35 0 0 ],[0 0 20 20 0 ]);\npatch([12.55 15.44 17.44 19.44 21.44 17.44 14.55 12.55 ],[1"
10076          "2.22 12.22 14.22 12.22 14.22 14.22 14.22 12.22 ],[1 1 1 ]);\npatch([14.55 17.44 15.44 12.55 14.55 ],[10.22 10.2"
10077          "2 12.22 12.22 10.22 ],[0.931 0.946 0.973 ]);\npatch([12.55 15.44 17.44 14.55 12.55 ],[8.22 8.22 10.22 10.22 8.2"
10078          "2 ],[1 1 1 ]);\npatch([14.55 21.44 19.44 17.44 15.44 12.55 14.55 ],[6.22 6.22 8.22 6.22 8.22 8.22 6.22 ],[0.931"
10079          " 0.946 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\n\n\ncolor"
10080          "('black');disp('reinterpret');\nfprintf('','COMMENT: end icon text');"
10081        }
10082        Block {
10083          BlockType           Reference
10084          Name            "zero"
10085          SID             "781"
10086          Ports           [0, 1]
10087          Position            [440, 191, 460, 209]
10088          ShowName            off
10089          LibraryVersion          "1.2"
10090          SourceBlock         "xbsIndex_r4/Constant"
10091          SourceType          "Xilinx Constant Block Block"
10092          const           "0"
10093          gui_display_data_type   "Fixed-point"
10094          arith_type          "Unsigned"
10095          n_bits              "2"
10096          bin_pt              "0"
10097          preci_type          "Single"
10098          exp_width           "8"
10099          frac_width          "24"
10100          explicit_period         off
10101          period              "1"
10102          dsp48_infoedit          "The use of this block for DSP48 instructions is deprecated.  Please use the Opmode block."
10103          equ             "P=C"
10104          opselect            "C"
10105          inp2            "PCIN>>17"
10106          opr             "+"
10107          inp1            "P"
10108          carry           "CIN"
10109          dbl_ovrd            off
10110          has_advanced_control    "0"
10111          sggui_pos           "-1,-1,-1,-1"
10112          block_type          "constant"
10113          block_version       "VER_STRING_GOES_HERE"
10114          sg_icon_stat        "20,18,0,1,white,blue,0,bf4ddd8b,right,,[ ],[ ]"
10115          sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npatch([0 20 20 0 0 ],[0 0 18 18 0 ],[0.77 0"
10116          ".82 0.91 ]);\nplot([0 20 20 0 0 ],[0 0 18 18 0 ]);\npatch([5.55 8.44 10.44 12.44 14.44 10.44 7.55 5.55 ],[11.22"
10117          " 11.22 13.22 11.22 13.22 13.22 13.22 11.22 ],[1 1 1 ]);\npatch([7.55 10.44 8.44 5.55 7.55 ],[9.22 9.22 11.22 11"
10118          ".22 9.22 ],[0.931 0.946 0.973 ]);\npatch([5.55 8.44 10.44 7.55 5.55 ],[7.22 7.22 9.22 9.22 7.22 ],[1 1 1 ]);\np"
10119          "atch([7.55 14.44 12.44 10.44 8.44 5.55 7.55 ],[5.22 5.22 7.22 5.22 7.22 7.22 5.22 ],[0.931 0.946 0.973 ]);\nfpr"
10120          "intf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('out"
10121          "put',1,'0');\nfprintf('','COMMENT: end icon text');"
10122        }
10123        Block {
10124          BlockType           Reference
10125          Name            "zero1"
10126          SID             "782"
10127          Ports           [0, 1]
10128          Position            [440, 71, 460, 89]
10129          ShowName            off
10130          LibraryVersion          "1.2"
10131          SourceBlock         "xbsIndex_r4/Constant"
10132          SourceType          "Xilinx Constant Block Block"
10133          const           "0"
10134          gui_display_data_type   "Fixed-point"
10135          arith_type          "Unsigned"
10136          n_bits              "2"
10137          bin_pt              "0"
10138          preci_type          "Single"
10139          exp_width           "8"
10140          frac_width          "24"
10141          explicit_period         off
10142          period              "1"
10143          dsp48_infoedit          "The use of this block for DSP48 instructions is deprecated.  Please use the Opmode block."
10144          equ             "P=C"
10145          opselect            "C"
10146          inp2            "PCIN>>17"
10147          opr             "+"
10148          inp1            "P"
10149          carry           "CIN"
10150          dbl_ovrd            off
10151          has_advanced_control    "0"
10152          sggui_pos           "-1,-1,-1,-1"
10153          block_type          "constant"
10154          block_version       "VER_STRING_GOES_HERE"
10155          sg_icon_stat        "20,18,0,1,white,blue,0,bf4ddd8b,right,,[ ],[ ]"
10156          sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npatch([0 20 20 0 0 ],[0 0 18 18 0 ],[0.77 0"
10157          ".82 0.91 ]);\nplot([0 20 20 0 0 ],[0 0 18 18 0 ]);\npatch([5.55 8.44 10.44 12.44 14.44 10.44 7.55 5.55 ],[11.22"
10158          " 11.22 13.22 11.22 13.22 13.22 13.22 11.22 ],[1 1 1 ]);\npatch([7.55 10.44 8.44 5.55 7.55 ],[9.22 9.22 11.22 11"
10159          ".22 9.22 ],[0.931 0.946 0.973 ]);\npatch([5.55 8.44 10.44 7.55 5.55 ],[7.22 7.22 9.22 9.22 7.22 ],[1 1 1 ]);\np"
10160          "atch([7.55 14.44 12.44 10.44 8.44 5.55 7.55 ],[5.22 5.22 7.22 5.22 7.22 7.22 5.22 ],[0.931 0.946 0.973 ]);\nfpr"
10161          "intf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('out"
10162          "put',1,'0');\nfprintf('','COMMENT: end icon text');"
10163        }
10164        Block {
10165          BlockType           Reference
10166          Name            "zero2"
10167          SID             "891"
10168          Ports           [0, 1]
10169          Position            [440, 101, 460, 119]
10170          ShowName            off
10171          LibraryVersion          "1.2"
10172          SourceBlock         "xbsIndex_r4/Constant"
10173          SourceType          "Xilinx Constant Block Block"
10174          const           "0"
10175          gui_display_data_type   "Fixed-point"
10176          arith_type          "Unsigned"
10177          n_bits              "2"
10178          bin_pt              "0"
10179          preci_type          "Single"
10180          exp_width           "8"
10181          frac_width          "24"
10182          explicit_period         off
10183          period              "1"
10184          dsp48_infoedit          "The use of this block for DSP48 instructions is deprecated.  Please use the Opmode block."
10185          equ             "P=C"
10186          opselect            "C"
10187          inp2            "PCIN>>17"
10188          opr             "+"
10189          inp1            "P"
10190          carry           "CIN"
10191          dbl_ovrd            off
10192          has_advanced_control    "0"
10193          sggui_pos           "-1,-1,-1,-1"
10194          block_type          "constant"
10195          block_version       "VER_STRING_GOES_HERE"
10196          sg_icon_stat        "20,18,0,1,white,blue,0,bf4ddd8b,right,,[ ],[ ]"
10197          sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npatch([0 20 20 0 0 ],[0 0 18 18 0 ],[0.77 0"
10198          ".82 0.91 ]);\nplot([0 20 20 0 0 ],[0 0 18 18 0 ]);\npatch([5.55 8.44 10.44 12.44 14.44 10.44 7.55 5.55 ],[11.22"
10199          " 11.22 13.22 11.22 13.22 13.22 13.22 11.22 ],[1 1 1 ]);\npatch([7.55 10.44 8.44 5.55 7.55 ],[9.22 9.22 11.22 11"
10200          ".22 9.22 ],[0.931 0.946 0.973 ]);\npatch([5.55 8.44 10.44 7.55 5.55 ],[7.22 7.22 9.22 9.22 7.22 ],[1 1 1 ]);\np"
10201          "atch([7.55 14.44 12.44 10.44 8.44 5.55 7.55 ],[5.22 5.22 7.22 5.22 7.22 7.22 5.22 ],[0.931 0.946 0.973 ]);\nfpr"
10202          "intf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('out"
10203          "put',1,'0');\nfprintf('','COMMENT: end icon text');"
10204        }
10205        Block {
10206          BlockType           Reference
10207          Name            "zero3"
10208          SID             "892"
10209          Ports           [0, 1]
10210          Position            [440, 221, 460, 239]
10211          ShowName            off
10212          LibraryVersion          "1.2"
10213          SourceBlock         "xbsIndex_r4/Constant"
10214          SourceType          "Xilinx Constant Block Block"
10215          const           "0"
10216          gui_display_data_type   "Fixed-point"
10217          arith_type          "Unsigned"
10218          n_bits              "2"
10219          bin_pt              "0"
10220          preci_type          "Single"
10221          exp_width           "8"
10222          frac_width          "24"
10223          explicit_period         off
10224          period              "1"
10225          dsp48_infoedit          "The use of this block for DSP48 instructions is deprecated.  Please use the Opmode block."
10226          equ             "P=C"
10227          opselect            "C"
10228          inp2            "PCIN>>17"
10229          opr             "+"
10230          inp1            "P"
10231          carry           "CIN"
10232          dbl_ovrd            off
10233          has_advanced_control    "0"
10234          sggui_pos           "-1,-1,-1,-1"
10235          block_type          "constant"
10236          block_version       "VER_STRING_GOES_HERE"
10237          sg_icon_stat        "20,18,0,1,white,blue,0,bf4ddd8b,right,,[ ],[ ]"
10238          sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npatch([0 20 20 0 0 ],[0 0 18 18 0 ],[0.77 0"
10239          ".82 0.91 ]);\nplot([0 20 20 0 0 ],[0 0 18 18 0 ]);\npatch([5.55 8.44 10.44 12.44 14.44 10.44 7.55 5.55 ],[11.22"
10240          " 11.22 13.22 11.22 13.22 13.22 13.22 11.22 ],[1 1 1 ]);\npatch([7.55 10.44 8.44 5.55 7.55 ],[9.22 9.22 11.22 11"
10241          ".22 9.22 ],[0.931 0.946 0.973 ]);\npatch([5.55 8.44 10.44 7.55 5.55 ],[7.22 7.22 9.22 9.22 7.22 ],[1 1 1 ]);\np"
10242          "atch([7.55 14.44 12.44 10.44 8.44 5.55 7.55 ],[5.22 5.22 7.22 5.22 7.22 7.22 5.22 ],[0.931 0.946 0.973 ]);\nfpr"
10243          "intf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('out"
10244          "put',1,'0');\nfprintf('','COMMENT: end icon text');"
10245        }
10246        Block {
10247          BlockType           Outport
10248          Name            "32b"
10249          SID             "783"
10250          Position            [695, 113, 725, 127]
10251          IconDisplay         "Port number"
10252        }
10253        Line {
10254          SrcBlock            "zero"
10255          SrcPort             1
10256          DstBlock            "Concat1"
10257          DstPort             1
10258        }
10259        Line {
10260          SrcBlock            "zero1"
10261          SrcPort             1
10262          DstBlock            "Concat2"
10263          DstPort             1
10264        }
10265        Line {
10266          SrcBlock            "Concat5"
10267          SrcPort             1
10268          DstBlock            "32b"
10269          DstPort             1
10270        }
10271        Line {
10272          SrcBlock            "ADC_I"
10273          SrcPort             1
10274          DstBlock            "Reinterpret"
10275          DstPort             1
10276        }
10277        Line {
10278          SrcBlock            "ADC_Q"
10279          SrcPort             1
10280          DstBlock            "Reinterpret1"
10281          DstPort             1
10282        }
10283        Line {
10284          SrcBlock            "Reinterpret"
10285          SrcPort             1
10286          DstBlock            "Concat2"
10287          DstPort             2
10288        }
10289        Line {
10290          SrcBlock            "Reinterpret1"
10291          SrcPort             1
10292          DstBlock            "Concat1"
10293          DstPort             2
10294        }
10295        Line {
10296          SrcBlock            "Concat2"
10297          SrcPort             1
10298          DstBlock            "Concat3"
10299          DstPort             1
10300        }
10301        Line {
10302          SrcBlock            "Concat1"
10303          SrcPort             1
10304          DstBlock            "Concat4"
10305          DstPort             1
10306        }
10307        Line {
10308          SrcBlock            "zero2"
10309          SrcPort             1
10310          DstBlock            "Concat3"
10311          DstPort             2
10312        }
10313        Line {
10314          SrcBlock            "zero3"
10315          SrcPort             1
10316          DstBlock            "Concat4"
10317          DstPort             2
10318        }
10319        Line {
10320          SrcBlock            "Concat3"
10321          SrcPort             1
10322          DstBlock            "Concat5"
10323          DstPort             1
10324        }
10325        Line {
10326          SrcBlock            "Concat4"
10327          SrcPort             1
10328          Points              [20, 0; 0, -80]
10329          DstBlock            "Concat5"
10330          DstPort             2
10331        }
10332      }
10333    }
10334    Block {
10335      BlockType       SubSystem
10336      Name            "RSSI"
10337      SID             "218"
10338      Ports           [0, 1]
10339      Position        [470, 397, 540, 433]
10340      MinAlgLoopOccurrences   off
10341      PropExecContextOutsideSubsystem off
10342      RTWSystemCode       "Auto"
10343      FunctionWithSeparateData off
10344      Opaque          off
10345      RequestExecContextInheritance off
10346      MaskHideContents    off
10347      System {
10348        Name            "RSSI"
10349        Location            [2, 82, 1184, 734]
10350        Open            off
10351        ModelBrowserVisibility  off
10352        ModelBrowserWidth       200
10353        ScreenColor         "white"
10354        PaperOrientation        "landscape"
10355        PaperPositionMode       "auto"
10356        PaperType           "usletter"
10357        PaperUnits          "inches"
10358        TiledPaperMargins       [0.500000, 0.500000, 0.500000, 0.500000]
10359        TiledPageScale      1
10360        ShowPageBoundaries      off
10361        ZoomFactor          "100"
10362        Block {
10363          BlockType           Constant
10364          Name            "Constant1"
10365          SID             "220"
10366          Position            [160, 100, 190, 130]
10367          ShowName            off
10368          Value           "0"
10369        }
10370        Block {
10371          BlockType           Reference
10372          Name            "radio1_RSSI"
10373          SID             "223"
10374          Ports           [1, 1]
10375          Position            [280, 109, 335, 121]
10376          NamePlacement       "alternate"
10377          LibraryVersion          "1.2"
10378          SourceBlock         "xbsIndex_r4/Gateway In"
10379          SourceType          "Xilinx Gateway In Block"
10380          infoedit            "Gateway in block.  Converts inputs of type Simulink integer, double and fixed point to  Xilinx"
10381          " fixed point type.<P><P>Hardware notes:  In hardware these blocks become top level input ports."
10382          gui_display_data_type   "Fixed-point"
10383          arith_type          "Unsigned"
10384          n_bits              "10"
10385          bin_pt              "0"
10386          preci_type          "Single"
10387          exp_width           "8"
10388          frac_width          "24"
10389          quantization        "Truncate"
10390          overflow            "Wrap"
10391          period              "1"
10392          dbl_ovrd            off
10393          timing_constraint       "None"
10394          locs_specified          off
10395          LOCs            "{}"
10396          xl_use_area         off
10397          xl_area             "[0,0,0,0,0,0,0]"
10398          inherit_from_input      off
10399          UseAsADC            off
10400          ADCChannel          "'1'"
10401          hdl_port            "on"
10402          has_advanced_control    "0"
10403          sggui_pos           "20,20,356,432"
10404          block_type          "gatewayin"
10405          block_version       "VER_STRING_GOES_HERE"
10406          sg_icon_stat        "55,12,1,1,white,yellow,1,00d3666e,right,,[ ],[ ]"
10407          sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 0 ],[0 0 12 12 0 ],[0.95 0"
10408          ".93 0.65 ]);\nplot([0 55 55 0 0 ],[0 0 12 12 0 ]);\npatch([24.775 26.22 27.22 28.22 29.22 27.22 25.775 24.775 ]"
10409          ",[7.11 7.11 8.11 7.11 8.11 8.11 8.11 7.11 ],[1 1 1 ]);\npatch([25.775 27.22 26.22 24.775 25.775 ],[6.11 6.11 7."
10410          "11 7.11 6.11 ],[0.985 0.979 0.895 ]);\npatch([24.775 26.22 27.22 25.775 24.775 ],[5.11 5.11 6.11 6.11 5.11 ],[1"
10411          " 1 1 ]);\npatch([25.775 29.22 28.22 27.22 26.22 24.775 25.775 ],[4.11 4.11 5.11 4.11 5.11 5.11 4.11 ],[0.985 0."
10412          "979 0.895 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black"
10413          "');port_label('input',1,'\\fontsize{11pt}\\bf In ','texmode','on');\ncolor('black');port_label('output',1,' ');"
10414          "\nfprintf('','COMMENT: end icon text');"
10415        }
10416        Block {
10417          BlockType           Outport
10418          Name            "RSSI"
10419          SID             "224"
10420          Position            [740, 108, 770, 122]
10421          IconDisplay         "Port number"
10422        }
10423        Line {
10424          SrcBlock            "Constant1"
10425          SrcPort             1
10426          DstBlock            "radio1_RSSI"
10427          DstPort             1
10428        }
10429        Line {
10430          SrcBlock            "radio1_RSSI"
10431          SrcPort             1
10432          DstBlock            "RSSI"
10433          DstPort             1
10434        }
10435      }
10436    }
10437    Block {
10438      BlockType       Outport
10439      Name            "Radio 1 RSSI"
10440      SID             "226"
10441      Position        [655, 408, 685, 422]
10442      IconDisplay         "Port number"
10443    }
10444    Block {
10445      BlockType       Outport
10446      Name            "Radio 1 I/Q"
10447      SID             "227"
10448      Position        [865, 288, 895, 302]
10449      Port            "2"
10450      IconDisplay         "Port number"
10451    }
10452    Line {
10453      SrcBlock        "Concatenates_1"
10454      SrcPort         1
10455      DstBlock        "Radio 1 I/Q"
10456      DstPort         1
10457    }
10458    Line {
10459      SrcBlock        "RSSI"
10460      SrcPort         1
10461      DstBlock        "Radio 1 RSSI"
10462      DstPort         1
10463    }
10464    Line {
10465      SrcBlock        "MGCAGC_AGCDCO_SEL"
10466      SrcPort         1
10467      Points          [75, 0]
10468      Branch {
10469        DstBlock            "ADC I"
10470        DstPort         1
10471      }
10472      Branch {
10473        Points          [0, 60]
10474        DstBlock            "ADC Q"
10475        DstPort         1
10476      }
10477    }
10478    Line {
10479      SrcBlock        "ADC I"
10480      SrcPort         1
10481      DstBlock        "Concatenates_1"
10482      DstPort         1
10483    }
10484    Line {
10485      SrcBlock        "ADC Q"
10486      SrcPort         1
10487      DstBlock        "Concatenates_1"
10488      DstPort         2
10489    }
10490      }
10491    }
10492    Block {
10493      BlockType           SubSystem
10494      Name            "Radio 1 Outputs"
10495      SID             "228"
10496      Ports           [1]
10497      Position            [1175, 666, 1225, 714]
10498      NamePlacement       "alternate"
10499      MinAlgLoopOccurrences   off
10500      PropExecContextOutsideSubsystem off
10501      RTWSystemCode       "Auto"
10502      FunctionWithSeparateData off
10503      Opaque              off
10504      RequestExecContextInheritance off
10505      MaskHideContents        off
10506      System {
10507    Name            "Radio 1 Outputs"
10508    Location        [742, 491, 1818, 948]
10509    Open            off
10510    ModelBrowserVisibility  off
10511    ModelBrowserWidth   200
10512    ScreenColor     "white"
10513    PaperOrientation    "landscape"
10514    PaperPositionMode   "auto"
10515    PaperType       "usletter"
10516    PaperUnits      "inches"
10517    TiledPaperMargins   [0.500000, 0.500000, 0.500000, 0.500000]
10518    TiledPageScale      1
10519    ShowPageBoundaries  off
10520    ZoomFactor      "100"
10521    Block {
10522      BlockType       Inport
10523      Name            "32b"
10524      SID             "673"
10525      Position        [85, 238, 115, 252]
10526      IconDisplay         "Port number"
10527    }
10528    Block {
10529      BlockType       Reference
10530      Name            "16LSB"
10531      SID             "674"
10532      Ports           [1, 1]
10533      Position        [265, 237, 305, 253]
10534      LibraryVersion      "1.2"
10535      SourceBlock         "xbsIndex_r4/Slice"
10536      SourceType          "Xilinx Bit Slice Extractor Block"
10537      infoedit        "Extracts a given range of bits from each input sample and presents it at the output.  The output type "
10538      "is ordinarily unsigned with binary point at zero, but can be Boolean when the slice is one bit wide.<P><P>Hardware "
10539      "notes: In hardware this block costs nothing."
10540      nbits           "16"
10541      boolean_output      off
10542      mode            "Lower Bit Location + Width"
10543      bit1            "0"
10544      base1           "MSB of Input"
10545      bit0            "0"
10546      base0           "LSB of Input"
10547      dbl_ovrd        off
10548      has_advanced_control    "0"
10549      sggui_pos       "20,20,442,407"
10550      block_type          "slice"
10551      block_version       "8.2"
10552      sg_icon_stat        "40,16,1,1,white,blue,0,1fd851a7,right,,[ ],[ ]"
10553      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 40 40 0 0 ],[0 0 16 16 0 ],[0.77 0.82 0.91"
10554      " ]);\nplot([0 40 40 0 0 ],[0 0 16 16 0 ]);\npatch([15.55 18.44 20.44 22.44 24.44 20.44 17.55 15.55 ],[10.22 10.22 1"
10555      "2.22 10.22 12.22 12.22 12.22 10.22 ],[1 1 1 ]);\npatch([17.55 20.44 18.44 15.55 17.55 ],[8.22 8.22 10.22 10.22 8.22"
10556      " ],[0.931 0.946 0.973 ]);\npatch([15.55 18.44 20.44 17.55 15.55 ],[6.22 6.22 8.22 8.22 6.22 ],[1 1 1 ]);\npatch([17"
10557      ".55 24.44 22.44 20.44 18.44 15.55 17.55 ],[4.22 4.22 6.22 4.22 6.22 6.22 4.22 ],[0.931 0.946 0.973 ]);\nfprintf('',"
10558      "'COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\n\ncolor('black');port_label('output',1,'[a"
10559      ":b]');\nfprintf('','COMMENT: end icon text');"
10560    }
10561    Block {
10562      BlockType       Reference
10563      Name            "16MSB"
10564      SID             "675"
10565      Ports           [1, 1]
10566      Position        [265, 181, 305, 199]
10567      NamePlacement       "alternate"
10568      LibraryVersion      "1.2"
10569      SourceBlock         "xbsIndex_r4/Slice"
10570      SourceType          "Xilinx Bit Slice Extractor Block"
10571      infoedit        "Extracts a given range of bits from each input sample and presents it at the output.  The output type "
10572      "is ordinarily unsigned with binary point at zero, but can be Boolean when the slice is one bit wide.<P><P>Hardware "
10573      "notes: In hardware this block costs nothing."
10574      nbits           "16"
10575      boolean_output      off
10576      mode            "Upper Bit Location + Width"
10577      bit1            "0"
10578      base1           "MSB of Input"
10579      bit0            "0"
10580      base0           "LSB of Input"
10581      dbl_ovrd        off
10582      has_advanced_control    "0"
10583      sggui_pos       "20,20,442,407"
10584      block_type          "slice"
10585      block_version       "8.2"
10586      sg_icon_stat        "40,18,1,1,white,blue,0,1fd851a7,right,,[ ],[ ]"
10587      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 40 40 0 0 ],[0 0 18 18 0 ],[0.77 0.82 0.91"
10588      " ]);\nplot([0 40 40 0 0 ],[0 0 18 18 0 ]);\npatch([15.55 18.44 20.44 22.44 24.44 20.44 17.55 15.55 ],[11.22 11.22 1"
10589      "3.22 11.22 13.22 13.22 13.22 11.22 ],[1 1 1 ]);\npatch([17.55 20.44 18.44 15.55 17.55 ],[9.22 9.22 11.22 11.22 9.22"
10590      " ],[0.931 0.946 0.973 ]);\npatch([15.55 18.44 20.44 17.55 15.55 ],[7.22 7.22 9.22 9.22 7.22 ],[1 1 1 ]);\npatch([17"
10591      ".55 24.44 22.44 20.44 18.44 15.55 17.55 ],[5.22 5.22 7.22 5.22 7.22 7.22 5.22 ],[0.931 0.946 0.973 ]);\nfprintf('',"
10592      "'COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\n\ncolor('black');port_label('output',1,'[a"
10593      ":b]');\nfprintf('','COMMENT: end icon text');"
10594    }
10595    Block {
10596      BlockType       Reference
10597      Name            "Convert"
10598      SID             "676"
10599      Ports           [1, 1]
10600      Position        [480, 175, 525, 205]
10601      LibraryVersion      "1.2"
10602      SourceBlock         "xbsIndex_r4/Convert"
10603      SourceType          "Xilinx Type Converter Block"
10604      infoedit        "Hardware notes: rounding and saturating require hardware resources; truncating and wrapping do not."
10605      gui_display_data_type   "Fixed-point"
10606      arith_type          "Signed  (2's comp)"
10607      n_bits          "12"
10608      bin_pt          "11"
10609      float_type          "Single"
10610      exp_bits        "8"
10611      fraction_bits       "24"
10612      quantization        "Truncate"
10613      overflow        "Wrap"
10614      en              off
10615      latency         "0"
10616      dbl_ovrd        off
10617      pipeline        off
10618      xl_use_area         off
10619      xl_area         "[0,0,0,0,0,0,0]"
10620      has_advanced_control    "0"
10621      sggui_pos       "-1,-1,-1,-1"
10622      block_type          "convert"
10623      sg_icon_stat        "45,30,1,1,white,blue,0,edca21da,right,,[ ],[ ]"
10624      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 45 45 0 0 ],[0 0 30 30 0 ],[0.77 0.82 0.91"
10625      " ]);\nplot([0 45 45 0 0 ],[0 0 30 30 0 ]);\npatch([13.1 18.88 22.88 26.88 30.88 22.88 17.1 13.1 ],[19.44 19.44 23.4"
10626      "4 19.44 23.44 23.44 23.44 19.44 ],[1 1 1 ]);\npatch([17.1 22.88 18.88 13.1 17.1 ],[15.44 15.44 19.44 19.44 15.44 ],"
10627      "[0.931 0.946 0.973 ]);\npatch([13.1 18.88 22.88 17.1 13.1 ],[11.44 11.44 15.44 15.44 11.44 ],[1 1 1 ]);\npatch([17."
10628      "1 30.88 26.88 22.88 18.88 13.1 17.1 ],[7.44 7.44 11.44 7.44 11.44 11.44 7.44 ],[0.931 0.946 0.973 ]);\nfprintf('','"
10629      "COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\n\ncolor('black');port_label('output',1,'cas"
10630      "t');\nfprintf('','COMMENT: end icon text');"
10631    }
10632    Block {
10633      BlockType       Reference
10634      Name            "Convert1"
10635      SID             "677"
10636      Ports           [1, 1]
10637      Position        [480, 230, 525, 260]
10638      LibraryVersion      "1.2"
10639      SourceBlock         "xbsIndex_r4/Convert"
10640      SourceType          "Xilinx Type Converter Block"
10641      infoedit        "Hardware notes: rounding and saturating require hardware resources; truncating and wrapping do not."
10642      gui_display_data_type   "Fixed-point"
10643      arith_type          "Signed  (2's comp)"
10644      n_bits          "12"
10645      bin_pt          "11"
10646      float_type          "Single"
10647      exp_bits        "8"
10648      fraction_bits       "24"
10649      quantization        "Truncate"
10650      overflow        "Wrap"
10651      en              off
10652      latency         "0"
10653      dbl_ovrd        off
10654      pipeline        off
10655      xl_use_area         off
10656      xl_area         "[0,0,0,0,0,0,0]"
10657      has_advanced_control    "0"
10658      sggui_pos       "-1,-1,-1,-1"
10659      block_type          "convert"
10660      sg_icon_stat        "45,30,1,1,white,blue,0,edca21da,right,,[ ],[ ]"
10661      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 45 45 0 0 ],[0 0 30 30 0 ],[0.77 0.82 0.91"
10662      " ]);\nplot([0 45 45 0 0 ],[0 0 30 30 0 ]);\npatch([13.1 18.88 22.88 26.88 30.88 22.88 17.1 13.1 ],[19.44 19.44 23.4"
10663      "4 19.44 23.44 23.44 23.44 19.44 ],[1 1 1 ]);\npatch([17.1 22.88 18.88 13.1 17.1 ],[15.44 15.44 19.44 19.44 15.44 ],"
10664      "[0.931 0.946 0.973 ]);\npatch([13.1 18.88 22.88 17.1 13.1 ],[11.44 11.44 15.44 15.44 11.44 ],[1 1 1 ]);\npatch([17."
10665      "1 30.88 26.88 22.88 18.88 13.1 17.1 ],[7.44 7.44 11.44 7.44 11.44 11.44 7.44 ],[0.931 0.946 0.973 ]);\nfprintf('','"
10666      "COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\n\ncolor('black');port_label('output',1,'cas"
10667      "t');\nfprintf('','COMMENT: end icon text');"
10668    }
10669    Block {
10670      BlockType       Reference
10671      Name            "Register"
10672      SID             "678"
10673      Ports           [1, 1]
10674      Position        [625, 176, 660, 204]
10675      ShowName        off
10676      LibraryVersion      "1.2"
10677      SourceBlock         "xbsIndex_r4/Register"
10678      SourceType          "Xilinx Register Block"
10679      init            "0"
10680      rst             off
10681      en              off
10682      dbl_ovrd        off
10683      xl_use_area         off
10684      xl_area         "[0,0,0,0,0,0,0]"
10685      has_advanced_control    "0"
10686      sggui_pos       "-1,-1,-1,-1"
10687      block_type          "register"
10688      block_version       "8.2"
10689      sg_icon_stat        "35,28,1,1,white,blue,0,c80657c5,right,,[ ],[ ]"
10690      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 35 35 0 0 ],[0 0 28 28 0 ],[0.77 0.82 0.91"
10691      " ]);\nplot([0 35 35 0 0 ],[0 0 28 28 0 ]);\npatch([8.1 13.88 17.88 21.88 25.88 17.88 12.1 8.1 ],[18.44 18.44 22.44 "
10692      "18.44 22.44 22.44 22.44 18.44 ],[1 1 1 ]);\npatch([12.1 17.88 13.88 8.1 12.1 ],[14.44 14.44 18.44 18.44 14.44 ],[0."
10693      "931 0.946 0.973 ]);\npatch([8.1 13.88 17.88 12.1 8.1 ],[10.44 10.44 14.44 14.44 10.44 ],[1 1 1 ]);\npatch([12.1 25."
10694      "88 21.88 17.88 13.88 8.1 12.1 ],[6.44 6.44 10.44 6.44 10.44 10.44 6.44 ],[0.931 0.946 0.973 ]);\nfprintf('','COMMEN"
10695      "T: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1,'d');\ncolor("
10696      "'black');port_label('output',1,'q');\ncolor('black');disp('z^{-1}','texmode','on');\nfprintf('','COMMENT: end icon "
10697      "text');"
10698    }
10699    Block {
10700      BlockType       Reference
10701      Name            "Register1"
10702      SID             "679"
10703      Ports           [1, 1]
10704      Position        [625, 231, 660, 259]
10705      ShowName        off
10706      LibraryVersion      "1.2"
10707      SourceBlock         "xbsIndex_r4/Register"
10708      SourceType          "Xilinx Register Block"
10709      init            "0"
10710      rst             off
10711      en              off
10712      dbl_ovrd        off
10713      xl_use_area         off
10714      xl_area         "[0,0,0,0,0,0,0]"
10715      has_advanced_control    "0"
10716      sggui_pos       "-1,-1,-1,-1"
10717      block_type          "register"
10718      block_version       "8.2"
10719      sg_icon_stat        "35,28,1,1,white,blue,0,c80657c5,right,,[ ],[ ]"
10720      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 35 35 0 0 ],[0 0 28 28 0 ],[0.77 0.82 0.91"
10721      " ]);\nplot([0 35 35 0 0 ],[0 0 28 28 0 ]);\npatch([8.1 13.88 17.88 21.88 25.88 17.88 12.1 8.1 ],[18.44 18.44 22.44 "
10722      "18.44 22.44 22.44 22.44 18.44 ],[1 1 1 ]);\npatch([12.1 17.88 13.88 8.1 12.1 ],[14.44 14.44 18.44 18.44 14.44 ],[0."
10723      "931 0.946 0.973 ]);\npatch([8.1 13.88 17.88 12.1 8.1 ],[10.44 10.44 14.44 14.44 10.44 ],[1 1 1 ]);\npatch([12.1 25."
10724      "88 21.88 17.88 13.88 8.1 12.1 ],[6.44 6.44 10.44 6.44 10.44 10.44 6.44 ],[0.931 0.946 0.973 ]);\nfprintf('','COMMEN"
10725      "T: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1,'d');\ncolor("
10726      "'black');port_label('output',1,'q');\ncolor('black');disp('z^{-1}','texmode','on');\nfprintf('','COMMENT: end icon "
10727      "text');"
10728    }
10729    Block {
10730      BlockType       Reference
10731      Name            "Reinterpret"
10732      SID             "680"
10733      Ports           [1, 1]
10734      Position        [365, 180, 410, 200]
10735      ShowName        off
10736      LibraryVersion      "1.2"
10737      SourceBlock         "xbsIndex_r4/Reinterpret"
10738      SourceType          "Xilinx Type Reinterpreter Block"
10739      infoedit        "Changes signal type without altering the binary representation.   You can changed the signal between s"
10740      "igned and unsigned, and relocate the binary point.<P><P>Hardware notes: In hardware this block costs nothing.<P><P>"
10741      "Example:  Suppose the input is 6 bits wide, signed, with 2 fractional bits, and the output is forced to unsigned wi"
10742      "th 0 fractional bits.  Then an input of -2.0 (1110.00 in binary 2's complement) becomes an output of 56 (111000 in "
10743      "binary)."
10744      force_arith_type    on
10745      arith_type          "Signed  (2's comp)"
10746      force_bin_pt        on
10747      bin_pt          "15"
10748      has_advanced_control    "0"
10749      sggui_pos       "20,20,356,309"
10750      block_type          "reinterpret"
10751      block_version       "8.2"
10752      sg_icon_stat        "45,20,1,1,white,blue,0,6b04d0b0,right,,[ ],[ ]"
10753      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 45 45 0 0 ],[0 0 20 20 0 ],[0.77 0.82 0.91"
10754      " ]);\nplot([0 45 45 0 0 ],[0 0 20 20 0 ]);\npatch([17.55 20.44 22.44 24.44 26.44 22.44 19.55 17.55 ],[12.22 12.22 1"
10755      "4.22 12.22 14.22 14.22 14.22 12.22 ],[1 1 1 ]);\npatch([19.55 22.44 20.44 17.55 19.55 ],[10.22 10.22 12.22 12.22 10"
10756      ".22 ],[0.931 0.946 0.973 ]);\npatch([17.55 20.44 22.44 19.55 17.55 ],[8.22 8.22 10.22 10.22 8.22 ],[1 1 1 ]);\npatc"
10757      "h([19.55 26.44 24.44 22.44 20.44 17.55 19.55 ],[6.22 6.22 8.22 6.22 8.22 8.22 6.22 ],[0.931 0.946 0.973 ]);\nfprint"
10758      "f('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\n\n\ncolor('black');disp('reinterpret'"
10759      ");\nfprintf('','COMMENT: end icon text');"
10760    }
10761    Block {
10762      BlockType       Reference
10763      Name            "Reinterpret1"
10764      SID             "681"
10765      Ports           [1, 1]
10766      Position        [365, 235, 410, 255]
10767      ShowName        off
10768      LibraryVersion      "1.2"
10769      SourceBlock         "xbsIndex_r4/Reinterpret"
10770      SourceType          "Xilinx Type Reinterpreter Block"
10771      infoedit        "Changes signal type without altering the binary representation.   You can changed the signal between s"
10772      "igned and unsigned, and relocate the binary point.<P><P>Hardware notes: In hardware this block costs nothing.<P><P>"
10773      "Example:  Suppose the input is 6 bits wide, signed, with 2 fractional bits, and the output is forced to unsigned wi"
10774      "th 0 fractional bits.  Then an input of -2.0 (1110.00 in binary 2's complement) becomes an output of 56 (111000 in "
10775      "binary)."
10776      force_arith_type    on
10777      arith_type          "Signed  (2's comp)"
10778      force_bin_pt        on
10779      bin_pt          "15"
10780      has_advanced_control    "0"
10781      sggui_pos       "20,20,356,309"
10782      block_type          "reinterpret"
10783      block_version       "8.2"
10784      sg_icon_stat        "45,20,1,1,white,blue,0,6b04d0b0,right,,[ ],[ ]"
10785      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 45 45 0 0 ],[0 0 20 20 0 ],[0.77 0.82 0.91"
10786      " ]);\nplot([0 45 45 0 0 ],[0 0 20 20 0 ]);\npatch([17.55 20.44 22.44 24.44 26.44 22.44 19.55 17.55 ],[12.22 12.22 1"
10787      "4.22 12.22 14.22 14.22 14.22 12.22 ],[1 1 1 ]);\npatch([19.55 22.44 20.44 17.55 19.55 ],[10.22 10.22 12.22 12.22 10"
10788      ".22 ],[0.931 0.946 0.973 ]);\npatch([17.55 20.44 22.44 19.55 17.55 ],[8.22 8.22 10.22 10.22 8.22 ],[1 1 1 ]);\npatc"
10789      "h([19.55 26.44 24.44 22.44 20.44 17.55 19.55 ],[6.22 6.22 8.22 6.22 8.22 8.22 6.22 ],[0.931 0.946 0.973 ]);\nfprint"
10790      "f('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\n\n\ncolor('black');disp('reinterpret'"
10791      ");\nfprintf('','COMMENT: end icon text');"
10792    }
10793    Block {
10794      BlockType       Terminator
10795      Name            "Terminator1"
10796      SID             "682"
10797      Position        [870, 180, 890, 200]
10798      ShowName        off
10799    }
10800    Block {
10801      BlockType       Terminator
10802      Name            "Terminator2"
10803      SID             "683"
10804      Position        [870, 235, 890, 255]
10805      ShowName        off
10806    }
10807    Block {
10808      BlockType       Reference
10809      Name            "radio1_DAC_I"
10810      SID             "684"
10811      Ports           [1, 1]
10812      Position        [750, 180, 810, 200]
10813      LibraryVersion      "1.2"
10814      SourceBlock         "xbsIndex_r4/Gateway Out"
10815      SourceType          "Xilinx Gateway Out Block"
10816      infoedit        "Gateway out block.  Converts Xilinx fixed-point or floating-point type inputs into ouputs of type Simu"
10817      "link integer, single, double, or fixed point.<br><br>Hardware notes:  In hardware these blocks become top level out"
10818      "put ports or are discarded, depending on how they are configured."
10819      inherit_from_input      off
10820      hdl_port        on
10821      timing_constraint   "None"
10822      locs_specified      off
10823      LOCs            "{}"
10824      xl_use_area         off
10825      xl_area         "[0,0,0,0,0,0,0]"
10826      UseAsDAC        off
10827      DACChannel          "'1'"
10828      has_advanced_control    "0"
10829      sggui_pos       "-1,-1,-1,-1"
10830      block_type          "gatewayout"
10831      sg_icon_stat        "60,20,1,1,white,yellow,1,cc31b7ac,right,,[ ],[ ]"
10832      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 0 ],[0 0 20 20 0 ],[0.95 0.93 0.65"
10833      " ]);\nplot([0 60 60 0 0 ],[0 0 20 20 0 ]);\npatch([25.55 28.44 30.44 32.44 34.44 30.44 27.55 25.55 ],[12.22 12.22 1"
10834      "4.22 12.22 14.22 14.22 14.22 12.22 ],[1 1 1 ]);\npatch([27.55 30.44 28.44 25.55 27.55 ],[10.22 10.22 12.22 12.22 10"
10835      ".22 ],[0.985 0.979 0.895 ]);\npatch([25.55 28.44 30.44 27.55 25.55 ],[8.22 8.22 10.22 10.22 8.22 ],[1 1 1 ]);\npatc"
10836      "h([27.55 34.44 32.44 30.44 28.44 25.55 27.55 ],[6.22 6.22 8.22 6.22 8.22 8.22 6.22 ],[0.985 0.979 0.895 ]);\nfprint"
10837      "f('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1,'"
10838      " ');\ncolor('black');port_label('output',1,'\\fontsize{11pt}\\bf Out ','texmode','on');\nfprintf('','COMMENT: end i"
10839      "con text');"
10840    }
10841    Block {
10842      BlockType       Reference
10843      Name            "radio1_DAC_Q"
10844      SID             "685"
10845      Ports           [1, 1]
10846      Position        [750, 235, 810, 255]
10847      LibraryVersion      "1.2"
10848      SourceBlock         "xbsIndex_r4/Gateway Out"
10849      SourceType          "Xilinx Gateway Out Block"
10850      infoedit        "Gateway out block.  Converts Xilinx fixed-point or floating-point type inputs into ouputs of type Simu"
10851      "link integer, single, double, or fixed point.<br><br>Hardware notes:  In hardware these blocks become top level out"
10852      "put ports or are discarded, depending on how they are configured."
10853      inherit_from_input      off
10854      hdl_port        on
10855      timing_constraint   "None"
10856      locs_specified      off
10857      LOCs            "{}"
10858      xl_use_area         off
10859      xl_area         "[0,0,0,0,0,0,0]"
10860      UseAsDAC        off
10861      DACChannel          "'1'"
10862      has_advanced_control    "0"
10863      sggui_pos       "-1,-1,-1,-1"
10864      block_type          "gatewayout"
10865      sg_icon_stat        "60,20,1,1,white,yellow,1,cc31b7ac,right,,[ ],[ ]"
10866      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 0 ],[0 0 20 20 0 ],[0.95 0.93 0.65"
10867      " ]);\nplot([0 60 60 0 0 ],[0 0 20 20 0 ]);\npatch([25.55 28.44 30.44 32.44 34.44 30.44 27.55 25.55 ],[12.22 12.22 1"
10868      "4.22 12.22 14.22 14.22 14.22 12.22 ],[1 1 1 ]);\npatch([27.55 30.44 28.44 25.55 27.55 ],[10.22 10.22 12.22 12.22 10"
10869      ".22 ],[0.985 0.979 0.895 ]);\npatch([25.55 28.44 30.44 27.55 25.55 ],[8.22 8.22 10.22 10.22 8.22 ],[1 1 1 ]);\npatc"
10870      "h([27.55 34.44 32.44 30.44 28.44 25.55 27.55 ],[6.22 6.22 8.22 6.22 8.22 8.22 6.22 ],[0.985 0.979 0.895 ]);\nfprint"
10871      "f('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1,'"
10872      " ');\ncolor('black');port_label('output',1,'\\fontsize{11pt}\\bf Out ','texmode','on');\nfprintf('','COMMENT: end i"
10873      "con text');"
10874    }
10875    Line {
10876      SrcBlock        "radio1_DAC_Q"
10877      SrcPort         1
10878      DstBlock        "Terminator2"
10879      DstPort         1
10880    }
10881    Line {
10882      SrcBlock        "radio1_DAC_I"
10883      SrcPort         1
10884      DstBlock        "Terminator1"
10885      DstPort         1
10886    }
10887    Line {
10888      SrcBlock        "Reinterpret1"
10889      SrcPort         1
10890      DstBlock        "Convert1"
10891      DstPort         1
10892    }
10893    Line {
10894      SrcBlock        "Reinterpret"
10895      SrcPort         1
10896      DstBlock        "Convert"
10897      DstPort         1
10898    }
10899    Line {
10900      SrcBlock        "16LSB"
10901      SrcPort         1
10902      DstBlock        "Reinterpret1"
10903      DstPort         1
10904    }
10905    Line {
10906      SrcBlock        "16MSB"
10907      SrcPort         1
10908      DstBlock        "Reinterpret"
10909      DstPort         1
10910    }
10911    Line {
10912      SrcBlock        "Register"
10913      SrcPort         1
10914      DstBlock        "radio1_DAC_I"
10915      DstPort         1
10916    }
10917    Line {
10918      SrcBlock        "Register1"
10919      SrcPort         1
10920      DstBlock        "radio1_DAC_Q"
10921      DstPort         1
10922    }
10923    Line {
10924      SrcBlock        "32b"
10925      SrcPort         1
10926      Points          [65, 0]
10927      Branch {
10928        Points          [0, -55]
10929        DstBlock            "16MSB"
10930        DstPort         1
10931      }
10932      Branch {
10933        DstBlock            "16LSB"
10934        DstPort         1
10935      }
10936    }
10937    Line {
10938      SrcBlock        "Convert"
10939      SrcPort         1
10940      DstBlock        "Register"
10941      DstPort         1
10942    }
10943    Line {
10944      SrcBlock        "Convert1"
10945      SrcPort         1
10946      DstBlock        "Register1"
10947      DstPort         1
10948    }
10949      }
10950    }
10951    Block {
10952      BlockType           SubSystem
10953      Name            "Radio 2\nRx Buffers"
10954      SID             "240"
10955      Ports           [5]
10956      Position            [1175, 184, 1240, 286]
10957      MinAlgLoopOccurrences   off
10958      PropExecContextOutsideSubsystem off
10959      RTWSystemCode       "Auto"
10960      FunctionWithSeparateData off
10961      Opaque              off
10962      RequestExecContextInheritance off
10963      MaskHideContents        off
10964      System {
10965    Name            "Radio 2\nRx Buffers"
10966    Location        [2, 74, 1270, 726]
10967    Open            off
10968    ModelBrowserVisibility  off
10969    ModelBrowserWidth   200
10970    ScreenColor     "white"
10971    PaperOrientation    "landscape"
10972    PaperPositionMode   "auto"
10973    PaperType       "usletter"
10974    PaperUnits      "inches"
10975    TiledPaperMargins   [0.500000, 0.500000, 0.500000, 0.500000]
10976    TiledPageScale      1
10977    ShowPageBoundaries  off
10978    ZoomFactor      "100"
10979    Block {
10980      BlockType       Inport
10981      Name            "RSSI"
10982      SID             "241"
10983      Position        [450, 313, 480, 327]
10984      IconDisplay         "Port number"
10985    }
10986    Block {
10987      BlockType       Inport
10988      Name            "I/Q"
10989      SID             "242"
10990      Position        [545, 163, 575, 177]
10991      Port            "2"
10992      IconDisplay         "Port number"
10993    }
10994    Block {
10995      BlockType       Inport
10996      Name            "Addr"
10997      SID             "243"
10998      Position        [325, 128, 355, 142]
10999      Port            "3"
11000      IconDisplay         "Port number"
11001    }
11002    Block {
11003      BlockType       Inport
11004      Name            "WE"
11005      SID             "244"
11006      Position        [110, 183, 140, 197]
11007      Port            "4"
11008      IconDisplay         "Port number"
11009    }
11010    Block {
11011      BlockType       Inport
11012      Name            "EN"
11013      SID             "245"
11014      Position        [110, 213, 140, 227]
11015      Port            "5"
11016      IconDisplay         "Port number"
11017    }
11018    Block {
11019      BlockType       Reference
11020      Name            "Convert1"
11021      SID             "246"
11022      Ports           [1, 1]
11023      Position        [185, 212, 210, 228]
11024      ShowName        off
11025      LibraryVersion      "1.2"
11026      SourceBlock         "xbsIndex_r4/Convert"
11027      SourceType          "Xilinx Type Converter Block"
11028      infoedit        "Hardware notes: rounding and saturating require hardware resources; truncating and wrapping do not."
11029      gui_display_data_type   "Fixed-point"
11030      arith_type          "Boolean"
11031      n_bits          "16"
11032      bin_pt          "14"
11033      float_type          "Single"
11034      exp_bits        "8"
11035      fraction_bits       "24"
11036      quantization        "Truncate"
11037      overflow        "Wrap"
11038      en              off
11039      latency         "0"
11040      dbl_ovrd        off
11041      pipeline        off
11042      xl_use_area         off
11043      xl_area         "[0,0,0,0,0,0,0]"
11044      has_advanced_control    "0"
11045      sggui_pos       "20,20,374,375"
11046      block_type          "convert"
11047      block_version       "8.2"
11048      sg_icon_stat        "25,16,1,1,white,blue,0,edca21da,right,,[ ],[ ]"
11049      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 25 25 0 0 ],[0 0 16 16 0 ],[0.77 0.82 0.91"
11050      " ]);\nplot([0 25 25 0 0 ],[0 0 16 16 0 ]);\npatch([7.55 10.44 12.44 14.44 16.44 12.44 9.55 7.55 ],[10.22 10.22 12.2"
11051      "2 10.22 12.22 12.22 12.22 10.22 ],[1 1 1 ]);\npatch([9.55 12.44 10.44 7.55 9.55 ],[8.22 8.22 10.22 10.22 8.22 ],[0."
11052      "931 0.946 0.973 ]);\npatch([7.55 10.44 12.44 9.55 7.55 ],[6.22 6.22 8.22 8.22 6.22 ],[1 1 1 ]);\npatch([9.55 16.44 "
11053      "14.44 12.44 10.44 7.55 9.55 ],[4.22 4.22 6.22 4.22 6.22 6.22 4.22 ],[0.931 0.946 0.973 ]);\nfprintf('','COMMENT: en"
11054      "d icon graphics');\nfprintf('','COMMENT: begin icon text');\n\ncolor('black');port_label('output',1,'cast');\nfprin"
11055      "tf('','COMMENT: end icon text');"
11056    }
11057    Block {
11058      BlockType       Reference
11059      Name            "Gateway Out"
11060      SID             "247"
11061      Ports           [1, 1]
11062      Position        [500, 30, 530, 40]
11063      ShowName        off
11064      LibraryVersion      "1.2"
11065      SourceBlock         "xbsIndex_r4/Gateway Out"
11066      SourceType          "Xilinx Gateway Out Block"
11067      infoedit        "Gateway out block.  Converts Xilinx fixed point inputs into ouputs of type Simulink integer, double, o"
11068      "r fixed point.<P><P>Hardware notes:  In hardware these blocks become top level output ports or are discarded, depen"
11069      "ding on how they are configured."
11070      inherit_from_input      off
11071      hdl_port        off
11072      timing_constraint   "None"
11073      locs_specified      off
11074      LOCs            "{}"
11075      xl_use_area         off
11076      xl_area         "[0,0,0,0,0,0,0]"
11077      UseAsDAC        off
11078      DACChannel          "'1'"
11079      has_advanced_control    "0"
11080      sggui_pos       "20,20,336,386"
11081      block_type          "gatewayout"
11082      block_version       "10.1.2"
11083      sg_icon_stat        "30,10,1,1,white,grey,1,632ec840,right,,[ ],[ ]"
11084      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 30 30 0 0 ],[0 0 10 10 0 ],[0.88 0.88 0.88"
11085      " ]);\nplot([0 30 30 0 0 ],[0 0 10 10 0 ]);\npatch([12.775 14.22 15.22 16.22 17.22 15.22 13.775 12.775 ],[6.11 6.11 "
11086      "7.11 6.11 7.11 7.11 7.11 6.11 ],[1 1 1 ]);\npatch([13.775 15.22 14.22 12.775 13.775 ],[5.11 5.11 6.11 6.11 5.11 ],["
11087      "0.964 0.964 0.964 ]);\npatch([12.775 14.22 15.22 13.775 12.775 ],[4.11 4.11 5.11 5.11 4.11 ],[1 1 1 ]);\npatch([13."
11088      "775 17.22 16.22 15.22 14.22 12.775 13.775 ],[3.11 3.11 4.11 3.11 4.11 4.11 3.11 ],[0.964 0.964 0.964 ]);\nfprintf('"
11089      "','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1,' ')"
11090      ";\ncolor('black');port_label('output',1,'\\fontsize{11pt} Out ','texmode','on');\nfprintf('','COMMENT: end icon tex"
11091      "t');"
11092    }
11093    Block {
11094      BlockType       Reference
11095      Name            "Gateway Out1"
11096      SID             "248"
11097      Ports           [1, 1]
11098      Position        [500, 70, 530, 80]
11099      ShowName        off
11100      LibraryVersion      "1.2"
11101      SourceBlock         "xbsIndex_r4/Gateway Out"
11102      SourceType          "Xilinx Gateway Out Block"
11103      infoedit        "Gateway out block.  Converts Xilinx fixed point inputs into ouputs of type Simulink integer, double, o"
11104      "r fixed point.<P><P>Hardware notes:  In hardware these blocks become top level output ports or are discarded, depen"
11105      "ding on how they are configured."
11106      inherit_from_input      off
11107      hdl_port        off
11108      timing_constraint   "None"
11109      locs_specified      off
11110      LOCs            "{}"
11111      xl_use_area         off
11112      xl_area         "[0,0,0,0,0,0,0]"
11113      UseAsDAC        off
11114      DACChannel          "'1'"
11115      has_advanced_control    "0"
11116      sggui_pos       "20,20,336,386"
11117      block_type          "gatewayout"
11118      block_version       "10.1.2"
11119      sg_icon_stat        "30,10,1,1,white,grey,1,632ec840,right,,[ ],[ ]"
11120      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 30 30 0 0 ],[0 0 10 10 0 ],[0.88 0.88 0.88"
11121      " ]);\nplot([0 30 30 0 0 ],[0 0 10 10 0 ]);\npatch([12.775 14.22 15.22 16.22 17.22 15.22 13.775 12.775 ],[6.11 6.11 "
11122      "7.11 6.11 7.11 7.11 7.11 6.11 ],[1 1 1 ]);\npatch([13.775 15.22 14.22 12.775 13.775 ],[5.11 5.11 6.11 6.11 5.11 ],["
11123      "0.964 0.964 0.964 ]);\npatch([12.775 14.22 15.22 13.775 12.775 ],[4.11 4.11 5.11 5.11 4.11 ],[1 1 1 ]);\npatch([13."
11124      "775 17.22 16.22 15.22 14.22 12.775 13.775 ],[3.11 3.11 4.11 3.11 4.11 4.11 3.11 ],[0.964 0.964 0.964 ]);\nfprintf('"
11125      "','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1,' ')"
11126      ";\ncolor('black');port_label('output',1,'\\fontsize{11pt} Out ','texmode','on');\nfprintf('','COMMENT: end icon tex"
11127      "t');"
11128    }
11129    Block {
11130      BlockType       Reference
11131      Name            "Logical"
11132      SID             "249"
11133      Ports           [2, 1]
11134      Position        [255, 175, 310, 235]
11135      LibraryVersion      "1.2"
11136      SourceBlock         "xbsIndex_r4/Logical"
11137      SourceType          "Xilinx Logical Block Block"
11138      logical_function    "AND"
11139      inputs          "2"
11140      en              off
11141      latency         "0"
11142      precision       "Full"
11143      arith_type          "Unsigned"
11144      n_bits          "16"
11145      bin_pt          "0"
11146      align_bp        on
11147      dbl_ovrd        off
11148      xl_use_area         off
11149      xl_area         "[0,0,0,0,0,0,0]"
11150      has_advanced_control    "0"
11151      sggui_pos       "-1,-1,-1,-1"
11152      block_type          "logical"
11153      block_version       "9.1.01"
11154      sg_icon_stat        "55,60,2,1,white,blue,0,83a4b621,right,,[ ],[ ]"
11155      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 0 ],[0 0 60 60 0 ],[0.77 0.82 0.91"
11156      " ]);\nplot([0 55 55 0 0 ],[0 0 60 60 0 ]);\npatch([11.425 21.54 28.54 35.54 42.54 28.54 18.425 11.425 ],[37.77 37.7"
11157      "7 44.77 37.77 44.77 44.77 44.77 37.77 ],[1 1 1 ]);\npatch([18.425 28.54 21.54 11.425 18.425 ],[30.77 30.77 37.77 37"
11158      ".77 30.77 ],[0.931 0.946 0.973 ]);\npatch([11.425 21.54 28.54 18.425 11.425 ],[23.77 23.77 30.77 30.77 23.77 ],[1 1"
11159      " 1 ]);\npatch([18.425 42.54 35.54 28.54 21.54 11.425 18.425 ],[16.77 16.77 23.77 16.77 23.77 23.77 16.77 ],[0.931 0"
11160      ".946 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\n\n\n\ncolor('bl"
11161      "ack');disp('and');\nfprintf('','COMMENT: end icon text');"
11162    }
11163    Block {
11164      BlockType       SubSystem
11165      Name            "Radio 2\nRSSI Buffer"
11166      SID             "250"
11167      Ports           [3]
11168      Position        [645, 264, 700, 376]
11169      MinAlgLoopOccurrences   off
11170      PropExecContextOutsideSubsystem off
11171      RTWSystemCode       "Auto"
11172      FunctionWithSeparateData off
11173      Opaque          off
11174      RequestExecContextInheritance off
11175      MaskHideContents    off
11176      System {
11177        Name            "Radio 2\nRSSI Buffer"
11178        Location            [6, 74, 1682, 1006]
11179        Open            off
11180        ModelBrowserVisibility  off
11181        ModelBrowserWidth       200
11182        ScreenColor         "white"
11183        PaperOrientation        "landscape"
11184        PaperPositionMode       "auto"
11185        PaperType           "usletter"
11186        PaperUnits          "inches"
11187        TiledPaperMargins       [0.500000, 0.500000, 0.500000, 0.500000]
11188        TiledPageScale      1
11189        ShowPageBoundaries      off
11190        ZoomFactor          "100"
11191        Block {
11192          BlockType           Inport
11193          Name            "Addr_14b"
11194          SID             "251"
11195          Position            [325, 348, 355, 362]
11196          NamePlacement       "alternate"
11197          IconDisplay         "Port number"
11198        }
11199        Block {
11200          BlockType           Inport
11201          Name            "RSSI"
11202          SID             "252"
11203          Position            [95, 383, 125, 397]
11204          Port            "2"
11205          IconDisplay         "Port number"
11206        }
11207        Block {
11208          BlockType           Inport
11209          Name            "WE"
11210          SID             "253"
11211          Position            [720, 478, 750, 492]
11212          Port            "3"
11213          IconDisplay         "Port number"
11214        }
11215        Block {
11216          BlockType           Reference
11217          Name            "11MSB"
11218          SID             "254"
11219          Ports           [1, 1]
11220          Position            [430, 346, 470, 364]
11221          NamePlacement       "alternate"
11222          LibraryVersion          "1.2"
11223          SourceBlock         "xbsIndex_r4/Slice"
11224          SourceType          "Xilinx Bit Slice Extractor Block"
11225          infoedit            "Extracts a given range of bits from each input sample and presents it at the output.  The outp"
11226          "ut type is ordinarily unsigned with binary point at zero, but can be Boolean when the slice is one bit wide.<P>"
11227          "<P>Hardware notes: In hardware this block costs nothing."
11228          nbits           "11"
11229          boolean_output          off
11230          mode            "Upper Bit Location + Width"
11231          bit1            "0"
11232          base1           "MSB of Input"
11233          bit0            "0"
11234          base0           "LSB of Input"
11235          dbl_ovrd            off
11236          has_advanced_control    "0"
11237          sggui_pos           "20,20,449,407"
11238          block_type          "slice"
11239          block_version       "8.2"
11240          sg_icon_stat        "40,18,1,1,white,blue,0,1fd851a7,right,,[ ],[ ]"
11241          sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npatch([0 40 40 0 0 ],[0 0 18 18 0 ],[0.77 0"
11242          ".82 0.91 ]);\nplot([0 40 40 0 0 ],[0 0 18 18 0 ]);\npatch([15.55 18.44 20.44 22.44 24.44 20.44 17.55 15.55 ],[1"
11243          "1.22 11.22 13.22 11.22 13.22 13.22 13.22 11.22 ],[1 1 1 ]);\npatch([17.55 20.44 18.44 15.55 17.55 ],[9.22 9.22 "
11244          "11.22 11.22 9.22 ],[0.931 0.946 0.973 ]);\npatch([15.55 18.44 20.44 17.55 15.55 ],[7.22 7.22 9.22 9.22 7.22 ],["
11245          "1 1 1 ]);\npatch([17.55 24.44 22.44 20.44 18.44 15.55 17.55 ],[5.22 5.22 7.22 5.22 7.22 7.22 5.22 ],[0.931 0.94"
11246          "6 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\n\ncolor('black"
11247          "');port_label('output',1,'[a:b]');\nfprintf('','COMMENT: end icon text');"
11248        }
11249        Block {
11250          BlockType           Reference
11251          Name            "BIT[2]"
11252          SID             "255"
11253          Ports           [1, 1]
11254          Position            [430, 401, 470, 419]
11255          LibraryVersion          "1.2"
11256          SourceBlock         "xbsIndex_r4/Slice"
11257          SourceType          "Xilinx Bit Slice Extractor Block"
11258          infoedit            "Extracts a given range of bits from each input sample and presents it at the output.  The outp"
11259          "ut type is ordinarily unsigned with binary point at zero, but can be Boolean when the slice is one bit wide.<P>"
11260          "<P>Hardware notes: In hardware this block costs nothing."
11261          nbits           "1"
11262          boolean_output          on
11263          mode            "Lower Bit Location + Width"
11264          bit1            "0"
11265          base1           "MSB of Input"
11266          bit0            "2"
11267          base0           "LSB of Input"
11268          dbl_ovrd            off
11269          has_advanced_control    "0"
11270          sggui_pos           "20,20,449,407"
11271          block_type          "slice"
11272          block_version       "8.2"
11273          sg_icon_stat        "40,18,1,1,white,blue,0,1fd851a7,right,,[ ],[ ]"
11274          sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npatch([0 40 40 0 0 ],[0 0 18 18 0 ],[0.77 0"
11275          ".82 0.91 ]);\nplot([0 40 40 0 0 ],[0 0 18 18 0 ]);\npatch([15.55 18.44 20.44 22.44 24.44 20.44 17.55 15.55 ],[1"
11276          "1.22 11.22 13.22 11.22 13.22 13.22 13.22 11.22 ],[1 1 1 ]);\npatch([17.55 20.44 18.44 15.55 17.55 ],[9.22 9.22 "
11277          "11.22 11.22 9.22 ],[0.931 0.946 0.973 ]);\npatch([15.55 18.44 20.44 17.55 15.55 ],[7.22 7.22 9.22 9.22 7.22 ],["
11278          "1 1 1 ]);\npatch([17.55 24.44 22.44 20.44 18.44 15.55 17.55 ],[5.22 5.22 7.22 5.22 7.22 7.22 5.22 ],[0.931 0.94"
11279          "6 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\n\ncolor('black"
11280          "');port_label('output',1,'[a:b]');\nfprintf('','COMMENT: end icon text');"
11281        }
11282        Block {
11283          BlockType           Reference
11284          Name            "Concat"
11285          SID             "256"
11286          Ports           [2, 1]
11287          Position            [685, 381, 725, 459]
11288          ShowName            off
11289          LibraryVersion          "1.2"
11290          SourceBlock         "xbsIndex_r4/Concat"
11291          SourceType          "Xilinx Bus Concatenator Block"
11292          infoedit            "Concatenates two or more inputs.  Output will be cast to an unsigned value with the binary poi"
11293          "nt at zero."
11294          num_inputs          "2"
11295          dbl_ovrd            off
11296          has_advanced_control    "0"
11297          sggui_pos           "-1,-1,-1,-1"
11298          block_type          "concat"
11299          block_version       "10.1.2"
11300          sg_icon_stat        "40,78,2,1,white,blue,0,16398980,right,,[ ],[ ]"
11301          sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npatch([0 40 40 0 0 ],[0 0 78 78 0 ],[0.77 0"
11302          ".82 0.91 ]);\nplot([0 40 40 0 0 ],[0 0 78 78 0 ]);\npatch([8.875 16.1 21.1 26.1 31.1 21.1 13.875 8.875 ],[44.55"
11303          " 44.55 49.55 44.55 49.55 49.55 49.55 44.55 ],[1 1 1 ]);\npatch([13.875 21.1 16.1 8.875 13.875 ],[39.55 39.55 44"
11304          ".55 44.55 39.55 ],[0.931 0.946 0.973 ]);\npatch([8.875 16.1 21.1 13.875 8.875 ],[34.55 34.55 39.55 39.55 34.55 "
11305          "],[1 1 1 ]);\npatch([13.875 31.1 26.1 21.1 16.1 8.875 13.875 ],[29.55 29.55 34.55 29.55 34.55 34.55 29.55 ],[0."
11306          "931 0.946 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor("
11307          "'black');port_label('input',1,'hi');\ncolor('black');port_label('input',2,'lo');\n\ncolor('black');disp('\\font"
11308          "size{20}\\}','texmode','on');\nfprintf('','COMMENT: end icon text');"
11309        }
11310        Block {
11311          BlockType           Reference
11312          Name            "Convert"
11313          SID             "257"
11314          Ports           [1, 1]
11315          Position            [285, 382, 320, 398]
11316          ShowName            off
11317          LibraryVersion          "1.2"
11318          SourceBlock         "xbsIndex_r4/Convert"
11319          SourceType          "Xilinx Type Converter Block"
11320          infoedit            "Hardware notes: rounding and saturating require hardware resources; truncating and wrapping do"
11321          " not."
11322          gui_display_data_type   "Fixed-point"
11323          arith_type          "Unsigned"
11324          n_bits              "16"
11325          bin_pt              "0"
11326          float_type          "Single"
11327          exp_bits            "8"
11328          fraction_bits       "24"
11329          quantization        "Truncate"
11330          overflow            "Wrap"
11331          en              off
11332          latency             "0"
11333          dbl_ovrd            off
11334          pipeline            off
11335          xl_use_area         off
11336          xl_area             "[0,0,0,0,0,0,0]"
11337          has_advanced_control    "0"
11338          sggui_pos           "20,20,461,375"
11339          block_type          "convert"
11340          block_version       "8.2"
11341          sg_icon_stat        "35,16,1,1,white,blue,0,edca21da,right,,[ ],[ ]"
11342          sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npatch([0 35 35 0 0 ],[0 0 16 16 0 ],[0.77 0"
11343          ".82 0.91 ]);\nplot([0 35 35 0 0 ],[0 0 16 16 0 ]);\npatch([12.55 15.44 17.44 19.44 21.44 17.44 14.55 12.55 ],[1"
11344          "0.22 10.22 12.22 10.22 12.22 12.22 12.22 10.22 ],[1 1 1 ]);\npatch([14.55 17.44 15.44 12.55 14.55 ],[8.22 8.22 "
11345          "10.22 10.22 8.22 ],[0.931 0.946 0.973 ]);\npatch([12.55 15.44 17.44 14.55 12.55 ],[6.22 6.22 8.22 8.22 6.22 ],["
11346          "1 1 1 ]);\npatch([14.55 21.44 19.44 17.44 15.44 12.55 14.55 ],[4.22 4.22 6.22 4.22 6.22 6.22 4.22 ],[0.931 0.94"
11347          "6 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\n\ncolor('black"
11348          "');port_label('output',1,'cast');\nfprintf('','COMMENT: end icon text');"
11349        }
11350        Block {
11351          BlockType           Reference
11352          Name            "Inverter"
11353          SID             "258"
11354          Ports           [1, 1]
11355          Position            [510, 402, 540, 418]
11356          ShowName            off
11357          LibraryVersion          "1.2"
11358          SourceBlock         "xbsIndex_r4/Inverter"
11359          SourceType          "Xilinx Inverter Block"
11360          infoedit            "Bitwise logical negation (one's complement) operator."
11361          en              off
11362          latency             "0"
11363          dbl_ovrd            off
11364          xl_use_area         off
11365          xl_area             "[0,0,0,0,0,0,0]"
11366          has_advanced_control    "0"
11367          sggui_pos           "20,20,348,251"
11368          block_type          "inv"
11369          block_version       "10.1.2"
11370          sg_icon_stat        "30,16,1,1,white,blue,0,267846e5,right,,[ ],[ ]"
11371          sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npatch([0 30 30 0 0 ],[0 0 16 16 0 ],[0.77 0"
11372          ".82 0.91 ]);\nplot([0 30 30 0 0 ],[0 0 16 16 0 ]);\npatch([10.55 13.44 15.44 17.44 19.44 15.44 12.55 10.55 ],[1"
11373          "0.22 10.22 12.22 10.22 12.22 12.22 12.22 10.22 ],[1 1 1 ]);\npatch([12.55 15.44 13.44 10.55 12.55 ],[8.22 8.22 "
11374          "10.22 10.22 8.22 ],[0.931 0.946 0.973 ]);\npatch([10.55 13.44 15.44 12.55 10.55 ],[6.22 6.22 8.22 8.22 6.22 ],["
11375          "1 1 1 ]);\npatch([12.55 19.44 17.44 15.44 13.44 10.55 12.55 ],[4.22 4.22 6.22 4.22 6.22 6.22 4.22 ],[0.931 0.94"
11376          "6 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\n\n\ncolor('bla"
11377          "ck');disp('not');\nfprintf('','COMMENT: end icon text');"
11378        }
11379        Block {
11380          BlockType           Reference
11381          Name            "Register"
11382          SID             "259"
11383          Ports           [2, 1]
11384          Position            [580, 378, 625, 422]
11385          ShowName            off
11386          LibraryVersion          "1.2"
11387          SourceBlock         "xbsIndex_r4/Register"
11388          SourceType          "Xilinx Register Block"
11389          init            "0"
11390          rst             off
11391          en              on
11392          dbl_ovrd            off
11393          xl_use_area         off
11394          xl_area             "[0,0,0,0,0,0,0]"
11395          has_advanced_control    "0"
11396          sggui_pos           "20,20,348,193"
11397          block_type          "register"
11398          block_version       "10.1.2"
11399          sg_icon_stat        "45,44,2,1,white,blue,0,6bd0930c,right,,[ ],[ ]"
11400          sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npatch([0 45 45 0 0 ],[0 0 44 44 0 ],[0.77 0"
11401          ".82 0.91 ]);\nplot([0 45 45 0 0 ],[0 0 44 44 0 ]);\npatch([8.65 17.32 23.32 29.32 35.32 23.32 14.65 8.65 ],[28."
11402          "66 28.66 34.66 28.66 34.66 34.66 34.66 28.66 ],[1 1 1 ]);\npatch([14.65 23.32 17.32 8.65 14.65 ],[22.66 22.66 2"
11403          "8.66 28.66 22.66 ],[0.931 0.946 0.973 ]);\npatch([8.65 17.32 23.32 14.65 8.65 ],[16.66 16.66 22.66 22.66 16.66 "
11404          "],[1 1 1 ]);\npatch([14.65 35.32 29.32 23.32 17.32 8.65 14.65 ],[10.66 10.66 16.66 10.66 16.66 16.66 10.66 ],[0"
11405          ".931 0.946 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor"
11406          "('black');port_label('input',1,'d');\ncolor('black');port_label('input',2,'en');\ncolor('black');port_label('ou"
11407          "tput',1,'q');\ncolor('black');disp('z^{-1}','texmode','on');\nfprintf('','COMMENT: end icon text');"
11408        }
11409        Block {
11410          BlockType           Reference
11411          Name            "Reinterpret1"
11412          SID             "260"
11413          Ports           [1, 1]
11414          Position            [180, 382, 215, 398]
11415          ShowName            off
11416          LibraryVersion          "1.2"
11417          SourceBlock         "xbsIndex_r4/Reinterpret"
11418          SourceType          "Xilinx Type Reinterpreter Block"
11419          infoedit            "Changes signal type without altering the binary representation.   You can changed the signal b"
11420          "etween signed and unsigned, and relocate the binary point.<P><P>Hardware notes: In hardware this block costs no"
11421          "thing.<P><P>Example:  Suppose the input is 6 bits wide, signed, with 2 fractional bits, and the output is force"
11422          "d to unsigned with 0 fractional bits.  Then an input of -2.0 (1110.00 in binary 2's complement) becomes an outp"
11423          "ut of 56 (111000 in binary)."
11424          force_arith_type        on
11425          arith_type          "Unsigned"
11426          force_bin_pt        on
11427          bin_pt              "0"
11428          has_advanced_control    "0"
11429          sggui_pos           "436,54,356,312"
11430          block_type          "reinterpret"
11431          block_version       "9.1.01"
11432          sg_icon_stat        "35,16,1,1,white,blue,0,6b04d0b0,right,,[ ],[ ]"
11433          sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npatch([0 35 35 0 0 ],[0 0 16 16 0 ],[0.77 0"
11434          ".82 0.91 ]);\nplot([0 35 35 0 0 ],[0 0 16 16 0 ]);\npatch([12.55 15.44 17.44 19.44 21.44 17.44 14.55 12.55 ],[1"
11435          "0.22 10.22 12.22 10.22 12.22 12.22 12.22 10.22 ],[1 1 1 ]);\npatch([14.55 17.44 15.44 12.55 14.55 ],[8.22 8.22 "
11436          "10.22 10.22 8.22 ],[0.931 0.946 0.973 ]);\npatch([12.55 15.44 17.44 14.55 12.55 ],[6.22 6.22 8.22 8.22 6.22 ],["
11437          "1 1 1 ]);\npatch([14.55 21.44 19.44 17.44 15.44 12.55 14.55 ],[4.22 4.22 6.22 4.22 6.22 6.22 4.22 ],[0.931 0.94"
11438          "6 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\n\n\ncolor('bla"
11439          "ck');disp('reinterpret');\nfprintf('','COMMENT: end icon text');"
11440        }
11441        Block {
11442          BlockType           Reference
11443          Name            "Shared Memory"
11444          SID             "261"
11445          Ports           [3, 1]
11446          Position            [800, 323, 880, 517]
11447          AttributesFormatString  "<< %<shared_memory_name> >>"
11448          LibraryVersion          "1.2"
11449          SourceBlock         "xbsIndex_r4/Shared Memory"
11450          SourceType          "Xilinx Shared Memory Random Access Memory Block"
11451          shared_memory_name      "'RSSIBuff_Radio2'"
11452          depth           "2^11"
11453          ownership           "Locally Owned and Initialized"
11454          initVector          "0"
11455          en              off
11456          mutex           "Unprotected"
11457          mode            "Read and Write"
11458          write_mode          "Read After Write"
11459          time_out            "0"
11460          latency             "1"
11461          explicit_data_type      on
11462          gui_display_data_type   "Fixed-point"
11463          arith_type          "Unsigned"
11464          n_bits              "32"
11465          bin_pt              "0"
11466          preci_type          "Single"
11467          xl_use_area         off
11468          xl_area             "[0,0,0,0,0,0,0]"
11469          implementation          "Block RAM"
11470          use_rpm             "off"
11471          has_advanced_control    "0"
11472          sggui_pos           "20,20,384,381"
11473          block_type          "shmem"
11474          block_version       "10.1.2"
11475          sg_icon_stat        "80,194,3,1,white,blue,0,bf435243,right,,[ ],[ ]"
11476          sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npatch([0 80 80 0 0 ],[0 0 194 194 0 ],[0.77"
11477          " 0.82 0.91 ]);\nplot([0 80 80 0 0 ],[0 0 194 194 0 ]);\npatch([15.525 31.42 42.42 53.42 64.42 42.42 26.525 15.5"
11478          "25 ],[109.21 109.21 120.21 109.21 120.21 120.21 120.21 109.21 ],[1 1 1 ]);\npatch([26.525 42.42 31.42 15.525 26"
11479          ".525 ],[98.21 98.21 109.21 109.21 98.21 ],[0.931 0.946 0.973 ]);\npatch([15.525 31.42 42.42 26.525 15.525 ],[87"
11480          ".21 87.21 98.21 98.21 87.21 ],[1 1 1 ]);\npatch([26.525 64.42 53.42 42.42 31.42 15.525 26.525 ],[76.21 76.21 87"
11481          ".21 76.21 87.21 87.21 76.21 ],[0.931 0.946 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','CO"
11482          "MMENT: begin icon text');\ncolor('black');port_label('input',1,'addr');\ncolor('black');port_label('input',2,'d"
11483          "in');\ncolor('black');port_label('input',3,'we');\ncolor('black');port_label('output',1,'dout');\nfprintf('','C"
11484          "OMMENT: end icon text');"
11485        }
11486        Block {
11487          BlockType           Terminator
11488          Name            "Terminator2"
11489          SID             "262"
11490          Position            [945, 410, 965, 430]
11491          ShowName            off
11492        }
11493        Line {
11494          SrcBlock            "RSSI"
11495          SrcPort             1
11496          DstBlock            "Reinterpret1"
11497          DstPort             1
11498        }
11499        Line {
11500          SrcBlock            "Convert"
11501          SrcPort             1
11502          Points              [35, 0]
11503          Branch {
11504        DstBlock        "Register"
11505        DstPort         1
11506          }
11507          Branch {
11508        Points          [0, 50]
11509        DstBlock        "Concat"
11510        DstPort         2
11511          }
11512        }
11513        Line {
11514          SrcBlock            "Addr_14b"
11515          SrcPort             1
11516          Points              [40, 0]
11517          Branch {
11518        DstBlock        "11MSB"
11519        DstPort         1
11520          }
11521          Branch {
11522        Points          [0, 55]
11523        DstBlock        "BIT[2]"
11524        DstPort         1
11525          }
11526        }
11527        Line {
11528          SrcBlock            "WE"
11529          SrcPort             1
11530          DstBlock            "Shared Memory"
11531          DstPort             3
11532        }
11533        Line {
11534          SrcBlock            "Reinterpret1"
11535          SrcPort             1
11536          DstBlock            "Convert"
11537          DstPort             1
11538        }
11539        Line {
11540          SrcBlock            "Concat"
11541          SrcPort             1
11542          DstBlock            "Shared Memory"
11543          DstPort             2
11544        }
11545        Line {
11546          SrcBlock            "BIT[2]"
11547          SrcPort             1
11548          DstBlock            "Inverter"
11549          DstPort             1
11550        }
11551        Line {
11552          SrcBlock            "11MSB"
11553          SrcPort             1
11554          DstBlock            "Shared Memory"
11555          DstPort             1
11556        }
11557        Line {
11558          SrcBlock            "Shared Memory"
11559          SrcPort             1
11560          DstBlock            "Terminator2"
11561          DstPort             1
11562        }
11563        Line {
11564          SrcBlock            "Register"
11565          SrcPort             1
11566          DstBlock            "Concat"
11567          DstPort             1
11568        }
11569        Line {
11570          SrcBlock            "Inverter"
11571          SrcPort             1
11572          DstBlock            "Register"
11573          DstPort             2
11574        }
11575      }
11576    }
11577    Block {
11578      BlockType       SubSystem
11579      Name            "Radio 2 I/Q\nBuffer"
11580      SID             "263"
11581      Ports           [3]
11582      Position        [645, 118, 700, 222]
11583      MinAlgLoopOccurrences   off
11584      PropExecContextOutsideSubsystem off
11585      RTWSystemCode       "Auto"
11586      FunctionWithSeparateData off
11587      Opaque          off
11588      RequestExecContextInheritance off
11589      MaskHideContents    off
11590      System {
11591        Name            "Radio 2 I/Q\nBuffer"
11592        Location            [2, 74, 1270, 726]
11593        Open            off
11594        ModelBrowserVisibility  off
11595        ModelBrowserWidth       200
11596        ScreenColor         "white"
11597        PaperOrientation        "landscape"
11598        PaperPositionMode       "auto"
11599        PaperType           "usletter"
11600        PaperUnits          "inches"
11601        TiledPaperMargins       [0.500000, 0.500000, 0.500000, 0.500000]
11602        TiledPageScale      1
11603        ShowPageBoundaries      off
11604        ZoomFactor          "100"
11605        Block {
11606          BlockType           Inport
11607          Name            "Addr_14b"
11608          SID             "264"
11609          Position            [205, 68, 235, 82]
11610          IconDisplay         "Port number"
11611        }
11612        Block {
11613          BlockType           Inport
11614          Name            "I/Q_32b"
11615          SID             "265"
11616          Position            [205, 98, 235, 112]
11617          Port            "2"
11618          IconDisplay         "Port number"
11619        }
11620        Block {
11621          BlockType           Inport
11622          Name            "WE"
11623          SID             "266"
11624          Position            [205, 128, 235, 142]
11625          Port            "3"
11626          IconDisplay         "Port number"
11627        }
11628        Block {
11629          BlockType           Reference
11630          Name            "Shared Memory"
11631          SID             "267"
11632          Ports           [3, 1]
11633          Position            [315, 60, 395, 150]
11634          AttributesFormatString  "<< %<shared_memory_name> >>"
11635          LibraryVersion          "1.2"
11636          SourceBlock         "xbsIndex_r4/Shared Memory"
11637          SourceType          "Xilinx Shared Memory Random Access Memory Block"
11638          shared_memory_name      "'RxBuff_Radio2'"
11639          depth           "2^14"
11640          ownership           "Locally Owned and Initialized"
11641          initVector          "0"
11642          en              off
11643          mutex           "Unprotected"
11644          mode            "Read and Write"
11645          write_mode          "Read After Write"
11646          time_out            "0"
11647          latency             "1"
11648          explicit_data_type      on
11649          gui_display_data_type   "Fixed-point"
11650          arith_type          "Unsigned"
11651          n_bits              "32"
11652          bin_pt              "0"
11653          preci_type          "Single"
11654          xl_use_area         off
11655          xl_area             "[0,0,0,0,0,0,0]"
11656          implementation          "Block RAM"
11657          use_rpm             "off"
11658          has_advanced_control    "0"
11659          sggui_pos           "20,20,384,381"
11660          block_type          "shmem"
11661          block_version       "10.1.2"
11662          sg_icon_stat        "80,90,3,1,white,blue,0,bf435243,right,,[ ],[ ]"
11663          sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npatch([0 80 80 0 0 ],[0 0 90 90 0 ],[0.77 0"
11664          ".82 0.91 ]);\nplot([0 80 80 0 0 ],[0 0 90 90 0 ]);\npatch([15.525 31.42 42.42 53.42 64.42 42.42 26.525 15.525 ]"
11665          ",[57.21 57.21 68.21 57.21 68.21 68.21 68.21 57.21 ],[1 1 1 ]);\npatch([26.525 42.42 31.42 15.525 26.525 ],[46.2"
11666          "1 46.21 57.21 57.21 46.21 ],[0.931 0.946 0.973 ]);\npatch([15.525 31.42 42.42 26.525 15.525 ],[35.21 35.21 46.2"
11667          "1 46.21 35.21 ],[1 1 1 ]);\npatch([26.525 64.42 53.42 42.42 31.42 15.525 26.525 ],[24.21 24.21 35.21 24.21 35.2"
11668          "1 35.21 24.21 ],[0.931 0.946 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin i"
11669          "con text');\ncolor('black');port_label('input',1,'addr');\ncolor('black');port_label('input',2,'din');\ncolor('"
11670          "black');port_label('input',3,'we');\ncolor('black');port_label('output',1,'dout');\nfprintf('','COMMENT: end ic"
11671          "on text');"
11672        }
11673        Block {
11674          BlockType           Terminator
11675          Name            "Terminator"
11676          SID             "268"
11677          Position            [445, 95, 465, 115]
11678          ShowName            off
11679        }
11680        Line {
11681          SrcBlock            "Addr_14b"
11682          SrcPort             1
11683          DstBlock            "Shared Memory"
11684          DstPort             1
11685        }
11686        Line {
11687          SrcBlock            "I/Q_32b"
11688          SrcPort             1
11689          DstBlock            "Shared Memory"
11690          DstPort             2
11691        }
11692        Line {
11693          SrcBlock            "WE"
11694          SrcPort             1
11695          DstBlock            "Shared Memory"
11696          DstPort             3
11697        }
11698        Line {
11699          SrcBlock            "Shared Memory"
11700          SrcPort             1
11701          DstBlock            "Terminator"
11702          DstPort             1
11703        }
11704      }
11705    }
11706    Block {
11707      BlockType       Scope
11708      Name            "Scope"
11709      SID             "269"
11710      Ports           [2]
11711      Position        [585, 14, 625, 96]
11712      Floating        off
11713      Location        [5, 49, 1285, 757]
11714      Open            off
11715      NumInputPorts       "2"
11716      ZoomMode        "yonly"
11717      List {
11718        ListType            AxesTitles
11719        axes1           "%<SignalLabel>"
11720        axes2           "%<SignalLabel>"
11721      }
11722      YMin            "-5~-5"
11723      YMax            "5~5"
11724      SaveName        "ScopeData2"
11725      DataFormat          "StructureWithTime"
11726      MaxDataPoints       "40000"
11727      SampleTime          "0"
11728    }
11729    Line {
11730      SrcBlock        "Logical"
11731      SrcPort         1
11732      Points          [45, 0]
11733      Branch {
11734        Points          [105, 0]
11735        Branch {
11736          Points              [0, -130]
11737          DstBlock            "Gateway Out1"
11738          DstPort             1
11739        }
11740        Branch {
11741          Labels              [0, 0]
11742          DstBlock            "Radio 2 I/Q\nBuffer"
11743          DstPort             3
11744        }
11745      }
11746      Branch {
11747        Points          [0, 150]
11748        DstBlock            "Radio 2\nRSSI Buffer"
11749        DstPort         3
11750      }
11751    }
11752    Line {
11753      SrcBlock        "Addr"
11754      SrcPort         1
11755      Points          [75, 0]
11756      Branch {
11757        Points          [0, -100]
11758        DstBlock            "Gateway Out"
11759        DstPort         1
11760      }
11761      Branch {
11762        Labels          [0, 0]
11763        DstBlock            "Radio 2 I/Q\nBuffer"
11764        DstPort         1
11765      }
11766      Branch {
11767        Points          [0, 150]
11768        DstBlock            "Radio 2\nRSSI Buffer"
11769        DstPort         1
11770      }
11771    }
11772    Line {
11773      SrcBlock        "WE"
11774      SrcPort         1
11775      DstBlock        "Logical"
11776      DstPort         1
11777    }
11778    Line {
11779      SrcBlock        "EN"
11780      SrcPort         1
11781      DstBlock        "Convert1"
11782      DstPort         1
11783    }
11784    Line {
11785      SrcBlock        "Convert1"
11786      SrcPort         1
11787      DstBlock        "Logical"
11788      DstPort         2
11789    }
11790    Line {
11791      SrcBlock        "I/Q"
11792      SrcPort         1
11793      DstBlock        "Radio 2 I/Q\nBuffer"
11794      DstPort         2
11795    }
11796    Line {
11797      SrcBlock        "Gateway Out"
11798      SrcPort         1
11799      DstBlock        "Scope"
11800      DstPort         1
11801    }
11802    Line {
11803      SrcBlock        "Gateway Out1"
11804      SrcPort         1
11805      DstBlock        "Scope"
11806      DstPort         2
11807    }
11808    Line {
11809      SrcBlock        "RSSI"
11810      SrcPort         1
11811      DstBlock        "Radio 2\nRSSI Buffer"
11812      DstPort         2
11813    }
11814      }
11815    }
11816    Block {
11817      BlockType           SubSystem
11818      Name            "Radio 2\nTx Buffer"
11819      SID             "270"
11820      Ports           [3, 1]
11821      Position            [1015, 756, 1095, 814]
11822      MinAlgLoopOccurrences   off
11823      PropExecContextOutsideSubsystem off
11824      RTWSystemCode       "Auto"
11825      FunctionWithSeparateData off
11826      Opaque              off
11827      RequestExecContextInheritance off
11828      MaskHideContents        off
11829      System {
11830    Name            "Radio 2\nTx Buffer"
11831    Location        [2, 74, 827, 638]
11832    Open            off
11833    ModelBrowserVisibility  off
11834    ModelBrowserWidth   200
11835    ScreenColor     "white"
11836    PaperOrientation    "landscape"
11837    PaperPositionMode   "auto"
11838    PaperType       "usletter"
11839    PaperUnits      "inches"
11840    TiledPaperMargins   [0.500000, 0.500000, 0.500000, 0.500000]
11841    TiledPageScale      1
11842    ShowPageBoundaries  off
11843    ZoomFactor      "100"
11844    Block {
11845      BlockType       Inport
11846      Name            "RdAddr_14b"
11847      SID             "271"
11848      Position        [355, 288, 385, 302]
11849      NamePlacement       "alternate"
11850      IconDisplay         "Port number"
11851    }
11852    Block {
11853      BlockType       Inport
11854      Name            "Rst"
11855      SID             "272"
11856      Position        [30, 173, 60, 187]
11857      Port            "2"
11858      IconDisplay         "Port number"
11859    }
11860    Block {
11861      BlockType       Inport
11862      Name            "En"
11863      SID             "273"
11864      Position        [30, 203, 60, 217]
11865      Port            "3"
11866      IconDisplay         "Port number"
11867    }
11868    Block {
11869      BlockType       Reference
11870      Name            "Constant"
11871      SID             "274"
11872      Ports           [0, 1]
11873      Position        [630, 350, 655, 370]
11874      ShowName        off
11875      LibraryVersion      "1.2"
11876      SourceBlock         "xbsIndex_r4/Constant"
11877      SourceType          "Xilinx Constant Block Block"
11878      const           "0"
11879      gui_display_data_type   "Fixed-point"
11880      arith_type          "Unsigned"
11881      n_bits          "32"
11882      bin_pt          "0"
11883      preci_type          "Single"
11884      exp_width       "8"
11885      frac_width          "24"
11886      explicit_period     off
11887      period          "1"
11888      dsp48_infoedit      "The use of this block for DSP48 instructions is deprecated.  Please use the Opmode block."
11889      equ             "P=C"
11890      opselect        "C"
11891      inp2            "PCIN>>17"
11892      opr             "+"
11893      inp1            "P"
11894      carry           "CIN"
11895      dbl_ovrd        off
11896      has_advanced_control    "0"
11897      sggui_pos       "20,20,400,346"
11898      block_type          "constant"
11899      block_version       "10.1.2"
11900      sg_icon_stat        "25,20,0,1,white,blue,0,bf4ddd8b,right,,[ ],[ ]"
11901      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 25 25 0 0 ],[0 0 20 20 0 ],[0.77 0.82 0.91"
11902      " ]);\nplot([0 25 25 0 0 ],[0 0 20 20 0 ]);\npatch([7.55 10.44 12.44 14.44 16.44 12.44 9.55 7.55 ],[12.22 12.22 14.2"
11903      "2 12.22 14.22 14.22 14.22 12.22 ],[1 1 1 ]);\npatch([9.55 12.44 10.44 7.55 9.55 ],[10.22 10.22 12.22 12.22 10.22 ],"
11904      "[0.931 0.946 0.973 ]);\npatch([7.55 10.44 12.44 9.55 7.55 ],[8.22 8.22 10.22 10.22 8.22 ],[1 1 1 ]);\npatch([9.55 1"
11905      "6.44 14.44 12.44 10.44 7.55 9.55 ],[6.22 6.22 8.22 6.22 8.22 8.22 6.22 ],[0.931 0.946 0.973 ]);\nfprintf('','COMMEN"
11906      "T: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('output',1,'0');\nfprin"
11907      "tf('','COMMENT: end icon text');"
11908    }
11909    Block {
11910      BlockType       Reference
11911      Name            "Constant1"
11912      SID             "275"
11913      Ports           [0, 1]
11914      Position        [355, 345, 380, 365]
11915      ShowName        off
11916      LibraryVersion      "1.2"
11917      SourceBlock         "xbsIndex_r4/Constant"
11918      SourceType          "Xilinx Constant Block Block"
11919      const           "0"
11920      gui_display_data_type   "Fixed-point"
11921      arith_type          "Boolean"
11922      n_bits          "32"
11923      bin_pt          "0"
11924      preci_type          "Single"
11925      exp_width       "8"
11926      frac_width          "24"
11927      explicit_period     off
11928      period          "1"
11929      dsp48_infoedit      "The use of this block for DSP48 instructions is deprecated.  Please use the Opmode block."
11930      equ             "P=C"
11931      opselect        "C"
11932      inp2            "PCIN>>17"
11933      opr             "+"
11934      inp1            "P"
11935      carry           "CIN"
11936      dbl_ovrd        off
11937      has_advanced_control    "0"
11938      sggui_pos       "20,20,400,346"
11939      block_type          "constant"
11940      block_version       "10.1.2"
11941      sg_icon_stat        "25,20,0,1,white,blue,0,bf4ddd8b,right,,[ ],[ ]"
11942      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 25 25 0 0 ],[0 0 20 20 0 ],[0.77 0.82 0.91"
11943      " ]);\nplot([0 25 25 0 0 ],[0 0 20 20 0 ]);\npatch([7.55 10.44 12.44 14.44 16.44 12.44 9.55 7.55 ],[12.22 12.22 14.2"
11944      "2 12.22 14.22 14.22 14.22 12.22 ],[1 1 1 ]);\npatch([9.55 12.44 10.44 7.55 9.55 ],[10.22 10.22 12.22 12.22 10.22 ],"
11945      "[0.931 0.946 0.973 ]);\npatch([7.55 10.44 12.44 9.55 7.55 ],[8.22 8.22 10.22 10.22 8.22 ],[1 1 1 ]);\npatch([9.55 1"
11946      "6.44 14.44 12.44 10.44 7.55 9.55 ],[6.22 6.22 8.22 6.22 8.22 8.22 6.22 ],[0.931 0.946 0.973 ]);\nfprintf('','COMMEN"
11947      "T: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('output',1,'0');\nfprin"
11948      "tf('','COMMENT: end icon text');"
11949    }
11950    Block {
11951      BlockType       Reference
11952      Name            "Constant2"
11953      SID             "276"
11954      Ports           [0, 1]
11955      Position        [355, 315, 380, 335]
11956      ShowName        off
11957      LibraryVersion      "1.2"
11958      SourceBlock         "xbsIndex_r4/Constant"
11959      SourceType          "Xilinx Constant Block Block"
11960      const           "0"
11961      gui_display_data_type   "Fixed-point"
11962      arith_type          "Unsigned"
11963      n_bits          "32"
11964      bin_pt          "0"
11965      preci_type          "Single"
11966      exp_width       "8"
11967      frac_width          "24"
11968      explicit_period     off
11969      period          "1"
11970      dsp48_infoedit      "The use of this block for DSP48 instructions is deprecated.  Please use the Opmode block."
11971      equ             "P=C"
11972      opselect        "C"
11973      inp2            "PCIN>>17"
11974      opr             "+"
11975      inp1            "P"
11976      carry           "CIN"
11977      dbl_ovrd        off
11978      has_advanced_control    "0"
11979      sggui_pos       "20,20,400,346"
11980      block_type          "constant"
11981      block_version       "10.1.2"
11982      sg_icon_stat        "25,20,0,1,white,blue,0,bf4ddd8b,right,,[ ],[ ]"
11983      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 25 25 0 0 ],[0 0 20 20 0 ],[0.77 0.82 0.91"
11984      " ]);\nplot([0 25 25 0 0 ],[0 0 20 20 0 ]);\npatch([7.55 10.44 12.44 14.44 16.44 12.44 9.55 7.55 ],[12.22 12.22 14.2"
11985      "2 12.22 14.22 14.22 14.22 12.22 ],[1 1 1 ]);\npatch([9.55 12.44 10.44 7.55 9.55 ],[10.22 10.22 12.22 12.22 10.22 ],"
11986      "[0.931 0.946 0.973 ]);\npatch([7.55 10.44 12.44 9.55 7.55 ],[8.22 8.22 10.22 10.22 8.22 ],[1 1 1 ]);\npatch([9.55 1"
11987      "6.44 14.44 12.44 10.44 7.55 9.55 ],[6.22 6.22 8.22 6.22 8.22 8.22 6.22 ],[0.931 0.946 0.973 ]);\nfprintf('','COMMEN"
11988      "T: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('output',1,'0');\nfprin"
11989      "tf('','COMMENT: end icon text');"
11990    }
11991    Block {
11992      BlockType       Reference
11993      Name            "Convert"
11994      SID             "277"
11995      Ports           [1, 1]
11996      Position        [350, 188, 375, 202]
11997      ShowName        off
11998      LibraryVersion      "1.2"
11999      SourceBlock         "xbsIndex_r4/Convert"
12000      SourceType          "Xilinx Type Converter Block"
12001      infoedit        "Hardware notes: rounding and saturating require hardware resources; truncating and wrapping do not."
12002      gui_display_data_type   "Fixed-point"
12003      arith_type          "Boolean"
12004      n_bits          "16"
12005      bin_pt          "14"
12006      float_type          "Single"
12007      exp_bits        "8"
12008      fraction_bits       "24"
12009      quantization        "Truncate"
12010      overflow        "Wrap"
12011      en              off
12012      latency         "0"
12013      dbl_ovrd        off
12014      pipeline        off
12015      xl_use_area         off
12016      xl_area         "[0,0,0,0,0,0,0]"
12017      has_advanced_control    "0"
12018      sggui_pos       "20,20,374,375"
12019      block_type          "convert"
12020      block_version       "8.2"
12021      sg_icon_stat        "25,14,1,1,white,blue,0,edca21da,right,,[ ],[ ]"
12022      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 25 25 0 0 ],[0 0 14 14 0 ],[0.77 0.82 0.91"
12023      " ]);\nplot([0 25 25 0 0 ],[0 0 14 14 0 ]);\npatch([7.55 10.44 12.44 14.44 16.44 12.44 9.55 7.55 ],[9.22 9.22 11.22 "
12024      "9.22 11.22 11.22 11.22 9.22 ],[1 1 1 ]);\npatch([9.55 12.44 10.44 7.55 9.55 ],[7.22 7.22 9.22 9.22 7.22 ],[0.931 0."
12025      "946 0.973 ]);\npatch([7.55 10.44 12.44 9.55 7.55 ],[5.22 5.22 7.22 7.22 5.22 ],[1 1 1 ]);\npatch([9.55 16.44 14.44 "
12026      "12.44 10.44 7.55 9.55 ],[3.22 3.22 5.22 3.22 5.22 5.22 3.22 ],[0.931 0.946 0.973 ]);\nfprintf('','COMMENT: end icon"
12027      " graphics');\nfprintf('','COMMENT: begin icon text');\n\ncolor('black');port_label('output',1,'cast');\nfprintf('',"
12028      "'COMMENT: end icon text');"
12029    }
12030    Block {
12031      BlockType       Reference
12032      Name            "Convert1"
12033      SID             "278"
12034      Ports           [1, 1]
12035      Position        [100, 203, 120, 217]
12036      ShowName        off
12037      LibraryVersion      "1.2"
12038      SourceBlock         "xbsIndex_r4/Convert"
12039      SourceType          "Xilinx Type Converter Block"
12040      infoedit        "Hardware notes: rounding and saturating require hardware resources; truncating and wrapping do not."
12041      gui_display_data_type   "Fixed-point"
12042      arith_type          "Boolean"
12043      n_bits          "16"
12044      bin_pt          "14"
12045      float_type          "Single"
12046      exp_bits        "8"
12047      fraction_bits       "24"
12048      quantization        "Truncate"
12049      overflow        "Wrap"
12050      en              off
12051      latency         "0"
12052      dbl_ovrd        off
12053      pipeline        off
12054      xl_use_area         off
12055      xl_area         "[0,0,0,0,0,0,0]"
12056      has_advanced_control    "0"
12057      sggui_pos       "20,20,374,375"
12058      block_type          "convert"
12059      block_version       "8.2"
12060      sg_icon_stat        "20,14,1,1,white,blue,0,edca21da,right,,[ ],[ ]"
12061      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 20 20 0 0 ],[0 0 14 14 0 ],[0.77 0.82 0.91"
12062      " ]);\nplot([0 20 20 0 0 ],[0 0 14 14 0 ]);\npatch([5.55 8.44 10.44 12.44 14.44 10.44 7.55 5.55 ],[9.22 9.22 11.22 9"
12063      ".22 11.22 11.22 11.22 9.22 ],[1 1 1 ]);\npatch([7.55 10.44 8.44 5.55 7.55 ],[7.22 7.22 9.22 9.22 7.22 ],[0.931 0.94"
12064      "6 0.973 ]);\npatch([5.55 8.44 10.44 7.55 5.55 ],[5.22 5.22 7.22 7.22 5.22 ],[1 1 1 ]);\npatch([7.55 14.44 12.44 10."
12065      "44 8.44 5.55 7.55 ],[3.22 3.22 5.22 3.22 5.22 5.22 3.22 ],[0.931 0.946 0.973 ]);\nfprintf('','COMMENT: end icon gra"
12066      "phics');\nfprintf('','COMMENT: begin icon text');\n\ncolor('black');port_label('output',1,'cast');\nfprintf('','COM"
12067      "MENT: end icon text');"
12068    }
12069    Block {
12070      BlockType       Reference
12071      Name            "Inverter"
12072      SID             "279"
12073      Ports           [1, 1]
12074      Position        [150, 201, 180, 219]
12075      LibraryVersion      "1.2"
12076      SourceBlock         "xbsIndex_r4/Inverter"
12077      SourceType          "Xilinx Inverter Block"
12078      infoedit        "Bitwise logical negation (one's complement) operator."
12079      en              off
12080      latency         "0"
12081      dbl_ovrd        off
12082      xl_use_area         off
12083      xl_area         "[0,0,0,0,0,0,0]"
12084      has_advanced_control    "0"
12085      sggui_pos       "-1,-1,-1,-1"
12086      block_type          "inv"
12087      block_version       "9.1.01"
12088      sg_icon_stat        "30,18,1,1,white,blue,0,267846e5,right,,[ ],[ ]"
12089      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 30 30 0 0 ],[0 0 18 18 0 ],[0.77 0.82 0.91"
12090      " ]);\nplot([0 30 30 0 0 ],[0 0 18 18 0 ]);\npatch([10.55 13.44 15.44 17.44 19.44 15.44 12.55 10.55 ],[11.22 11.22 1"
12091      "3.22 11.22 13.22 13.22 13.22 11.22 ],[1 1 1 ]);\npatch([12.55 15.44 13.44 10.55 12.55 ],[9.22 9.22 11.22 11.22 9.22"
12092      " ],[0.931 0.946 0.973 ]);\npatch([10.55 13.44 15.44 12.55 10.55 ],[7.22 7.22 9.22 9.22 7.22 ],[1 1 1 ]);\npatch([12"
12093      ".55 19.44 17.44 15.44 13.44 10.55 12.55 ],[5.22 5.22 7.22 5.22 7.22 7.22 5.22 ],[0.931 0.946 0.973 ]);\nfprintf('',"
12094      "'COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\n\n\ncolor('black');disp('not');\nfprintf('"
12095      "','COMMENT: end icon text');"
12096    }
12097    Block {
12098      BlockType       Reference
12099      Name            "Logical"
12100      SID             "280"
12101      Ports           [2, 1]
12102      Position        [215, 165, 270, 225]
12103      LibraryVersion      "1.2"
12104      SourceBlock         "xbsIndex_r4/Logical"
12105      SourceType          "Xilinx Logical Block Block"
12106      logical_function    "OR"
12107      inputs          "2"
12108      en              off
12109      latency         "0"
12110      precision       "Full"
12111      arith_type          "Unsigned"
12112      n_bits          "16"
12113      bin_pt          "0"
12114      align_bp        on
12115      dbl_ovrd        off
12116      xl_use_area         off
12117      xl_area         "[0,0,0,0,0,0,0]"
12118      has_advanced_control    "0"
12119      sggui_pos       "-1,-1,-1,-1"
12120      block_type          "logical"
12121      block_version       "9.1.01"
12122      sg_icon_stat        "55,60,2,1,white,blue,0,7ede7d88,right,,[ ],[ ]"
12123      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 0 ],[0 0 60 60 0 ],[0.77 0.82 0.91"
12124      " ]);\nplot([0 55 55 0 0 ],[0 0 60 60 0 ]);\npatch([11.425 21.54 28.54 35.54 42.54 28.54 18.425 11.425 ],[37.77 37.7"
12125      "7 44.77 37.77 44.77 44.77 44.77 37.77 ],[1 1 1 ]);\npatch([18.425 28.54 21.54 11.425 18.425 ],[30.77 30.77 37.77 37"
12126      ".77 30.77 ],[0.931 0.946 0.973 ]);\npatch([11.425 21.54 28.54 18.425 11.425 ],[23.77 23.77 30.77 30.77 23.77 ],[1 1"
12127      " 1 ]);\npatch([18.425 42.54 35.54 28.54 21.54 11.425 18.425 ],[16.77 16.77 23.77 16.77 23.77 23.77 16.77 ],[0.931 0"
12128      ".946 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\n\n\n\ncolor('bl"
12129      "ack');disp('or');\nfprintf('','COMMENT: end icon text');"
12130    }
12131    Block {
12132      BlockType       Reference
12133      Name            "Mux"
12134      SID             "281"
12135      Ports           [3, 1]
12136      Position        [750, 273, 795, 377]
12137      LibraryVersion      "1.2"
12138      SourceBlock         "xbsIndex_r4/Mux"
12139      SourceType          "Xilinx Bus Multiplexer Block"
12140      inputs          "2"
12141      en              off
12142      latency         "0"
12143      precision       "Full"
12144      arith_type          "Unsigned"
12145      n_bits          "16"
12146      bin_pt          "14"
12147      quantization        "Truncate"
12148      overflow        "Wrap"
12149      dbl_ovrd        off
12150      xl_use_area         off
12151      xl_area         "[0,0,0,0,0,0,0]"
12152      has_advanced_control    "0"
12153      sggui_pos       "20,20,348,303"
12154      block_type          "mux"
12155      block_version       "10.1.2"
12156      sg_icon_stat        "45,104,3,1,white,blue,3,eb98d690,right,,[ ],[ ]"
12157      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 45 45 0 0 ],[0 14.8571 89.1429 104 0 ],[0."
12158      "77 0.82 0.91 ]);\nplot([0 45 45 0 0 ],[0 14.8571 89.1429 104 0 ]);\npatch([8.65 17.32 23.32 29.32 35.32 23.32 14.65"
12159      " 8.65 ],[58.66 58.66 64.66 58.66 64.66 64.66 64.66 58.66 ],[1 1 1 ]);\npatch([14.65 23.32 17.32 8.65 14.65 ],[52.66"
12160      " 52.66 58.66 58.66 52.66 ],[0.931 0.946 0.973 ]);\npatch([8.65 17.32 23.32 14.65 8.65 ],[46.66 46.66 52.66 52.66 46"
12161      ".66 ],[1 1 1 ]);\npatch([14.65 35.32 29.32 23.32 17.32 8.65 14.65 ],[40.66 40.66 46.66 40.66 46.66 46.66 40.66 ],[0"
12162      ".931 0.946 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('bl"
12163      "ack');port_label('input',1,'sel');\ncolor('black');port_label('input',2,'d0');\ncolor('black');port_label('input',3"
12164      ",'d1');\n\ncolor('black');disp('\\bf{}','texmode','on');\nfprintf('','COMMENT: end icon text');"
12165    }
12166    Block {
12167      BlockType       Reference
12168      Name            "Shared Memory"
12169      SID             "282"
12170      Ports           [3, 1]
12171      Position        [445, 280, 525, 370]
12172      AttributesFormatString  "<< %<shared_memory_name> >>"
12173      LibraryVersion      "1.2"
12174      SourceBlock         "xbsIndex_r4/Shared Memory"
12175      SourceType          "Xilinx Shared Memory Random Access Memory Block"
12176      shared_memory_name      "'TxBuff_Radio2'"
12177      depth           "2^14"
12178      ownership       "Locally Owned and Initialized"
12179      initVector          "0"
12180      en              off
12181      mutex           "Unprotected"
12182      mode            "Read and Write"
12183      write_mode          "Read After Write"
12184      time_out        "0"
12185      latency         "1"
12186      explicit_data_type      on
12187      gui_display_data_type   "Fixed-point"
12188      arith_type          "Unsigned"
12189      n_bits          "32"
12190      bin_pt          "0"
12191      preci_type          "Single"
12192      xl_use_area         off
12193      xl_area         "[0,0,0,0,0,0,0]"
12194      implementation      "Block RAM"
12195      use_rpm         "off"
12196      has_advanced_control    "0"
12197      sggui_pos       "20,20,384,381"
12198      block_type          "shmem"
12199      block_version       "10.1.2"
12200      sg_icon_stat        "80,90,3,1,white,blue,0,bf435243,right,,[ ],[ ]"
12201      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 80 80 0 0 ],[0 0 90 90 0 ],[0.77 0.82 0.91"
12202      " ]);\nplot([0 80 80 0 0 ],[0 0 90 90 0 ]);\npatch([15.525 31.42 42.42 53.42 64.42 42.42 26.525 15.525 ],[57.21 57.2"
12203      "1 68.21 57.21 68.21 68.21 68.21 57.21 ],[1 1 1 ]);\npatch([26.525 42.42 31.42 15.525 26.525 ],[46.21 46.21 57.21 57"
12204      ".21 46.21 ],[0.931 0.946 0.973 ]);\npatch([15.525 31.42 42.42 26.525 15.525 ],[35.21 35.21 46.21 46.21 35.21 ],[1 1"
12205      " 1 ]);\npatch([26.525 64.42 53.42 42.42 31.42 15.525 26.525 ],[24.21 24.21 35.21 24.21 35.21 35.21 24.21 ],[0.931 0"
12206      ".946 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');"
12207      "port_label('input',1,'addr');\ncolor('black');port_label('input',2,'din');\ncolor('black');port_label('input',3,'we"
12208      "');\ncolor('black');port_label('output',1,'dout');\nfprintf('','COMMENT: end icon text');"
12209    }
12210    Block {
12211      BlockType       Outport
12212      Name            "IQ_32b"
12213      SID             "283"
12214      Position        [885, 318, 915, 332]
12215      IconDisplay         "Port number"
12216    }
12217    Line {
12218      SrcBlock        "Logical"
12219      SrcPort         1
12220      DstBlock        "Convert"
12221      DstPort         1
12222    }
12223    Line {
12224      SrcBlock        "Convert"
12225      SrcPort         1
12226      Points          [160, 0; 0, 95]
12227      DstBlock        "Mux"
12228      DstPort         1
12229    }
12230    Line {
12231      SrcBlock        "Rst"
12232      SrcPort         1
12233      DstBlock        "Logical"
12234      DstPort         1
12235    }
12236    Line {
12237      SrcBlock        "En"
12238      SrcPort         1
12239      DstBlock        "Convert1"
12240      DstPort         1
12241    }
12242    Line {
12243      SrcBlock        "Convert1"
12244      SrcPort         1
12245      DstBlock        "Inverter"
12246      DstPort         1
12247    }
12248    Line {
12249      SrcBlock        "Inverter"
12250      SrcPort         1
12251      DstBlock        "Logical"
12252      DstPort         2
12253    }
12254    Line {
12255      SrcBlock        "RdAddr_14b"
12256      SrcPort         1
12257      DstBlock        "Shared Memory"
12258      DstPort         1
12259    }
12260    Line {
12261      SrcBlock        "Constant"
12262      SrcPort         1
12263      DstBlock        "Mux"
12264      DstPort         3
12265    }
12266    Line {
12267      SrcBlock        "Shared Memory"
12268      SrcPort         1
12269      DstBlock        "Mux"
12270      DstPort         2
12271    }
12272    Line {
12273      SrcBlock        "Constant1"
12274      SrcPort         1
12275      DstBlock        "Shared Memory"
12276      DstPort         3
12277    }
12278    Line {
12279      SrcBlock        "Constant2"
12280      SrcPort         1
12281      DstBlock        "Shared Memory"
12282      DstPort         2
12283    }
12284    Line {
12285      SrcBlock        "Mux"
12286      SrcPort         1
12287      DstBlock        "IQ_32b"
12288      DstPort         1
12289    }
12290      }
12291    }
12292    Block {
12293      BlockType           SubSystem
12294      Name            "Radio 2 Inputs"
12295      SID             "784"
12296      Ports           [1, 2]
12297      Position            [905, 185, 1090, 225]
12298      NamePlacement       "alternate"
12299      MinAlgLoopOccurrences   off
12300      PropExecContextOutsideSubsystem off
12301      RTWSystemCode       "Auto"
12302      FunctionWithSeparateData off
12303      Opaque              off
12304      RequestExecContextInheritance off
12305      MaskHideContents        off
12306      System {
12307    Name            "Radio 2 Inputs"
12308    Location        [534, 197, 1546, 748]
12309    Open            off
12310    ModelBrowserVisibility  off
12311    ModelBrowserWidth   200
12312    ScreenColor     "white"
12313    PaperOrientation    "landscape"
12314    PaperPositionMode   "auto"
12315    PaperType       "usletter"
12316    PaperUnits      "inches"
12317    TiledPaperMargins   [0.500000, 0.500000, 0.500000, 0.500000]
12318    TiledPageScale      1
12319    ShowPageBoundaries  off
12320    ZoomFactor      "100"
12321    Block {
12322      BlockType       Inport
12323      Name            "MGCAGC_AGCDCO_SEL"
12324      SID             "785"
12325      Position        [240, 258, 270, 272]
12326      IconDisplay         "Port number"
12327    }
12328    Block {
12329      BlockType       SubSystem
12330      Name            "ADC I"
12331      SID             "786"
12332      Ports           [1, 1]
12333      Position        [420, 243, 575, 287]
12334      NamePlacement       "alternate"
12335      MinAlgLoopOccurrences   off
12336      PropExecContextOutsideSubsystem off
12337      RTWSystemCode       "Auto"
12338      FunctionWithSeparateData off
12339      Opaque          off
12340      RequestExecContextInheritance off
12341      MaskHideContents    off
12342      System {
12343        Name            "ADC I"
12344        Location            [2, 82, 2558, 1387]
12345        Open            off
12346        ModelBrowserVisibility  off
12347        ModelBrowserWidth       200
12348        ScreenColor         "white"
12349        PaperOrientation        "landscape"
12350        PaperPositionMode       "auto"
12351        PaperType           "usletter"
12352        PaperUnits          "inches"
12353        TiledPaperMargins       [0.500000, 0.500000, 0.500000, 0.500000]
12354        TiledPageScale      1
12355        ShowPageBoundaries      off
12356        ZoomFactor          "100"
12357        Block {
12358          BlockType           Inport
12359          Name            "MGCAGC_AGCDCO_SEL"
12360          SID             "787"
12361          Position            [175, 73, 205, 87]
12362          IconDisplay         "Port number"
12363        }
12364        Block {
12365          BlockType           Constant
12366          Name            "Constant2"
12367          SID             "788"
12368          Position            [55, 150, 85, 180]
12369          ShowName            off
12370          Value           "0"
12371        }
12372        Block {
12373          BlockType           Reference
12374          Name            "FromAGC_Radio2_I"
12375          SID             "789"
12376          Ports           [1, 1]
12377          Position            [160, 255, 225, 275]
12378          LibraryVersion          "1.2"
12379          SourceBlock         "xbsIndex_r4/Gateway In"
12380          SourceType          "Xilinx Gateway In Block"
12381          infoedit            "Gateway in block.  Converts inputs of type Simulink integer, single, double and fixed point to"
12382          "  Xilinx fixed-point or floating-point data type.<br><br>Hardware notes:  In hardware these blocks become top l"
12383          "evel input ports."
12384          gui_display_data_type   "Fixed-point"
12385          arith_type          "Signed  (2's comp)"
12386          n_bits              "12"
12387          bin_pt              "11"
12388          preci_type          "Single"
12389          exp_width           "8"
12390          frac_width          "24"
12391          quantization        "Round  (unbiased: +/- Inf)"
12392          overflow            "Saturate"
12393          period              "1"
12394          dbl_ovrd            off
12395          timing_constraint       "None"
12396          locs_specified          off
12397          LOCs            "{}"
12398          xl_use_area         off
12399          xl_area             "[0,0,0,0,0,0,0]"
12400          inherit_from_input      off
12401          UseAsADC            off
12402          ADCChannel          "'1'"
12403          hdl_port            "on"
12404          has_advanced_control    "0"
12405          sggui_pos           "20,20,348,406"
12406          block_type          "gatewayin"
12407          block_version       "10.1.3"
12408          sg_icon_stat        "65,20,1,1,white,yellow,1,00d3666e,right,,[ ],[ ]"
12409          sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npatch([0 65 65 0 0 ],[0 0 20 20 0 ],[0.95 0"
12410          ".93 0.65 ]);\nplot([0 65 65 0 0 ],[0 0 20 20 0 ]);\npatch([27.55 30.44 32.44 34.44 36.44 32.44 29.55 27.55 ],[1"
12411          "2.22 12.22 14.22 12.22 14.22 14.22 14.22 12.22 ],[1 1 1 ]);\npatch([29.55 32.44 30.44 27.55 29.55 ],[10.22 10.2"
12412          "2 12.22 12.22 10.22 ],[0.985 0.979 0.895 ]);\npatch([27.55 30.44 32.44 29.55 27.55 ],[8.22 8.22 10.22 10.22 8.2"
12413          "2 ],[1 1 1 ]);\npatch([29.55 36.44 34.44 32.44 30.44 27.55 29.55 ],[6.22 6.22 8.22 6.22 8.22 8.22 6.22 ],[0.985"
12414          " 0.979 0.895 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('bl"
12415          "ack');port_label('input',1,'\\fontsize{11pt}\\bf In ','texmode','on');\ncolor('black');port_label('output',1,' "
12416          "');\nfprintf('','COMMENT: end icon text');"
12417        }
12418        Block {
12419          BlockType           Reference
12420          Name            "Mux3"
12421          SID             "790"
12422          Ports           [3, 1]
12423          Position            [320, 113, 365, 217]
12424          LibraryVersion          "1.2"
12425          SourceBlock         "xbsIndex_r4/Mux"
12426          SourceType          "Xilinx Bus Multiplexer Block"
12427          inputs              "2"
12428          en              off
12429          latency             "0"
12430          precision           "Full"
12431          arith_type          "Unsigned"
12432          n_bits              "1"
12433          bin_pt              "0"
12434          quantization        "Truncate"
12435          overflow            "Wrap"
12436          dbl_ovrd            off
12437          xl_use_area         off
12438          xl_area             "[0,0,0,0,0,0,0]"
12439          has_advanced_control    "0"
12440          sggui_pos           "20,20,348,303"
12441          block_type          "mux"
12442          block_version       "10.1.3"
12443          sg_icon_stat        "45,104,3,1,white,blue,3,eb98d690,right,,[ ],[ ]"
12444          sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npatch([0 45 45 0 0 ],[0 14.8571 89.1429 104"
12445          " 0 ],[0.77 0.82 0.91 ]);\nplot([0 45 45 0 0 ],[0 14.8571 89.1429 104 0 ]);\npatch([8.65 17.32 23.32 29.32 35.32"
12446          " 23.32 14.65 8.65 ],[58.66 58.66 64.66 58.66 64.66 64.66 64.66 58.66 ],[1 1 1 ]);\npatch([14.65 23.32 17.32 8.6"
12447          "5 14.65 ],[52.66 52.66 58.66 58.66 52.66 ],[0.931 0.946 0.973 ]);\npatch([8.65 17.32 23.32 14.65 8.65 ],[46.66 "
12448          "46.66 52.66 52.66 46.66 ],[1 1 1 ]);\npatch([14.65 35.32 29.32 23.32 17.32 8.65 14.65 ],[40.66 40.66 46.66 40.6"
12449          "6 46.66 46.66 40.66 ],[0.931 0.946 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: b"
12450          "egin icon text');\ncolor('black');port_label('input',1,'sel');\ncolor('black');port_label('input',2,'d0');\ncol"
12451          "or('black');port_label('input',3,'d1');\n\ncolor('black');disp('\\bf{}','texmode','on');\nfprintf('','COMMENT: "
12452          "end icon text');"
12453        }
12454        Block {
12455          BlockType           Reference
12456          Name            "Register1"
12457          SID             "791"
12458          Ports           [1, 1]
12459          Position            [490, 151, 525, 179]
12460          ShowName            off
12461          LibraryVersion          "1.2"
12462          SourceBlock         "xbsIndex_r4/Register"
12463          SourceType          "Xilinx Register Block"
12464          init            "0"
12465          rst             off
12466          en              off
12467          dbl_ovrd            off
12468          xl_use_area         off
12469          xl_area             "[0,0,0,0,0,0,0]"
12470          has_advanced_control    "0"
12471          sggui_pos           "-1,-1,-1,-1"
12472          block_type          "register"
12473          block_version       "8.2"
12474          sg_icon_stat        "35,28,1,1,white,blue,0,c80657c5,right,,[ ],[ ]"
12475          sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npatch([0 35 35 0 0 ],[0 0 28 28 0 ],[0.77 0"
12476          ".82 0.91 ]);\nplot([0 35 35 0 0 ],[0 0 28 28 0 ]);\npatch([8.1 13.88 17.88 21.88 25.88 17.88 12.1 8.1 ],[18.44 "
12477          "18.44 22.44 18.44 22.44 22.44 22.44 18.44 ],[1 1 1 ]);\npatch([12.1 17.88 13.88 8.1 12.1 ],[14.44 14.44 18.44 1"
12478          "8.44 14.44 ],[0.931 0.946 0.973 ]);\npatch([8.1 13.88 17.88 12.1 8.1 ],[10.44 10.44 14.44 14.44 10.44 ],[1 1 1 "
12479          "]);\npatch([12.1 25.88 21.88 17.88 13.88 8.1 12.1 ],[6.44 6.44 10.44 6.44 10.44 10.44 6.44 ],[0.931 0.946 0.973"
12480          " ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_l"
12481          "abel('input',1,'d');\ncolor('black');port_label('output',1,'q');\ncolor('black');disp('z^{-1}','texmode','on');"
12482          "\nfprintf('','COMMENT: end icon text');"
12483        }
12484        Block {
12485          BlockType           Reference
12486          Name            "radio2_ADC_I"
12487          SID             "792"
12488          Ports           [1, 1]
12489          Position            [160, 155, 225, 175]
12490          LibraryVersion          "1.2"
12491          SourceBlock         "xbsIndex_r4/Gateway In"
12492          SourceType          "Xilinx Gateway In Block"
12493          infoedit            "Gateway in block.  Converts inputs of type Simulink integer, single, double and fixed point to"
12494          "  Xilinx fixed-point or floating-point data type.<br><br>Hardware notes:  In hardware these blocks become top l"
12495          "evel input ports."
12496          gui_display_data_type   "Fixed-point"
12497          arith_type          "Signed  (2's comp)"
12498          n_bits              "12"
12499          bin_pt              "11"
12500          preci_type          "Single"
12501          exp_width           "8"
12502          frac_width          "24"
12503          quantization        "Round  (unbiased: +/- Inf)"
12504          overflow            "Saturate"
12505          period              "1"
12506          dbl_ovrd            off
12507          timing_constraint       "None"
12508          locs_specified          off
12509          LOCs            "{}"
12510          xl_use_area         off
12511          xl_area             "[0,0,0,0,0,0,0]"
12512          inherit_from_input      off
12513          UseAsADC            off
12514          ADCChannel          "'1'"
12515          hdl_port            "on"
12516          has_advanced_control    "0"
12517          sggui_pos           "20,20,348,406"
12518          block_type          "gatewayin"
12519          block_version       "10.1.3"
12520          sg_icon_stat        "65,20,1,1,white,yellow,1,00d3666e,right,,[ ],[ ]"
12521          sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npatch([0 65 65 0 0 ],[0 0 20 20 0 ],[0.95 0"
12522          ".93 0.65 ]);\nplot([0 65 65 0 0 ],[0 0 20 20 0 ]);\npatch([27.55 30.44 32.44 34.44 36.44 32.44 29.55 27.55 ],[1"
12523          "2.22 12.22 14.22 12.22 14.22 14.22 14.22 12.22 ],[1 1 1 ]);\npatch([29.55 32.44 30.44 27.55 29.55 ],[10.22 10.2"
12524          "2 12.22 12.22 10.22 ],[0.985 0.979 0.895 ]);\npatch([27.55 30.44 32.44 29.55 27.55 ],[8.22 8.22 10.22 10.22 8.2"
12525          "2 ],[1 1 1 ]);\npatch([29.55 36.44 34.44 32.44 30.44 27.55 29.55 ],[6.22 6.22 8.22 6.22 8.22 8.22 6.22 ],[0.985"
12526          " 0.979 0.895 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('bl"
12527          "ack');port_label('input',1,'\\fontsize{11pt}\\bf In ','texmode','on');\ncolor('black');port_label('output',1,' "
12528          "');\nfprintf('','COMMENT: end icon text');"
12529        }
12530        Block {
12531          BlockType           Outport
12532          Name            "ADC I"
12533          SID             "793"
12534          Position            [595, 158, 625, 172]
12535          IconDisplay         "Port number"
12536        }
12537        Line {
12538          SrcBlock            "Mux3"
12539          SrcPort             1
12540          DstBlock            "Register1"
12541          DstPort             1
12542        }
12543        Line {
12544          SrcBlock            "radio2_ADC_I"
12545          SrcPort             1
12546          DstBlock            "Mux3"
12547          DstPort             2
12548        }
12549        Line {
12550          SrcBlock            "FromAGC_Radio2_I"
12551          SrcPort             1
12552          Points              [50, 0; 0, -65]
12553          DstBlock            "Mux3"
12554          DstPort             3
12555        }
12556        Line {
12557          SrcBlock            "MGCAGC_AGCDCO_SEL"
12558          SrcPort             1
12559          Points              [70, 0; 0, 50]
12560          DstBlock            "Mux3"
12561          DstPort             1
12562        }
12563        Line {
12564          SrcBlock            "Constant2"
12565          SrcPort             1
12566          DstBlock            "radio2_ADC_I"
12567          DstPort             1
12568        }
12569        Line {
12570          SrcBlock            "Register1"
12571          SrcPort             1
12572          DstBlock            "ADC I"
12573          DstPort             1
12574        }
12575      }
12576    }
12577    Block {
12578      BlockType       SubSystem
12579      Name            "ADC Q"
12580      SID             "794"
12581      Ports           [1, 1]
12582      Position        [420, 304, 575, 346]
12583      MinAlgLoopOccurrences   off
12584      PropExecContextOutsideSubsystem off
12585      RTWSystemCode       "Auto"
12586      FunctionWithSeparateData off
12587      Opaque          off
12588      RequestExecContextInheritance off
12589      MaskHideContents    off
12590      System {
12591        Name            "ADC Q"
12592        Location            [66, 91, 1078, 743]
12593        Open            off
12594        ModelBrowserVisibility  off
12595        ModelBrowserWidth       200
12596        ScreenColor         "white"
12597        PaperOrientation        "landscape"
12598        PaperPositionMode       "auto"
12599        PaperType           "usletter"
12600        PaperUnits          "inches"
12601        TiledPaperMargins       [0.500000, 0.500000, 0.500000, 0.500000]
12602        TiledPageScale      1
12603        ShowPageBoundaries      off
12604        ZoomFactor          "100"
12605        Block {
12606          BlockType           Inport
12607          Name            "MGCAGC_AGCDCO_SEL"
12608          SID             "795"
12609          Position            [160, 43, 190, 57]
12610          IconDisplay         "Port number"
12611        }
12612        Block {
12613          BlockType           Constant
12614          Name            "Constant2"
12615          SID             "796"
12616          Position            [40, 120, 70, 150]
12617          ShowName            off
12618          Value           "0"
12619        }
12620        Block {
12621          BlockType           Reference
12622          Name            "FromAGC_Radio2_Q"
12623          SID             "797"
12624          Ports           [1, 1]
12625          Position            [145, 225, 210, 245]
12626          LibraryVersion          "1.2"
12627          SourceBlock         "xbsIndex_r4/Gateway In"
12628          SourceType          "Xilinx Gateway In Block"
12629          infoedit            "Gateway in block.  Converts inputs of type Simulink integer, single, double and fixed point to"
12630          "  Xilinx fixed-point or floating-point data type.<br><br>Hardware notes:  In hardware these blocks become top l"
12631          "evel input ports."
12632          gui_display_data_type   "Fixed-point"
12633          arith_type          "Signed  (2's comp)"
12634          n_bits              "12"
12635          bin_pt              "11"
12636          preci_type          "Single"
12637          exp_width           "8"
12638          frac_width          "24"
12639          quantization        "Round  (unbiased: +/- Inf)"
12640          overflow            "Saturate"
12641          period              "1"
12642          dbl_ovrd            off
12643          timing_constraint       "None"
12644          locs_specified          off
12645          LOCs            "{}"
12646          xl_use_area         off
12647          xl_area             "[0,0,0,0,0,0,0]"
12648          inherit_from_input      off
12649          UseAsADC            off
12650          ADCChannel          "'1'"
12651          hdl_port            "on"
12652          has_advanced_control    "0"
12653          sggui_pos           "20,20,348,406"
12654          block_type          "gatewayin"
12655          block_version       "10.1.3"
12656          sg_icon_stat        "65,20,1,1,white,yellow,1,00d3666e,right,,[ ],[ ]"
12657          sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npatch([0 65 65 0 0 ],[0 0 20 20 0 ],[0.95 0"
12658          ".93 0.65 ]);\nplot([0 65 65 0 0 ],[0 0 20 20 0 ]);\npatch([27.55 30.44 32.44 34.44 36.44 32.44 29.55 27.55 ],[1"
12659          "2.22 12.22 14.22 12.22 14.22 14.22 14.22 12.22 ],[1 1 1 ]);\npatch([29.55 32.44 30.44 27.55 29.55 ],[10.22 10.2"
12660          "2 12.22 12.22 10.22 ],[0.985 0.979 0.895 ]);\npatch([27.55 30.44 32.44 29.55 27.55 ],[8.22 8.22 10.22 10.22 8.2"
12661          "2 ],[1 1 1 ]);\npatch([29.55 36.44 34.44 32.44 30.44 27.55 29.55 ],[6.22 6.22 8.22 6.22 8.22 8.22 6.22 ],[0.985"
12662          " 0.979 0.895 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('bl"
12663          "ack');port_label('input',1,'\\fontsize{11pt}\\bf In ','texmode','on');\ncolor('black');port_label('output',1,' "
12664          "');\nfprintf('','COMMENT: end icon text');"
12665        }
12666        Block {
12667          BlockType           Reference
12668          Name            "Mux3"
12669          SID             "798"
12670          Ports           [3, 1]
12671          Position            [305, 83, 350, 187]
12672          LibraryVersion          "1.2"
12673          SourceBlock         "xbsIndex_r4/Mux"
12674          SourceType          "Xilinx Bus Multiplexer Block"
12675          inputs              "2"
12676          en              off
12677          latency             "0"
12678          precision           "Full"
12679          arith_type          "Unsigned"
12680          n_bits              "1"
12681          bin_pt              "0"
12682          quantization        "Truncate"
12683          overflow            "Wrap"
12684          dbl_ovrd            off
12685          xl_use_area         off
12686          xl_area             "[0,0,0,0,0,0,0]"
12687          has_advanced_control    "0"
12688          sggui_pos           "20,20,348,303"
12689          block_type          "mux"
12690          block_version       "10.1.3"
12691          sg_icon_stat        "45,104,3,1,white,blue,3,eb98d690,right,,[ ],[ ]"
12692          sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npatch([0 45 45 0 0 ],[0 14.8571 89.1429 104"
12693          " 0 ],[0.77 0.82 0.91 ]);\nplot([0 45 45 0 0 ],[0 14.8571 89.1429 104 0 ]);\npatch([8.65 17.32 23.32 29.32 35.32"
12694          " 23.32 14.65 8.65 ],[58.66 58.66 64.66 58.66 64.66 64.66 64.66 58.66 ],[1 1 1 ]);\npatch([14.65 23.32 17.32 8.6"
12695          "5 14.65 ],[52.66 52.66 58.66 58.66 52.66 ],[0.931 0.946 0.973 ]);\npatch([8.65 17.32 23.32 14.65 8.65 ],[46.66 "
12696          "46.66 52.66 52.66 46.66 ],[1 1 1 ]);\npatch([14.65 35.32 29.32 23.32 17.32 8.65 14.65 ],[40.66 40.66 46.66 40.6"
12697          "6 46.66 46.66 40.66 ],[0.931 0.946 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: b"
12698          "egin icon text');\ncolor('black');port_label('input',1,'sel');\ncolor('black');port_label('input',2,'d0');\ncol"
12699          "or('black');port_label('input',3,'d1');\n\ncolor('black');disp('\\bf{}','texmode','on');\nfprintf('','COMMENT: "
12700          "end icon text');"
12701        }
12702        Block {
12703          BlockType           Reference
12704          Name            "Register1"
12705          SID             "799"
12706          Ports           [1, 1]
12707          Position            [475, 121, 510, 149]
12708          ShowName            off
12709          LibraryVersion          "1.2"
12710          SourceBlock         "xbsIndex_r4/Register"
12711          SourceType          "Xilinx Register Block"
12712          init            "0"
12713          rst             off
12714          en              off
12715          dbl_ovrd            off
12716          xl_use_area         off
12717          xl_area             "[0,0,0,0,0,0,0]"
12718          has_advanced_control    "0"
12719          sggui_pos           "-1,-1,-1,-1"
12720          block_type          "register"
12721          block_version       "8.2"
12722          sg_icon_stat        "35,28,1,1,white,blue,0,c80657c5,right,,[ ],[ ]"
12723          sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npatch([0 35 35 0 0 ],[0 0 28 28 0 ],[0.77 0"
12724          ".82 0.91 ]);\nplot([0 35 35 0 0 ],[0 0 28 28 0 ]);\npatch([8.1 13.88 17.88 21.88 25.88 17.88 12.1 8.1 ],[18.44 "
12725          "18.44 22.44 18.44 22.44 22.44 22.44 18.44 ],[1 1 1 ]);\npatch([12.1 17.88 13.88 8.1 12.1 ],[14.44 14.44 18.44 1"
12726          "8.44 14.44 ],[0.931 0.946 0.973 ]);\npatch([8.1 13.88 17.88 12.1 8.1 ],[10.44 10.44 14.44 14.44 10.44 ],[1 1 1 "
12727          "]);\npatch([12.1 25.88 21.88 17.88 13.88 8.1 12.1 ],[6.44 6.44 10.44 6.44 10.44 10.44 6.44 ],[0.931 0.946 0.973"
12728          " ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_l"
12729          "abel('input',1,'d');\ncolor('black');port_label('output',1,'q');\ncolor('black');disp('z^{-1}','texmode','on');"
12730          "\nfprintf('','COMMENT: end icon text');"
12731        }
12732        Block {
12733          BlockType           Reference
12734          Name            "radio2_ADC_Q"
12735          SID             "800"
12736          Ports           [1, 1]
12737          Position            [145, 125, 210, 145]
12738          LibraryVersion          "1.2"
12739          SourceBlock         "xbsIndex_r4/Gateway In"
12740          SourceType          "Xilinx Gateway In Block"
12741          infoedit            "Gateway in block.  Converts inputs of type Simulink integer, single, double and fixed point to"
12742          "  Xilinx fixed-point or floating-point data type.<br><br>Hardware notes:  In hardware these blocks become top l"
12743          "evel input ports."
12744          gui_display_data_type   "Fixed-point"
12745          arith_type          "Signed  (2's comp)"
12746          n_bits              "12"
12747          bin_pt              "11"
12748          preci_type          "Single"
12749          exp_width           "8"
12750          frac_width          "24"
12751          quantization        "Round  (unbiased: +/- Inf)"
12752          overflow            "Saturate"
12753          period              "1"
12754          dbl_ovrd            off
12755          timing_constraint       "None"
12756          locs_specified          off
12757          LOCs            "{}"
12758          xl_use_area         off
12759          xl_area             "[0,0,0,0,0,0,0]"
12760          inherit_from_input      off
12761          UseAsADC            off
12762          ADCChannel          "'1'"
12763          hdl_port            "on"
12764          has_advanced_control    "0"
12765          sggui_pos           "20,20,348,406"
12766          block_type          "gatewayin"
12767          block_version       "10.1.3"
12768          sg_icon_stat        "65,20,1,1,white,yellow,1,00d3666e,right,,[ ],[ ]"
12769          sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npatch([0 65 65 0 0 ],[0 0 20 20 0 ],[0.95 0"
12770          ".93 0.65 ]);\nplot([0 65 65 0 0 ],[0 0 20 20 0 ]);\npatch([27.55 30.44 32.44 34.44 36.44 32.44 29.55 27.55 ],[1"
12771          "2.22 12.22 14.22 12.22 14.22 14.22 14.22 12.22 ],[1 1 1 ]);\npatch([29.55 32.44 30.44 27.55 29.55 ],[10.22 10.2"
12772          "2 12.22 12.22 10.22 ],[0.985 0.979 0.895 ]);\npatch([27.55 30.44 32.44 29.55 27.55 ],[8.22 8.22 10.22 10.22 8.2"
12773          "2 ],[1 1 1 ]);\npatch([29.55 36.44 34.44 32.44 30.44 27.55 29.55 ],[6.22 6.22 8.22 6.22 8.22 8.22 6.22 ],[0.985"
12774          " 0.979 0.895 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('bl"
12775          "ack');port_label('input',1,'\\fontsize{11pt}\\bf In ','texmode','on');\ncolor('black');port_label('output',1,' "
12776          "');\nfprintf('','COMMENT: end icon text');"
12777        }
12778        Block {
12779          BlockType           Outport
12780          Name            "ADC Q"
12781          SID             "801"
12782          Position            [580, 128, 610, 142]
12783          IconDisplay         "Port number"
12784        }
12785        Line {
12786          SrcBlock            "Mux3"
12787          SrcPort             1
12788          DstBlock            "Register1"
12789          DstPort             1
12790        }
12791        Line {
12792          SrcBlock            "radio2_ADC_Q"
12793          SrcPort             1
12794          DstBlock            "Mux3"
12795          DstPort             2
12796        }
12797        Line {
12798          SrcBlock            "FromAGC_Radio2_Q"
12799          SrcPort             1
12800          Points              [50, 0; 0, -65]
12801          DstBlock            "Mux3"
12802          DstPort             3
12803        }
12804        Line {
12805          SrcBlock            "MGCAGC_AGCDCO_SEL"
12806          SrcPort             1
12807          Points              [70, 0; 0, 50]
12808          DstBlock            "Mux3"
12809          DstPort             1
12810        }
12811        Line {
12812          SrcBlock            "Constant2"
12813          SrcPort             1
12814          DstBlock            "radio2_ADC_Q"
12815          DstPort             1
12816        }
12817        Line {
12818          SrcBlock            "Register1"
12819          SrcPort             1
12820          DstBlock            "ADC Q"
12821          DstPort             1
12822        }
12823      }
12824    }
12825    Block {
12826      BlockType       SubSystem
12827      Name            "Concatenates_1"
12828      SID             "893"
12829      Ports           [2, 1]
12830      Position        [685, 235, 735, 355]
12831      NamePlacement       "alternate"
12832      MinAlgLoopOccurrences   off
12833      PropExecContextOutsideSubsystem off
12834      RTWSystemCode       "Auto"
12835      FunctionWithSeparateData off
12836      Opaque          off
12837      RequestExecContextInheritance off
12838      MaskHideContents    off
12839      MaskIconFrame       on
12840      MaskIconOpaque      on
12841      MaskIconRotate      "none"
12842      MaskPortRotate      "default"
12843      MaskIconUnits       "autoscale"
12844      System {
12845        Name            "Concatenates_1"
12846        Location            [266, 282, 2174, 1362]
12847        Open            off
12848        ModelBrowserVisibility  off
12849        ModelBrowserWidth       200
12850        ScreenColor         "white"
12851        PaperOrientation        "landscape"
12852        PaperPositionMode       "auto"
12853        PaperType           "usletter"
12854        PaperUnits          "inches"
12855        TiledPaperMargins       [0.500000, 0.500000, 0.500000, 0.500000]
12856        TiledPageScale      1
12857        ShowPageBoundaries      off
12858        ZoomFactor          "100"
12859        Block {
12860          BlockType           Inport
12861          Name            "ADC_I"
12862          SID             "894"
12863          Position            [330, 93, 360, 107]
12864          IconDisplay         "Port number"
12865          MaskIconFrame       on
12866          MaskIconOpaque          on
12867          MaskIconRotate          "none"
12868          MaskPortRotate          "default"
12869          MaskIconUnits       "autoscale"
12870        }
12871        Block {
12872          BlockType           Inport
12873          Name            "ADC_Q"
12874          SID             "895"
12875          Position            [330, 213, 360, 227]
12876          Port            "2"
12877          IconDisplay         "Port number"
12878          MaskIconFrame       on
12879          MaskIconOpaque          on
12880          MaskIconRotate          "none"
12881          MaskPortRotate          "default"
12882          MaskIconUnits       "autoscale"
12883        }
12884        Block {
12885          BlockType           Reference
12886          Name            "Concat1"
12887          SID             "896"
12888          Ports           [2, 1]
12889          Position            [490, 191, 520, 229]
12890          ShowName            off
12891          LibraryVersion          "1.2"
12892          SourceBlock         "xbsIndex_r4/Concat"
12893          SourceType          "Xilinx Bus Concatenator Block"
12894          infoedit            "Concatenates two or more inputs.  Output will be cast to an unsigned value with the binary poi"
12895          "nt at zero."
12896          num_inputs          "2"
12897          dbl_ovrd            off
12898          has_advanced_control    "0"
12899          sggui_pos           "-1,-1,-1,-1"
12900          block_type          "concat"
12901          block_version       "8.2"
12902          sg_icon_stat        "30,38,2,1,white,blue,0,16398980,right,,[ ],[ ]"
12903          sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npatch([0 30 30 0 0 ],[0 0 38 38 0 ],[0.77 0"
12904          ".82 0.91 ]);\nplot([0 30 30 0 0 ],[0 0 38 38 0 ]);\npatch([6.1 11.88 15.88 19.88 23.88 15.88 10.1 6.1 ],[23.44 "
12905          "23.44 27.44 23.44 27.44 27.44 27.44 23.44 ],[1 1 1 ]);\npatch([10.1 15.88 11.88 6.1 10.1 ],[19.44 19.44 23.44 2"
12906          "3.44 19.44 ],[0.931 0.946 0.973 ]);\npatch([6.1 11.88 15.88 10.1 6.1 ],[15.44 15.44 19.44 19.44 15.44 ],[1 1 1 "
12907          "]);\npatch([10.1 23.88 19.88 15.88 11.88 6.1 10.1 ],[11.44 11.44 15.44 11.44 15.44 15.44 11.44 ],[0.931 0.946 0"
12908          ".973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');po"
12909          "rt_label('input',1,'hi');\ncolor('black');port_label('input',2,'lo');\n\ncolor('black');disp('\\fontsize{20}\\}"
12910          "','texmode','on');\nfprintf('','COMMENT: end icon text');"
12911        }
12912        Block {
12913          BlockType           Reference
12914          Name            "Concat2"
12915          SID             "897"
12916          Ports           [2, 1]
12917          Position            [490, 71, 520, 109]
12918          ShowName            off
12919          LibraryVersion          "1.2"
12920          SourceBlock         "xbsIndex_r4/Concat"
12921          SourceType          "Xilinx Bus Concatenator Block"
12922          infoedit            "Concatenates two or more inputs.  Output will be cast to an unsigned value with the binary poi"
12923          "nt at zero."
12924          num_inputs          "2"
12925          dbl_ovrd            off
12926          has_advanced_control    "0"
12927          sggui_pos           "-1,-1,-1,-1"
12928          block_type          "concat"
12929          block_version       "8.2"
12930          sg_icon_stat        "30,38,2,1,white,blue,0,16398980,right,,[ ],[ ]"
12931          sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npatch([0 30 30 0 0 ],[0 0 38 38 0 ],[0.77 0"
12932          ".82 0.91 ]);\nplot([0 30 30 0 0 ],[0 0 38 38 0 ]);\npatch([6.1 11.88 15.88 19.88 23.88 15.88 10.1 6.1 ],[23.44 "
12933          "23.44 27.44 23.44 27.44 27.44 27.44 23.44 ],[1 1 1 ]);\npatch([10.1 15.88 11.88 6.1 10.1 ],[19.44 19.44 23.44 2"
12934          "3.44 19.44 ],[0.931 0.946 0.973 ]);\npatch([6.1 11.88 15.88 10.1 6.1 ],[15.44 15.44 19.44 19.44 15.44 ],[1 1 1 "
12935          "]);\npatch([10.1 23.88 19.88 15.88 11.88 6.1 10.1 ],[11.44 11.44 15.44 11.44 15.44 15.44 11.44 ],[0.931 0.946 0"
12936          ".973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');po"
12937          "rt_label('input',1,'hi');\ncolor('black');port_label('input',2,'lo');\n\ncolor('black');disp('\\fontsize{20}\\}"
12938          "','texmode','on');\nfprintf('','COMMENT: end icon text');"
12939        }
12940        Block {
12941          BlockType           Reference
12942          Name            "Concat3"
12943          SID             "898"
12944          Ports           [2, 1]
12945          Position            [545, 81, 575, 119]
12946          ShowName            off
12947          LibraryVersion          "1.2"
12948          SourceBlock         "xbsIndex_r4/Concat"
12949          SourceType          "Xilinx Bus Concatenator Block"
12950          infoedit            "Concatenates two or more inputs.  Output will be cast to an unsigned value with the binary poi"
12951          "nt at zero."
12952          num_inputs          "2"
12953          dbl_ovrd            off
12954          has_advanced_control    "0"
12955          sggui_pos           "-1,-1,-1,-1"
12956          block_type          "concat"
12957          block_version       "8.2"
12958          sg_icon_stat        "30,38,2,1,white,blue,0,16398980,right,,[ ],[ ]"
12959          sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npatch([0 30 30 0 0 ],[0 0 38 38 0 ],[0.77 0"
12960          ".82 0.91 ]);\nplot([0 30 30 0 0 ],[0 0 38 38 0 ]);\npatch([6.1 11.88 15.88 19.88 23.88 15.88 10.1 6.1 ],[23.44 "
12961          "23.44 27.44 23.44 27.44 27.44 27.44 23.44 ],[1 1 1 ]);\npatch([10.1 15.88 11.88 6.1 10.1 ],[19.44 19.44 23.44 2"
12962          "3.44 19.44 ],[0.931 0.946 0.973 ]);\npatch([6.1 11.88 15.88 10.1 6.1 ],[15.44 15.44 19.44 19.44 15.44 ],[1 1 1 "
12963          "]);\npatch([10.1 23.88 19.88 15.88 11.88 6.1 10.1 ],[11.44 11.44 15.44 11.44 15.44 15.44 11.44 ],[0.931 0.946 0"
12964          ".973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');po"
12965          "rt_label('input',1,'hi');\ncolor('black');port_label('input',2,'lo');\n\ncolor('black');disp('\\fontsize{20}\\}"
12966          "','texmode','on');\nfprintf('','COMMENT: end icon text');"
12967        }
12968        Block {
12969          BlockType           Reference
12970          Name            "Concat4"
12971          SID             "899"
12972          Ports           [2, 1]
12973          Position            [545, 201, 575, 239]
12974          ShowName            off
12975          LibraryVersion          "1.2"
12976          SourceBlock         "xbsIndex_r4/Concat"
12977          SourceType          "Xilinx Bus Concatenator Block"
12978          infoedit            "Concatenates two or more inputs.  Output will be cast to an unsigned value with the binary poi"
12979          "nt at zero."
12980          num_inputs          "2"
12981          dbl_ovrd            off
12982          has_advanced_control    "0"
12983          sggui_pos           "-1,-1,-1,-1"
12984          block_type          "concat"
12985          block_version       "8.2"
12986          sg_icon_stat        "30,38,2,1,white,blue,0,16398980,right,,[ ],[ ]"
12987          sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npatch([0 30 30 0 0 ],[0 0 38 38 0 ],[0.77 0"
12988          ".82 0.91 ]);\nplot([0 30 30 0 0 ],[0 0 38 38 0 ]);\npatch([6.1 11.88 15.88 19.88 23.88 15.88 10.1 6.1 ],[23.44 "
12989          "23.44 27.44 23.44 27.44 27.44 27.44 23.44 ],[1 1 1 ]);\npatch([10.1 15.88 11.88 6.1 10.1 ],[19.44 19.44 23.44 2"
12990          "3.44 19.44 ],[0.931 0.946 0.973 ]);\npatch([6.1 11.88 15.88 10.1 6.1 ],[15.44 15.44 19.44 19.44 15.44 ],[1 1 1 "
12991          "]);\npatch([10.1 23.88 19.88 15.88 11.88 6.1 10.1 ],[11.44 11.44 15.44 11.44 15.44 15.44 11.44 ],[0.931 0.946 0"
12992          ".973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');po"
12993          "rt_label('input',1,'hi');\ncolor('black');port_label('input',2,'lo');\n\ncolor('black');disp('\\fontsize{20}\\}"
12994          "','texmode','on');\nfprintf('','COMMENT: end icon text');"
12995        }
12996        Block {
12997          BlockType           Reference
12998          Name            "Concat5"
12999          SID             "900"
13000          Ports           [2, 1]
13001          Position            [635, 80, 660, 160]
13002          ShowName            off
13003          LibraryVersion          "1.2"
13004          SourceBlock         "xbsIndex_r4/Concat"
13005          SourceType          "Xilinx Bus Concatenator Block"
13006          infoedit            "Concatenates two or more inputs.  Output will be cast to an unsigned value with the binary poi"
13007          "nt at zero."
13008          num_inputs          "2"
13009          dbl_ovrd            off
13010          has_advanced_control    "0"
13011          sggui_pos           "-1,-1,-1,-1"
13012          block_type          "concat"
13013          block_version       "8.2"
13014          sg_icon_stat        "25,80,2,1,white,blue,0,16398980,right,,[ ],[ ]"
13015          sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npatch([0 25 25 0 0 ],[0 0 80 80 0 ],[0.77 0"
13016          ".82 0.91 ]);\nplot([0 25 25 0 0 ],[0 0 80 80 0 ]);\npatch([5.325 9.66 12.66 15.66 18.66 12.66 8.325 5.325 ],[43"
13017          ".33 43.33 46.33 43.33 46.33 46.33 46.33 43.33 ],[1 1 1 ]);\npatch([8.325 12.66 9.66 5.325 8.325 ],[40.33 40.33 "
13018          "43.33 43.33 40.33 ],[0.931 0.946 0.973 ]);\npatch([5.325 9.66 12.66 8.325 5.325 ],[37.33 37.33 40.33 40.33 37.3"
13019          "3 ],[1 1 1 ]);\npatch([8.325 18.66 15.66 12.66 9.66 5.325 8.325 ],[34.33 34.33 37.33 34.33 37.33 37.33 34.33 ],"
13020          "[0.931 0.946 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncol"
13021          "or('black');port_label('input',1,'hi');\ncolor('black');port_label('input',2,'lo');\n\ncolor('black');disp('\\f"
13022          "ontsize{20}\\}','texmode','on');\nfprintf('','COMMENT: end icon text');"
13023        }
13024        Block {
13025          BlockType           Reference
13026          Name            "Reinterpret"
13027          SID             "901"
13028          Ports           [1, 1]
13029          Position            [385, 90, 420, 110]
13030          ShowName            off
13031          LibraryVersion          "1.2"
13032          SourceBlock         "xbsIndex_r4/Reinterpret"
13033          SourceType          "Xilinx Type Reinterpreter Block"
13034          infoedit            "Changes signal type without altering the binary representation.   You can changed the signal b"
13035          "etween signed and unsigned, and relocate the binary point.<P><P>Hardware notes: In hardware this block costs no"
13036          "thing.<P><P>Example:  Suppose the input is 6 bits wide, signed, with 2 fractional bits, and the output is force"
13037          "d to unsigned with 0 fractional bits.  Then an input of -2.0 (1110.00 in binary 2's complement) becomes an outp"
13038          "ut of 56 (111000 in binary)."
13039          force_arith_type        on
13040          arith_type          "Unsigned"
13041          force_bin_pt        on
13042          bin_pt              "0"
13043          has_advanced_control    "0"
13044          sggui_pos           "20,20,356,309"
13045          block_type          "reinterpret"
13046          block_version       "8.2"
13047          sg_icon_stat        "35,20,1,1,white,blue,0,6b04d0b0,right,,[ ],[ ]"
13048          sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npatch([0 35 35 0 0 ],[0 0 20 20 0 ],[0.77 0"
13049          ".82 0.91 ]);\nplot([0 35 35 0 0 ],[0 0 20 20 0 ]);\npatch([12.55 15.44 17.44 19.44 21.44 17.44 14.55 12.55 ],[1"
13050          "2.22 12.22 14.22 12.22 14.22 14.22 14.22 12.22 ],[1 1 1 ]);\npatch([14.55 17.44 15.44 12.55 14.55 ],[10.22 10.2"
13051          "2 12.22 12.22 10.22 ],[0.931 0.946 0.973 ]);\npatch([12.55 15.44 17.44 14.55 12.55 ],[8.22 8.22 10.22 10.22 8.2"
13052          "2 ],[1 1 1 ]);\npatch([14.55 21.44 19.44 17.44 15.44 12.55 14.55 ],[6.22 6.22 8.22 6.22 8.22 8.22 6.22 ],[0.931"
13053          " 0.946 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\n\n\ncolor"
13054          "('black');disp('reinterpret');\nfprintf('','COMMENT: end icon text');"
13055        }
13056        Block {
13057          BlockType           Reference
13058          Name            "Reinterpret1"
13059          SID             "902"
13060          Ports           [1, 1]
13061          Position            [390, 210, 425, 230]
13062          ShowName            off
13063          LibraryVersion          "1.2"
13064          SourceBlock         "xbsIndex_r4/Reinterpret"
13065          SourceType          "Xilinx Type Reinterpreter Block"
13066          infoedit            "Changes signal type without altering the binary representation.   You can changed the signal b"
13067          "etween signed and unsigned, and relocate the binary point.<P><P>Hardware notes: In hardware this block costs no"
13068          "thing.<P><P>Example:  Suppose the input is 6 bits wide, signed, with 2 fractional bits, and the output is force"
13069          "d to unsigned with 0 fractional bits.  Then an input of -2.0 (1110.00 in binary 2's complement) becomes an outp"
13070          "ut of 56 (111000 in binary)."
13071          force_arith_type        on
13072          arith_type          "Unsigned"
13073          force_bin_pt        on
13074          bin_pt              "0"
13075          has_advanced_control    "0"
13076          sggui_pos           "20,20,356,309"
13077          block_type          "reinterpret"
13078          block_version       "8.2"
13079          sg_icon_stat        "35,20,1,1,white,blue,0,6b04d0b0,right,,[ ],[ ]"
13080          sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npatch([0 35 35 0 0 ],[0 0 20 20 0 ],[0.77 0"
13081          ".82 0.91 ]);\nplot([0 35 35 0 0 ],[0 0 20 20 0 ]);\npatch([12.55 15.44 17.44 19.44 21.44 17.44 14.55 12.55 ],[1"
13082          "2.22 12.22 14.22 12.22 14.22 14.22 14.22 12.22 ],[1 1 1 ]);\npatch([14.55 17.44 15.44 12.55 14.55 ],[10.22 10.2"
13083          "2 12.22 12.22 10.22 ],[0.931 0.946 0.973 ]);\npatch([12.55 15.44 17.44 14.55 12.55 ],[8.22 8.22 10.22 10.22 8.2"
13084          "2 ],[1 1 1 ]);\npatch([14.55 21.44 19.44 17.44 15.44 12.55 14.55 ],[6.22 6.22 8.22 6.22 8.22 8.22 6.22 ],[0.931"
13085          " 0.946 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\n\n\ncolor"
13086          "('black');disp('reinterpret');\nfprintf('','COMMENT: end icon text');"
13087        }
13088        Block {
13089          BlockType           Reference
13090          Name            "zero"
13091          SID             "903"
13092          Ports           [0, 1]
13093          Position            [440, 191, 460, 209]
13094          ShowName            off
13095          LibraryVersion          "1.2"
13096          SourceBlock         "xbsIndex_r4/Constant"
13097          SourceType          "Xilinx Constant Block Block"
13098          const           "0"
13099          gui_display_data_type   "Fixed-point"
13100          arith_type          "Unsigned"
13101          n_bits              "2"
13102          bin_pt              "0"
13103          preci_type          "Single"
13104          exp_width           "8"
13105          frac_width          "24"
13106          explicit_period         off
13107          period              "1"
13108          dsp48_infoedit          "The use of this block for DSP48 instructions is deprecated.  Please use the Opmode block."
13109          equ             "P=C"
13110          opselect            "C"
13111          inp2            "PCIN>>17"
13112          opr             "+"
13113          inp1            "P"
13114          carry           "CIN"
13115          dbl_ovrd            off
13116          has_advanced_control    "0"
13117          sggui_pos           "-1,-1,-1,-1"
13118          block_type          "constant"
13119          block_version       "VER_STRING_GOES_HERE"
13120          sg_icon_stat        "20,18,0,1,white,blue,0,bf4ddd8b,right,,[ ],[ ]"
13121          sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npatch([0 20 20 0 0 ],[0 0 18 18 0 ],[0.77 0"
13122          ".82 0.91 ]);\nplot([0 20 20 0 0 ],[0 0 18 18 0 ]);\npatch([5.55 8.44 10.44 12.44 14.44 10.44 7.55 5.55 ],[11.22"
13123          " 11.22 13.22 11.22 13.22 13.22 13.22 11.22 ],[1 1 1 ]);\npatch([7.55 10.44 8.44 5.55 7.55 ],[9.22 9.22 11.22 11"
13124          ".22 9.22 ],[0.931 0.946 0.973 ]);\npatch([5.55 8.44 10.44 7.55 5.55 ],[7.22 7.22 9.22 9.22 7.22 ],[1 1 1 ]);\np"
13125          "atch([7.55 14.44 12.44 10.44 8.44 5.55 7.55 ],[5.22 5.22 7.22 5.22 7.22 7.22 5.22 ],[0.931 0.946 0.973 ]);\nfpr"
13126          "intf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('out"
13127          "put',1,'0');\nfprintf('','COMMENT: end icon text');"
13128        }
13129        Block {
13130          BlockType           Reference
13131          Name            "zero1"
13132          SID             "904"
13133          Ports           [0, 1]
13134          Position            [440, 71, 460, 89]
13135          ShowName            off
13136          LibraryVersion          "1.2"
13137          SourceBlock         "xbsIndex_r4/Constant"
13138          SourceType          "Xilinx Constant Block Block"
13139          const           "0"
13140          gui_display_data_type   "Fixed-point"
13141          arith_type          "Unsigned"
13142          n_bits              "2"
13143          bin_pt              "0"
13144          preci_type          "Single"
13145          exp_width           "8"
13146          frac_width          "24"
13147          explicit_period         off
13148          period              "1"
13149          dsp48_infoedit          "The use of this block for DSP48 instructions is deprecated.  Please use the Opmode block."
13150          equ             "P=C"
13151          opselect            "C"
13152          inp2            "PCIN>>17"
13153          opr             "+"
13154          inp1            "P"
13155          carry           "CIN"
13156          dbl_ovrd            off
13157          has_advanced_control    "0"
13158          sggui_pos           "-1,-1,-1,-1"
13159          block_type          "constant"
13160          block_version       "VER_STRING_GOES_HERE"
13161          sg_icon_stat        "20,18,0,1,white,blue,0,bf4ddd8b,right,,[ ],[ ]"
13162          sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npatch([0 20 20 0 0 ],[0 0 18 18 0 ],[0.77 0"
13163          ".82 0.91 ]);\nplot([0 20 20 0 0 ],[0 0 18 18 0 ]);\npatch([5.55 8.44 10.44 12.44 14.44 10.44 7.55 5.55 ],[11.22"
13164          " 11.22 13.22 11.22 13.22 13.22 13.22 11.22 ],[1 1 1 ]);\npatch([7.55 10.44 8.44 5.55 7.55 ],[9.22 9.22 11.22 11"
13165          ".22 9.22 ],[0.931 0.946 0.973 ]);\npatch([5.55 8.44 10.44 7.55 5.55 ],[7.22 7.22 9.22 9.22 7.22 ],[1 1 1 ]);\np"
13166          "atch([7.55 14.44 12.44 10.44 8.44 5.55 7.55 ],[5.22 5.22 7.22 5.22 7.22 7.22 5.22 ],[0.931 0.946 0.973 ]);\nfpr"
13167          "intf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('out"
13168          "put',1,'0');\nfprintf('','COMMENT: end icon text');"
13169        }
13170        Block {
13171          BlockType           Reference
13172          Name            "zero2"
13173          SID             "905"
13174          Ports           [0, 1]
13175          Position            [440, 101, 460, 119]
13176          ShowName            off
13177          LibraryVersion          "1.2"
13178          SourceBlock         "xbsIndex_r4/Constant"
13179          SourceType          "Xilinx Constant Block Block"
13180          const           "0"
13181          gui_display_data_type   "Fixed-point"
13182          arith_type          "Unsigned"
13183          n_bits              "2"
13184          bin_pt              "0"
13185          preci_type          "Single"
13186          exp_width           "8"
13187          frac_width          "24"
13188          explicit_period         off
13189          period              "1"
13190          dsp48_infoedit          "The use of this block for DSP48 instructions is deprecated.  Please use the Opmode block."
13191          equ             "P=C"
13192          opselect            "C"
13193          inp2            "PCIN>>17"
13194          opr             "+"
13195          inp1            "P"
13196          carry           "CIN"
13197          dbl_ovrd            off
13198          has_advanced_control    "0"
13199          sggui_pos           "-1,-1,-1,-1"
13200          block_type          "constant"
13201          block_version       "VER_STRING_GOES_HERE"
13202          sg_icon_stat        "20,18,0,1,white,blue,0,bf4ddd8b,right,,[ ],[ ]"
13203          sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npatch([0 20 20 0 0 ],[0 0 18 18 0 ],[0.77 0"
13204          ".82 0.91 ]);\nplot([0 20 20 0 0 ],[0 0 18 18 0 ]);\npatch([5.55 8.44 10.44 12.44 14.44 10.44 7.55 5.55 ],[11.22"
13205          " 11.22 13.22 11.22 13.22 13.22 13.22 11.22 ],[1 1 1 ]);\npatch([7.55 10.44 8.44 5.55 7.55 ],[9.22 9.22 11.22 11"
13206          ".22 9.22 ],[0.931 0.946 0.973 ]);\npatch([5.55 8.44 10.44 7.55 5.55 ],[7.22 7.22 9.22 9.22 7.22 ],[1 1 1 ]);\np"
13207          "atch([7.55 14.44 12.44 10.44 8.44 5.55 7.55 ],[5.22 5.22 7.22 5.22 7.22 7.22 5.22 ],[0.931 0.946 0.973 ]);\nfpr"
13208          "intf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('out"
13209          "put',1,'0');\nfprintf('','COMMENT: end icon text');"
13210        }
13211        Block {
13212          BlockType           Reference
13213          Name            "zero3"
13214          SID             "906"
13215          Ports           [0, 1]
13216          Position            [440, 221, 460, 239]
13217          ShowName            off
13218          LibraryVersion          "1.2"
13219          SourceBlock         "xbsIndex_r4/Constant"
13220          SourceType          "Xilinx Constant Block Block"
13221          const           "0"
13222          gui_display_data_type   "Fixed-point"
13223          arith_type          "Unsigned"
13224          n_bits              "2"
13225          bin_pt              "0"
13226          preci_type          "Single"
13227          exp_width           "8"
13228          frac_width          "24"
13229          explicit_period         off
13230          period              "1"
13231          dsp48_infoedit          "The use of this block for DSP48 instructions is deprecated.  Please use the Opmode block."
13232          equ             "P=C"
13233          opselect            "C"
13234          inp2            "PCIN>>17"
13235          opr             "+"
13236          inp1            "P"
13237          carry           "CIN"
13238          dbl_ovrd            off
13239          has_advanced_control    "0"
13240          sggui_pos           "-1,-1,-1,-1"
13241          block_type          "constant"
13242          block_version       "VER_STRING_GOES_HERE"
13243          sg_icon_stat        "20,18,0,1,white,blue,0,bf4ddd8b,right,,[ ],[ ]"
13244          sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npatch([0 20 20 0 0 ],[0 0 18 18 0 ],[0.77 0"
13245          ".82 0.91 ]);\nplot([0 20 20 0 0 ],[0 0 18 18 0 ]);\npatch([5.55 8.44 10.44 12.44 14.44 10.44 7.55 5.55 ],[11.22"
13246          " 11.22 13.22 11.22 13.22 13.22 13.22 11.22 ],[1 1 1 ]);\npatch([7.55 10.44 8.44 5.55 7.55 ],[9.22 9.22 11.22 11"
13247          ".22 9.22 ],[0.931 0.946 0.973 ]);\npatch([5.55 8.44 10.44 7.55 5.55 ],[7.22 7.22 9.22 9.22 7.22 ],[1 1 1 ]);\np"
13248          "atch([7.55 14.44 12.44 10.44 8.44 5.55 7.55 ],[5.22 5.22 7.22 5.22 7.22 7.22 5.22 ],[0.931 0.946 0.973 ]);\nfpr"
13249          "intf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('out"
13250          "put',1,'0');\nfprintf('','COMMENT: end icon text');"
13251        }
13252        Block {
13253          BlockType           Outport
13254          Name            "32b"
13255          SID             "907"
13256          Position            [695, 113, 725, 127]
13257          IconDisplay         "Port number"
13258          MaskIconFrame       on
13259          MaskIconOpaque          on
13260          MaskIconRotate          "none"
13261          MaskPortRotate          "default"
13262          MaskIconUnits       "autoscale"
13263        }
13264        Line {
13265          SrcBlock            "zero"
13266          SrcPort             1
13267          DstBlock            "Concat1"
13268          DstPort             1
13269        }
13270        Line {
13271          SrcBlock            "zero1"
13272          SrcPort             1
13273          DstBlock            "Concat2"
13274          DstPort             1
13275        }
13276        Line {
13277          SrcBlock            "Concat5"
13278          SrcPort             1
13279          DstBlock            "32b"
13280          DstPort             1
13281        }
13282        Line {
13283          SrcBlock            "ADC_I"
13284          SrcPort             1
13285          DstBlock            "Reinterpret"
13286          DstPort             1
13287        }
13288        Line {
13289          SrcBlock            "ADC_Q"
13290          SrcPort             1
13291          DstBlock            "Reinterpret1"
13292          DstPort             1
13293        }
13294        Line {
13295          SrcBlock            "Reinterpret"
13296          SrcPort             1
13297          DstBlock            "Concat2"
13298          DstPort             2
13299        }
13300        Line {
13301          SrcBlock            "Reinterpret1"
13302          SrcPort             1
13303          DstBlock            "Concat1"
13304          DstPort             2
13305        }
13306        Line {
13307          SrcBlock            "Concat2"
13308          SrcPort             1
13309          DstBlock            "Concat3"
13310          DstPort             1
13311        }
13312        Line {
13313          SrcBlock            "Concat1"
13314          SrcPort             1
13315          DstBlock            "Concat4"
13316          DstPort             1
13317        }
13318        Line {
13319          SrcBlock            "zero2"
13320          SrcPort             1
13321          DstBlock            "Concat3"
13322          DstPort             2
13323        }
13324        Line {
13325          SrcBlock            "zero3"
13326          SrcPort             1
13327          DstBlock            "Concat4"
13328          DstPort             2
13329        }
13330        Line {
13331          SrcBlock            "Concat3"
13332          SrcPort             1
13333          DstBlock            "Concat5"
13334          DstPort             1
13335        }
13336        Line {
13337          SrcBlock            "Concat4"
13338          SrcPort             1
13339          Points              [20, 0; 0, -80]
13340          DstBlock            "Concat5"
13341          DstPort             2
13342        }
13343      }
13344    }
13345    Block {
13346      BlockType       SubSystem
13347      Name            "RSSI"
13348      SID             "813"
13349      Ports           [0, 1]
13350      Position        [470, 397, 540, 433]
13351      MinAlgLoopOccurrences   off
13352      PropExecContextOutsideSubsystem off
13353      RTWSystemCode       "Auto"
13354      FunctionWithSeparateData off
13355      Opaque          off
13356      RequestExecContextInheritance off
13357      MaskHideContents    off
13358      System {
13359        Name            "RSSI"
13360        Location            [2, 82, 1184, 734]
13361        Open            off
13362        ModelBrowserVisibility  off
13363        ModelBrowserWidth       200
13364        ScreenColor         "white"
13365        PaperOrientation        "landscape"
13366        PaperPositionMode       "auto"
13367        PaperType           "usletter"
13368        PaperUnits          "inches"
13369        TiledPaperMargins       [0.500000, 0.500000, 0.500000, 0.500000]
13370        TiledPageScale      1
13371        ShowPageBoundaries      off
13372        ZoomFactor          "100"
13373        Block {
13374          BlockType           Constant
13375          Name            "Constant1"
13376          SID             "814"
13377          Position            [160, 100, 190, 130]
13378          ShowName            off
13379          Value           "0"
13380        }
13381        Block {
13382          BlockType           Reference
13383          Name            "radio2_RSSI"
13384          SID             "815"
13385          Ports           [1, 1]
13386          Position            [280, 109, 335, 121]
13387          NamePlacement       "alternate"
13388          LibraryVersion          "1.2"
13389          SourceBlock         "xbsIndex_r4/Gateway In"
13390          SourceType          "Xilinx Gateway In Block"
13391          infoedit            "Gateway in block.  Converts inputs of type Simulink integer, double and fixed point to  Xilinx"
13392          " fixed point type.<P><P>Hardware notes:  In hardware these blocks become top level input ports."
13393          gui_display_data_type   "Fixed-point"
13394          arith_type          "Unsigned"
13395          n_bits              "10"
13396          bin_pt              "0"
13397          preci_type          "Single"
13398          exp_width           "8"
13399          frac_width          "24"
13400          quantization        "Truncate"
13401          overflow            "Wrap"
13402          period              "1"
13403          dbl_ovrd            off
13404          timing_constraint       "None"
13405          locs_specified          off
13406          LOCs            "{}"
13407          xl_use_area         off
13408          xl_area             "[0,0,0,0,0,0,0]"
13409          inherit_from_input      off
13410          UseAsADC            off
13411          ADCChannel          "'1'"
13412          hdl_port            "on"
13413          has_advanced_control    "0"
13414          sggui_pos           "20,20,356,432"
13415          block_type          "gatewayin"
13416          block_version       "VER_STRING_GOES_HERE"
13417          sg_icon_stat        "55,12,1,1,white,yellow,1,00d3666e,right,,[ ],[ ]"
13418          sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 0 ],[0 0 12 12 0 ],[0.95 0"
13419          ".93 0.65 ]);\nplot([0 55 55 0 0 ],[0 0 12 12 0 ]);\npatch([24.775 26.22 27.22 28.22 29.22 27.22 25.775 24.775 ]"
13420          ",[7.11 7.11 8.11 7.11 8.11 8.11 8.11 7.11 ],[1 1 1 ]);\npatch([25.775 27.22 26.22 24.775 25.775 ],[6.11 6.11 7."
13421          "11 7.11 6.11 ],[0.985 0.979 0.895 ]);\npatch([24.775 26.22 27.22 25.775 24.775 ],[5.11 5.11 6.11 6.11 5.11 ],[1"
13422          " 1 1 ]);\npatch([25.775 29.22 28.22 27.22 26.22 24.775 25.775 ],[4.11 4.11 5.11 4.11 5.11 5.11 4.11 ],[0.985 0."
13423          "979 0.895 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black"
13424          "');port_label('input',1,'\\fontsize{11pt}\\bf In ','texmode','on');\ncolor('black');port_label('output',1,' ');"
13425          "\nfprintf('','COMMENT: end icon text');"
13426        }
13427        Block {
13428          BlockType           Outport
13429          Name            "RSSI"
13430          SID             "816"
13431          Position            [740, 108, 770, 122]
13432          IconDisplay         "Port number"
13433        }
13434        Line {
13435          SrcBlock            "radio2_RSSI"
13436          SrcPort             1
13437          DstBlock            "RSSI"
13438          DstPort             1
13439        }
13440        Line {
13441          SrcBlock            "Constant1"
13442          SrcPort             1
13443          DstBlock            "radio2_RSSI"
13444          DstPort             1
13445        }
13446      }
13447    }
13448    Block {
13449      BlockType       Outport
13450      Name            "Radio 2 RSSI"
13451      SID             "817"
13452      Position        [655, 408, 685, 422]
13453      IconDisplay         "Port number"
13454    }
13455    Block {
13456      BlockType       Outport
13457      Name            "Radio 2 I/Q"
13458      SID             "818"
13459      Position        [865, 288, 895, 302]
13460      Port            "2"
13461      IconDisplay         "Port number"
13462    }
13463    Line {
13464      SrcBlock        "ADC Q"
13465      SrcPort         1
13466      DstBlock        "Concatenates_1"
13467      DstPort         2
13468    }
13469    Line {
13470      SrcBlock        "ADC I"
13471      SrcPort         1
13472      DstBlock        "Concatenates_1"
13473      DstPort         1
13474    }
13475    Line {
13476      SrcBlock        "MGCAGC_AGCDCO_SEL"
13477      SrcPort         1
13478      Points          [75, 0]
13479      Branch {
13480        Points          [0, 60]
13481        DstBlock            "ADC Q"
13482        DstPort         1
13483      }
13484      Branch {
13485        DstBlock            "ADC I"
13486        DstPort         1
13487      }
13488    }
13489    Line {
13490      SrcBlock        "RSSI"
13491      SrcPort         1
13492      DstBlock        "Radio 2 RSSI"
13493      DstPort         1
13494    }
13495    Line {
13496      SrcBlock        "Concatenates_1"
13497      SrcPort         1
13498      DstBlock        "Radio 2 I/Q"
13499      DstPort         1
13500    }
13501      }
13502    }
13503    Block {
13504      BlockType           SubSystem
13505      Name            "Radio 2 Outputs"
13506      SID             "686"
13507      Ports           [1]
13508      Position            [1175, 761, 1225, 809]
13509      NamePlacement       "alternate"
13510      MinAlgLoopOccurrences   off
13511      PropExecContextOutsideSubsystem off
13512      RTWSystemCode       "Auto"
13513      FunctionWithSeparateData off
13514      Opaque              off
13515      RequestExecContextInheritance off
13516      MaskHideContents        off
13517      System {
13518    Name            "Radio 2 Outputs"
13519    Location        [2, 82, 1078, 539]
13520    Open            off
13521    ModelBrowserVisibility  off
13522    ModelBrowserWidth   200
13523    ScreenColor     "white"
13524    PaperOrientation    "landscape"
13525    PaperPositionMode   "auto"
13526    PaperType       "usletter"
13527    PaperUnits      "inches"
13528    TiledPaperMargins   [0.500000, 0.500000, 0.500000, 0.500000]
13529    TiledPageScale      1
13530    ShowPageBoundaries  off
13531    ZoomFactor      "100"
13532    Block {
13533      BlockType       Inport
13534      Name            "32b"
13535      SID             "687"
13536      Position        [85, 238, 115, 252]
13537      IconDisplay         "Port number"
13538    }
13539    Block {
13540      BlockType       Reference
13541      Name            "16LSB"
13542      SID             "688"
13543      Ports           [1, 1]
13544      Position        [265, 237, 305, 253]
13545      LibraryVersion      "1.2"
13546      SourceBlock         "xbsIndex_r4/Slice"
13547      SourceType          "Xilinx Bit Slice Extractor Block"
13548      infoedit        "Extracts a given range of bits from each input sample and presents it at the output.  The output type "
13549      "is ordinarily unsigned with binary point at zero, but can be Boolean when the slice is one bit wide.<P><P>Hardware "
13550      "notes: In hardware this block costs nothing."
13551      nbits           "16"
13552      boolean_output      off
13553      mode            "Lower Bit Location + Width"
13554      bit1            "0"
13555      base1           "MSB of Input"
13556      bit0            "0"
13557      base0           "LSB of Input"
13558      dbl_ovrd        off
13559      has_advanced_control    "0"
13560      sggui_pos       "20,20,442,407"
13561      block_type          "slice"
13562      block_version       "8.2"
13563      sg_icon_stat        "40,16,1,1,white,blue,0,1fd851a7,right,,[ ],[ ]"
13564      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 40 40 0 0 ],[0 0 16 16 0 ],[0.77 0.82 0.91"
13565      " ]);\nplot([0 40 40 0 0 ],[0 0 16 16 0 ]);\npatch([15.55 18.44 20.44 22.44 24.44 20.44 17.55 15.55 ],[10.22 10.22 1"
13566      "2.22 10.22 12.22 12.22 12.22 10.22 ],[1 1 1 ]);\npatch([17.55 20.44 18.44 15.55 17.55 ],[8.22 8.22 10.22 10.22 8.22"
13567      " ],[0.931 0.946 0.973 ]);\npatch([15.55 18.44 20.44 17.55 15.55 ],[6.22 6.22 8.22 8.22 6.22 ],[1 1 1 ]);\npatch([17"
13568      ".55 24.44 22.44 20.44 18.44 15.55 17.55 ],[4.22 4.22 6.22 4.22 6.22 6.22 4.22 ],[0.931 0.946 0.973 ]);\nfprintf('',"
13569      "'COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\n\ncolor('black');port_label('output',1,'[a"
13570      ":b]');\nfprintf('','COMMENT: end icon text');"
13571    }
13572    Block {
13573      BlockType       Reference
13574      Name            "16MSB"
13575      SID             "689"
13576      Ports           [1, 1]
13577      Position        [265, 181, 305, 199]
13578      NamePlacement       "alternate"
13579      LibraryVersion      "1.2"
13580      SourceBlock         "xbsIndex_r4/Slice"
13581      SourceType          "Xilinx Bit Slice Extractor Block"
13582      infoedit        "Extracts a given range of bits from each input sample and presents it at the output.  The output type "
13583      "is ordinarily unsigned with binary point at zero, but can be Boolean when the slice is one bit wide.<P><P>Hardware "
13584      "notes: In hardware this block costs nothing."
13585      nbits           "16"
13586      boolean_output      off
13587      mode            "Upper Bit Location + Width"
13588      bit1            "0"
13589      base1           "MSB of Input"
13590      bit0            "0"
13591      base0           "LSB of Input"
13592      dbl_ovrd        off
13593      has_advanced_control    "0"
13594      sggui_pos       "20,20,442,407"
13595      block_type          "slice"
13596      block_version       "8.2"
13597      sg_icon_stat        "40,18,1,1,white,blue,0,1fd851a7,right,,[ ],[ ]"
13598      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 40 40 0 0 ],[0 0 18 18 0 ],[0.77 0.82 0.91"
13599      " ]);\nplot([0 40 40 0 0 ],[0 0 18 18 0 ]);\npatch([15.55 18.44 20.44 22.44 24.44 20.44 17.55 15.55 ],[11.22 11.22 1"
13600      "3.22 11.22 13.22 13.22 13.22 11.22 ],[1 1 1 ]);\npatch([17.55 20.44 18.44 15.55 17.55 ],[9.22 9.22 11.22 11.22 9.22"
13601      " ],[0.931 0.946 0.973 ]);\npatch([15.55 18.44 20.44 17.55 15.55 ],[7.22 7.22 9.22 9.22 7.22 ],[1 1 1 ]);\npatch([17"
13602      ".55 24.44 22.44 20.44 18.44 15.55 17.55 ],[5.22 5.22 7.22 5.22 7.22 7.22 5.22 ],[0.931 0.946 0.973 ]);\nfprintf('',"
13603      "'COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\n\ncolor('black');port_label('output',1,'[a"
13604      ":b]');\nfprintf('','COMMENT: end icon text');"
13605    }
13606    Block {
13607      BlockType       Reference
13608      Name            "Convert"
13609      SID             "690"
13610      Ports           [1, 1]
13611      Position        [480, 175, 525, 205]
13612      LibraryVersion      "1.2"
13613      SourceBlock         "xbsIndex_r4/Convert"
13614      SourceType          "Xilinx Type Converter Block"
13615      infoedit        "Hardware notes: rounding and saturating require hardware resources; truncating and wrapping do not."
13616      gui_display_data_type   "Fixed-point"
13617      arith_type          "Signed  (2's comp)"
13618      n_bits          "12"
13619      bin_pt          "11"
13620      float_type          "Single"
13621      exp_bits        "8"
13622      fraction_bits       "24"
13623      quantization        "Truncate"
13624      overflow        "Wrap"
13625      en              off
13626      latency         "0"
13627      dbl_ovrd        off
13628      pipeline        off
13629      xl_use_area         off
13630      xl_area         "[0,0,0,0,0,0,0]"
13631      has_advanced_control    "0"
13632      sggui_pos       "-1,-1,-1,-1"
13633      block_type          "convert"
13634      sg_icon_stat        "45,30,1,1,white,blue,0,edca21da,right,,[ ],[ ]"
13635      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 45 45 0 0 ],[0 0 30 30 0 ],[0.77 0.82 0.91"
13636      " ]);\nplot([0 45 45 0 0 ],[0 0 30 30 0 ]);\npatch([13.1 18.88 22.88 26.88 30.88 22.88 17.1 13.1 ],[19.44 19.44 23.4"
13637      "4 19.44 23.44 23.44 23.44 19.44 ],[1 1 1 ]);\npatch([17.1 22.88 18.88 13.1 17.1 ],[15.44 15.44 19.44 19.44 15.44 ],"
13638      "[0.931 0.946 0.973 ]);\npatch([13.1 18.88 22.88 17.1 13.1 ],[11.44 11.44 15.44 15.44 11.44 ],[1 1 1 ]);\npatch([17."
13639      "1 30.88 26.88 22.88 18.88 13.1 17.1 ],[7.44 7.44 11.44 7.44 11.44 11.44 7.44 ],[0.931 0.946 0.973 ]);\nfprintf('','"
13640      "COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\n\ncolor('black');port_label('output',1,'cas"
13641      "t');\nfprintf('','COMMENT: end icon text');"
13642    }
13643    Block {
13644      BlockType       Reference
13645      Name            "Convert1"
13646      SID             "691"
13647      Ports           [1, 1]
13648      Position        [480, 230, 525, 260]
13649      LibraryVersion      "1.2"
13650      SourceBlock         "xbsIndex_r4/Convert"
13651      SourceType          "Xilinx Type Converter Block"
13652      infoedit        "Hardware notes: rounding and saturating require hardware resources; truncating and wrapping do not."
13653      gui_display_data_type   "Fixed-point"
13654      arith_type          "Signed  (2's comp)"
13655      n_bits          "12"
13656      bin_pt          "11"
13657      float_type          "Single"
13658      exp_bits        "8"
13659      fraction_bits       "24"
13660      quantization        "Truncate"
13661      overflow        "Wrap"
13662      en              off
13663      latency         "0"
13664      dbl_ovrd        off
13665      pipeline        off
13666      xl_use_area         off
13667      xl_area         "[0,0,0,0,0,0,0]"
13668      has_advanced_control    "0"
13669      sggui_pos       "-1,-1,-1,-1"
13670      block_type          "convert"
13671      sg_icon_stat        "45,30,1,1,white,blue,0,edca21da,right,,[ ],[ ]"
13672      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 45 45 0 0 ],[0 0 30 30 0 ],[0.77 0.82 0.91"
13673      " ]);\nplot([0 45 45 0 0 ],[0 0 30 30 0 ]);\npatch([13.1 18.88 22.88 26.88 30.88 22.88 17.1 13.1 ],[19.44 19.44 23.4"
13674      "4 19.44 23.44 23.44 23.44 19.44 ],[1 1 1 ]);\npatch([17.1 22.88 18.88 13.1 17.1 ],[15.44 15.44 19.44 19.44 15.44 ],"
13675      "[0.931 0.946 0.973 ]);\npatch([13.1 18.88 22.88 17.1 13.1 ],[11.44 11.44 15.44 15.44 11.44 ],[1 1 1 ]);\npatch([17."
13676      "1 30.88 26.88 22.88 18.88 13.1 17.1 ],[7.44 7.44 11.44 7.44 11.44 11.44 7.44 ],[0.931 0.946 0.973 ]);\nfprintf('','"
13677      "COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\n\ncolor('black');port_label('output',1,'cas"
13678      "t');\nfprintf('','COMMENT: end icon text');"
13679    }
13680    Block {
13681      BlockType       Reference
13682      Name            "Register"
13683      SID             "692"
13684      Ports           [1, 1]
13685      Position        [625, 176, 660, 204]
13686      ShowName        off
13687      LibraryVersion      "1.2"
13688      SourceBlock         "xbsIndex_r4/Register"
13689      SourceType          "Xilinx Register Block"
13690      init            "0"
13691      rst             off
13692      en              off
13693      dbl_ovrd        off
13694      xl_use_area         off
13695      xl_area         "[0,0,0,0,0,0,0]"
13696      has_advanced_control    "0"
13697      sggui_pos       "-1,-1,-1,-1"
13698      block_type          "register"
13699      block_version       "8.2"
13700      sg_icon_stat        "35,28,1,1,white,blue,0,c80657c5,right,,[ ],[ ]"
13701      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 35 35 0 0 ],[0 0 28 28 0 ],[0.77 0.82 0.91"
13702      " ]);\nplot([0 35 35 0 0 ],[0 0 28 28 0 ]);\npatch([8.1 13.88 17.88 21.88 25.88 17.88 12.1 8.1 ],[18.44 18.44 22.44 "
13703      "18.44 22.44 22.44 22.44 18.44 ],[1 1 1 ]);\npatch([12.1 17.88 13.88 8.1 12.1 ],[14.44 14.44 18.44 18.44 14.44 ],[0."
13704      "931 0.946 0.973 ]);\npatch([8.1 13.88 17.88 12.1 8.1 ],[10.44 10.44 14.44 14.44 10.44 ],[1 1 1 ]);\npatch([12.1 25."
13705      "88 21.88 17.88 13.88 8.1 12.1 ],[6.44 6.44 10.44 6.44 10.44 10.44 6.44 ],[0.931 0.946 0.973 ]);\nfprintf('','COMMEN"
13706      "T: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1,'d');\ncolor("
13707      "'black');port_label('output',1,'q');\ncolor('black');disp('z^{-1}','texmode','on');\nfprintf('','COMMENT: end icon "
13708      "text');"
13709    }
13710    Block {
13711      BlockType       Reference
13712      Name            "Register1"
13713      SID             "693"
13714      Ports           [1, 1]
13715      Position        [625, 231, 660, 259]
13716      ShowName        off
13717      LibraryVersion      "1.2"
13718      SourceBlock         "xbsIndex_r4/Register"
13719      SourceType          "Xilinx Register Block"
13720      init            "0"
13721      rst             off
13722      en              off
13723      dbl_ovrd        off
13724      xl_use_area         off
13725      xl_area         "[0,0,0,0,0,0,0]"
13726      has_advanced_control    "0"
13727      sggui_pos       "-1,-1,-1,-1"
13728      block_type          "register"
13729      block_version       "8.2"
13730      sg_icon_stat        "35,28,1,1,white,blue,0,c80657c5,right,,[ ],[ ]"
13731      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 35 35 0 0 ],[0 0 28 28 0 ],[0.77 0.82 0.91"
13732      " ]);\nplot([0 35 35 0 0 ],[0 0 28 28 0 ]);\npatch([8.1 13.88 17.88 21.88 25.88 17.88 12.1 8.1 ],[18.44 18.44 22.44 "
13733      "18.44 22.44 22.44 22.44 18.44 ],[1 1 1 ]);\npatch([12.1 17.88 13.88 8.1 12.1 ],[14.44 14.44 18.44 18.44 14.44 ],[0."
13734      "931 0.946 0.973 ]);\npatch([8.1 13.88 17.88 12.1 8.1 ],[10.44 10.44 14.44 14.44 10.44 ],[1 1 1 ]);\npatch([12.1 25."
13735      "88 21.88 17.88 13.88 8.1 12.1 ],[6.44 6.44 10.44 6.44 10.44 10.44 6.44 ],[0.931 0.946 0.973 ]);\nfprintf('','COMMEN"
13736      "T: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1,'d');\ncolor("
13737      "'black');port_label('output',1,'q');\ncolor('black');disp('z^{-1}','texmode','on');\nfprintf('','COMMENT: end icon "
13738      "text');"
13739    }
13740    Block {
13741      BlockType       Reference
13742      Name            "Reinterpret"
13743      SID             "694"
13744      Ports           [1, 1]
13745      Position        [365, 180, 410, 200]
13746      ShowName        off
13747      LibraryVersion      "1.2"
13748      SourceBlock         "xbsIndex_r4/Reinterpret"
13749      SourceType          "Xilinx Type Reinterpreter Block"
13750      infoedit        "Changes signal type without altering the binary representation.   You can changed the signal between s"
13751      "igned and unsigned, and relocate the binary point.<P><P>Hardware notes: In hardware this block costs nothing.<P><P>"
13752      "Example:  Suppose the input is 6 bits wide, signed, with 2 fractional bits, and the output is forced to unsigned wi"
13753      "th 0 fractional bits.  Then an input of -2.0 (1110.00 in binary 2's complement) becomes an output of 56 (111000 in "
13754      "binary)."
13755      force_arith_type    on
13756      arith_type          "Signed  (2's comp)"
13757      force_bin_pt        on
13758      bin_pt          "15"
13759      has_advanced_control    "0"
13760      sggui_pos       "20,20,356,309"
13761      block_type          "reinterpret"
13762      block_version       "8.2"
13763      sg_icon_stat        "45,20,1,1,white,blue,0,6b04d0b0,right,,[ ],[ ]"
13764      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 45 45 0 0 ],[0 0 20 20 0 ],[0.77 0.82 0.91"
13765      " ]);\nplot([0 45 45 0 0 ],[0 0 20 20 0 ]);\npatch([17.55 20.44 22.44 24.44 26.44 22.44 19.55 17.55 ],[12.22 12.22 1"
13766      "4.22 12.22 14.22 14.22 14.22 12.22 ],[1 1 1 ]);\npatch([19.55 22.44 20.44 17.55 19.55 ],[10.22 10.22 12.22 12.22 10"
13767      ".22 ],[0.931 0.946 0.973 ]);\npatch([17.55 20.44 22.44 19.55 17.55 ],[8.22 8.22 10.22 10.22 8.22 ],[1 1 1 ]);\npatc"
13768      "h([19.55 26.44 24.44 22.44 20.44 17.55 19.55 ],[6.22 6.22 8.22 6.22 8.22 8.22 6.22 ],[0.931 0.946 0.973 ]);\nfprint"
13769      "f('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\n\n\ncolor('black');disp('reinterpret'"
13770      ");\nfprintf('','COMMENT: end icon text');"
13771    }
13772    Block {
13773      BlockType       Reference
13774      Name            "Reinterpret1"
13775      SID             "695"
13776      Ports           [1, 1]
13777      Position        [365, 235, 410, 255]
13778      ShowName        off
13779      LibraryVersion      "1.2"
13780      SourceBlock         "xbsIndex_r4/Reinterpret"
13781      SourceType          "Xilinx Type Reinterpreter Block"
13782      infoedit        "Changes signal type without altering the binary representation.   You can changed the signal between s"
13783      "igned and unsigned, and relocate the binary point.<P><P>Hardware notes: In hardware this block costs nothing.<P><P>"
13784      "Example:  Suppose the input is 6 bits wide, signed, with 2 fractional bits, and the output is forced to unsigned wi"
13785      "th 0 fractional bits.  Then an input of -2.0 (1110.00 in binary 2's complement) becomes an output of 56 (111000 in "
13786      "binary)."
13787      force_arith_type    on
13788      arith_type          "Signed  (2's comp)"
13789      force_bin_pt        on
13790      bin_pt          "15"
13791      has_advanced_control    "0"
13792      sggui_pos       "20,20,356,309"
13793      block_type          "reinterpret"
13794      block_version       "8.2"
13795      sg_icon_stat        "45,20,1,1,white,blue,0,6b04d0b0,right,,[ ],[ ]"
13796      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 45 45 0 0 ],[0 0 20 20 0 ],[0.77 0.82 0.91"
13797      " ]);\nplot([0 45 45 0 0 ],[0 0 20 20 0 ]);\npatch([17.55 20.44 22.44 24.44 26.44 22.44 19.55 17.55 ],[12.22 12.22 1"
13798      "4.22 12.22 14.22 14.22 14.22 12.22 ],[1 1 1 ]);\npatch([19.55 22.44 20.44 17.55 19.55 ],[10.22 10.22 12.22 12.22 10"
13799      ".22 ],[0.931 0.946 0.973 ]);\npatch([17.55 20.44 22.44 19.55 17.55 ],[8.22 8.22 10.22 10.22 8.22 ],[1 1 1 ]);\npatc"
13800      "h([19.55 26.44 24.44 22.44 20.44 17.55 19.55 ],[6.22 6.22 8.22 6.22 8.22 8.22 6.22 ],[0.931 0.946 0.973 ]);\nfprint"
13801      "f('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\n\n\ncolor('black');disp('reinterpret'"
13802      ");\nfprintf('','COMMENT: end icon text');"
13803    }
13804    Block {
13805      BlockType       Terminator
13806      Name            "Terminator1"
13807      SID             "696"
13808      Position        [870, 180, 890, 200]
13809      ShowName        off
13810    }
13811    Block {
13812      BlockType       Terminator
13813      Name            "Terminator2"
13814      SID             "697"
13815      Position        [870, 235, 890, 255]
13816      ShowName        off
13817    }
13818    Block {
13819      BlockType       Reference
13820      Name            "radio2_DAC_I"
13821      SID             "698"
13822      Ports           [1, 1]
13823      Position        [750, 180, 810, 200]
13824      LibraryVersion      "1.2"
13825      SourceBlock         "xbsIndex_r4/Gateway Out"
13826      SourceType          "Xilinx Gateway Out Block"
13827      infoedit        "Gateway out block.  Converts Xilinx fixed-point or floating-point type inputs into ouputs of type Simu"
13828      "link integer, single, double, or fixed point.<br><br>Hardware notes:  In hardware these blocks become top level out"
13829      "put ports or are discarded, depending on how they are configured."
13830      inherit_from_input      off
13831      hdl_port        on
13832      timing_constraint   "None"
13833      locs_specified      off
13834      LOCs            "{}"
13835      xl_use_area         off
13836      xl_area         "[0,0,0,0,0,0,0]"
13837      UseAsDAC        off
13838      DACChannel          "'1'"
13839      has_advanced_control    "0"
13840      sggui_pos       "-1,-1,-1,-1"
13841      block_type          "gatewayout"
13842      sg_icon_stat        "60,20,1,1,white,yellow,1,cc31b7ac,right,,[ ],[ ]"
13843      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 0 ],[0 0 20 20 0 ],[0.95 0.93 0.65"
13844      " ]);\nplot([0 60 60 0 0 ],[0 0 20 20 0 ]);\npatch([25.55 28.44 30.44 32.44 34.44 30.44 27.55 25.55 ],[12.22 12.22 1"
13845      "4.22 12.22 14.22 14.22 14.22 12.22 ],[1 1 1 ]);\npatch([27.55 30.44 28.44 25.55 27.55 ],[10.22 10.22 12.22 12.22 10"
13846      ".22 ],[0.985 0.979 0.895 ]);\npatch([25.55 28.44 30.44 27.55 25.55 ],[8.22 8.22 10.22 10.22 8.22 ],[1 1 1 ]);\npatc"
13847      "h([27.55 34.44 32.44 30.44 28.44 25.55 27.55 ],[6.22 6.22 8.22 6.22 8.22 8.22 6.22 ],[0.985 0.979 0.895 ]);\nfprint"
13848      "f('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1,'"
13849      " ');\ncolor('black');port_label('output',1,'\\fontsize{11pt}\\bf Out ','texmode','on');\nfprintf('','COMMENT: end i"
13850      "con text');"
13851    }
13852    Block {
13853      BlockType       Reference
13854      Name            "radio2_DAC_Q"
13855      SID             "699"
13856      Ports           [1, 1]
13857      Position        [750, 235, 810, 255]
13858      LibraryVersion      "1.2"
13859      SourceBlock         "xbsIndex_r4/Gateway Out"
13860      SourceType          "Xilinx Gateway Out Block"
13861      infoedit        "Gateway out block.  Converts Xilinx fixed-point or floating-point type inputs into ouputs of type Simu"
13862      "link integer, single, double, or fixed point.<br><br>Hardware notes:  In hardware these blocks become top level out"
13863      "put ports or are discarded, depending on how they are configured."
13864      inherit_from_input      off
13865      hdl_port        on
13866      timing_constraint   "None"
13867      locs_specified      off
13868      LOCs            "{}"
13869      xl_use_area         off
13870      xl_area         "[0,0,0,0,0,0,0]"
13871      UseAsDAC        off
13872      DACChannel          "'1'"
13873      has_advanced_control    "0"
13874      sggui_pos       "-1,-1,-1,-1"
13875      block_type          "gatewayout"
13876      sg_icon_stat        "60,20,1,1,white,yellow,1,cc31b7ac,right,,[ ],[ ]"
13877      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 0 ],[0 0 20 20 0 ],[0.95 0.93 0.65"
13878      " ]);\nplot([0 60 60 0 0 ],[0 0 20 20 0 ]);\npatch([25.55 28.44 30.44 32.44 34.44 30.44 27.55 25.55 ],[12.22 12.22 1"
13879      "4.22 12.22 14.22 14.22 14.22 12.22 ],[1 1 1 ]);\npatch([27.55 30.44 28.44 25.55 27.55 ],[10.22 10.22 12.22 12.22 10"
13880      ".22 ],[0.985 0.979 0.895 ]);\npatch([25.55 28.44 30.44 27.55 25.55 ],[8.22 8.22 10.22 10.22 8.22 ],[1 1 1 ]);\npatc"
13881      "h([27.55 34.44 32.44 30.44 28.44 25.55 27.55 ],[6.22 6.22 8.22 6.22 8.22 8.22 6.22 ],[0.985 0.979 0.895 ]);\nfprint"
13882      "f('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1,'"
13883      " ');\ncolor('black');port_label('output',1,'\\fontsize{11pt}\\bf Out ','texmode','on');\nfprintf('','COMMENT: end i"
13884      "con text');"
13885    }
13886    Line {
13887      SrcBlock        "Convert1"
13888      SrcPort         1
13889      DstBlock        "Register1"
13890      DstPort         1
13891    }
13892    Line {
13893      SrcBlock        "Convert"
13894      SrcPort         1
13895      DstBlock        "Register"
13896      DstPort         1
13897    }
13898    Line {
13899      SrcBlock        "32b"
13900      SrcPort         1
13901      Points          [65, 0]
13902      Branch {
13903        DstBlock            "16LSB"
13904        DstPort         1
13905      }
13906      Branch {
13907        Points          [0, -55]
13908        DstBlock            "16MSB"
13909        DstPort         1
13910      }
13911    }
13912    Line {
13913      SrcBlock        "Register1"
13914      SrcPort         1
13915      DstBlock        "radio2_DAC_Q"
13916      DstPort         1
13917    }
13918    Line {
13919      SrcBlock        "Register"
13920      SrcPort         1
13921      DstBlock        "radio2_DAC_I"
13922      DstPort         1
13923    }
13924    Line {
13925      SrcBlock        "16MSB"
13926      SrcPort         1
13927      DstBlock        "Reinterpret"
13928      DstPort         1
13929    }
13930    Line {
13931      SrcBlock        "16LSB"
13932      SrcPort         1
13933      DstBlock        "Reinterpret1"
13934      DstPort         1
13935    }
13936    Line {
13937      SrcBlock        "Reinterpret"
13938      SrcPort         1
13939      DstBlock        "Convert"
13940      DstPort         1
13941    }
13942    Line {
13943      SrcBlock        "Reinterpret1"
13944      SrcPort         1
13945      DstBlock        "Convert1"
13946      DstPort         1
13947    }
13948    Line {
13949      SrcBlock        "radio2_DAC_I"
13950      SrcPort         1
13951      DstBlock        "Terminator1"
13952      DstPort         1
13953    }
13954    Line {
13955      SrcBlock        "radio2_DAC_Q"
13956      SrcPort         1
13957      DstBlock        "Terminator2"
13958      DstPort         1
13959    }
13960      }
13961    }
13962    Block {
13963      BlockType           SubSystem
13964      Name            "Radio 3\nRx Buffers"
13965      SID             "358"
13966      Ports           [5]
13967      Position            [1175, 323, 1240, 427]
13968      MinAlgLoopOccurrences   off
13969      PropExecContextOutsideSubsystem off
13970      RTWSystemCode       "Auto"
13971      FunctionWithSeparateData off
13972      Opaque              off
13973      RequestExecContextInheritance off
13974      MaskHideContents        off
13975      System {
13976    Name            "Radio 3\nRx Buffers"
13977    Location        [2, 74, 1014, 726]
13978    Open            off
13979    ModelBrowserVisibility  off
13980    ModelBrowserWidth   200
13981    ScreenColor     "white"
13982    PaperOrientation    "landscape"
13983    PaperPositionMode   "auto"
13984    PaperType       "usletter"
13985    PaperUnits      "inches"
13986    TiledPaperMargins   [0.500000, 0.500000, 0.500000, 0.500000]
13987    TiledPageScale      1
13988    ShowPageBoundaries  off
13989    ZoomFactor      "100"
13990    Block {
13991      BlockType       Inport
13992      Name            "RSSI"
13993      SID             "359"
13994      Position        [450, 313, 480, 327]
13995      IconDisplay         "Port number"
13996    }
13997    Block {
13998      BlockType       Inport
13999      Name            "I/Q"
14000      SID             "360"
14001      Position        [545, 163, 575, 177]
14002      Port            "2"
14003      IconDisplay         "Port number"
14004    }
14005    Block {
14006      BlockType       Inport
14007      Name            "Addr"
14008      SID             "361"
14009      Position        [325, 128, 355, 142]
14010      Port            "3"
14011      IconDisplay         "Port number"
14012    }
14013    Block {
14014      BlockType       Inport
14015      Name            "WE"
14016      SID             "362"
14017      Position        [110, 183, 140, 197]
14018      Port            "4"
14019      IconDisplay         "Port number"
14020    }
14021    Block {
14022      BlockType       Inport
14023      Name            "EN"
14024      SID             "363"
14025      Position        [110, 213, 140, 227]
14026      Port            "5"
14027      IconDisplay         "Port number"
14028    }
14029    Block {
14030      BlockType       Reference
14031      Name            "Convert1"
14032      SID             "364"
14033      Ports           [1, 1]
14034      Position        [185, 212, 210, 228]
14035      ShowName        off
14036      LibraryVersion      "1.2"
14037      SourceBlock         "xbsIndex_r4/Convert"
14038      SourceType          "Xilinx Type Converter Block"
14039      infoedit        "Hardware notes: rounding and saturating require hardware resources; truncating and wrapping do not."
14040      gui_display_data_type   "Fixed-point"
14041      arith_type          "Boolean"
14042      n_bits          "16"
14043      bin_pt          "14"
14044      float_type          "Single"
14045      exp_bits        "8"
14046      fraction_bits       "24"
14047      quantization        "Truncate"
14048      overflow        "Wrap"
14049      en              off
14050      latency         "0"
14051      dbl_ovrd        off
14052      pipeline        off
14053      xl_use_area         off
14054      xl_area         "[0,0,0,0,0,0,0]"
14055      has_advanced_control    "0"
14056      sggui_pos       "20,20,461,375"
14057      block_type          "convert"
14058      block_version       "8.2"
14059      sg_icon_stat        "25,16,1,1,white,blue,0,edca21da,right,,[ ],[ ]"
14060      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 25 25 0 0 ],[0 0 16 16 0 ],[0.77 0.82 0.91"
14061      " ]);\nplot([0 25 25 0 0 ],[0 0 16 16 0 ]);\npatch([7.55 10.44 12.44 14.44 16.44 12.44 9.55 7.55 ],[10.22 10.22 12.2"
14062      "2 10.22 12.22 12.22 12.22 10.22 ],[1 1 1 ]);\npatch([9.55 12.44 10.44 7.55 9.55 ],[8.22 8.22 10.22 10.22 8.22 ],[0."
14063      "931 0.946 0.973 ]);\npatch([7.55 10.44 12.44 9.55 7.55 ],[6.22 6.22 8.22 8.22 6.22 ],[1 1 1 ]);\npatch([9.55 16.44 "
14064      "14.44 12.44 10.44 7.55 9.55 ],[4.22 4.22 6.22 4.22 6.22 6.22 4.22 ],[0.931 0.946 0.973 ]);\nfprintf('','COMMENT: en"
14065      "d icon graphics');\nfprintf('','COMMENT: begin icon text');\n\ncolor('black');port_label('output',1,'cast');\nfprin"
14066      "tf('','COMMENT: end icon text');"
14067    }
14068    Block {
14069      BlockType       Reference
14070      Name            "Gateway Out"
14071      SID             "365"
14072      Ports           [1, 1]
14073      Position        [500, 30, 530, 40]
14074      ShowName        off
14075      LibraryVersion      "1.2"
14076      SourceBlock         "xbsIndex_r4/Gateway Out"
14077      SourceType          "Xilinx Gateway Out Block"
14078      infoedit        "Gateway out block.  Converts Xilinx fixed point inputs into ouputs of type Simulink integer, double, o"
14079      "r fixed point.<P><P>Hardware notes:  In hardware these blocks become top level output ports or are discarded, depen"
14080      "ding on how they are configured."
14081      inherit_from_input      off
14082      hdl_port        off
14083      timing_constraint   "None"
14084      locs_specified      off
14085      LOCs            "{}"
14086      xl_use_area         off
14087      xl_area         "[0,0,0,0,0,0,0]"
14088      UseAsDAC        off
14089      DACChannel          "'1'"
14090      has_advanced_control    "0"
14091      sggui_pos       "20,20,336,386"
14092      block_type          "gatewayout"
14093      block_version       "10.1.2"
14094      sg_icon_stat        "30,10,1,1,white,grey,1,632ec840,right,,[ ],[ ]"
14095      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 30 30 0 0 ],[0 0 10 10 0 ],[0.88 0.88 0.88"
14096      " ]);\nplot([0 30 30 0 0 ],[0 0 10 10 0 ]);\npatch([12.775 14.22 15.22 16.22 17.22 15.22 13.775 12.775 ],[6.11 6.11 "
14097      "7.11 6.11 7.11 7.11 7.11 6.11 ],[1 1 1 ]);\npatch([13.775 15.22 14.22 12.775 13.775 ],[5.11 5.11 6.11 6.11 5.11 ],["
14098      "0.964 0.964 0.964 ]);\npatch([12.775 14.22 15.22 13.775 12.775 ],[4.11 4.11 5.11 5.11 4.11 ],[1 1 1 ]);\npatch([13."
14099      "775 17.22 16.22 15.22 14.22 12.775 13.775 ],[3.11 3.11 4.11 3.11 4.11 4.11 3.11 ],[0.964 0.964 0.964 ]);\nfprintf('"
14100      "','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1,' ')"
14101      ";\ncolor('black');port_label('output',1,'\\fontsize{11pt} Out ','texmode','on');\nfprintf('','COMMENT: end icon tex"
14102      "t');"
14103    }
14104    Block {
14105      BlockType       Reference
14106      Name            "Gateway Out1"
14107      SID             "366"
14108      Ports           [1, 1]
14109      Position        [500, 70, 530, 80]
14110      ShowName        off
14111      LibraryVersion      "1.2"
14112      SourceBlock         "xbsIndex_r4/Gateway Out"
14113      SourceType          "Xilinx Gateway Out Block"
14114      infoedit        "Gateway out block.  Converts Xilinx fixed point inputs into ouputs of type Simulink integer, double, o"
14115      "r fixed point.<P><P>Hardware notes:  In hardware these blocks become top level output ports or are discarded, depen"
14116      "ding on how they are configured."
14117      inherit_from_input      off
14118      hdl_port        off
14119      timing_constraint   "None"
14120      locs_specified      off
14121      LOCs            "{}"
14122      xl_use_area         off
14123      xl_area         "[0,0,0,0,0,0,0]"
14124      UseAsDAC        off
14125      DACChannel          "'1'"
14126      has_advanced_control    "0"
14127      sggui_pos       "20,20,336,386"
14128      block_type          "gatewayout"
14129      block_version       "10.1.2"
14130      sg_icon_stat        "30,10,1,1,white,grey,1,632ec840,right,,[ ],[ ]"
14131      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 30 30 0 0 ],[0 0 10 10 0 ],[0.88 0.88 0.88"
14132      " ]);\nplot([0 30 30 0 0 ],[0 0 10 10 0 ]);\npatch([12.775 14.22 15.22 16.22 17.22 15.22 13.775 12.775 ],[6.11 6.11 "
14133      "7.11 6.11 7.11 7.11 7.11 6.11 ],[1 1 1 ]);\npatch([13.775 15.22 14.22 12.775 13.775 ],[5.11 5.11 6.11 6.11 5.11 ],["
14134      "0.964 0.964 0.964 ]);\npatch([12.775 14.22 15.22 13.775 12.775 ],[4.11 4.11 5.11 5.11 4.11 ],[1 1 1 ]);\npatch([13."
14135      "775 17.22 16.22 15.22 14.22 12.775 13.775 ],[3.11 3.11 4.11 3.11 4.11 4.11 3.11 ],[0.964 0.964 0.964 ]);\nfprintf('"
14136      "','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1,' ')"
14137      ";\ncolor('black');port_label('output',1,'\\fontsize{11pt} Out ','texmode','on');\nfprintf('','COMMENT: end icon tex"
14138      "t');"
14139    }
14140    Block {
14141      BlockType       Reference
14142      Name            "Logical"
14143      SID             "367"
14144      Ports           [2, 1]
14145      Position        [255, 175, 310, 235]
14146      LibraryVersion      "1.2"
14147      SourceBlock         "xbsIndex_r4/Logical"
14148      SourceType          "Xilinx Logical Block Block"
14149      logical_function    "AND"
14150      inputs          "2"
14151      en              off
14152      latency         "0"
14153      precision       "Full"
14154      arith_type          "Unsigned"
14155      n_bits          "16"
14156      bin_pt          "0"
14157      align_bp        on
14158      dbl_ovrd        off
14159      xl_use_area         off
14160      xl_area         "[0,0,0,0,0,0,0]"
14161      has_advanced_control    "0"
14162      sggui_pos       "-1,-1,-1,-1"
14163      block_type          "logical"
14164      block_version       "9.1.01"
14165      sg_icon_stat        "55,60,2,1,white,blue,0,83a4b621,right,,[ ],[ ]"
14166      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 0 ],[0 0 60 60 0 ],[0.77 0.82 0.91"
14167      " ]);\nplot([0 55 55 0 0 ],[0 0 60 60 0 ]);\npatch([11.425 21.54 28.54 35.54 42.54 28.54 18.425 11.425 ],[37.77 37.7"
14168      "7 44.77 37.77 44.77 44.77 44.77 37.77 ],[1 1 1 ]);\npatch([18.425 28.54 21.54 11.425 18.425 ],[30.77 30.77 37.77 37"
14169      ".77 30.77 ],[0.931 0.946 0.973 ]);\npatch([11.425 21.54 28.54 18.425 11.425 ],[23.77 23.77 30.77 30.77 23.77 ],[1 1"
14170      " 1 ]);\npatch([18.425 42.54 35.54 28.54 21.54 11.425 18.425 ],[16.77 16.77 23.77 16.77 23.77 23.77 16.77 ],[0.931 0"
14171      ".946 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\n\n\n\ncolor('bl"
14172      "ack');disp('and');\nfprintf('','COMMENT: end icon text');"
14173    }
14174    Block {
14175      BlockType       SubSystem
14176      Name            "Radio 3\nRSSI Buffer"
14177      SID             "368"
14178      Ports           [3]
14179      Position        [650, 264, 705, 376]
14180      MinAlgLoopOccurrences   off
14181      PropExecContextOutsideSubsystem off
14182      RTWSystemCode       "Auto"
14183      FunctionWithSeparateData off
14184      Opaque          off
14185      RequestExecContextInheritance off
14186      MaskHideContents    off
14187      System {
14188        Name            "Radio 3\nRSSI Buffer"
14189        Location            [2, 70, 1918, 1150]
14190        Open            off
14191        ModelBrowserVisibility  off
14192        ModelBrowserWidth       200
14193        ScreenColor         "white"
14194        PaperOrientation        "landscape"
14195        PaperPositionMode       "auto"
14196        PaperType           "usletter"
14197        PaperUnits          "inches"
14198        TiledPaperMargins       [0.500000, 0.500000, 0.500000, 0.500000]
14199        TiledPageScale      1
14200        ShowPageBoundaries      off
14201        ZoomFactor          "100"
14202        Block {
14203          BlockType           Inport
14204          Name            "Addr_14b"
14205          SID             "369"
14206          Position            [325, 348, 355, 362]
14207          NamePlacement       "alternate"
14208          IconDisplay         "Port number"
14209        }
14210        Block {
14211          BlockType           Inport
14212          Name            "RSSI"
14213          SID             "370"
14214          Position            [95, 383, 125, 397]
14215          Port            "2"
14216          IconDisplay         "Port number"
14217        }
14218        Block {
14219          BlockType           Inport
14220          Name            "WE"
14221          SID             "371"
14222          Position            [720, 478, 750, 492]
14223          Port            "3"
14224          IconDisplay         "Port number"
14225        }
14226        Block {
14227          BlockType           Reference
14228          Name            "11MSB"
14229          SID             "372"
14230          Ports           [1, 1]
14231          Position            [430, 346, 470, 364]
14232          NamePlacement       "alternate"
14233          LibraryVersion          "1.2"
14234          SourceBlock         "xbsIndex_r4/Slice"
14235          SourceType          "Xilinx Bit Slice Extractor Block"
14236          infoedit            "Extracts a given range of bits from each input sample and presents it at the output.  The outp"
14237          "ut type is ordinarily unsigned with binary point at zero, but can be Boolean when the slice is one bit wide.<P>"
14238          "<P>Hardware notes: In hardware this block costs nothing."
14239          nbits           "11"
14240          boolean_output          off
14241          mode            "Upper Bit Location + Width"
14242          bit1            "0"
14243          base1           "MSB of Input"
14244          bit0            "0"
14245          base0           "LSB of Input"
14246          dbl_ovrd            off
14247          has_advanced_control    "0"
14248          sggui_pos           "20,20,449,407"
14249          block_type          "slice"
14250          block_version       "8.2"
14251          sg_icon_stat        "40,18,1,1,white,blue,0,1fd851a7,right,,[ ],[ ]"
14252          sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npatch([0 40 40 0 0 ],[0 0 18 18 0 ],[0.77 0"
14253          ".82 0.91 ]);\nplot([0 40 40 0 0 ],[0 0 18 18 0 ]);\npatch([15.55 18.44 20.44 22.44 24.44 20.44 17.55 15.55 ],[1"
14254          "1.22 11.22 13.22 11.22 13.22 13.22 13.22 11.22 ],[1 1 1 ]);\npatch([17.55 20.44 18.44 15.55 17.55 ],[9.22 9.22 "
14255          "11.22 11.22 9.22 ],[0.931 0.946 0.973 ]);\npatch([15.55 18.44 20.44 17.55 15.55 ],[7.22 7.22 9.22 9.22 7.22 ],["
14256          "1 1 1 ]);\npatch([17.55 24.44 22.44 20.44 18.44 15.55 17.55 ],[5.22 5.22 7.22 5.22 7.22 7.22 5.22 ],[0.931 0.94"
14257          "6 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\n\ncolor('black"
14258          "');port_label('output',1,'[a:b]');\nfprintf('','COMMENT: end icon text');"
14259        }
14260        Block {
14261          BlockType           Reference
14262          Name            "BIT[2]"
14263          SID             "373"
14264          Ports           [1, 1]
14265          Position            [430, 401, 470, 419]
14266          LibraryVersion          "1.2"
14267          SourceBlock         "xbsIndex_r4/Slice"
14268          SourceType          "Xilinx Bit Slice Extractor Block"
14269          infoedit            "Extracts a given range of bits from each input sample and presents it at the output.  The outp"
14270          "ut type is ordinarily unsigned with binary point at zero, but can be Boolean when the slice is one bit wide.<P>"
14271          "<P>Hardware notes: In hardware this block costs nothing."
14272          nbits           "1"
14273          boolean_output          on
14274          mode            "Lower Bit Location + Width"
14275          bit1            "0"
14276          base1           "MSB of Input"
14277          bit0            "2"
14278          base0           "LSB of Input"
14279          dbl_ovrd            off
14280          has_advanced_control    "0"
14281          sggui_pos           "20,20,449,407"
14282          block_type          "slice"
14283          block_version       "8.2"
14284          sg_icon_stat        "40,18,1,1,white,blue,0,1fd851a7,right,,[ ],[ ]"
14285          sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npatch([0 40 40 0 0 ],[0 0 18 18 0 ],[0.77 0"
14286          ".82 0.91 ]);\nplot([0 40 40 0 0 ],[0 0 18 18 0 ]);\npatch([15.55 18.44 20.44 22.44 24.44 20.44 17.55 15.55 ],[1"
14287          "1.22 11.22 13.22 11.22 13.22 13.22 13.22 11.22 ],[1 1 1 ]);\npatch([17.55 20.44 18.44 15.55 17.55 ],[9.22 9.22 "
14288          "11.22 11.22 9.22 ],[0.931 0.946 0.973 ]);\npatch([15.55 18.44 20.44 17.55 15.55 ],[7.22 7.22 9.22 9.22 7.22 ],["
14289          "1 1 1 ]);\npatch([17.55 24.44 22.44 20.44 18.44 15.55 17.55 ],[5.22 5.22 7.22 5.22 7.22 7.22 5.22 ],[0.931 0.94"
14290          "6 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\n\ncolor('black"
14291          "');port_label('output',1,'[a:b]');\nfprintf('','COMMENT: end icon text');"
14292        }
14293        Block {
14294          BlockType           Reference
14295          Name            "Concat"
14296          SID             "374"
14297          Ports           [2, 1]
14298          Position            [685, 381, 725, 459]
14299          ShowName            off
14300          LibraryVersion          "1.2"
14301          SourceBlock         "xbsIndex_r4/Concat"
14302          SourceType          "Xilinx Bus Concatenator Block"
14303          infoedit            "Concatenates two or more inputs.  Output will be cast to an unsigned value with the binary poi"
14304          "nt at zero."
14305          num_inputs          "2"
14306          dbl_ovrd            off
14307          has_advanced_control    "0"
14308          sggui_pos           "-1,-1,-1,-1"
14309          block_type          "concat"
14310          block_version       "10.1.2"
14311          sg_icon_stat        "40,78,2,1,white,blue,0,16398980,right,,[ ],[ ]"
14312          sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npatch([0 40 40 0 0 ],[0 0 78 78 0 ],[0.77 0"
14313          ".82 0.91 ]);\nplot([0 40 40 0 0 ],[0 0 78 78 0 ]);\npatch([8.875 16.1 21.1 26.1 31.1 21.1 13.875 8.875 ],[44.55"
14314          " 44.55 49.55 44.55 49.55 49.55 49.55 44.55 ],[1 1 1 ]);\npatch([13.875 21.1 16.1 8.875 13.875 ],[39.55 39.55 44"
14315          ".55 44.55 39.55 ],[0.931 0.946 0.973 ]);\npatch([8.875 16.1 21.1 13.875 8.875 ],[34.55 34.55 39.55 39.55 34.55 "
14316          "],[1 1 1 ]);\npatch([13.875 31.1 26.1 21.1 16.1 8.875 13.875 ],[29.55 29.55 34.55 29.55 34.55 34.55 29.55 ],[0."
14317          "931 0.946 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor("
14318          "'black');port_label('input',1,'hi');\ncolor('black');port_label('input',2,'lo');\n\ncolor('black');disp('\\font"
14319          "size{20}\\}','texmode','on');\nfprintf('','COMMENT: end icon text');"
14320        }
14321        Block {
14322          BlockType           Reference
14323          Name            "Convert"
14324          SID             "375"
14325          Ports           [1, 1]
14326          Position            [285, 382, 320, 398]
14327          ShowName            off
14328          LibraryVersion          "1.2"
14329          SourceBlock         "xbsIndex_r4/Convert"
14330          SourceType          "Xilinx Type Converter Block"
14331          infoedit            "Hardware notes: rounding and saturating require hardware resources; truncating and wrapping do"
14332          " not."
14333          gui_display_data_type   "Fixed-point"
14334          arith_type          "Unsigned"
14335          n_bits              "16"
14336          bin_pt              "0"
14337          float_type          "Single"
14338          exp_bits            "8"
14339          fraction_bits       "24"
14340          quantization        "Truncate"
14341          overflow            "Wrap"
14342          en              off
14343          latency             "0"
14344          dbl_ovrd            off
14345          pipeline            off
14346          xl_use_area         off
14347          xl_area             "[0,0,0,0,0,0,0]"
14348          has_advanced_control    "0"
14349          sggui_pos           "20,20,461,375"
14350          block_type          "convert"
14351          block_version       "8.2"
14352          sg_icon_stat        "35,16,1,1,white,blue,0,edca21da,right,,[ ],[ ]"
14353          sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npatch([0 35 35 0 0 ],[0 0 16 16 0 ],[0.77 0"
14354          ".82 0.91 ]);\nplot([0 35 35 0 0 ],[0 0 16 16 0 ]);\npatch([12.55 15.44 17.44 19.44 21.44 17.44 14.55 12.55 ],[1"
14355          "0.22 10.22 12.22 10.22 12.22 12.22 12.22 10.22 ],[1 1 1 ]);\npatch([14.55 17.44 15.44 12.55 14.55 ],[8.22 8.22 "
14356          "10.22 10.22 8.22 ],[0.931 0.946 0.973 ]);\npatch([12.55 15.44 17.44 14.55 12.55 ],[6.22 6.22 8.22 8.22 6.22 ],["
14357          "1 1 1 ]);\npatch([14.55 21.44 19.44 17.44 15.44 12.55 14.55 ],[4.22 4.22 6.22 4.22 6.22 6.22 4.22 ],[0.931 0.94"
14358          "6 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\n\ncolor('black"
14359          "');port_label('output',1,'cast');\nfprintf('','COMMENT: end icon text');"
14360        }
14361        Block {
14362          BlockType           Reference
14363          Name            "Inverter"
14364          SID             "376"
14365          Ports           [1, 1]
14366          Position            [510, 402, 540, 418]
14367          ShowName            off
14368          LibraryVersion          "1.2"
14369          SourceBlock         "xbsIndex_r4/Inverter"
14370          SourceType          "Xilinx Inverter Block"
14371          infoedit            "Bitwise logical negation (one's complement) operator."
14372          en              off
14373          latency             "0"
14374          dbl_ovrd            off
14375          xl_use_area         off
14376          xl_area             "[0,0,0,0,0,0,0]"
14377          has_advanced_control    "0"
14378          sggui_pos           "20,20,348,251"
14379          block_type          "inv"
14380          block_version       "10.1.2"
14381          sg_icon_stat        "30,16,1,1,white,blue,0,267846e5,right,,[ ],[ ]"
14382          sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npatch([0 30 30 0 0 ],[0 0 16 16 0 ],[0.77 0"
14383          ".82 0.91 ]);\nplot([0 30 30 0 0 ],[0 0 16 16 0 ]);\npatch([10.55 13.44 15.44 17.44 19.44 15.44 12.55 10.55 ],[1"
14384          "0.22 10.22 12.22 10.22 12.22 12.22 12.22 10.22 ],[1 1 1 ]);\npatch([12.55 15.44 13.44 10.55 12.55 ],[8.22 8.22 "
14385          "10.22 10.22 8.22 ],[0.931 0.946 0.973 ]);\npatch([10.55 13.44 15.44 12.55 10.55 ],[6.22 6.22 8.22 8.22 6.22 ],["
14386          "1 1 1 ]);\npatch([12.55 19.44 17.44 15.44 13.44 10.55 12.55 ],[4.22 4.22 6.22 4.22 6.22 6.22 4.22 ],[0.931 0.94"
14387          "6 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\n\n\ncolor('bla"
14388          "ck');disp('not');\nfprintf('','COMMENT: end icon text');"
14389        }
14390        Block {
14391          BlockType           Reference
14392          Name            "Register"
14393          SID             "377"
14394          Ports           [2, 1]
14395          Position            [580, 378, 625, 422]
14396          ShowName            off
14397          LibraryVersion          "1.2"
14398          SourceBlock         "xbsIndex_r4/Register"
14399          SourceType          "Xilinx Register Block"
14400          init            "0"
14401          rst             off
14402          en              on
14403          dbl_ovrd            off
14404          xl_use_area         off
14405          xl_area             "[0,0,0,0,0,0,0]"
14406          has_advanced_control    "0"
14407          sggui_pos           "20,20,348,193"
14408          block_type          "register"
14409          block_version       "10.1.2"
14410          sg_icon_stat        "45,44,2,1,white,blue,0,6bd0930c,right,,[ ],[ ]"
14411          sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npatch([0 45 45 0 0 ],[0 0 44 44 0 ],[0.77 0"
14412          ".82 0.91 ]);\nplot([0 45 45 0 0 ],[0 0 44 44 0 ]);\npatch([8.65 17.32 23.32 29.32 35.32 23.32 14.65 8.65 ],[28."
14413          "66 28.66 34.66 28.66 34.66 34.66 34.66 28.66 ],[1 1 1 ]);\npatch([14.65 23.32 17.32 8.65 14.65 ],[22.66 22.66 2"
14414          "8.66 28.66 22.66 ],[0.931 0.946 0.973 ]);\npatch([8.65 17.32 23.32 14.65 8.65 ],[16.66 16.66 22.66 22.66 16.66 "
14415          "],[1 1 1 ]);\npatch([14.65 35.32 29.32 23.32 17.32 8.65 14.65 ],[10.66 10.66 16.66 10.66 16.66 16.66 10.66 ],[0"
14416          ".931 0.946 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor"
14417          "('black');port_label('input',1,'d');\ncolor('black');port_label('input',2,'en');\ncolor('black');port_label('ou"
14418          "tput',1,'q');\ncolor('black');disp('z^{-1}','texmode','on');\nfprintf('','COMMENT: end icon text');"
14419        }
14420        Block {
14421          BlockType           Reference
14422          Name            "Reinterpret1"
14423          SID             "378"
14424          Ports           [1, 1]
14425          Position            [180, 382, 215, 398]
14426          ShowName            off
14427          LibraryVersion          "1.2"
14428          SourceBlock         "xbsIndex_r4/Reinterpret"
14429          SourceType          "Xilinx Type Reinterpreter Block"
14430          infoedit            "Changes signal type without altering the binary representation.   You can changed the signal b"
14431          "etween signed and unsigned, and relocate the binary point.<P><P>Hardware notes: In hardware this block costs no"
14432          "thing.<P><P>Example:  Suppose the input is 6 bits wide, signed, with 2 fractional bits, and the output is force"
14433          "d to unsigned with 0 fractional bits.  Then an input of -2.0 (1110.00 in binary 2's complement) becomes an outp"
14434          "ut of 56 (111000 in binary)."
14435          force_arith_type        on
14436          arith_type          "Unsigned"
14437          force_bin_pt        on
14438          bin_pt              "0"
14439          has_advanced_control    "0"
14440          sggui_pos           "436,54,356,312"
14441          block_type          "reinterpret"
14442          block_version       "9.1.01"
14443          sg_icon_stat        "35,16,1,1,white,blue,0,6b04d0b0,right,,[ ],[ ]"
14444          sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npatch([0 35 35 0 0 ],[0 0 16 16 0 ],[0.77 0"
14445          ".82 0.91 ]);\nplot([0 35 35 0 0 ],[0 0 16 16 0 ]);\npatch([12.55 15.44 17.44 19.44 21.44 17.44 14.55 12.55 ],[1"
14446          "0.22 10.22 12.22 10.22 12.22 12.22 12.22 10.22 ],[1 1 1 ]);\npatch([14.55 17.44 15.44 12.55 14.55 ],[8.22 8.22 "
14447          "10.22 10.22 8.22 ],[0.931 0.946 0.973 ]);\npatch([12.55 15.44 17.44 14.55 12.55 ],[6.22 6.22 8.22 8.22 6.22 ],["
14448          "1 1 1 ]);\npatch([14.55 21.44 19.44 17.44 15.44 12.55 14.55 ],[4.22 4.22 6.22 4.22 6.22 6.22 4.22 ],[0.931 0.94"
14449          "6 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\n\n\ncolor('bla"
14450          "ck');disp('reinterpret');\nfprintf('','COMMENT: end icon text');"
14451        }
14452        Block {
14453          BlockType           Reference
14454          Name            "Shared Memory"
14455          SID             "379"
14456          Ports           [3, 1]
14457          Position            [800, 323, 880, 517]
14458          AttributesFormatString  "<< %<shared_memory_name> >>"
14459          LibraryVersion          "1.2"
14460          SourceBlock         "xbsIndex_r4/Shared Memory"
14461          SourceType          "Xilinx Shared Memory Random Access Memory Block"
14462          shared_memory_name      "'RSSIBuff_Radio3'"
14463          depth           "2^11"
14464          ownership           "Locally Owned and Initialized"
14465          initVector          "0"
14466          en              off
14467          mutex           "Unprotected"
14468          mode            "Read and Write"
14469          write_mode          "Read After Write"
14470          time_out            "0"
14471          latency             "1"
14472          explicit_data_type      on
14473          gui_display_data_type   "Fixed-point"
14474          arith_type          "Unsigned"
14475          n_bits              "32"
14476          bin_pt              "0"
14477          preci_type          "Single"
14478          xl_use_area         off
14479          xl_area             "[0,0,0,0,0,0,0]"
14480          implementation          "Block RAM"
14481          use_rpm             "off"
14482          has_advanced_control    "0"
14483          sggui_pos           "20,20,384,381"
14484          block_type          "shmem"
14485          block_version       "10.1.2"
14486          sg_icon_stat        "80,194,3,1,white,blue,0,bf435243,right,,[ ],[ ]"
14487          sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npatch([0 80 80 0 0 ],[0 0 194 194 0 ],[0.77"
14488          " 0.82 0.91 ]);\nplot([0 80 80 0 0 ],[0 0 194 194 0 ]);\npatch([15.525 31.42 42.42 53.42 64.42 42.42 26.525 15.5"
14489          "25 ],[109.21 109.21 120.21 109.21 120.21 120.21 120.21 109.21 ],[1 1 1 ]);\npatch([26.525 42.42 31.42 15.525 26"
14490          ".525 ],[98.21 98.21 109.21 109.21 98.21 ],[0.931 0.946 0.973 ]);\npatch([15.525 31.42 42.42 26.525 15.525 ],[87"
14491          ".21 87.21 98.21 98.21 87.21 ],[1 1 1 ]);\npatch([26.525 64.42 53.42 42.42 31.42 15.525 26.525 ],[76.21 76.21 87"
14492          ".21 76.21 87.21 87.21 76.21 ],[0.931 0.946 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','CO"
14493          "MMENT: begin icon text');\ncolor('black');port_label('input',1,'addr');\ncolor('black');port_label('input',2,'d"
14494          "in');\ncolor('black');port_label('input',3,'we');\ncolor('black');port_label('output',1,'dout');\nfprintf('','C"
14495          "OMMENT: end icon text');"
14496        }
14497        Block {
14498          BlockType           Terminator
14499          Name            "Terminator2"
14500          SID             "380"
14501          Position            [945, 410, 965, 430]
14502          ShowName            off
14503        }
14504        Line {
14505          SrcBlock            "RSSI"
14506          SrcPort             1
14507          DstBlock            "Reinterpret1"
14508          DstPort             1
14509        }
14510        Line {
14511          SrcBlock            "Convert"
14512          SrcPort             1
14513          Points              [35, 0]
14514          Branch {
14515        DstBlock        "Register"
14516        DstPort         1
14517          }
14518          Branch {
14519        Points          [0, 50]
14520        DstBlock        "Concat"
14521        DstPort         2
14522          }
14523        }
14524        Line {
14525          SrcBlock            "Addr_14b"
14526          SrcPort             1
14527          Points              [40, 0]
14528          Branch {
14529        DstBlock        "11MSB"
14530        DstPort         1
14531          }
14532          Branch {
14533        Points          [0, 55]
14534        DstBlock        "BIT[2]"
14535        DstPort         1
14536          }
14537        }
14538        Line {
14539          SrcBlock            "WE"
14540          SrcPort             1
14541          DstBlock            "Shared Memory"
14542          DstPort             3
14543        }
14544        Line {
14545          SrcBlock            "Reinterpret1"
14546          SrcPort             1
14547          DstBlock            "Convert"
14548          DstPort             1
14549        }
14550        Line {
14551          SrcBlock            "Concat"
14552          SrcPort             1
14553          DstBlock            "Shared Memory"
14554          DstPort             2
14555        }
14556        Line {
14557          SrcBlock            "BIT[2]"
14558          SrcPort             1
14559          DstBlock            "Inverter"
14560          DstPort             1
14561        }
14562        Line {
14563          SrcBlock            "11MSB"
14564          SrcPort             1
14565          DstBlock            "Shared Memory"
14566          DstPort             1
14567        }
14568        Line {
14569          SrcBlock            "Shared Memory"
14570          SrcPort             1
14571          DstBlock            "Terminator2"
14572          DstPort             1
14573        }
14574        Line {
14575          SrcBlock            "Register"
14576          SrcPort             1
14577          DstBlock            "Concat"
14578          DstPort             1
14579        }
14580        Line {
14581          SrcBlock            "Inverter"
14582          SrcPort             1
14583          DstBlock            "Register"
14584          DstPort             2
14585        }
14586      }
14587    }
14588    Block {
14589      BlockType       SubSystem
14590      Name            "Radio 3 I/Q\nBuffer"
14591      SID             "381"
14592      Ports           [3]
14593      Position        [650, 116, 705, 224]
14594      MinAlgLoopOccurrences   off
14595      PropExecContextOutsideSubsystem off
14596      RTWSystemCode       "Auto"
14597      FunctionWithSeparateData off
14598      Opaque          off
14599      RequestExecContextInheritance off
14600      MaskHideContents    off
14601      System {
14602        Name            "Radio 3 I/Q\nBuffer"
14603        Location            [2, 74, 1270, 726]
14604        Open            off
14605        ModelBrowserVisibility  off
14606        ModelBrowserWidth       200
14607        ScreenColor         "white"
14608        PaperOrientation        "landscape"
14609        PaperPositionMode       "auto"
14610        PaperType           "usletter"
14611        PaperUnits          "inches"
14612        TiledPaperMargins       [0.500000, 0.500000, 0.500000, 0.500000]
14613        TiledPageScale      1
14614        ShowPageBoundaries      off
14615        ZoomFactor          "100"
14616        Block {
14617          BlockType           Inport
14618          Name            "Addr_14b"
14619          SID             "382"
14620          Position            [200, 68, 230, 82]
14621          IconDisplay         "Port number"
14622        }
14623        Block {
14624          BlockType           Inport
14625          Name            "I/Q_32b"
14626          SID             "383"
14627          Position            [200, 98, 230, 112]
14628          Port            "2"
14629          IconDisplay         "Port number"
14630        }
14631        Block {
14632          BlockType           Inport
14633          Name            "WE"
14634          SID             "384"
14635          Position            [200, 128, 230, 142]
14636          Port            "3"
14637          IconDisplay         "Port number"
14638        }
14639        Block {
14640          BlockType           Reference
14641          Name            "Shared Memory"
14642          SID             "385"
14643          Ports           [3, 1]
14644          Position            [320, 60, 400, 150]
14645          AttributesFormatString  "<< %<shared_memory_name> >>"
14646          LibraryVersion          "1.2"
14647          SourceBlock         "xbsIndex_r4/Shared Memory"
14648          SourceType          "Xilinx Shared Memory Random Access Memory Block"
14649          shared_memory_name      "'RxBuff_Radio3'"
14650          depth           "2^14"
14651          ownership           "Locally Owned and Initialized"
14652          initVector          "0"
14653          en              off
14654          mutex           "Unprotected"
14655          mode            "Read and Write"
14656          write_mode          "Read After Write"
14657          time_out            "0"
14658          latency             "1"
14659          explicit_data_type      on
14660          gui_display_data_type   "Fixed-point"
14661          arith_type          "Unsigned"
14662          n_bits              "32"
14663          bin_pt              "0"
14664          preci_type          "Single"
14665          xl_use_area         off
14666          xl_area             "[0,0,0,0,0,0,0]"
14667          implementation          "Block RAM"
14668          use_rpm             "off"
14669          has_advanced_control    "0"
14670          sggui_pos           "20,20,384,381"
14671          block_type          "shmem"
14672          block_version       "10.1.2"
14673          sg_icon_stat        "80,90,3,1,white,blue,0,bf435243,right,,[ ],[ ]"
14674          sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npatch([0 80 80 0 0 ],[0 0 90 90 0 ],[0.77 0"
14675          ".82 0.91 ]);\nplot([0 80 80 0 0 ],[0 0 90 90 0 ]);\npatch([15.525 31.42 42.42 53.42 64.42 42.42 26.525 15.525 ]"
14676          ",[57.21 57.21 68.21 57.21 68.21 68.21 68.21 57.21 ],[1 1 1 ]);\npatch([26.525 42.42 31.42 15.525 26.525 ],[46.2"
14677          "1 46.21 57.21 57.21 46.21 ],[0.931 0.946 0.973 ]);\npatch([15.525 31.42 42.42 26.525 15.525 ],[35.21 35.21 46.2"
14678          "1 46.21 35.21 ],[1 1 1 ]);\npatch([26.525 64.42 53.42 42.42 31.42 15.525 26.525 ],[24.21 24.21 35.21 24.21 35.2"
14679          "1 35.21 24.21 ],[0.931 0.946 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin i"
14680          "con text');\ncolor('black');port_label('input',1,'addr');\ncolor('black');port_label('input',2,'din');\ncolor('"
14681          "black');port_label('input',3,'we');\ncolor('black');port_label('output',1,'dout');\nfprintf('','COMMENT: end ic"
14682          "on text');"
14683        }
14684        Block {
14685          BlockType           Terminator
14686          Name            "Terminator"
14687          SID             "386"
14688          Position            [450, 95, 470, 115]
14689          ShowName            off
14690        }
14691        Line {
14692          SrcBlock            "Shared Memory"
14693          SrcPort             1
14694          DstBlock            "Terminator"
14695          DstPort             1
14696        }
14697        Line {
14698          SrcBlock            "WE"
14699          SrcPort             1
14700          DstBlock            "Shared Memory"
14701          DstPort             3
14702        }
14703        Line {
14704          SrcBlock            "I/Q_32b"
14705          SrcPort             1
14706          DstBlock            "Shared Memory"
14707          DstPort             2
14708        }
14709        Line {
14710          SrcBlock            "Addr_14b"
14711          SrcPort             1
14712          DstBlock            "Shared Memory"
14713          DstPort             1
14714        }
14715      }
14716    }
14717    Block {
14718      BlockType       Scope
14719      Name            "Scope"
14720      SID             "387"
14721      Ports           [2]
14722      Position        [585, 14, 625, 96]
14723      Floating        off
14724      Location        [5, 49, 1285, 757]
14725      Open            off
14726      NumInputPorts       "2"
14727      ZoomMode        "yonly"
14728      List {
14729        ListType            AxesTitles
14730        axes1           "%<SignalLabel>"
14731        axes2           "%<SignalLabel>"
14732      }
14733      YMin            "-5~-5"
14734      YMax            "5~5"
14735      SaveName        "ScopeData2"
14736      DataFormat          "StructureWithTime"
14737      MaxDataPoints       "40000"
14738      SampleTime          "0"
14739    }
14740    Line {
14741      SrcBlock        "Gateway Out1"
14742      SrcPort         1
14743      DstBlock        "Scope"
14744      DstPort         2
14745    }
14746    Line {
14747      SrcBlock        "Gateway Out"
14748      SrcPort         1
14749      DstBlock        "Scope"
14750      DstPort         1
14751    }
14752    Line {
14753      SrcBlock        "I/Q"
14754      SrcPort         1
14755      DstBlock        "Radio 3 I/Q\nBuffer"
14756      DstPort         2
14757    }
14758    Line {
14759      SrcBlock        "Convert1"
14760      SrcPort         1
14761      DstBlock        "Logical"
14762      DstPort         2
14763    }
14764    Line {
14765      SrcBlock        "EN"
14766      SrcPort         1
14767      DstBlock        "Convert1"
14768      DstPort         1
14769    }
14770    Line {
14771      SrcBlock        "WE"
14772      SrcPort         1
14773      DstBlock        "Logical"
14774      DstPort         1
14775    }
14776    Line {
14777      SrcBlock        "Addr"
14778      SrcPort         1
14779      Points          [75, 0]
14780      Branch {
14781        Points          [0, -100]
14782        DstBlock            "Gateway Out"
14783        DstPort         1
14784      }
14785      Branch {
14786        Labels          [0, 0]
14787        DstBlock            "Radio 3 I/Q\nBuffer"
14788        DstPort         1
14789      }
14790      Branch {
14791        Points          [0, 150]
14792        DstBlock            "Radio 3\nRSSI Buffer"
14793        DstPort         1
14794      }
14795    }
14796    Line {
14797      SrcBlock        "Logical"
14798      SrcPort         1
14799      Points          [65, 0]
14800      Branch {
14801        Points          [85, 0]
14802        Branch {
14803          Points              [0, -130]
14804          DstBlock            "Gateway Out1"
14805          DstPort             1
14806        }
14807        Branch {
14808          Labels              [0, 0]
14809          DstBlock            "Radio 3 I/Q\nBuffer"
14810          DstPort             3
14811        }
14812      }
14813      Branch {
14814        Points          [0, 150]
14815        DstBlock            "Radio 3\nRSSI Buffer"
14816        DstPort         3
14817      }
14818    }
14819    Line {
14820      SrcBlock        "RSSI"
14821      SrcPort         1
14822      DstBlock        "Radio 3\nRSSI Buffer"
14823      DstPort         2
14824    }
14825      }
14826    }
14827    Block {
14828      BlockType           SubSystem
14829      Name            "Radio 3\nTx Buffer"
14830      SID             "388"
14831      Ports           [3, 1]
14832      Position            [1015, 852, 1095, 908]
14833      MinAlgLoopOccurrences   off
14834      PropExecContextOutsideSubsystem off
14835      RTWSystemCode       "Auto"
14836      FunctionWithSeparateData off
14837      Opaque              off
14838      RequestExecContextInheritance off
14839      MaskHideContents        off
14840      System {
14841    Name            "Radio 3\nTx Buffer"
14842    Location        [2, 74, 1270, 726]
14843    Open            off
14844    ModelBrowserVisibility  off
14845    ModelBrowserWidth   200
14846    ScreenColor     "white"
14847    PaperOrientation    "landscape"
14848    PaperPositionMode   "auto"
14849    PaperType       "usletter"
14850    PaperUnits      "inches"
14851    TiledPaperMargins   [0.500000, 0.500000, 0.500000, 0.500000]
14852    TiledPageScale      1
14853    ShowPageBoundaries  off
14854    ZoomFactor      "100"
14855    Block {
14856      BlockType       Inport
14857      Name            "RdAddr_14b"
14858      SID             "389"
14859      Position        [350, 288, 380, 302]
14860      NamePlacement       "alternate"
14861      IconDisplay         "Port number"
14862    }
14863    Block {
14864      BlockType       Inport
14865      Name            "Rst"
14866      SID             "390"
14867      Position        [30, 173, 60, 187]
14868      Port            "2"
14869      IconDisplay         "Port number"
14870    }
14871    Block {
14872      BlockType       Inport
14873      Name            "En"
14874      SID             "391"
14875      Position        [30, 203, 60, 217]
14876      Port            "3"
14877      IconDisplay         "Port number"
14878    }
14879    Block {
14880      BlockType       Reference
14881      Name            "Constant"
14882      SID             "392"
14883      Ports           [0, 1]
14884      Position        [630, 350, 655, 370]
14885      ShowName        off
14886      LibraryVersion      "1.2"
14887      SourceBlock         "xbsIndex_r4/Constant"
14888      SourceType          "Xilinx Constant Block Block"
14889      const           "0"
14890      gui_display_data_type   "Fixed-point"
14891      arith_type          "Unsigned"
14892      n_bits          "32"
14893      bin_pt          "0"
14894      preci_type          "Single"
14895      exp_width       "8"
14896      frac_width          "24"
14897      explicit_period     off
14898      period          "1"
14899      dsp48_infoedit      "The use of this block for DSP48 instructions is deprecated.  Please use the Opmode block."
14900      equ             "P=C"
14901      opselect        "C"
14902      inp2            "PCIN>>17"
14903      opr             "+"
14904      inp1            "P"
14905      carry           "CIN"
14906      dbl_ovrd        off
14907      has_advanced_control    "0"
14908      sggui_pos       "20,20,400,346"
14909      block_type          "constant"
14910      block_version       "10.1.2"
14911      sg_icon_stat        "25,20,0,1,white,blue,0,bf4ddd8b,right,,[ ],[ ]"
14912      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 25 25 0 0 ],[0 0 20 20 0 ],[0.77 0.82 0.91"
14913      " ]);\nplot([0 25 25 0 0 ],[0 0 20 20 0 ]);\npatch([7.55 10.44 12.44 14.44 16.44 12.44 9.55 7.55 ],[12.22 12.22 14.2"
14914      "2 12.22 14.22 14.22 14.22 12.22 ],[1 1 1 ]);\npatch([9.55 12.44 10.44 7.55 9.55 ],[10.22 10.22 12.22 12.22 10.22 ],"
14915      "[0.931 0.946 0.973 ]);\npatch([7.55 10.44 12.44 9.55 7.55 ],[8.22 8.22 10.22 10.22 8.22 ],[1 1 1 ]);\npatch([9.55 1"
14916      "6.44 14.44 12.44 10.44 7.55 9.55 ],[6.22 6.22 8.22 6.22 8.22 8.22 6.22 ],[0.931 0.946 0.973 ]);\nfprintf('','COMMEN"
14917      "T: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('output',1,'0');\nfprin"
14918      "tf('','COMMENT: end icon text');"
14919    }
14920    Block {
14921      BlockType       Reference
14922      Name            "Constant1"
14923      SID             "393"
14924      Ports           [0, 1]
14925      Position        [355, 345, 380, 365]
14926      ShowName        off
14927      LibraryVersion      "1.2"
14928      SourceBlock         "xbsIndex_r4/Constant"
14929      SourceType          "Xilinx Constant Block Block"
14930      const           "0"
14931      gui_display_data_type   "Fixed-point"
14932      arith_type          "Boolean"
14933      n_bits          "32"
14934      bin_pt          "0"
14935      preci_type          "Single"
14936      exp_width       "8"
14937      frac_width          "24"
14938      explicit_period     off
14939      period          "1"
14940      dsp48_infoedit      "The use of this block for DSP48 instructions is deprecated.  Please use the Opmode block."
14941      equ             "P=C"
14942      opselect        "C"
14943      inp2            "PCIN>>17"
14944      opr             "+"
14945      inp1            "P"
14946      carry           "CIN"
14947      dbl_ovrd        off
14948      has_advanced_control    "0"
14949      sggui_pos       "20,20,400,346"
14950      block_type          "constant"
14951      block_version       "10.1.2"
14952      sg_icon_stat        "25,20,0,1,white,blue,0,bf4ddd8b,right,,[ ],[ ]"
14953      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 25 25 0 0 ],[0 0 20 20 0 ],[0.77 0.82 0.91"
14954      " ]);\nplot([0 25 25 0 0 ],[0 0 20 20 0 ]);\npatch([7.55 10.44 12.44 14.44 16.44 12.44 9.55 7.55 ],[12.22 12.22 14.2"
14955      "2 12.22 14.22 14.22 14.22 12.22 ],[1 1 1 ]);\npatch([9.55 12.44 10.44 7.55 9.55 ],[10.22 10.22 12.22 12.22 10.22 ],"
14956      "[0.931 0.946 0.973 ]);\npatch([7.55 10.44 12.44 9.55 7.55 ],[8.22 8.22 10.22 10.22 8.22 ],[1 1 1 ]);\npatch([9.55 1"
14957      "6.44 14.44 12.44 10.44 7.55 9.55 ],[6.22 6.22 8.22 6.22 8.22 8.22 6.22 ],[0.931 0.946 0.973 ]);\nfprintf('','COMMEN"
14958      "T: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('output',1,'0');\nfprin"
14959      "tf('','COMMENT: end icon text');"
14960    }
14961    Block {
14962      BlockType       Reference
14963      Name            "Constant2"
14964      SID             "394"
14965      Ports           [0, 1]
14966      Position        [355, 315, 380, 335]
14967      ShowName        off
14968      LibraryVersion      "1.2"
14969      SourceBlock         "xbsIndex_r4/Constant"
14970      SourceType          "Xilinx Constant Block Block"
14971      const           "0"
14972      gui_display_data_type   "Fixed-point"
14973      arith_type          "Unsigned"
14974      n_bits          "32"
14975      bin_pt          "0"
14976      preci_type          "Single"
14977      exp_width       "8"
14978      frac_width          "24"
14979      explicit_period     off
14980      period          "1"
14981      dsp48_infoedit      "The use of this block for DSP48 instructions is deprecated.  Please use the Opmode block."
14982      equ             "P=C"
14983      opselect        "C"
14984      inp2            "PCIN>>17"
14985      opr             "+"
14986      inp1            "P"
14987      carry           "CIN"
14988      dbl_ovrd        off
14989      has_advanced_control    "0"
14990      sggui_pos       "20,20,400,346"
14991      block_type          "constant"
14992      block_version       "10.1.2"
14993      sg_icon_stat        "25,20,0,1,white,blue,0,bf4ddd8b,right,,[ ],[ ]"
14994      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 25 25 0 0 ],[0 0 20 20 0 ],[0.77 0.82 0.91"
14995      " ]);\nplot([0 25 25 0 0 ],[0 0 20 20 0 ]);\npatch([7.55 10.44 12.44 14.44 16.44 12.44 9.55 7.55 ],[12.22 12.22 14.2"
14996      "2 12.22 14.22 14.22 14.22 12.22 ],[1 1 1 ]);\npatch([9.55 12.44 10.44 7.55 9.55 ],[10.22 10.22 12.22 12.22 10.22 ],"
14997      "[0.931 0.946 0.973 ]);\npatch([7.55 10.44 12.44 9.55 7.55 ],[8.22 8.22 10.22 10.22 8.22 ],[1 1 1 ]);\npatch([9.55 1"
14998      "6.44 14.44 12.44 10.44 7.55 9.55 ],[6.22 6.22 8.22 6.22 8.22 8.22 6.22 ],[0.931 0.946 0.973 ]);\nfprintf('','COMMEN"
14999      "T: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('output',1,'0');\nfprin"
15000      "tf('','COMMENT: end icon text');"
15001    }
15002    Block {
15003      BlockType       Reference
15004      Name            "Convert"
15005      SID             "395"
15006      Ports           [1, 1]
15007      Position        [350, 188, 375, 202]
15008      ShowName        off
15009      LibraryVersion      "1.2"
15010      SourceBlock         "xbsIndex_r4/Convert"
15011      SourceType          "Xilinx Type Converter Block"
15012      infoedit        "Hardware notes: rounding and saturating require hardware resources; truncating and wrapping do not."
15013      gui_display_data_type   "Fixed-point"
15014      arith_type          "Boolean"
15015      n_bits          "16"
15016      bin_pt          "14"
15017      float_type          "Single"
15018      exp_bits        "8"
15019      fraction_bits       "24"
15020      quantization        "Truncate"
15021      overflow        "Wrap"
15022      en              off
15023      latency         "0"
15024      dbl_ovrd        off
15025      pipeline        off
15026      xl_use_area         off
15027      xl_area         "[0,0,0,0,0,0,0]"
15028      has_advanced_control    "0"
15029      sggui_pos       "20,20,374,375"
15030      block_type          "convert"
15031      block_version       "8.2"
15032      sg_icon_stat        "25,14,1,1,white,blue,0,edca21da,right,,[ ],[ ]"
15033      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 25 25 0 0 ],[0 0 14 14 0 ],[0.77 0.82 0.91"
15034      " ]);\nplot([0 25 25 0 0 ],[0 0 14 14 0 ]);\npatch([7.55 10.44 12.44 14.44 16.44 12.44 9.55 7.55 ],[9.22 9.22 11.22 "
15035      "9.22 11.22 11.22 11.22 9.22 ],[1 1 1 ]);\npatch([9.55 12.44 10.44 7.55 9.55 ],[7.22 7.22 9.22 9.22 7.22 ],[0.931 0."
15036      "946 0.973 ]);\npatch([7.55 10.44 12.44 9.55 7.55 ],[5.22 5.22 7.22 7.22 5.22 ],[1 1 1 ]);\npatch([9.55 16.44 14.44 "
15037      "12.44 10.44 7.55 9.55 ],[3.22 3.22 5.22 3.22 5.22 5.22 3.22 ],[0.931 0.946 0.973 ]);\nfprintf('','COMMENT: end icon"
15038      " graphics');\nfprintf('','COMMENT: begin icon text');\n\ncolor('black');port_label('output',1,'cast');\nfprintf('',"
15039      "'COMMENT: end icon text');"
15040    }
15041    Block {
15042      BlockType       Reference
15043      Name            "Convert1"
15044      SID             "396"
15045      Ports           [1, 1]
15046      Position        [100, 203, 120, 217]
15047      ShowName        off
15048      LibraryVersion      "1.2"
15049      SourceBlock         "xbsIndex_r4/Convert"
15050      SourceType          "Xilinx Type Converter Block"
15051      infoedit        "Hardware notes: rounding and saturating require hardware resources; truncating and wrapping do not."
15052      gui_display_data_type   "Fixed-point"
15053      arith_type          "Boolean"
15054      n_bits          "16"
15055      bin_pt          "14"
15056      float_type          "Single"
15057      exp_bits        "8"
15058      fraction_bits       "24"
15059      quantization        "Truncate"
15060      overflow        "Wrap"
15061      en              off
15062      latency         "0"
15063      dbl_ovrd        off
15064      pipeline        off
15065      xl_use_area         off
15066      xl_area         "[0,0,0,0,0,0,0]"
15067      has_advanced_control    "0"
15068      sggui_pos       "20,20,374,375"
15069      block_type          "convert"
15070      block_version       "8.2"
15071      sg_icon_stat        "20,14,1,1,white,blue,0,edca21da,right,,[ ],[ ]"
15072      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 20 20 0 0 ],[0 0 14 14 0 ],[0.77 0.82 0.91"
15073      " ]);\nplot([0 20 20 0 0 ],[0 0 14 14 0 ]);\npatch([5.55 8.44 10.44 12.44 14.44 10.44 7.55 5.55 ],[9.22 9.22 11.22 9"
15074      ".22 11.22 11.22 11.22 9.22 ],[1 1 1 ]);\npatch([7.55 10.44 8.44 5.55 7.55 ],[7.22 7.22 9.22 9.22 7.22 ],[0.931 0.94"
15075      "6 0.973 ]);\npatch([5.55 8.44 10.44 7.55 5.55 ],[5.22 5.22 7.22 7.22 5.22 ],[1 1 1 ]);\npatch([7.55 14.44 12.44 10."
15076      "44 8.44 5.55 7.55 ],[3.22 3.22 5.22 3.22 5.22 5.22 3.22 ],[0.931 0.946 0.973 ]);\nfprintf('','COMMENT: end icon gra"
15077      "phics');\nfprintf('','COMMENT: begin icon text');\n\ncolor('black');port_label('output',1,'cast');\nfprintf('','COM"
15078      "MENT: end icon text');"
15079    }
15080    Block {
15081      BlockType       Reference
15082      Name            "Inverter"
15083      SID             "397"
15084      Ports           [1, 1]
15085      Position        [150, 201, 180, 219]
15086      LibraryVersion      "1.2"
15087      SourceBlock         "xbsIndex_r4/Inverter"
15088      SourceType          "Xilinx Inverter Block"
15089      infoedit        "Bitwise logical negation (one's complement) operator."
15090      en              off
15091      latency         "0"
15092      dbl_ovrd        off
15093      xl_use_area         off
15094      xl_area         "[0,0,0,0,0,0,0]"
15095      has_advanced_control    "0"
15096      sggui_pos       "-1,-1,-1,-1"
15097      block_type          "inv"
15098      block_version       "9.1.01"
15099      sg_icon_stat        "30,18,1,1,white,blue,0,267846e5,right,,[ ],[ ]"
15100      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 30 30 0 0 ],[0 0 18 18 0 ],[0.77 0.82 0.91"
15101      " ]);\nplot([0 30 30 0 0 ],[0 0 18 18 0 ]);\npatch([10.55 13.44 15.44 17.44 19.44 15.44 12.55 10.55 ],[11.22 11.22 1"
15102      "3.22 11.22 13.22 13.22 13.22 11.22 ],[1 1 1 ]);\npatch([12.55 15.44 13.44 10.55 12.55 ],[9.22 9.22 11.22 11.22 9.22"
15103      " ],[0.931 0.946 0.973 ]);\npatch([10.55 13.44 15.44 12.55 10.55 ],[7.22 7.22 9.22 9.22 7.22 ],[1 1 1 ]);\npatch([12"
15104      ".55 19.44 17.44 15.44 13.44 10.55 12.55 ],[5.22 5.22 7.22 5.22 7.22 7.22 5.22 ],[0.931 0.946 0.973 ]);\nfprintf('',"
15105      "'COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\n\n\ncolor('black');disp('not');\nfprintf('"
15106      "','COMMENT: end icon text');"
15107    }
15108    Block {
15109      BlockType       Reference
15110      Name            "Logical"
15111      SID             "398"
15112      Ports           [2, 1]
15113      Position        [215, 165, 270, 225]
15114      LibraryVersion      "1.2"
15115      SourceBlock         "xbsIndex_r4/Logical"
15116      SourceType          "Xilinx Logical Block Block"
15117      logical_function    "OR"
15118      inputs          "2"
15119      en              off
15120      latency         "0"
15121      precision       "Full"
15122      arith_type          "Unsigned"
15123      n_bits          "16"
15124      bin_pt          "0"
15125      align_bp        on
15126      dbl_ovrd        off
15127      xl_use_area         off
15128      xl_area         "[0,0,0,0,0,0,0]"
15129      has_advanced_control    "0"
15130      sggui_pos       "-1,-1,-1,-1"
15131      block_type          "logical"
15132      block_version       "9.1.01"
15133      sg_icon_stat        "55,60,2,1,white,blue,0,7ede7d88,right,,[ ],[ ]"
15134      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 0 ],[0 0 60 60 0 ],[0.77 0.82 0.91"
15135      " ]);\nplot([0 55 55 0 0 ],[0 0 60 60 0 ]);\npatch([11.425 21.54 28.54 35.54 42.54 28.54 18.425 11.425 ],[37.77 37.7"
15136      "7 44.77 37.77 44.77 44.77 44.77 37.77 ],[1 1 1 ]);\npatch([18.425 28.54 21.54 11.425 18.425 ],[30.77 30.77 37.77 37"
15137      ".77 30.77 ],[0.931 0.946 0.973 ]);\npatch([11.425 21.54 28.54 18.425 11.425 ],[23.77 23.77 30.77 30.77 23.77 ],[1 1"
15138      " 1 ]);\npatch([18.425 42.54 35.54 28.54 21.54 11.425 18.425 ],[16.77 16.77 23.77 16.77 23.77 23.77 16.77 ],[0.931 0"
15139      ".946 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\n\n\n\ncolor('bl"
15140      "ack');disp('or');\nfprintf('','COMMENT: end icon text');"
15141    }
15142    Block {
15143      BlockType       Reference
15144      Name            "Mux"
15145      SID             "399"
15146      Ports           [3, 1]
15147      Position        [750, 273, 795, 377]
15148      LibraryVersion      "1.2"
15149      SourceBlock         "xbsIndex_r4/Mux"
15150      SourceType          "Xilinx Bus Multiplexer Block"
15151      inputs          "2"
15152      en              off
15153      latency         "0"
15154      precision       "Full"
15155      arith_type          "Unsigned"
15156      n_bits          "16"
15157      bin_pt          "14"
15158      quantization        "Truncate"
15159      overflow        "Wrap"
15160      dbl_ovrd        off
15161      xl_use_area         off
15162      xl_area         "[0,0,0,0,0,0,0]"
15163      has_advanced_control    "0"
15164      sggui_pos       "20,20,348,303"
15165      block_type          "mux"
15166      block_version       "10.1.2"
15167      sg_icon_stat        "45,104,3,1,white,blue,3,eb98d690,right,,[ ],[ ]"
15168      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 45 45 0 0 ],[0 14.8571 89.1429 104 0 ],[0."
15169      "77 0.82 0.91 ]);\nplot([0 45 45 0 0 ],[0 14.8571 89.1429 104 0 ]);\npatch([8.65 17.32 23.32 29.32 35.32 23.32 14.65"
15170      " 8.65 ],[58.66 58.66 64.66 58.66 64.66 64.66 64.66 58.66 ],[1 1 1 ]);\npatch([14.65 23.32 17.32 8.65 14.65 ],[52.66"
15171      " 52.66 58.66 58.66 52.66 ],[0.931 0.946 0.973 ]);\npatch([8.65 17.32 23.32 14.65 8.65 ],[46.66 46.66 52.66 52.66 46"
15172      ".66 ],[1 1 1 ]);\npatch([14.65 35.32 29.32 23.32 17.32 8.65 14.65 ],[40.66 40.66 46.66 40.66 46.66 46.66 40.66 ],[0"
15173      ".931 0.946 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('bl"
15174      "ack');port_label('input',1,'sel');\ncolor('black');port_label('input',2,'d0');\ncolor('black');port_label('input',3"
15175      ",'d1');\n\ncolor('black');disp('\\bf{}','texmode','on');\nfprintf('','COMMENT: end icon text');"
15176    }
15177    Block {
15178      BlockType       Reference
15179      Name            "Shared Memory"
15180      SID             "400"
15181      Ports           [3, 1]
15182      Position        [445, 280, 525, 370]
15183      AttributesFormatString  "<< %<shared_memory_name> >>"
15184      LibraryVersion      "1.2"
15185      SourceBlock         "xbsIndex_r4/Shared Memory"
15186      SourceType          "Xilinx Shared Memory Random Access Memory Block"
15187      shared_memory_name      "'TxBuff_Radio3'"
15188      depth           "2^14"
15189      ownership       "Locally Owned and Initialized"
15190      initVector          "0"
15191      en              off
15192      mutex           "Unprotected"
15193      mode            "Read and Write"
15194      write_mode          "Read After Write"
15195      time_out        "0"
15196      latency         "1"
15197      explicit_data_type      on
15198      gui_display_data_type   "Fixed-point"
15199      arith_type          "Unsigned"
15200      n_bits          "32"
15201      bin_pt          "0"
15202      preci_type          "Single"
15203      xl_use_area         off
15204      xl_area         "[0,0,0,0,0,0,0]"
15205      implementation      "Block RAM"
15206      use_rpm         "off"
15207      has_advanced_control    "0"
15208      sggui_pos       "20,20,384,381"
15209      block_type          "shmem"
15210      block_version       "10.1.2"
15211      sg_icon_stat        "80,90,3,1,white,blue,0,bf435243,right,,[ ],[ ]"
15212      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 80 80 0 0 ],[0 0 90 90 0 ],[0.77 0.82 0.91"
15213      " ]);\nplot([0 80 80 0 0 ],[0 0 90 90 0 ]);\npatch([15.525 31.42 42.42 53.42 64.42 42.42 26.525 15.525 ],[57.21 57.2"
15214      "1 68.21 57.21 68.21 68.21 68.21 57.21 ],[1 1 1 ]);\npatch([26.525 42.42 31.42 15.525 26.525 ],[46.21 46.21 57.21 57"
15215      ".21 46.21 ],[0.931 0.946 0.973 ]);\npatch([15.525 31.42 42.42 26.525 15.525 ],[35.21 35.21 46.21 46.21 35.21 ],[1 1"
15216      " 1 ]);\npatch([26.525 64.42 53.42 42.42 31.42 15.525 26.525 ],[24.21 24.21 35.21 24.21 35.21 35.21 24.21 ],[0.931 0"
15217      ".946 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');"
15218      "port_label('input',1,'addr');\ncolor('black');port_label('input',2,'din');\ncolor('black');port_label('input',3,'we"
15219      "');\ncolor('black');port_label('output',1,'dout');\nfprintf('','COMMENT: end icon text');"
15220    }
15221    Block {
15222      BlockType       Outport
15223      Name            "IQ_32b"
15224      SID             "401"
15225      Position        [885, 318, 915, 332]
15226      IconDisplay         "Port number"
15227    }
15228    Line {
15229      SrcBlock        "Mux"
15230      SrcPort         1
15231      DstBlock        "IQ_32b"
15232      DstPort         1
15233    }
15234    Line {
15235      SrcBlock        "Constant2"
15236      SrcPort         1
15237      DstBlock        "Shared Memory"
15238      DstPort         2
15239    }
15240    Line {
15241      SrcBlock        "Constant1"
15242      SrcPort         1
15243      DstBlock        "Shared Memory"
15244      DstPort         3
15245    }
15246    Line {
15247      SrcBlock        "Shared Memory"
15248      SrcPort         1
15249      DstBlock        "Mux"
15250      DstPort         2
15251    }
15252    Line {
15253      SrcBlock        "Constant"
15254      SrcPort         1
15255      DstBlock        "Mux"
15256      DstPort         3
15257    }
15258    Line {
15259      SrcBlock        "RdAddr_14b"
15260      SrcPort         1
15261      DstBlock        "Shared Memory"
15262      DstPort         1
15263    }
15264    Line {
15265      SrcBlock        "Inverter"
15266      SrcPort         1
15267      DstBlock        "Logical"
15268      DstPort         2
15269    }
15270    Line {
15271      SrcBlock        "Convert1"
15272      SrcPort         1
15273      DstBlock        "Inverter"
15274      DstPort         1
15275    }
15276    Line {
15277      SrcBlock        "En"
15278      SrcPort         1
15279      DstBlock        "Convert1"
15280      DstPort         1
15281    }
15282    Line {
15283      SrcBlock        "Rst"
15284      SrcPort         1
15285      DstBlock        "Logical"
15286      DstPort         1
15287    }
15288    Line {
15289      SrcBlock        "Convert"
15290      SrcPort         1
15291      Points          [160, 0; 0, 95]
15292      DstBlock        "Mux"
15293      DstPort         1
15294    }
15295    Line {
15296      SrcBlock        "Logical"
15297      SrcPort         1
15298      DstBlock        "Convert"
15299      DstPort         1
15300    }
15301      }
15302    }
15303    Block {
15304      BlockType           SubSystem
15305      Name            "Radio 3 Inputs"
15306      SID             "819"
15307      Ports           [1, 2]
15308      Position            [905, 325, 1090, 365]
15309      NamePlacement       "alternate"
15310      MinAlgLoopOccurrences   off
15311      PropExecContextOutsideSubsystem off
15312      RTWSystemCode       "Auto"
15313      FunctionWithSeparateData off
15314      Opaque              off
15315      RequestExecContextInheritance off
15316      MaskHideContents        off
15317      System {
15318    Name            "Radio 3 Inputs"
15319    Location        [534, 197, 1546, 748]
15320    Open            off
15321    ModelBrowserVisibility  off
15322    ModelBrowserWidth   200
15323    ScreenColor     "white"
15324    PaperOrientation    "landscape"
15325    PaperPositionMode   "auto"
15326    PaperType       "usletter"
15327    PaperUnits      "inches"
15328    TiledPaperMargins   [0.500000, 0.500000, 0.500000, 0.500000]
15329    TiledPageScale      1
15330    ShowPageBoundaries  off
15331    ZoomFactor      "100"
15332    Block {
15333      BlockType       Inport
15334      Name            "MGCAGC_AGCDCO_SEL"
15335      SID             "820"
15336      Position        [240, 258, 270, 272]
15337      IconDisplay         "Port number"
15338    }
15339    Block {
15340      BlockType       SubSystem
15341      Name            "ADC I"
15342      SID             "821"
15343      Ports           [1, 1]
15344      Position        [420, 243, 575, 287]
15345      NamePlacement       "alternate"
15346      MinAlgLoopOccurrences   off
15347      PropExecContextOutsideSubsystem off
15348      RTWSystemCode       "Auto"
15349      FunctionWithSeparateData off
15350      Opaque          off
15351      RequestExecContextInheritance off
15352      MaskHideContents    off
15353      System {
15354        Name            "ADC I"
15355        Location            [2, 82, 2558, 1387]
15356        Open            off
15357        ModelBrowserVisibility  off
15358        ModelBrowserWidth       200
15359        ScreenColor         "white"
15360        PaperOrientation        "landscape"
15361        PaperPositionMode       "auto"
15362        PaperType           "usletter"
15363        PaperUnits          "inches"
15364        TiledPaperMargins       [0.500000, 0.500000, 0.500000, 0.500000]
15365        TiledPageScale      1
15366        ShowPageBoundaries      off
15367        ZoomFactor          "100"
15368        Block {
15369          BlockType           Inport
15370          Name            "MGCAGC_AGCDCO_SEL"
15371          SID             "822"
15372          Position            [175, 73, 205, 87]
15373          IconDisplay         "Port number"
15374        }
15375        Block {
15376          BlockType           Constant
15377          Name            "Constant2"
15378          SID             "823"
15379          Position            [55, 150, 85, 180]
15380          ShowName            off
15381          Value           "0"
15382        }
15383        Block {
15384          BlockType           Reference
15385          Name            "FromAGC_Radio3_I"
15386          SID             "824"
15387          Ports           [1, 1]
15388          Position            [160, 255, 225, 275]
15389          LibraryVersion          "1.2"
15390          SourceBlock         "xbsIndex_r4/Gateway In"
15391          SourceType          "Xilinx Gateway In Block"
15392          infoedit            "Gateway in block.  Converts inputs of type Simulink integer, single, double and fixed point to"
15393          "  Xilinx fixed-point or floating-point data type.<br><br>Hardware notes:  In hardware these blocks become top l"
15394          "evel input ports."
15395          gui_display_data_type   "Fixed-point"
15396          arith_type          "Signed  (2's comp)"
15397          n_bits              "12"
15398          bin_pt              "11"
15399          preci_type          "Single"
15400          exp_width           "8"
15401          frac_width          "24"
15402          quantization        "Round  (unbiased: +/- Inf)"
15403          overflow            "Saturate"
15404          period              "1"
15405          dbl_ovrd            off
15406          timing_constraint       "None"
15407          locs_specified          off
15408          LOCs            "{}"
15409          xl_use_area         off
15410          xl_area             "[0,0,0,0,0,0,0]"
15411          inherit_from_input      off
15412          UseAsADC            off
15413          ADCChannel          "'1'"
15414          hdl_port            "on"
15415          has_advanced_control    "0"
15416          sggui_pos           "20,20,348,406"
15417          block_type          "gatewayin"
15418          block_version       "10.1.3"
15419          sg_icon_stat        "65,20,1,1,white,yellow,1,00d3666e,right,,[ ],[ ]"
15420          sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npatch([0 65 65 0 0 ],[0 0 20 20 0 ],[0.95 0"
15421          ".93 0.65 ]);\nplot([0 65 65 0 0 ],[0 0 20 20 0 ]);\npatch([27.55 30.44 32.44 34.44 36.44 32.44 29.55 27.55 ],[1"
15422          "2.22 12.22 14.22 12.22 14.22 14.22 14.22 12.22 ],[1 1 1 ]);\npatch([29.55 32.44 30.44 27.55 29.55 ],[10.22 10.2"
15423          "2 12.22 12.22 10.22 ],[0.985 0.979 0.895 ]);\npatch([27.55 30.44 32.44 29.55 27.55 ],[8.22 8.22 10.22 10.22 8.2"
15424          "2 ],[1 1 1 ]);\npatch([29.55 36.44 34.44 32.44 30.44 27.55 29.55 ],[6.22 6.22 8.22 6.22 8.22 8.22 6.22 ],[0.985"
15425          " 0.979 0.895 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('bl"
15426          "ack');port_label('input',1,'\\fontsize{11pt}\\bf In ','texmode','on');\ncolor('black');port_label('output',1,' "
15427          "');\nfprintf('','COMMENT: end icon text');"
15428        }
15429        Block {
15430          BlockType           Reference
15431          Name            "Mux3"
15432          SID             "825"
15433          Ports           [3, 1]
15434          Position            [320, 113, 365, 217]
15435          LibraryVersion          "1.2"
15436          SourceBlock         "xbsIndex_r4/Mux"
15437          SourceType          "Xilinx Bus Multiplexer Block"
15438          inputs              "2"
15439          en              off
15440          latency             "0"
15441          precision           "Full"
15442          arith_type          "Unsigned"
15443          n_bits              "1"
15444          bin_pt              "0"
15445          quantization        "Truncate"
15446          overflow            "Wrap"
15447          dbl_ovrd            off
15448          xl_use_area         off
15449          xl_area             "[0,0,0,0,0,0,0]"
15450          has_advanced_control    "0"
15451          sggui_pos           "20,20,348,303"
15452          block_type          "mux"
15453          block_version       "10.1.3"
15454          sg_icon_stat        "45,104,3,1,white,blue,3,eb98d690,right,,[ ],[ ]"
15455          sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npatch([0 45 45 0 0 ],[0 14.8571 89.1429 104"
15456          " 0 ],[0.77 0.82 0.91 ]);\nplot([0 45 45 0 0 ],[0 14.8571 89.1429 104 0 ]);\npatch([8.65 17.32 23.32 29.32 35.32"
15457          " 23.32 14.65 8.65 ],[58.66 58.66 64.66 58.66 64.66 64.66 64.66 58.66 ],[1 1 1 ]);\npatch([14.65 23.32 17.32 8.6"
15458          "5 14.65 ],[52.66 52.66 58.66 58.66 52.66 ],[0.931 0.946 0.973 ]);\npatch([8.65 17.32 23.32 14.65 8.65 ],[46.66 "
15459          "46.66 52.66 52.66 46.66 ],[1 1 1 ]);\npatch([14.65 35.32 29.32 23.32 17.32 8.65 14.65 ],[40.66 40.66 46.66 40.6"
15460          "6 46.66 46.66 40.66 ],[0.931 0.946 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: b"
15461          "egin icon text');\ncolor('black');port_label('input',1,'sel');\ncolor('black');port_label('input',2,'d0');\ncol"
15462          "or('black');port_label('input',3,'d1');\n\ncolor('black');disp('\\bf{}','texmode','on');\nfprintf('','COMMENT: "
15463          "end icon text');"
15464        }
15465        Block {
15466          BlockType           Reference
15467          Name            "Register1"
15468          SID             "826"
15469          Ports           [1, 1]
15470          Position            [490, 151, 525, 179]
15471          ShowName            off
15472          LibraryVersion          "1.2"
15473          SourceBlock         "xbsIndex_r4/Register"
15474          SourceType          "Xilinx Register Block"
15475          init            "0"
15476          rst             off
15477          en              off
15478          dbl_ovrd            off
15479          xl_use_area         off
15480          xl_area             "[0,0,0,0,0,0,0]"
15481          has_advanced_control    "0"
15482          sggui_pos           "-1,-1,-1,-1"
15483          block_type          "register"
15484          block_version       "8.2"
15485          sg_icon_stat        "35,28,1,1,white,blue,0,c80657c5,right,,[ ],[ ]"
15486          sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npatch([0 35 35 0 0 ],[0 0 28 28 0 ],[0.77 0"
15487          ".82 0.91 ]);\nplot([0 35 35 0 0 ],[0 0 28 28 0 ]);\npatch([8.1 13.88 17.88 21.88 25.88 17.88 12.1 8.1 ],[18.44 "
15488          "18.44 22.44 18.44 22.44 22.44 22.44 18.44 ],[1 1 1 ]);\npatch([12.1 17.88 13.88 8.1 12.1 ],[14.44 14.44 18.44 1"
15489          "8.44 14.44 ],[0.931 0.946 0.973 ]);\npatch([8.1 13.88 17.88 12.1 8.1 ],[10.44 10.44 14.44 14.44 10.44 ],[1 1 1 "
15490          "]);\npatch([12.1 25.88 21.88 17.88 13.88 8.1 12.1 ],[6.44 6.44 10.44 6.44 10.44 10.44 6.44 ],[0.931 0.946 0.973"
15491          " ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_l"
15492          "abel('input',1,'d');\ncolor('black');port_label('output',1,'q');\ncolor('black');disp('z^{-1}','texmode','on');"
15493          "\nfprintf('','COMMENT: end icon text');"
15494        }
15495        Block {
15496          BlockType           Reference
15497          Name            "radio3_ADC_I"
15498          SID             "827"
15499          Ports           [1, 1]
15500          Position            [160, 155, 225, 175]
15501          LibraryVersion          "1.2"
15502          SourceBlock         "xbsIndex_r4/Gateway In"
15503          SourceType          "Xilinx Gateway In Block"
15504          infoedit            "Gateway in block.  Converts inputs of type Simulink integer, single, double and fixed point to"
15505          "  Xilinx fixed-point or floating-point data type.<br><br>Hardware notes:  In hardware these blocks become top l"
15506          "evel input ports."
15507          gui_display_data_type   "Fixed-point"
15508          arith_type          "Signed  (2's comp)"
15509          n_bits              "12"
15510          bin_pt              "11"
15511          preci_type          "Single"
15512          exp_width           "8"
15513          frac_width          "24"
15514          quantization        "Round  (unbiased: +/- Inf)"
15515          overflow            "Saturate"
15516          period              "1"
15517          dbl_ovrd            off
15518          timing_constraint       "None"
15519          locs_specified          off
15520          LOCs            "{}"
15521          xl_use_area         off
15522          xl_area             "[0,0,0,0,0,0,0]"
15523          inherit_from_input      off
15524          UseAsADC            off
15525          ADCChannel          "'1'"
15526          hdl_port            "on"
15527          has_advanced_control    "0"
15528          sggui_pos           "20,20,348,406"
15529          block_type          "gatewayin"
15530          block_version       "10.1.3"
15531          sg_icon_stat        "65,20,1,1,white,yellow,1,00d3666e,right,,[ ],[ ]"
15532          sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npatch([0 65 65 0 0 ],[0 0 20 20 0 ],[0.95 0"
15533          ".93 0.65 ]);\nplot([0 65 65 0 0 ],[0 0 20 20 0 ]);\npatch([27.55 30.44 32.44 34.44 36.44 32.44 29.55 27.55 ],[1"
15534          "2.22 12.22 14.22 12.22 14.22 14.22 14.22 12.22 ],[1 1 1 ]);\npatch([29.55 32.44 30.44 27.55 29.55 ],[10.22 10.2"
15535          "2 12.22 12.22 10.22 ],[0.985 0.979 0.895 ]);\npatch([27.55 30.44 32.44 29.55 27.55 ],[8.22 8.22 10.22 10.22 8.2"
15536          "2 ],[1 1 1 ]);\npatch([29.55 36.44 34.44 32.44 30.44 27.55 29.55 ],[6.22 6.22 8.22 6.22 8.22 8.22 6.22 ],[0.985"
15537          " 0.979 0.895 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('bl"
15538          "ack');port_label('input',1,'\\fontsize{11pt}\\bf In ','texmode','on');\ncolor('black');port_label('output',1,' "
15539          "');\nfprintf('','COMMENT: end icon text');"
15540        }
15541        Block {
15542          BlockType           Outport
15543          Name            "ADC I"
15544          SID             "828"
15545          Position            [595, 158, 625, 172]
15546          IconDisplay         "Port number"
15547        }
15548        Line {
15549          SrcBlock            "Register1"
15550          SrcPort             1
15551          DstBlock            "ADC I"
15552          DstPort             1
15553        }
15554        Line {
15555          SrcBlock            "Constant2"
15556          SrcPort             1
15557          DstBlock            "radio3_ADC_I"
15558          DstPort             1
15559        }
15560        Line {
15561          SrcBlock            "MGCAGC_AGCDCO_SEL"
15562          SrcPort             1
15563          Points              [70, 0; 0, 50]
15564          DstBlock            "Mux3"
15565          DstPort             1
15566        }
15567        Line {
15568          SrcBlock            "FromAGC_Radio3_I"
15569          SrcPort             1
15570          Points              [50, 0; 0, -65]
15571          DstBlock            "Mux3"
15572          DstPort             3
15573        }
15574        Line {
15575          SrcBlock            "radio3_ADC_I"
15576          SrcPort             1
15577          DstBlock            "Mux3"
15578          DstPort             2
15579        }
15580        Line {
15581          SrcBlock            "Mux3"
15582          SrcPort             1
15583          DstBlock            "Register1"
15584          DstPort             1
15585        }
15586      }
15587    }
15588    Block {
15589      BlockType       SubSystem
15590      Name            "ADC Q"
15591      SID             "829"
15592      Ports           [1, 1]
15593      Position        [420, 304, 575, 346]
15594      MinAlgLoopOccurrences   off
15595      PropExecContextOutsideSubsystem off
15596      RTWSystemCode       "Auto"
15597      FunctionWithSeparateData off
15598      Opaque          off
15599      RequestExecContextInheritance off
15600      MaskHideContents    off
15601      System {
15602        Name            "ADC Q"
15603        Location            [66, 91, 1078, 743]
15604        Open            off
15605        ModelBrowserVisibility  off
15606        ModelBrowserWidth       200
15607        ScreenColor         "white"
15608        PaperOrientation        "landscape"
15609        PaperPositionMode       "auto"
15610        PaperType           "usletter"
15611        PaperUnits          "inches"
15612        TiledPaperMargins       [0.500000, 0.500000, 0.500000, 0.500000]
15613        TiledPageScale      1
15614        ShowPageBoundaries      off
15615        ZoomFactor          "100"
15616        Block {
15617          BlockType           Inport
15618          Name            "MGCAGC_AGCDCO_SEL"
15619          SID             "830"
15620          Position            [160, 43, 190, 57]
15621          IconDisplay         "Port number"
15622        }
15623        Block {
15624          BlockType           Constant
15625          Name            "Constant2"
15626          SID             "831"
15627          Position            [40, 120, 70, 150]
15628          ShowName            off
15629          Value           "0"
15630        }
15631        Block {
15632          BlockType           Reference
15633          Name            "FromAGC_Radio3_Q"
15634          SID             "832"
15635          Ports           [1, 1]
15636          Position            [145, 225, 210, 245]
15637          LibraryVersion          "1.2"
15638          SourceBlock         "xbsIndex_r4/Gateway In"
15639          SourceType          "Xilinx Gateway In Block"
15640          infoedit            "Gateway in block.  Converts inputs of type Simulink integer, single, double and fixed point to"
15641          "  Xilinx fixed-point or floating-point data type.<br><br>Hardware notes:  In hardware these blocks become top l"
15642          "evel input ports."
15643          gui_display_data_type   "Fixed-point"
15644          arith_type          "Signed  (2's comp)"
15645          n_bits              "12"
15646          bin_pt              "11"
15647          preci_type          "Single"
15648          exp_width           "8"
15649          frac_width          "24"
15650          quantization        "Round  (unbiased: +/- Inf)"
15651          overflow            "Saturate"
15652          period              "1"
15653          dbl_ovrd            off
15654          timing_constraint       "None"
15655          locs_specified          off
15656          LOCs            "{}"
15657          xl_use_area         off
15658          xl_area             "[0,0,0,0,0,0,0]"
15659          inherit_from_input      off
15660          UseAsADC            off
15661          ADCChannel          "'1'"
15662          hdl_port            "on"
15663          has_advanced_control    "0"
15664          sggui_pos           "20,20,348,406"
15665          block_type          "gatewayin"
15666          block_version       "10.1.3"
15667          sg_icon_stat        "65,20,1,1,white,yellow,1,00d3666e,right,,[ ],[ ]"
15668          sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npatch([0 65 65 0 0 ],[0 0 20 20 0 ],[0.95 0"
15669          ".93 0.65 ]);\nplot([0 65 65 0 0 ],[0 0 20 20 0 ]);\npatch([27.55 30.44 32.44 34.44 36.44 32.44 29.55 27.55 ],[1"
15670          "2.22 12.22 14.22 12.22 14.22 14.22 14.22 12.22 ],[1 1 1 ]);\npatch([29.55 32.44 30.44 27.55 29.55 ],[10.22 10.2"
15671          "2 12.22 12.22 10.22 ],[0.985 0.979 0.895 ]);\npatch([27.55 30.44 32.44 29.55 27.55 ],[8.22 8.22 10.22 10.22 8.2"
15672          "2 ],[1 1 1 ]);\npatch([29.55 36.44 34.44 32.44 30.44 27.55 29.55 ],[6.22 6.22 8.22 6.22 8.22 8.22 6.22 ],[0.985"
15673          " 0.979 0.895 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('bl"
15674          "ack');port_label('input',1,'\\fontsize{11pt}\\bf In ','texmode','on');\ncolor('black');port_label('output',1,' "
15675          "');\nfprintf('','COMMENT: end icon text');"
15676        }
15677        Block {
15678          BlockType           Reference
15679          Name            "Mux3"
15680          SID             "833"
15681          Ports           [3, 1]
15682          Position            [305, 83, 350, 187]
15683          LibraryVersion          "1.2"
15684          SourceBlock         "xbsIndex_r4/Mux"
15685          SourceType          "Xilinx Bus Multiplexer Block"
15686          inputs              "2"
15687          en              off
15688          latency             "0"
15689          precision           "Full"
15690          arith_type          "Unsigned"
15691          n_bits              "1"
15692          bin_pt              "0"
15693          quantization        "Truncate"
15694          overflow            "Wrap"
15695          dbl_ovrd            off
15696          xl_use_area         off
15697          xl_area             "[0,0,0,0,0,0,0]"
15698          has_advanced_control    "0"
15699          sggui_pos           "20,20,348,303"
15700          block_type          "mux"
15701          block_version       "10.1.3"
15702          sg_icon_stat        "45,104,3,1,white,blue,3,eb98d690,right,,[ ],[ ]"
15703          sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npatch([0 45 45 0 0 ],[0 14.8571 89.1429 104"
15704          " 0 ],[0.77 0.82 0.91 ]);\nplot([0 45 45 0 0 ],[0 14.8571 89.1429 104 0 ]);\npatch([8.65 17.32 23.32 29.32 35.32"
15705          " 23.32 14.65 8.65 ],[58.66 58.66 64.66 58.66 64.66 64.66 64.66 58.66 ],[1 1 1 ]);\npatch([14.65 23.32 17.32 8.6"
15706          "5 14.65 ],[52.66 52.66 58.66 58.66 52.66 ],[0.931 0.946 0.973 ]);\npatch([8.65 17.32 23.32 14.65 8.65 ],[46.66 "
15707          "46.66 52.66 52.66 46.66 ],[1 1 1 ]);\npatch([14.65 35.32 29.32 23.32 17.32 8.65 14.65 ],[40.66 40.66 46.66 40.6"
15708          "6 46.66 46.66 40.66 ],[0.931 0.946 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: b"
15709          "egin icon text');\ncolor('black');port_label('input',1,'sel');\ncolor('black');port_label('input',2,'d0');\ncol"
15710          "or('black');port_label('input',3,'d1');\n\ncolor('black');disp('\\bf{}','texmode','on');\nfprintf('','COMMENT: "
15711          "end icon text');"
15712        }
15713        Block {
15714          BlockType           Reference
15715          Name            "Register1"
15716          SID             "834"
15717          Ports           [1, 1]
15718          Position            [475, 121, 510, 149]
15719          ShowName            off
15720          LibraryVersion          "1.2"
15721          SourceBlock         "xbsIndex_r4/Register"
15722          SourceType          "Xilinx Register Block"
15723          init            "0"
15724          rst             off
15725          en              off
15726          dbl_ovrd            off
15727          xl_use_area         off
15728          xl_area             "[0,0,0,0,0,0,0]"
15729          has_advanced_control    "0"
15730          sggui_pos           "-1,-1,-1,-1"
15731          block_type          "register"
15732          block_version       "8.2"
15733          sg_icon_stat        "35,28,1,1,white,blue,0,c80657c5,right,,[ ],[ ]"
15734          sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npatch([0 35 35 0 0 ],[0 0 28 28 0 ],[0.77 0"
15735          ".82 0.91 ]);\nplot([0 35 35 0 0 ],[0 0 28 28 0 ]);\npatch([8.1 13.88 17.88 21.88 25.88 17.88 12.1 8.1 ],[18.44 "
15736          "18.44 22.44 18.44 22.44 22.44 22.44 18.44 ],[1 1 1 ]);\npatch([12.1 17.88 13.88 8.1 12.1 ],[14.44 14.44 18.44 1"
15737          "8.44 14.44 ],[0.931 0.946 0.973 ]);\npatch([8.1 13.88 17.88 12.1 8.1 ],[10.44 10.44 14.44 14.44 10.44 ],[1 1 1 "
15738          "]);\npatch([12.1 25.88 21.88 17.88 13.88 8.1 12.1 ],[6.44 6.44 10.44 6.44 10.44 10.44 6.44 ],[0.931 0.946 0.973"
15739          " ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_l"
15740          "abel('input',1,'d');\ncolor('black');port_label('output',1,'q');\ncolor('black');disp('z^{-1}','texmode','on');"
15741          "\nfprintf('','COMMENT: end icon text');"
15742        }
15743        Block {
15744          BlockType           Reference
15745          Name            "radio3_ADC_Q"
15746          SID             "835"
15747          Ports           [1, 1]
15748          Position            [145, 125, 210, 145]
15749          LibraryVersion          "1.2"
15750          SourceBlock         "xbsIndex_r4/Gateway In"
15751          SourceType          "Xilinx Gateway In Block"
15752          infoedit            "Gateway in block.  Converts inputs of type Simulink integer, single, double and fixed point to"
15753          "  Xilinx fixed-point or floating-point data type.<br><br>Hardware notes:  In hardware these blocks become top l"
15754          "evel input ports."
15755          gui_display_data_type   "Fixed-point"
15756          arith_type          "Signed  (2's comp)"
15757          n_bits              "12"
15758          bin_pt              "11"
15759          preci_type          "Single"
15760          exp_width           "8"
15761          frac_width          "24"
15762          quantization        "Round  (unbiased: +/- Inf)"
15763          overflow            "Saturate"
15764          period              "1"
15765          dbl_ovrd            off
15766          timing_constraint       "None"
15767          locs_specified          off
15768          LOCs            "{}"
15769          xl_use_area         off
15770          xl_area             "[0,0,0,0,0,0,0]"
15771          inherit_from_input      off
15772          UseAsADC            off
15773          ADCChannel          "'1'"
15774          hdl_port            "on"
15775          has_advanced_control    "0"
15776          sggui_pos           "20,20,348,406"
15777          block_type          "gatewayin"
15778          block_version       "10.1.3"
15779          sg_icon_stat        "65,20,1,1,white,yellow,1,00d3666e,right,,[ ],[ ]"
15780          sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npatch([0 65 65 0 0 ],[0 0 20 20 0 ],[0.95 0"
15781          ".93 0.65 ]);\nplot([0 65 65 0 0 ],[0 0 20 20 0 ]);\npatch([27.55 30.44 32.44 34.44 36.44 32.44 29.55 27.55 ],[1"
15782          "2.22 12.22 14.22 12.22 14.22 14.22 14.22 12.22 ],[1 1 1 ]);\npatch([29.55 32.44 30.44 27.55 29.55 ],[10.22 10.2"
15783          "2 12.22 12.22 10.22 ],[0.985 0.979 0.895 ]);\npatch([27.55 30.44 32.44 29.55 27.55 ],[8.22 8.22 10.22 10.22 8.2"
15784          "2 ],[1 1 1 ]);\npatch([29.55 36.44 34.44 32.44 30.44 27.55 29.55 ],[6.22 6.22 8.22 6.22 8.22 8.22 6.22 ],[0.985"
15785          " 0.979 0.895 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('bl"
15786          "ack');port_label('input',1,'\\fontsize{11pt}\\bf In ','texmode','on');\ncolor('black');port_label('output',1,' "
15787          "');\nfprintf('','COMMENT: end icon text');"
15788        }
15789        Block {
15790          BlockType           Outport
15791          Name            "ADC Q"
15792          SID             "836"
15793          Position            [580, 128, 610, 142]
15794          IconDisplay         "Port number"
15795        }
15796        Line {
15797          SrcBlock            "Register1"
15798          SrcPort             1
15799          DstBlock            "ADC Q"
15800          DstPort             1
15801        }
15802        Line {
15803          SrcBlock            "Constant2"
15804          SrcPort             1
15805          DstBlock            "radio3_ADC_Q"
15806          DstPort             1
15807        }
15808        Line {
15809          SrcBlock            "MGCAGC_AGCDCO_SEL"
15810          SrcPort             1
15811          Points              [70, 0; 0, 50]
15812          DstBlock            "Mux3"
15813          DstPort             1
15814        }
15815        Line {
15816          SrcBlock            "FromAGC_Radio3_Q"
15817          SrcPort             1
15818          Points              [50, 0; 0, -65]
15819          DstBlock            "Mux3"
15820          DstPort             3
15821        }
15822        Line {
15823          SrcBlock            "radio3_ADC_Q"
15824          SrcPort             1
15825          DstBlock            "Mux3"
15826          DstPort             2
15827        }
15828        Line {
15829          SrcBlock            "Mux3"
15830          SrcPort             1
15831          DstBlock            "Register1"
15832          DstPort             1
15833        }
15834      }
15835    }
15836    Block {
15837      BlockType       SubSystem
15838      Name            "Concatenates_1"
15839      SID             "908"
15840      Ports           [2, 1]
15841      Position        [690, 235, 740, 355]
15842      NamePlacement       "alternate"
15843      MinAlgLoopOccurrences   off
15844      PropExecContextOutsideSubsystem off
15845      RTWSystemCode       "Auto"
15846      FunctionWithSeparateData off
15847      Opaque          off
15848      RequestExecContextInheritance off
15849      MaskHideContents    off
15850      MaskIconFrame       on
15851      MaskIconOpaque      on
15852      MaskIconRotate      "none"
15853      MaskPortRotate      "default"
15854      MaskIconUnits       "autoscale"
15855      System {
15856        Name            "Concatenates_1"
15857        Location            [266, 282, 2174, 1362]
15858        Open            off
15859        ModelBrowserVisibility  off
15860        ModelBrowserWidth       200
15861        ScreenColor         "white"
15862        PaperOrientation        "landscape"
15863        PaperPositionMode       "auto"
15864        PaperType           "usletter"
15865        PaperUnits          "inches"
15866        TiledPaperMargins       [0.500000, 0.500000, 0.500000, 0.500000]
15867        TiledPageScale      1
15868        ShowPageBoundaries      off
15869        ZoomFactor          "100"
15870        Block {
15871          BlockType           Inport
15872          Name            "ADC_I"
15873          SID             "909"
15874          Position            [330, 93, 360, 107]
15875          IconDisplay         "Port number"
15876          MaskIconFrame       on
15877          MaskIconOpaque          on
15878          MaskIconRotate          "none"
15879          MaskPortRotate          "default"
15880          MaskIconUnits       "autoscale"
15881        }
15882        Block {
15883          BlockType           Inport
15884          Name            "ADC_Q"
15885          SID             "910"
15886          Position            [330, 213, 360, 227]
15887          Port            "2"
15888          IconDisplay         "Port number"
15889          MaskIconFrame       on
15890          MaskIconOpaque          on
15891          MaskIconRotate          "none"
15892          MaskPortRotate          "default"
15893          MaskIconUnits       "autoscale"
15894        }
15895        Block {
15896          BlockType           Reference
15897          Name            "Concat1"
15898          SID             "911"
15899          Ports           [2, 1]
15900          Position            [490, 191, 520, 229]
15901          ShowName            off
15902          LibraryVersion          "1.2"
15903          SourceBlock         "xbsIndex_r4/Concat"
15904          SourceType          "Xilinx Bus Concatenator Block"
15905          infoedit            "Concatenates two or more inputs.  Output will be cast to an unsigned value with the binary poi"
15906          "nt at zero."
15907          num_inputs          "2"
15908          dbl_ovrd            off
15909          has_advanced_control    "0"
15910          sggui_pos           "-1,-1,-1,-1"
15911          block_type          "concat"
15912          block_version       "8.2"
15913          sg_icon_stat        "30,38,2,1,white,blue,0,16398980,right,,[ ],[ ]"
15914          sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npatch([0 30 30 0 0 ],[0 0 38 38 0 ],[0.77 0"
15915          ".82 0.91 ]);\nplot([0 30 30 0 0 ],[0 0 38 38 0 ]);\npatch([6.1 11.88 15.88 19.88 23.88 15.88 10.1 6.1 ],[23.44 "
15916          "23.44 27.44 23.44 27.44 27.44 27.44 23.44 ],[1 1 1 ]);\npatch([10.1 15.88 11.88 6.1 10.1 ],[19.44 19.44 23.44 2"
15917          "3.44 19.44 ],[0.931 0.946 0.973 ]);\npatch([6.1 11.88 15.88 10.1 6.1 ],[15.44 15.44 19.44 19.44 15.44 ],[1 1 1 "
15918          "]);\npatch([10.1 23.88 19.88 15.88 11.88 6.1 10.1 ],[11.44 11.44 15.44 11.44 15.44 15.44 11.44 ],[0.931 0.946 0"
15919          ".973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');po"
15920          "rt_label('input',1,'hi');\ncolor('black');port_label('input',2,'lo');\n\ncolor('black');disp('\\fontsize{20}\\}"
15921          "','texmode','on');\nfprintf('','COMMENT: end icon text');"
15922        }
15923        Block {
15924          BlockType           Reference
15925          Name            "Concat2"
15926          SID             "912"
15927          Ports           [2, 1]
15928          Position            [490, 71, 520, 109]
15929          ShowName            off
15930          LibraryVersion          "1.2"
15931          SourceBlock         "xbsIndex_r4/Concat"
15932          SourceType          "Xilinx Bus Concatenator Block"
15933          infoedit            "Concatenates two or more inputs.  Output will be cast to an unsigned value with the binary poi"
15934          "nt at zero."
15935          num_inputs          "2"
15936          dbl_ovrd            off
15937          has_advanced_control    "0"
15938          sggui_pos           "-1,-1,-1,-1"
15939          block_type          "concat"
15940          block_version       "8.2"
15941          sg_icon_stat        "30,38,2,1,white,blue,0,16398980,right,,[ ],[ ]"
15942          sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npatch([0 30 30 0 0 ],[0 0 38 38 0 ],[0.77 0"
15943          ".82 0.91 ]);\nplot([0 30 30 0 0 ],[0 0 38 38 0 ]);\npatch([6.1 11.88 15.88 19.88 23.88 15.88 10.1 6.1 ],[23.44 "
15944          "23.44 27.44 23.44 27.44 27.44 27.44 23.44 ],[1 1 1 ]);\npatch([10.1 15.88 11.88 6.1 10.1 ],[19.44 19.44 23.44 2"
15945          "3.44 19.44 ],[0.931 0.946 0.973 ]);\npatch([6.1 11.88 15.88 10.1 6.1 ],[15.44 15.44 19.44 19.44 15.44 ],[1 1 1 "
15946          "]);\npatch([10.1 23.88 19.88 15.88 11.88 6.1 10.1 ],[11.44 11.44 15.44 11.44 15.44 15.44 11.44 ],[0.931 0.946 0"
15947          ".973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');po"
15948          "rt_label('input',1,'hi');\ncolor('black');port_label('input',2,'lo');\n\ncolor('black');disp('\\fontsize{20}\\}"
15949          "','texmode','on');\nfprintf('','COMMENT: end icon text');"
15950        }
15951        Block {
15952          BlockType           Reference
15953          Name            "Concat3"
15954          SID             "913"
15955          Ports           [2, 1]
15956          Position            [545, 81, 575, 119]
15957          ShowName            off
15958          LibraryVersion          "1.2"
15959          SourceBlock         "xbsIndex_r4/Concat"
15960          SourceType          "Xilinx Bus Concatenator Block"
15961          infoedit            "Concatenates two or more inputs.  Output will be cast to an unsigned value with the binary poi"
15962          "nt at zero."
15963          num_inputs          "2"
15964          dbl_ovrd            off
15965          has_advanced_control    "0"
15966          sggui_pos           "-1,-1,-1,-1"
15967          block_type          "concat"
15968          block_version       "8.2"
15969          sg_icon_stat        "30,38,2,1,white,blue,0,16398980,right,,[ ],[ ]"
15970          sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npatch([0 30 30 0 0 ],[0 0 38 38 0 ],[0.77 0"
15971          ".82 0.91 ]);\nplot([0 30 30 0 0 ],[0 0 38 38 0 ]);\npatch([6.1 11.88 15.88 19.88 23.88 15.88 10.1 6.1 ],[23.44 "
15972          "23.44 27.44 23.44 27.44 27.44 27.44 23.44 ],[1 1 1 ]);\npatch([10.1 15.88 11.88 6.1 10.1 ],[19.44 19.44 23.44 2"
15973          "3.44 19.44 ],[0.931 0.946 0.973 ]);\npatch([6.1 11.88 15.88 10.1 6.1 ],[15.44 15.44 19.44 19.44 15.44 ],[1 1 1 "
15974          "]);\npatch([10.1 23.88 19.88 15.88 11.88 6.1 10.1 ],[11.44 11.44 15.44 11.44 15.44 15.44 11.44 ],[0.931 0.946 0"
15975          ".973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');po"
15976          "rt_label('input',1,'hi');\ncolor('black');port_label('input',2,'lo');\n\ncolor('black');disp('\\fontsize{20}\\}"
15977          "','texmode','on');\nfprintf('','COMMENT: end icon text');"
15978        }
15979        Block {
15980          BlockType           Reference
15981          Name            "Concat4"
15982          SID             "914"
15983          Ports           [2, 1]
15984          Position            [545, 201, 575, 239]
15985          ShowName            off
15986          LibraryVersion          "1.2"
15987          SourceBlock         "xbsIndex_r4/Concat"
15988          SourceType          "Xilinx Bus Concatenator Block"
15989          infoedit            "Concatenates two or more inputs.  Output will be cast to an unsigned value with the binary poi"
15990          "nt at zero."
15991          num_inputs          "2"
15992          dbl_ovrd            off
15993          has_advanced_control    "0"
15994          sggui_pos           "-1,-1,-1,-1"
15995          block_type          "concat"
15996          block_version       "8.2"
15997          sg_icon_stat        "30,38,2,1,white,blue,0,16398980,right,,[ ],[ ]"
15998          sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npatch([0 30 30 0 0 ],[0 0 38 38 0 ],[0.77 0"
15999          ".82 0.91 ]);\nplot([0 30 30 0 0 ],[0 0 38 38 0 ]);\npatch([6.1 11.88 15.88 19.88 23.88 15.88 10.1 6.1 ],[23.44 "
16000          "23.44 27.44 23.44 27.44 27.44 27.44 23.44 ],[1 1 1 ]);\npatch([10.1 15.88 11.88 6.1 10.1 ],[19.44 19.44 23.44 2"
16001          "3.44 19.44 ],[0.931 0.946 0.973 ]);\npatch([6.1 11.88 15.88 10.1 6.1 ],[15.44 15.44 19.44 19.44 15.44 ],[1 1 1 "
16002          "]);\npatch([10.1 23.88 19.88 15.88 11.88 6.1 10.1 ],[11.44 11.44 15.44 11.44 15.44 15.44 11.44 ],[0.931 0.946 0"
16003          ".973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');po"
16004          "rt_label('input',1,'hi');\ncolor('black');port_label('input',2,'lo');\n\ncolor('black');disp('\\fontsize{20}\\}"
16005          "','texmode','on');\nfprintf('','COMMENT: end icon text');"
16006        }
16007        Block {
16008          BlockType           Reference
16009          Name            "Concat5"
16010          SID             "915"
16011          Ports           [2, 1]
16012          Position            [635, 80, 660, 160]
16013          ShowName            off
16014          LibraryVersion          "1.2"
16015          SourceBlock         "xbsIndex_r4/Concat"
16016          SourceType          "Xilinx Bus Concatenator Block"
16017          infoedit            "Concatenates two or more inputs.  Output will be cast to an unsigned value with the binary poi"
16018          "nt at zero."
16019          num_inputs          "2"
16020          dbl_ovrd            off
16021          has_advanced_control    "0"
16022          sggui_pos           "-1,-1,-1,-1"
16023          block_type          "concat"
16024          block_version       "8.2"
16025          sg_icon_stat        "25,80,2,1,white,blue,0,16398980,right,,[ ],[ ]"
16026          sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npatch([0 25 25 0 0 ],[0 0 80 80 0 ],[0.77 0"
16027          ".82 0.91 ]);\nplot([0 25 25 0 0 ],[0 0 80 80 0 ]);\npatch([5.325 9.66 12.66 15.66 18.66 12.66 8.325 5.325 ],[43"
16028          ".33 43.33 46.33 43.33 46.33 46.33 46.33 43.33 ],[1 1 1 ]);\npatch([8.325 12.66 9.66 5.325 8.325 ],[40.33 40.33 "
16029          "43.33 43.33 40.33 ],[0.931 0.946 0.973 ]);\npatch([5.325 9.66 12.66 8.325 5.325 ],[37.33 37.33 40.33 40.33 37.3"
16030          "3 ],[1 1 1 ]);\npatch([8.325 18.66 15.66 12.66 9.66 5.325 8.325 ],[34.33 34.33 37.33 34.33 37.33 37.33 34.33 ],"
16031          "[0.931 0.946 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncol"
16032          "or('black');port_label('input',1,'hi');\ncolor('black');port_label('input',2,'lo');\n\ncolor('black');disp('\\f"
16033          "ontsize{20}\\}','texmode','on');\nfprintf('','COMMENT: end icon text');"
16034        }
16035        Block {
16036          BlockType           Reference
16037          Name            "Reinterpret"
16038          SID             "916"
16039          Ports           [1, 1]
16040          Position            [385, 90, 420, 110]
16041          ShowName            off
16042          LibraryVersion          "1.2"
16043          SourceBlock         "xbsIndex_r4/Reinterpret"
16044          SourceType          "Xilinx Type Reinterpreter Block"
16045          infoedit            "Changes signal type without altering the binary representation.   You can changed the signal b"
16046          "etween signed and unsigned, and relocate the binary point.<P><P>Hardware notes: In hardware this block costs no"
16047          "thing.<P><P>Example:  Suppose the input is 6 bits wide, signed, with 2 fractional bits, and the output is force"
16048          "d to unsigned with 0 fractional bits.  Then an input of -2.0 (1110.00 in binary 2's complement) becomes an outp"
16049          "ut of 56 (111000 in binary)."
16050          force_arith_type        on
16051          arith_type          "Unsigned"
16052          force_bin_pt        on
16053          bin_pt              "0"
16054          has_advanced_control    "0"
16055          sggui_pos           "20,20,356,309"
16056          block_type          "reinterpret"
16057          block_version       "8.2"
16058          sg_icon_stat        "35,20,1,1,white,blue,0,6b04d0b0,right,,[ ],[ ]"
16059          sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npatch([0 35 35 0 0 ],[0 0 20 20 0 ],[0.77 0"
16060          ".82 0.91 ]);\nplot([0 35 35 0 0 ],[0 0 20 20 0 ]);\npatch([12.55 15.44 17.44 19.44 21.44 17.44 14.55 12.55 ],[1"
16061          "2.22 12.22 14.22 12.22 14.22 14.22 14.22 12.22 ],[1 1 1 ]);\npatch([14.55 17.44 15.44 12.55 14.55 ],[10.22 10.2"
16062          "2 12.22 12.22 10.22 ],[0.931 0.946 0.973 ]);\npatch([12.55 15.44 17.44 14.55 12.55 ],[8.22 8.22 10.22 10.22 8.2"
16063          "2 ],[1 1 1 ]);\npatch([14.55 21.44 19.44 17.44 15.44 12.55 14.55 ],[6.22 6.22 8.22 6.22 8.22 8.22 6.22 ],[0.931"
16064          " 0.946 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\n\n\ncolor"
16065          "('black');disp('reinterpret');\nfprintf('','COMMENT: end icon text');"
16066        }
16067        Block {
16068          BlockType           Reference
16069          Name            "Reinterpret1"
16070          SID             "917"
16071          Ports           [1, 1]
16072          Position            [390, 210, 425, 230]
16073          ShowName            off
16074          LibraryVersion          "1.2"
16075          SourceBlock         "xbsIndex_r4/Reinterpret"
16076          SourceType          "Xilinx Type Reinterpreter Block"
16077          infoedit            "Changes signal type without altering the binary representation.   You can changed the signal b"
16078          "etween signed and unsigned, and relocate the binary point.<P><P>Hardware notes: In hardware this block costs no"
16079          "thing.<P><P>Example:  Suppose the input is 6 bits wide, signed, with 2 fractional bits, and the output is force"
16080          "d to unsigned with 0 fractional bits.  Then an input of -2.0 (1110.00 in binary 2's complement) becomes an outp"
16081          "ut of 56 (111000 in binary)."
16082          force_arith_type        on
16083          arith_type          "Unsigned"
16084          force_bin_pt        on
16085          bin_pt              "0"
16086          has_advanced_control    "0"
16087          sggui_pos           "20,20,356,309"
16088          block_type          "reinterpret"
16089          block_version       "8.2"
16090          sg_icon_stat        "35,20,1,1,white,blue,0,6b04d0b0,right,,[ ],[ ]"
16091          sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npatch([0 35 35 0 0 ],[0 0 20 20 0 ],[0.77 0"
16092          ".82 0.91 ]);\nplot([0 35 35 0 0 ],[0 0 20 20 0 ]);\npatch([12.55 15.44 17.44 19.44 21.44 17.44 14.55 12.55 ],[1"
16093          "2.22 12.22 14.22 12.22 14.22 14.22 14.22 12.22 ],[1 1 1 ]);\npatch([14.55 17.44 15.44 12.55 14.55 ],[10.22 10.2"
16094          "2 12.22 12.22 10.22 ],[0.931 0.946 0.973 ]);\npatch([12.55 15.44 17.44 14.55 12.55 ],[8.22 8.22 10.22 10.22 8.2"
16095          "2 ],[1 1 1 ]);\npatch([14.55 21.44 19.44 17.44 15.44 12.55 14.55 ],[6.22 6.22 8.22 6.22 8.22 8.22 6.22 ],[0.931"
16096          " 0.946 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\n\n\ncolor"
16097          "('black');disp('reinterpret');\nfprintf('','COMMENT: end icon text');"
16098        }
16099        Block {
16100          BlockType           Reference
16101          Name            "zero"
16102          SID             "918"
16103          Ports           [0, 1]
16104          Position            [440, 191, 460, 209]
16105          ShowName            off
16106          LibraryVersion          "1.2"
16107          SourceBlock         "xbsIndex_r4/Constant"
16108          SourceType          "Xilinx Constant Block Block"
16109          const           "0"
16110          gui_display_data_type   "Fixed-point"
16111          arith_type          "Unsigned"
16112          n_bits              "2"
16113          bin_pt              "0"
16114          preci_type          "Single"
16115          exp_width           "8"
16116          frac_width          "24"
16117          explicit_period         off
16118          period              "1"
16119          dsp48_infoedit          "The use of this block for DSP48 instructions is deprecated.  Please use the Opmode block."
16120          equ             "P=C"
16121          opselect            "C"
16122          inp2            "PCIN>>17"
16123          opr             "+"
16124          inp1            "P"
16125          carry           "CIN"
16126          dbl_ovrd            off
16127          has_advanced_control    "0"
16128          sggui_pos           "-1,-1,-1,-1"
16129          block_type          "constant"
16130          block_version       "VER_STRING_GOES_HERE"
16131          sg_icon_stat        "20,18,0,1,white,blue,0,bf4ddd8b,right,,[ ],[ ]"
16132          sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npatch([0 20 20 0 0 ],[0 0 18 18 0 ],[0.77 0"
16133          ".82 0.91 ]);\nplot([0 20 20 0 0 ],[0 0 18 18 0 ]);\npatch([5.55 8.44 10.44 12.44 14.44 10.44 7.55 5.55 ],[11.22"
16134          " 11.22 13.22 11.22 13.22 13.22 13.22 11.22 ],[1 1 1 ]);\npatch([7.55 10.44 8.44 5.55 7.55 ],[9.22 9.22 11.22 11"
16135          ".22 9.22 ],[0.931 0.946 0.973 ]);\npatch([5.55 8.44 10.44 7.55 5.55 ],[7.22 7.22 9.22 9.22 7.22 ],[1 1 1 ]);\np"
16136          "atch([7.55 14.44 12.44 10.44 8.44 5.55 7.55 ],[5.22 5.22 7.22 5.22 7.22 7.22 5.22 ],[0.931 0.946 0.973 ]);\nfpr"
16137          "intf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('out"
16138          "put',1,'0');\nfprintf('','COMMENT: end icon text');"
16139        }
16140        Block {
16141          BlockType           Reference
16142          Name            "zero1"
16143          SID             "919"
16144          Ports           [0, 1]
16145          Position            [440, 71, 460, 89]
16146          ShowName            off
16147          LibraryVersion          "1.2"
16148          SourceBlock         "xbsIndex_r4/Constant"
16149          SourceType          "Xilinx Constant Block Block"
16150          const           "0"
16151          gui_display_data_type   "Fixed-point"
16152          arith_type          "Unsigned"
16153          n_bits              "2"
16154          bin_pt              "0"
16155          preci_type          "Single"
16156          exp_width           "8"
16157          frac_width          "24"
16158          explicit_period         off
16159          period              "1"
16160          dsp48_infoedit          "The use of this block for DSP48 instructions is deprecated.  Please use the Opmode block."
16161          equ             "P=C"
16162          opselect            "C"
16163          inp2            "PCIN>>17"
16164          opr             "+"
16165          inp1            "P"
16166          carry           "CIN"
16167          dbl_ovrd            off
16168          has_advanced_control    "0"
16169          sggui_pos           "-1,-1,-1,-1"
16170          block_type          "constant"
16171          block_version       "VER_STRING_GOES_HERE"
16172          sg_icon_stat        "20,18,0,1,white,blue,0,bf4ddd8b,right,,[ ],[ ]"
16173          sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npatch([0 20 20 0 0 ],[0 0 18 18 0 ],[0.77 0"
16174          ".82 0.91 ]);\nplot([0 20 20 0 0 ],[0 0 18 18 0 ]);\npatch([5.55 8.44 10.44 12.44 14.44 10.44 7.55 5.55 ],[11.22"
16175          " 11.22 13.22 11.22 13.22 13.22 13.22 11.22 ],[1 1 1 ]);\npatch([7.55 10.44 8.44 5.55 7.55 ],[9.22 9.22 11.22 11"
16176          ".22 9.22 ],[0.931 0.946 0.973 ]);\npatch([5.55 8.44 10.44 7.55 5.55 ],[7.22 7.22 9.22 9.22 7.22 ],[1 1 1 ]);\np"
16177          "atch([7.55 14.44 12.44 10.44 8.44 5.55 7.55 ],[5.22 5.22 7.22 5.22 7.22 7.22 5.22 ],[0.931 0.946 0.973 ]);\nfpr"
16178          "intf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('out"
16179          "put',1,'0');\nfprintf('','COMMENT: end icon text');"
16180        }
16181        Block {
16182          BlockType           Reference
16183          Name            "zero2"
16184          SID             "920"
16185          Ports           [0, 1]
16186          Position            [440, 101, 460, 119]
16187          ShowName            off
16188          LibraryVersion          "1.2"
16189          SourceBlock         "xbsIndex_r4/Constant"
16190          SourceType          "Xilinx Constant Block Block"
16191          const           "0"
16192          gui_display_data_type   "Fixed-point"
16193          arith_type          "Unsigned"
16194          n_bits              "2"
16195          bin_pt              "0"
16196          preci_type          "Single"
16197          exp_width           "8"
16198          frac_width          "24"
16199          explicit_period         off
16200          period              "1"
16201          dsp48_infoedit          "The use of this block for DSP48 instructions is deprecated.  Please use the Opmode block."
16202          equ             "P=C"
16203          opselect            "C"
16204          inp2            "PCIN>>17"
16205          opr             "+"
16206          inp1            "P"
16207          carry           "CIN"
16208          dbl_ovrd            off
16209          has_advanced_control    "0"
16210          sggui_pos           "-1,-1,-1,-1"
16211          block_type          "constant"
16212          block_version       "VER_STRING_GOES_HERE"
16213          sg_icon_stat        "20,18,0,1,white,blue,0,bf4ddd8b,right,,[ ],[ ]"
16214          sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npatch([0 20 20 0 0 ],[0 0 18 18 0 ],[0.77 0"
16215          ".82 0.91 ]);\nplot([0 20 20 0 0 ],[0 0 18 18 0 ]);\npatch([5.55 8.44 10.44 12.44 14.44 10.44 7.55 5.55 ],[11.22"
16216          " 11.22 13.22 11.22 13.22 13.22 13.22 11.22 ],[1 1 1 ]);\npatch([7.55 10.44 8.44 5.55 7.55 ],[9.22 9.22 11.22 11"
16217          ".22 9.22 ],[0.931 0.946 0.973 ]);\npatch([5.55 8.44 10.44 7.55 5.55 ],[7.22 7.22 9.22 9.22 7.22 ],[1 1 1 ]);\np"
16218          "atch([7.55 14.44 12.44 10.44 8.44 5.55 7.55 ],[5.22 5.22 7.22 5.22 7.22 7.22 5.22 ],[0.931 0.946 0.973 ]);\nfpr"
16219          "intf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('out"
16220          "put',1,'0');\nfprintf('','COMMENT: end icon text');"
16221        }
16222        Block {
16223          BlockType           Reference
16224          Name            "zero3"
16225          SID             "921"
16226          Ports           [0, 1]
16227          Position            [440, 221, 460, 239]
16228          ShowName            off
16229          LibraryVersion          "1.2"
16230          SourceBlock         "xbsIndex_r4/Constant"
16231          SourceType          "Xilinx Constant Block Block"
16232          const           "0"
16233          gui_display_data_type   "Fixed-point"
16234          arith_type          "Unsigned"
16235          n_bits              "2"
16236          bin_pt              "0"
16237          preci_type          "Single"
16238          exp_width           "8"
16239          frac_width          "24"
16240          explicit_period         off
16241          period              "1"
16242          dsp48_infoedit          "The use of this block for DSP48 instructions is deprecated.  Please use the Opmode block."
16243          equ             "P=C"
16244          opselect            "C"
16245          inp2            "PCIN>>17"
16246          opr             "+"
16247          inp1            "P"
16248          carry           "CIN"
16249          dbl_ovrd            off
16250          has_advanced_control    "0"
16251          sggui_pos           "-1,-1,-1,-1"
16252          block_type          "constant"
16253          block_version       "VER_STRING_GOES_HERE"
16254          sg_icon_stat        "20,18,0,1,white,blue,0,bf4ddd8b,right,,[ ],[ ]"
16255          sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npatch([0 20 20 0 0 ],[0 0 18 18 0 ],[0.77 0"
16256          ".82 0.91 ]);\nplot([0 20 20 0 0 ],[0 0 18 18 0 ]);\npatch([5.55 8.44 10.44 12.44 14.44 10.44 7.55 5.55 ],[11.22"
16257          " 11.22 13.22 11.22 13.22 13.22 13.22 11.22 ],[1 1 1 ]);\npatch([7.55 10.44 8.44 5.55 7.55 ],[9.22 9.22 11.22 11"
16258          ".22 9.22 ],[0.931 0.946 0.973 ]);\npatch([5.55 8.44 10.44 7.55 5.55 ],[7.22 7.22 9.22 9.22 7.22 ],[1 1 1 ]);\np"
16259          "atch([7.55 14.44 12.44 10.44 8.44 5.55 7.55 ],[5.22 5.22 7.22 5.22 7.22 7.22 5.22 ],[0.931 0.946 0.973 ]);\nfpr"
16260          "intf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('out"
16261          "put',1,'0');\nfprintf('','COMMENT: end icon text');"
16262        }
16263        Block {
16264          BlockType           Outport
16265          Name            "32b"
16266          SID             "922"
16267          Position            [695, 113, 725, 127]
16268          IconDisplay         "Port number"
16269          MaskIconFrame       on
16270          MaskIconOpaque          on
16271          MaskIconRotate          "none"
16272          MaskPortRotate          "default"
16273          MaskIconUnits       "autoscale"
16274        }
16275        Line {
16276          SrcBlock            "zero"
16277          SrcPort             1
16278          DstBlock            "Concat1"
16279          DstPort             1
16280        }
16281        Line {
16282          SrcBlock            "zero1"
16283          SrcPort             1
16284          DstBlock            "Concat2"
16285          DstPort             1
16286        }
16287        Line {
16288          SrcBlock            "Concat5"
16289          SrcPort             1
16290          DstBlock            "32b"
16291          DstPort             1
16292        }
16293        Line {
16294          SrcBlock            "ADC_I"
16295          SrcPort             1
16296          DstBlock            "Reinterpret"
16297          DstPort             1
16298        }
16299        Line {
16300          SrcBlock            "ADC_Q"
16301          SrcPort             1
16302          DstBlock            "Reinterpret1"
16303          DstPort             1
16304        }
16305        Line {
16306          SrcBlock            "Reinterpret"
16307          SrcPort             1
16308          DstBlock            "Concat2"
16309          DstPort             2
16310        }
16311        Line {
16312          SrcBlock            "Reinterpret1"
16313          SrcPort             1
16314          DstBlock            "Concat1"
16315          DstPort             2
16316        }
16317        Line {
16318          SrcBlock            "Concat2"
16319          SrcPort             1
16320          DstBlock            "Concat3"
16321          DstPort             1
16322        }
16323        Line {
16324          SrcBlock            "Concat1"
16325          SrcPort             1
16326          DstBlock            "Concat4"
16327          DstPort             1
16328        }
16329        Line {
16330          SrcBlock            "zero2"
16331          SrcPort             1
16332          DstBlock            "Concat3"
16333          DstPort             2
16334        }
16335        Line {
16336          SrcBlock            "zero3"
16337          SrcPort             1
16338          DstBlock            "Concat4"
16339          DstPort             2
16340        }
16341        Line {
16342          SrcBlock            "Concat3"
16343          SrcPort             1
16344          DstBlock            "Concat5"
16345          DstPort             1
16346        }
16347        Line {
16348          SrcBlock            "Concat4"
16349          SrcPort             1
16350          Points              [20, 0; 0, -80]
16351          DstBlock            "Concat5"
16352          DstPort             2
16353        }
16354      }
16355    }
16356    Block {
16357      BlockType       SubSystem
16358      Name            "RSSI"
16359      SID             "848"
16360      Ports           [0, 1]
16361      Position        [470, 397, 540, 433]
16362      MinAlgLoopOccurrences   off
16363      PropExecContextOutsideSubsystem off
16364      RTWSystemCode       "Auto"
16365      FunctionWithSeparateData off
16366      Opaque          off
16367      RequestExecContextInheritance off
16368      MaskHideContents    off
16369      System {
16370        Name            "RSSI"
16371        Location            [2, 82, 1184, 734]
16372        Open            off
16373        ModelBrowserVisibility  off
16374        ModelBrowserWidth       200
16375        ScreenColor         "white"
16376        PaperOrientation        "landscape"
16377        PaperPositionMode       "auto"
16378        PaperType           "usletter"
16379        PaperUnits          "inches"
16380        TiledPaperMargins       [0.500000, 0.500000, 0.500000, 0.500000]
16381        TiledPageScale      1
16382        ShowPageBoundaries      off
16383        ZoomFactor          "100"
16384        Block {
16385          BlockType           Constant
16386          Name            "Constant1"
16387          SID             "849"
16388          Position            [160, 100, 190, 130]
16389          ShowName            off
16390          Value           "0"
16391        }
16392        Block {
16393          BlockType           Reference
16394          Name            "radio3_RSSI"
16395          SID             "850"
16396          Ports           [1, 1]
16397          Position            [280, 109, 335, 121]
16398          NamePlacement       "alternate"
16399          LibraryVersion          "1.2"
16400          SourceBlock         "xbsIndex_r4/Gateway In"
16401          SourceType          "Xilinx Gateway In Block"
16402          infoedit            "Gateway in block.  Converts inputs of type Simulink integer, double and fixed point to  Xilinx"
16403          " fixed point type.<P><P>Hardware notes:  In hardware these blocks become top level input ports."
16404          gui_display_data_type   "Fixed-point"
16405          arith_type          "Unsigned"
16406          n_bits              "10"
16407          bin_pt              "0"
16408          preci_type          "Single"
16409          exp_width           "8"
16410          frac_width          "24"
16411          quantization        "Truncate"
16412          overflow            "Wrap"
16413          period              "1"
16414          dbl_ovrd            off
16415          timing_constraint       "None"
16416          locs_specified          off
16417          LOCs            "{}"
16418          xl_use_area         off
16419          xl_area             "[0,0,0,0,0,0,0]"
16420          inherit_from_input      off
16421          UseAsADC            off
16422          ADCChannel          "'1'"
16423          hdl_port            "on"
16424          has_advanced_control    "0"
16425          sggui_pos           "20,20,356,432"
16426          block_type          "gatewayin"
16427          block_version       "VER_STRING_GOES_HERE"
16428          sg_icon_stat        "55,12,1,1,white,yellow,1,00d3666e,right,,[ ],[ ]"
16429          sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 0 ],[0 0 12 12 0 ],[0.95 0"
16430          ".93 0.65 ]);\nplot([0 55 55 0 0 ],[0 0 12 12 0 ]);\npatch([24.775 26.22 27.22 28.22 29.22 27.22 25.775 24.775 ]"
16431          ",[7.11 7.11 8.11 7.11 8.11 8.11 8.11 7.11 ],[1 1 1 ]);\npatch([25.775 27.22 26.22 24.775 25.775 ],[6.11 6.11 7."
16432          "11 7.11 6.11 ],[0.985 0.979 0.895 ]);\npatch([24.775 26.22 27.22 25.775 24.775 ],[5.11 5.11 6.11 6.11 5.11 ],[1"
16433          " 1 1 ]);\npatch([25.775 29.22 28.22 27.22 26.22 24.775 25.775 ],[4.11 4.11 5.11 4.11 5.11 5.11 4.11 ],[0.985 0."
16434          "979 0.895 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black"
16435          "');port_label('input',1,'\\fontsize{11pt}\\bf In ','texmode','on');\ncolor('black');port_label('output',1,' ');"
16436          "\nfprintf('','COMMENT: end icon text');"
16437        }
16438        Block {
16439          BlockType           Outport
16440          Name            "RSSI"
16441          SID             "851"
16442          Position            [740, 108, 770, 122]
16443          IconDisplay         "Port number"
16444        }
16445        Line {
16446          SrcBlock            "Constant1"
16447          SrcPort             1
16448          DstBlock            "radio3_RSSI"
16449          DstPort             1
16450        }
16451        Line {
16452          SrcBlock            "radio3_RSSI"
16453          SrcPort             1
16454          DstBlock            "RSSI"
16455          DstPort             1
16456        }
16457      }
16458    }
16459    Block {
16460      BlockType       Outport
16461      Name            "Radio 3 RSSI"
16462      SID             "852"
16463      Position        [655, 408, 685, 422]
16464      IconDisplay         "Port number"
16465    }
16466    Block {
16467      BlockType       Outport
16468      Name            "Radio 3 I/Q"
16469      SID             "853"
16470      Position        [865, 288, 895, 302]
16471      Port            "2"
16472      IconDisplay         "Port number"
16473    }
16474    Line {
16475      SrcBlock        "Concatenates_1"
16476      SrcPort         1
16477      DstBlock        "Radio 3 I/Q"
16478      DstPort         1
16479    }
16480    Line {
16481      SrcBlock        "RSSI"
16482      SrcPort         1
16483      DstBlock        "Radio 3 RSSI"
16484      DstPort         1
16485    }
16486    Line {
16487      SrcBlock        "MGCAGC_AGCDCO_SEL"
16488      SrcPort         1
16489      Points          [75, 0]
16490      Branch {
16491        DstBlock            "ADC I"
16492        DstPort         1
16493      }
16494      Branch {
16495        Points          [0, 60]
16496        DstBlock            "ADC Q"
16497        DstPort         1
16498      }
16499    }
16500    Line {
16501      SrcBlock        "ADC I"
16502      SrcPort         1
16503      DstBlock        "Concatenates_1"
16504      DstPort         1
16505    }
16506    Line {
16507      SrcBlock        "ADC Q"
16508      SrcPort         1
16509      DstBlock        "Concatenates_1"
16510      DstPort         2
16511    }
16512      }
16513    }
16514    Block {
16515      BlockType           SubSystem
16516      Name            "Radio 3 Outputs"
16517      SID             "700"
16518      Ports           [1]
16519      Position            [1175, 856, 1225, 904]
16520      NamePlacement       "alternate"
16521      MinAlgLoopOccurrences   off
16522      PropExecContextOutsideSubsystem off
16523      RTWSystemCode       "Auto"
16524      FunctionWithSeparateData off
16525      Opaque              off
16526      RequestExecContextInheritance off
16527      MaskHideContents        off
16528      System {
16529    Name            "Radio 3 Outputs"
16530    Location        [2, 82, 1078, 539]
16531    Open            off
16532    ModelBrowserVisibility  off
16533    ModelBrowserWidth   200
16534    ScreenColor     "white"
16535    PaperOrientation    "landscape"
16536    PaperPositionMode   "auto"
16537    PaperType       "usletter"
16538    PaperUnits      "inches"
16539    TiledPaperMargins   [0.500000, 0.500000, 0.500000, 0.500000]
16540    TiledPageScale      1
16541    ShowPageBoundaries  off
16542    ZoomFactor      "100"
16543    Block {
16544      BlockType       Inport
16545      Name            "32b"
16546      SID             "701"
16547      Position        [85, 238, 115, 252]
16548      IconDisplay         "Port number"
16549    }
16550    Block {
16551      BlockType       Reference
16552      Name            "16LSB"
16553      SID             "702"
16554      Ports           [1, 1]
16555      Position        [265, 237, 305, 253]
16556      LibraryVersion      "1.2"
16557      SourceBlock         "xbsIndex_r4/Slice"
16558      SourceType          "Xilinx Bit Slice Extractor Block"
16559      infoedit        "Extracts a given range of bits from each input sample and presents it at the output.  The output type "
16560      "is ordinarily unsigned with binary point at zero, but can be Boolean when the slice is one bit wide.<P><P>Hardware "
16561      "notes: In hardware this block costs nothing."
16562      nbits           "16"
16563      boolean_output      off
16564      mode            "Lower Bit Location + Width"
16565      bit1            "0"
16566      base1           "MSB of Input"
16567      bit0            "0"
16568      base0           "LSB of Input"
16569      dbl_ovrd        off
16570      has_advanced_control    "0"
16571      sggui_pos       "20,20,442,407"
16572      block_type          "slice"
16573      block_version       "8.2"
16574      sg_icon_stat        "40,16,1,1,white,blue,0,1fd851a7,right,,[ ],[ ]"
16575      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 40 40 0 0 ],[0 0 16 16 0 ],[0.77 0.82 0.91"
16576      " ]);\nplot([0 40 40 0 0 ],[0 0 16 16 0 ]);\npatch([15.55 18.44 20.44 22.44 24.44 20.44 17.55 15.55 ],[10.22 10.22 1"
16577      "2.22 10.22 12.22 12.22 12.22 10.22 ],[1 1 1 ]);\npatch([17.55 20.44 18.44 15.55 17.55 ],[8.22 8.22 10.22 10.22 8.22"
16578      " ],[0.931 0.946 0.973 ]);\npatch([15.55 18.44 20.44 17.55 15.55 ],[6.22 6.22 8.22 8.22 6.22 ],[1 1 1 ]);\npatch([17"
16579      ".55 24.44 22.44 20.44 18.44 15.55 17.55 ],[4.22 4.22 6.22 4.22 6.22 6.22 4.22 ],[0.931 0.946 0.973 ]);\nfprintf('',"
16580      "'COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\n\ncolor('black');port_label('output',1,'[a"
16581      ":b]');\nfprintf('','COMMENT: end icon text');"
16582    }
16583    Block {
16584      BlockType       Reference
16585      Name            "16MSB"
16586      SID             "703"
16587      Ports           [1, 1]
16588      Position        [265, 181, 305, 199]
16589      NamePlacement       "alternate"
16590      LibraryVersion      "1.2"
16591      SourceBlock         "xbsIndex_r4/Slice"
16592      SourceType          "Xilinx Bit Slice Extractor Block"
16593      infoedit        "Extracts a given range of bits from each input sample and presents it at the output.  The output type "
16594      "is ordinarily unsigned with binary point at zero, but can be Boolean when the slice is one bit wide.<P><P>Hardware "
16595      "notes: In hardware this block costs nothing."
16596      nbits           "16"
16597      boolean_output      off
16598      mode            "Upper Bit Location + Width"
16599      bit1            "0"
16600      base1           "MSB of Input"
16601      bit0            "0"
16602      base0           "LSB of Input"
16603      dbl_ovrd        off
16604      has_advanced_control    "0"
16605      sggui_pos       "20,20,442,407"
16606      block_type          "slice"
16607      block_version       "8.2"
16608      sg_icon_stat        "40,18,1,1,white,blue,0,1fd851a7,right,,[ ],[ ]"
16609      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 40 40 0 0 ],[0 0 18 18 0 ],[0.77 0.82 0.91"
16610      " ]);\nplot([0 40 40 0 0 ],[0 0 18 18 0 ]);\npatch([15.55 18.44 20.44 22.44 24.44 20.44 17.55 15.55 ],[11.22 11.22 1"
16611      "3.22 11.22 13.22 13.22 13.22 11.22 ],[1 1 1 ]);\npatch([17.55 20.44 18.44 15.55 17.55 ],[9.22 9.22 11.22 11.22 9.22"
16612      " ],[0.931 0.946 0.973 ]);\npatch([15.55 18.44 20.44 17.55 15.55 ],[7.22 7.22 9.22 9.22 7.22 ],[1 1 1 ]);\npatch([17"
16613      ".55 24.44 22.44 20.44 18.44 15.55 17.55 ],[5.22 5.22 7.22 5.22 7.22 7.22 5.22 ],[0.931 0.946 0.973 ]);\nfprintf('',"
16614      "'COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\n\ncolor('black');port_label('output',1,'[a"
16615      ":b]');\nfprintf('','COMMENT: end icon text');"
16616    }
16617    Block {
16618      BlockType       Reference
16619      Name            "Convert"
16620      SID             "704"
16621      Ports           [1, 1]
16622      Position        [480, 175, 525, 205]
16623      LibraryVersion      "1.2"
16624      SourceBlock         "xbsIndex_r4/Convert"
16625      SourceType          "Xilinx Type Converter Block"
16626      infoedit        "Hardware notes: rounding and saturating require hardware resources; truncating and wrapping do not."
16627      gui_display_data_type   "Fixed-point"
16628      arith_type          "Signed  (2's comp)"
16629      n_bits          "12"
16630      bin_pt          "11"
16631      float_type          "Single"
16632      exp_bits        "8"
16633      fraction_bits       "24"
16634      quantization        "Truncate"
16635      overflow        "Wrap"
16636      en              off
16637      latency         "0"
16638      dbl_ovrd        off
16639      pipeline        off
16640      xl_use_area         off
16641      xl_area         "[0,0,0,0,0,0,0]"
16642      has_advanced_control    "0"
16643      sggui_pos       "-1,-1,-1,-1"
16644      block_type          "convert"
16645      sg_icon_stat        "45,30,1,1,white,blue,0,edca21da,right,,[ ],[ ]"
16646      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 45 45 0 0 ],[0 0 30 30 0 ],[0.77 0.82 0.91"
16647      " ]);\nplot([0 45 45 0 0 ],[0 0 30 30 0 ]);\npatch([13.1 18.88 22.88 26.88 30.88 22.88 17.1 13.1 ],[19.44 19.44 23.4"
16648      "4 19.44 23.44 23.44 23.44 19.44 ],[1 1 1 ]);\npatch([17.1 22.88 18.88 13.1 17.1 ],[15.44 15.44 19.44 19.44 15.44 ],"
16649      "[0.931 0.946 0.973 ]);\npatch([13.1 18.88 22.88 17.1 13.1 ],[11.44 11.44 15.44 15.44 11.44 ],[1 1 1 ]);\npatch([17."
16650      "1 30.88 26.88 22.88 18.88 13.1 17.1 ],[7.44 7.44 11.44 7.44 11.44 11.44 7.44 ],[0.931 0.946 0.973 ]);\nfprintf('','"
16651      "COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\n\ncolor('black');port_label('output',1,'cas"
16652      "t');\nfprintf('','COMMENT: end icon text');"
16653    }
16654    Block {
16655      BlockType       Reference
16656      Name            "Convert1"
16657      SID             "705"
16658      Ports           [1, 1]
16659      Position        [480, 230, 525, 260]
16660      LibraryVersion      "1.2"
16661      SourceBlock         "xbsIndex_r4/Convert"
16662      SourceType          "Xilinx Type Converter Block"
16663      infoedit        "Hardware notes: rounding and saturating require hardware resources; truncating and wrapping do not."
16664      gui_display_data_type   "Fixed-point"
16665      arith_type          "Signed  (2's comp)"
16666      n_bits          "12"
16667      bin_pt          "11"
16668      float_type          "Single"
16669      exp_bits        "8"
16670      fraction_bits       "24"
16671      quantization        "Truncate"
16672      overflow        "Wrap"
16673      en              off
16674      latency         "0"
16675      dbl_ovrd        off
16676      pipeline        off
16677      xl_use_area         off
16678      xl_area         "[0,0,0,0,0,0,0]"
16679      has_advanced_control    "0"
16680      sggui_pos       "-1,-1,-1,-1"
16681      block_type          "convert"
16682      sg_icon_stat        "45,30,1,1,white,blue,0,edca21da,right,,[ ],[ ]"
16683      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 45 45 0 0 ],[0 0 30 30 0 ],[0.77 0.82 0.91"
16684      " ]);\nplot([0 45 45 0 0 ],[0 0 30 30 0 ]);\npatch([13.1 18.88 22.88 26.88 30.88 22.88 17.1 13.1 ],[19.44 19.44 23.4"
16685      "4 19.44 23.44 23.44 23.44 19.44 ],[1 1 1 ]);\npatch([17.1 22.88 18.88 13.1 17.1 ],[15.44 15.44 19.44 19.44 15.44 ],"
16686      "[0.931 0.946 0.973 ]);\npatch([13.1 18.88 22.88 17.1 13.1 ],[11.44 11.44 15.44 15.44 11.44 ],[1 1 1 ]);\npatch([17."
16687      "1 30.88 26.88 22.88 18.88 13.1 17.1 ],[7.44 7.44 11.44 7.44 11.44 11.44 7.44 ],[0.931 0.946 0.973 ]);\nfprintf('','"
16688      "COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\n\ncolor('black');port_label('output',1,'cas"
16689      "t');\nfprintf('','COMMENT: end icon text');"
16690    }
16691    Block {
16692      BlockType       Reference
16693      Name            "Register"
16694      SID             "706"
16695      Ports           [1, 1]
16696      Position        [625, 176, 660, 204]
16697      ShowName        off
16698      LibraryVersion      "1.2"
16699      SourceBlock         "xbsIndex_r4/Register"
16700      SourceType          "Xilinx Register Block"
16701      init            "0"
16702      rst             off
16703      en              off
16704      dbl_ovrd        off
16705      xl_use_area         off
16706      xl_area         "[0,0,0,0,0,0,0]"
16707      has_advanced_control    "0"
16708      sggui_pos       "-1,-1,-1,-1"
16709      block_type          "register"
16710      block_version       "8.2"
16711      sg_icon_stat        "35,28,1,1,white,blue,0,c80657c5,right,,[ ],[ ]"
16712      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 35 35 0 0 ],[0 0 28 28 0 ],[0.77 0.82 0.91"
16713      " ]);\nplot([0 35 35 0 0 ],[0 0 28 28 0 ]);\npatch([8.1 13.88 17.88 21.88 25.88 17.88 12.1 8.1 ],[18.44 18.44 22.44 "
16714      "18.44 22.44 22.44 22.44 18.44 ],[1 1 1 ]);\npatch([12.1 17.88 13.88 8.1 12.1 ],[14.44 14.44 18.44 18.44 14.44 ],[0."
16715      "931 0.946 0.973 ]);\npatch([8.1 13.88 17.88 12.1 8.1 ],[10.44 10.44 14.44 14.44 10.44 ],[1 1 1 ]);\npatch([12.1 25."
16716      "88 21.88 17.88 13.88 8.1 12.1 ],[6.44 6.44 10.44 6.44 10.44 10.44 6.44 ],[0.931 0.946 0.973 ]);\nfprintf('','COMMEN"
16717      "T: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1,'d');\ncolor("
16718      "'black');port_label('output',1,'q');\ncolor('black');disp('z^{-1}','texmode','on');\nfprintf('','COMMENT: end icon "
16719      "text');"
16720    }
16721    Block {
16722      BlockType       Reference
16723      Name            "Register1"
16724      SID             "707"
16725      Ports           [1, 1]
16726      Position        [625, 231, 660, 259]
16727      ShowName        off
16728      LibraryVersion      "1.2"
16729      SourceBlock         "xbsIndex_r4/Register"
16730      SourceType          "Xilinx Register Block"
16731      init            "0"
16732      rst             off
16733      en              off
16734      dbl_ovrd        off
16735      xl_use_area         off
16736      xl_area         "[0,0,0,0,0,0,0]"
16737      has_advanced_control    "0"
16738      sggui_pos       "-1,-1,-1,-1"
16739      block_type          "register"
16740      block_version       "8.2"
16741      sg_icon_stat        "35,28,1,1,white,blue,0,c80657c5,right,,[ ],[ ]"
16742      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 35 35 0 0 ],[0 0 28 28 0 ],[0.77 0.82 0.91"
16743      " ]);\nplot([0 35 35 0 0 ],[0 0 28 28 0 ]);\npatch([8.1 13.88 17.88 21.88 25.88 17.88 12.1 8.1 ],[18.44 18.44 22.44 "
16744      "18.44 22.44 22.44 22.44 18.44 ],[1 1 1 ]);\npatch([12.1 17.88 13.88 8.1 12.1 ],[14.44 14.44 18.44 18.44 14.44 ],[0."
16745      "931 0.946 0.973 ]);\npatch([8.1 13.88 17.88 12.1 8.1 ],[10.44 10.44 14.44 14.44 10.44 ],[1 1 1 ]);\npatch([12.1 25."
16746      "88 21.88 17.88 13.88 8.1 12.1 ],[6.44 6.44 10.44 6.44 10.44 10.44 6.44 ],[0.931 0.946 0.973 ]);\nfprintf('','COMMEN"
16747      "T: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1,'d');\ncolor("
16748      "'black');port_label('output',1,'q');\ncolor('black');disp('z^{-1}','texmode','on');\nfprintf('','COMMENT: end icon "
16749      "text');"
16750    }
16751    Block {
16752      BlockType       Reference
16753      Name            "Reinterpret"
16754      SID             "708"
16755      Ports           [1, 1]
16756      Position        [365, 180, 410, 200]
16757      ShowName        off
16758      LibraryVersion      "1.2"
16759      SourceBlock         "xbsIndex_r4/Reinterpret"
16760      SourceType          "Xilinx Type Reinterpreter Block"
16761      infoedit        "Changes signal type without altering the binary representation.   You can changed the signal between s"
16762      "igned and unsigned, and relocate the binary point.<P><P>Hardware notes: In hardware this block costs nothing.<P><P>"
16763      "Example:  Suppose the input is 6 bits wide, signed, with 2 fractional bits, and the output is forced to unsigned wi"
16764      "th 0 fractional bits.  Then an input of -2.0 (1110.00 in binary 2's complement) becomes an output of 56 (111000 in "
16765      "binary)."
16766      force_arith_type    on
16767      arith_type          "Signed  (2's comp)"
16768      force_bin_pt        on
16769      bin_pt          "15"
16770      has_advanced_control    "0"
16771      sggui_pos       "20,20,356,309"
16772      block_type          "reinterpret"
16773      block_version       "8.2"
16774      sg_icon_stat        "45,20,1,1,white,blue,0,6b04d0b0,right,,[ ],[ ]"
16775      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 45 45 0 0 ],[0 0 20 20 0 ],[0.77 0.82 0.91"
16776      " ]);\nplot([0 45 45 0 0 ],[0 0 20 20 0 ]);\npatch([17.55 20.44 22.44 24.44 26.44 22.44 19.55 17.55 ],[12.22 12.22 1"
16777      "4.22 12.22 14.22 14.22 14.22 12.22 ],[1 1 1 ]);\npatch([19.55 22.44 20.44 17.55 19.55 ],[10.22 10.22 12.22 12.22 10"
16778      ".22 ],[0.931 0.946 0.973 ]);\npatch([17.55 20.44 22.44 19.55 17.55 ],[8.22 8.22 10.22 10.22 8.22 ],[1 1 1 ]);\npatc"
16779      "h([19.55 26.44 24.44 22.44 20.44 17.55 19.55 ],[6.22 6.22 8.22 6.22 8.22 8.22 6.22 ],[0.931 0.946 0.973 ]);\nfprint"
16780      "f('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\n\n\ncolor('black');disp('reinterpret'"
16781      ");\nfprintf('','COMMENT: end icon text');"
16782    }
16783    Block {
16784      BlockType       Reference
16785      Name            "Reinterpret1"
16786      SID             "709"
16787      Ports           [1, 1]
16788      Position        [365, 235, 410, 255]
16789      ShowName        off
16790      LibraryVersion      "1.2"
16791      SourceBlock         "xbsIndex_r4/Reinterpret"
16792      SourceType          "Xilinx Type Reinterpreter Block"
16793      infoedit        "Changes signal type without altering the binary representation.   You can changed the signal between s"
16794      "igned and unsigned, and relocate the binary point.<P><P>Hardware notes: In hardware this block costs nothing.<P><P>"
16795      "Example:  Suppose the input is 6 bits wide, signed, with 2 fractional bits, and the output is forced to unsigned wi"
16796      "th 0 fractional bits.  Then an input of -2.0 (1110.00 in binary 2's complement) becomes an output of 56 (111000 in "
16797      "binary)."
16798      force_arith_type    on
16799      arith_type          "Signed  (2's comp)"
16800      force_bin_pt        on
16801      bin_pt          "15"
16802      has_advanced_control    "0"
16803      sggui_pos       "20,20,356,309"
16804      block_type          "reinterpret"
16805      block_version       "8.2"
16806      sg_icon_stat        "45,20,1,1,white,blue,0,6b04d0b0,right,,[ ],[ ]"
16807      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 45 45 0 0 ],[0 0 20 20 0 ],[0.77 0.82 0.91"
16808      " ]);\nplot([0 45 45 0 0 ],[0 0 20 20 0 ]);\npatch([17.55 20.44 22.44 24.44 26.44 22.44 19.55 17.55 ],[12.22 12.22 1"
16809      "4.22 12.22 14.22 14.22 14.22 12.22 ],[1 1 1 ]);\npatch([19.55 22.44 20.44 17.55 19.55 ],[10.22 10.22 12.22 12.22 10"
16810      ".22 ],[0.931 0.946 0.973 ]);\npatch([17.55 20.44 22.44 19.55 17.55 ],[8.22 8.22 10.22 10.22 8.22 ],[1 1 1 ]);\npatc"
16811      "h([19.55 26.44 24.44 22.44 20.44 17.55 19.55 ],[6.22 6.22 8.22 6.22 8.22 8.22 6.22 ],[0.931 0.946 0.973 ]);\nfprint"
16812      "f('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\n\n\ncolor('black');disp('reinterpret'"
16813      ");\nfprintf('','COMMENT: end icon text');"
16814    }
16815    Block {
16816      BlockType       Terminator
16817      Name            "Terminator1"
16818      SID             "710"
16819      Position        [870, 180, 890, 200]
16820      ShowName        off
16821    }
16822    Block {
16823      BlockType       Terminator
16824      Name            "Terminator2"
16825      SID             "711"
16826      Position        [870, 235, 890, 255]
16827      ShowName        off
16828    }
16829    Block {
16830      BlockType       Reference
16831      Name            "radio3_DAC_I"
16832      SID             "712"
16833      Ports           [1, 1]
16834      Position        [750, 180, 810, 200]
16835      LibraryVersion      "1.2"
16836      SourceBlock         "xbsIndex_r4/Gateway Out"
16837      SourceType          "Xilinx Gateway Out Block"
16838      infoedit        "Gateway out block.  Converts Xilinx fixed-point or floating-point type inputs into ouputs of type Simu"
16839      "link integer, single, double, or fixed point.<br><br>Hardware notes:  In hardware these blocks become top level out"
16840      "put ports or are discarded, depending on how they are configured."
16841      inherit_from_input      off
16842      hdl_port        on
16843      timing_constraint   "None"
16844      locs_specified      off
16845      LOCs            "{}"
16846      xl_use_area         off
16847      xl_area         "[0,0,0,0,0,0,0]"
16848      UseAsDAC        off
16849      DACChannel          "'1'"
16850      has_advanced_control    "0"
16851      sggui_pos       "-1,-1,-1,-1"
16852      block_type          "gatewayout"
16853      sg_icon_stat        "60,20,1,1,white,yellow,1,cc31b7ac,right,,[ ],[ ]"
16854      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 0 ],[0 0 20 20 0 ],[0.95 0.93 0.65"
16855      " ]);\nplot([0 60 60 0 0 ],[0 0 20 20 0 ]);\npatch([25.55 28.44 30.44 32.44 34.44 30.44 27.55 25.55 ],[12.22 12.22 1"
16856      "4.22 12.22 14.22 14.22 14.22 12.22 ],[1 1 1 ]);\npatch([27.55 30.44 28.44 25.55 27.55 ],[10.22 10.22 12.22 12.22 10"
16857      ".22 ],[0.985 0.979 0.895 ]);\npatch([25.55 28.44 30.44 27.55 25.55 ],[8.22 8.22 10.22 10.22 8.22 ],[1 1 1 ]);\npatc"
16858      "h([27.55 34.44 32.44 30.44 28.44 25.55 27.55 ],[6.22 6.22 8.22 6.22 8.22 8.22 6.22 ],[0.985 0.979 0.895 ]);\nfprint"
16859      "f('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1,'"
16860      " ');\ncolor('black');port_label('output',1,'\\fontsize{11pt}\\bf Out ','texmode','on');\nfprintf('','COMMENT: end i"
16861      "con text');"
16862    }
16863    Block {
16864      BlockType       Reference
16865      Name            "radio3_DAC_Q"
16866      SID             "713"
16867      Ports           [1, 1]
16868      Position        [750, 235, 810, 255]
16869      LibraryVersion      "1.2"
16870      SourceBlock         "xbsIndex_r4/Gateway Out"
16871      SourceType          "Xilinx Gateway Out Block"
16872      infoedit        "Gateway out block.  Converts Xilinx fixed-point or floating-point type inputs into ouputs of type Simu"
16873      "link integer, single, double, or fixed point.<br><br>Hardware notes:  In hardware these blocks become top level out"
16874      "put ports or are discarded, depending on how they are configured."
16875      inherit_from_input      off
16876      hdl_port        on
16877      timing_constraint   "None"
16878      locs_specified      off
16879      LOCs            "{}"
16880      xl_use_area         off
16881      xl_area         "[0,0,0,0,0,0,0]"
16882      UseAsDAC        off
16883      DACChannel          "'1'"
16884      has_advanced_control    "0"
16885      sggui_pos       "-1,-1,-1,-1"
16886      block_type          "gatewayout"
16887      sg_icon_stat        "60,20,1,1,white,yellow,1,cc31b7ac,right,,[ ],[ ]"
16888      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 0 ],[0 0 20 20 0 ],[0.95 0.93 0.65"
16889      " ]);\nplot([0 60 60 0 0 ],[0 0 20 20 0 ]);\npatch([25.55 28.44 30.44 32.44 34.44 30.44 27.55 25.55 ],[12.22 12.22 1"
16890      "4.22 12.22 14.22 14.22 14.22 12.22 ],[1 1 1 ]);\npatch([27.55 30.44 28.44 25.55 27.55 ],[10.22 10.22 12.22 12.22 10"
16891      ".22 ],[0.985 0.979 0.895 ]);\npatch([25.55 28.44 30.44 27.55 25.55 ],[8.22 8.22 10.22 10.22 8.22 ],[1 1 1 ]);\npatc"
16892      "h([27.55 34.44 32.44 30.44 28.44 25.55 27.55 ],[6.22 6.22 8.22 6.22 8.22 8.22 6.22 ],[0.985 0.979 0.895 ]);\nfprint"
16893      "f('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1,'"
16894      " ');\ncolor('black');port_label('output',1,'\\fontsize{11pt}\\bf Out ','texmode','on');\nfprintf('','COMMENT: end i"
16895      "con text');"
16896    }
16897    Line {
16898      SrcBlock        "radio3_DAC_Q"
16899      SrcPort         1
16900      DstBlock        "Terminator2"
16901      DstPort         1
16902    }
16903    Line {
16904      SrcBlock        "radio3_DAC_I"
16905      SrcPort         1
16906      DstBlock        "Terminator1"
16907      DstPort         1
16908    }
16909    Line {
16910      SrcBlock        "Reinterpret1"
16911      SrcPort         1
16912      DstBlock        "Convert1"
16913      DstPort         1
16914    }
16915    Line {
16916      SrcBlock        "Reinterpret"
16917      SrcPort         1
16918      DstBlock        "Convert"
16919      DstPort         1
16920    }
16921    Line {
16922      SrcBlock        "16LSB"
16923      SrcPort         1
16924      DstBlock        "Reinterpret1"
16925      DstPort         1
16926    }
16927    Line {
16928      SrcBlock        "16MSB"
16929      SrcPort         1
16930      DstBlock        "Reinterpret"
16931      DstPort         1
16932    }
16933    Line {
16934      SrcBlock        "Register"
16935      SrcPort         1
16936      DstBlock        "radio3_DAC_I"
16937      DstPort         1
16938    }
16939    Line {
16940      SrcBlock        "Register1"
16941      SrcPort         1
16942      DstBlock        "radio3_DAC_Q"
16943      DstPort         1
16944    }
16945    Line {
16946      SrcBlock        "32b"
16947      SrcPort         1
16948      Points          [65, 0]
16949      Branch {
16950        Points          [0, -55]
16951        DstBlock            "16MSB"
16952        DstPort         1
16953      }
16954      Branch {
16955        DstBlock            "16LSB"
16956        DstPort         1
16957      }
16958    }
16959    Line {
16960      SrcBlock        "Convert"
16961      SrcPort         1
16962      DstBlock        "Register"
16963      DstPort         1
16964    }
16965    Line {
16966      SrcBlock        "Convert1"
16967      SrcPort         1
16968      DstBlock        "Register1"
16969      DstPort         1
16970    }
16971      }
16972    }
16973    Block {
16974      BlockType           SubSystem
16975      Name            "Radio 4\nRx Buffers"
16976      SID             "476"
16977      Ports           [5]
16978      Position            [1175, 465, 1240, 565]
16979      MinAlgLoopOccurrences   off
16980      PropExecContextOutsideSubsystem off
16981      RTWSystemCode       "Auto"
16982      FunctionWithSeparateData off
16983      Opaque              off
16984      RequestExecContextInheritance off
16985      MaskHideContents        off
16986      System {
16987    Name            "Radio 4\nRx Buffers"
16988    Location        [2, 82, 1270, 734]
16989    Open            off
16990    ModelBrowserVisibility  off
16991    ModelBrowserWidth   200
16992    ScreenColor     "white"
16993    PaperOrientation    "landscape"
16994    PaperPositionMode   "auto"
16995    PaperType       "usletter"
16996    PaperUnits      "inches"
16997    TiledPaperMargins   [0.500000, 0.500000, 0.500000, 0.500000]
16998    TiledPageScale      1
16999    ShowPageBoundaries  off
17000    ZoomFactor      "100"
17001    Block {
17002      BlockType       Inport
17003      Name            "RSSI"
17004      SID             "477"
17005      Position        [450, 313, 480, 327]
17006      IconDisplay         "Port number"
17007    }
17008    Block {
17009      BlockType       Inport
17010      Name            "I/Q"
17011      SID             "478"
17012      Position        [545, 163, 575, 177]
17013      Port            "2"
17014      IconDisplay         "Port number"
17015    }
17016    Block {
17017      BlockType       Inport
17018      Name            "Addr"
17019      SID             "479"
17020      Position        [325, 128, 355, 142]
17021      Port            "3"
17022      IconDisplay         "Port number"
17023    }
17024    Block {
17025      BlockType       Inport
17026      Name            "WE"
17027      SID             "480"
17028      Position        [110, 183, 140, 197]
17029      Port            "4"
17030      IconDisplay         "Port number"
17031    }
17032    Block {
17033      BlockType       Inport
17034      Name            "EN"
17035      SID             "481"
17036      Position        [110, 213, 140, 227]
17037      Port            "5"
17038      IconDisplay         "Port number"
17039    }
17040    Block {
17041      BlockType       Reference
17042      Name            "Convert1"
17043      SID             "482"
17044      Ports           [1, 1]
17045      Position        [185, 212, 210, 228]
17046      ShowName        off
17047      LibraryVersion      "1.2"
17048      SourceBlock         "xbsIndex_r4/Convert"
17049      SourceType          "Xilinx Type Converter Block"
17050      infoedit        "Hardware notes: rounding and saturating require hardware resources; truncating and wrapping do not."
17051      gui_display_data_type   "Fixed-point"
17052      arith_type          "Boolean"
17053      n_bits          "16"
17054      bin_pt          "14"
17055      float_type          "Single"
17056      exp_bits        "8"
17057      fraction_bits       "24"
17058      quantization        "Truncate"
17059      overflow        "Wrap"
17060      en              off
17061      latency         "0"
17062      dbl_ovrd        off
17063      pipeline        off
17064      xl_use_area         off
17065      xl_area         "[0,0,0,0,0,0,0]"
17066      has_advanced_control    "0"
17067      sggui_pos       "20,20,374,375"
17068      block_type          "convert"
17069      block_version       "8.2"
17070      sg_icon_stat        "25,16,1,1,white,blue,0,edca21da,right,,[ ],[ ]"
17071      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 25 25 0 0 ],[0 0 16 16 0 ],[0.77 0.82 0.91"
17072      " ]);\nplot([0 25 25 0 0 ],[0 0 16 16 0 ]);\npatch([7.55 10.44 12.44 14.44 16.44 12.44 9.55 7.55 ],[10.22 10.22 12.2"
17073      "2 10.22 12.22 12.22 12.22 10.22 ],[1 1 1 ]);\npatch([9.55 12.44 10.44 7.55 9.55 ],[8.22 8.22 10.22 10.22 8.22 ],[0."
17074      "931 0.946 0.973 ]);\npatch([7.55 10.44 12.44 9.55 7.55 ],[6.22 6.22 8.22 8.22 6.22 ],[1 1 1 ]);\npatch([9.55 16.44 "
17075      "14.44 12.44 10.44 7.55 9.55 ],[4.22 4.22 6.22 4.22 6.22 6.22 4.22 ],[0.931 0.946 0.973 ]);\nfprintf('','COMMENT: en"
17076      "d icon graphics');\nfprintf('','COMMENT: begin icon text');\n\ncolor('black');port_label('output',1,'cast');\nfprin"
17077      "tf('','COMMENT: end icon text');"
17078    }
17079    Block {
17080      BlockType       Reference
17081      Name            "Gateway Out"
17082      SID             "483"
17083      Ports           [1, 1]
17084      Position        [500, 30, 530, 40]
17085      ShowName        off
17086      LibraryVersion      "1.2"
17087      SourceBlock         "xbsIndex_r4/Gateway Out"
17088      SourceType          "Xilinx Gateway Out Block"
17089      infoedit        "Gateway out block.  Converts Xilinx fixed point inputs into ouputs of type Simulink integer, double, o"
17090      "r fixed point.<P><P>Hardware notes:  In hardware these blocks become top level output ports or are discarded, depen"
17091      "ding on how they are configured."
17092      inherit_from_input      off
17093      hdl_port        off
17094      timing_constraint   "None"
17095      locs_specified      off
17096      LOCs            "{}"
17097      xl_use_area         off
17098      xl_area         "[0,0,0,0,0,0,0]"
17099      UseAsDAC        off
17100      DACChannel          "'1'"
17101      has_advanced_control    "0"
17102      sggui_pos       "20,20,336,386"
17103      block_type          "gatewayout"
17104      block_version       "10.1.2"
17105      sg_icon_stat        "30,10,1,1,white,grey,1,632ec840,right,,[ ],[ ]"
17106      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 30 30 0 0 ],[0 0 10 10 0 ],[0.88 0.88 0.88"
17107      " ]);\nplot([0 30 30 0 0 ],[0 0 10 10 0 ]);\npatch([12.775 14.22 15.22 16.22 17.22 15.22 13.775 12.775 ],[6.11 6.11 "
17108      "7.11 6.11 7.11 7.11 7.11 6.11 ],[1 1 1 ]);\npatch([13.775 15.22 14.22 12.775 13.775 ],[5.11 5.11 6.11 6.11 5.11 ],["
17109      "0.964 0.964 0.964 ]);\npatch([12.775 14.22 15.22 13.775 12.775 ],[4.11 4.11 5.11 5.11 4.11 ],[1 1 1 ]);\npatch([13."
17110      "775 17.22 16.22 15.22 14.22 12.775 13.775 ],[3.11 3.11 4.11 3.11 4.11 4.11 3.11 ],[0.964 0.964 0.964 ]);\nfprintf('"
17111      "','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1,' ')"
17112      ";\ncolor('black');port_label('output',1,'\\fontsize{11pt} Out ','texmode','on');\nfprintf('','COMMENT: end icon tex"
17113      "t');"
17114    }
17115    Block {
17116      BlockType       Reference
17117      Name            "Gateway Out1"
17118      SID             "484"
17119      Ports           [1, 1]
17120      Position        [500, 70, 530, 80]
17121      ShowName        off
17122      LibraryVersion      "1.2"
17123      SourceBlock         "xbsIndex_r4/Gateway Out"
17124      SourceType          "Xilinx Gateway Out Block"
17125      infoedit        "Gateway out block.  Converts Xilinx fixed point inputs into ouputs of type Simulink integer, double, o"
17126      "r fixed point.<P><P>Hardware notes:  In hardware these blocks become top level output ports or are discarded, depen"
17127      "ding on how they are configured."
17128      inherit_from_input      off
17129      hdl_port        off
17130      timing_constraint   "None"
17131      locs_specified      off
17132      LOCs            "{}"
17133      xl_use_area         off
17134      xl_area         "[0,0,0,0,0,0,0]"
17135      UseAsDAC        off
17136      DACChannel          "'1'"
17137      has_advanced_control    "0"
17138      sggui_pos       "20,20,336,386"
17139      block_type          "gatewayout"
17140      block_version       "10.1.2"
17141      sg_icon_stat        "30,10,1,1,white,grey,1,632ec840,right,,[ ],[ ]"
17142      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 30 30 0 0 ],[0 0 10 10 0 ],[0.88 0.88 0.88"
17143      " ]);\nplot([0 30 30 0 0 ],[0 0 10 10 0 ]);\npatch([12.775 14.22 15.22 16.22 17.22 15.22 13.775 12.775 ],[6.11 6.11 "
17144      "7.11 6.11 7.11 7.11 7.11 6.11 ],[1 1 1 ]);\npatch([13.775 15.22 14.22 12.775 13.775 ],[5.11 5.11 6.11 6.11 5.11 ],["
17145      "0.964 0.964 0.964 ]);\npatch([12.775 14.22 15.22 13.775 12.775 ],[4.11 4.11 5.11 5.11 4.11 ],[1 1 1 ]);\npatch([13."
17146      "775 17.22 16.22 15.22 14.22 12.775 13.775 ],[3.11 3.11 4.11 3.11 4.11 4.11 3.11 ],[0.964 0.964 0.964 ]);\nfprintf('"
17147      "','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1,' ')"
17148      ";\ncolor('black');port_label('output',1,'\\fontsize{11pt} Out ','texmode','on');\nfprintf('','COMMENT: end icon tex"
17149      "t');"
17150    }
17151    Block {
17152      BlockType       Reference
17153      Name            "Logical"
17154      SID             "485"
17155      Ports           [2, 1]
17156      Position        [255, 175, 310, 235]
17157      LibraryVersion      "1.2"
17158      SourceBlock         "xbsIndex_r4/Logical"
17159      SourceType          "Xilinx Logical Block Block"
17160      logical_function    "AND"
17161      inputs          "2"
17162      en              off
17163      latency         "0"
17164      precision       "Full"
17165      arith_type          "Unsigned"
17166      n_bits          "16"
17167      bin_pt          "0"
17168      align_bp        on
17169      dbl_ovrd        off
17170      xl_use_area         off
17171      xl_area         "[0,0,0,0,0,0,0]"
17172      has_advanced_control    "0"
17173      sggui_pos       "-1,-1,-1,-1"
17174      block_type          "logical"
17175      block_version       "9.1.01"
17176      sg_icon_stat        "55,60,2,1,white,blue,0,83a4b621,right,,[ ],[ ]"
17177      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 0 ],[0 0 60 60 0 ],[0.77 0.82 0.91"
17178      " ]);\nplot([0 55 55 0 0 ],[0 0 60 60 0 ]);\npatch([11.425 21.54 28.54 35.54 42.54 28.54 18.425 11.425 ],[37.77 37.7"
17179      "7 44.77 37.77 44.77 44.77 44.77 37.77 ],[1 1 1 ]);\npatch([18.425 28.54 21.54 11.425 18.425 ],[30.77 30.77 37.77 37"
17180      ".77 30.77 ],[0.931 0.946 0.973 ]);\npatch([11.425 21.54 28.54 18.425 11.425 ],[23.77 23.77 30.77 30.77 23.77 ],[1 1"
17181      " 1 ]);\npatch([18.425 42.54 35.54 28.54 21.54 11.425 18.425 ],[16.77 16.77 23.77 16.77 23.77 23.77 16.77 ],[0.931 0"
17182      ".946 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\n\n\n\ncolor('bl"
17183      "ack');disp('and');\nfprintf('','COMMENT: end icon text');"
17184    }
17185    Block {
17186      BlockType       SubSystem
17187      Name            "Radio 4\nRSSI Buffer"
17188      SID             "486"
17189      Ports           [3]
17190      Position        [650, 264, 705, 376]
17191      MinAlgLoopOccurrences   off
17192      PropExecContextOutsideSubsystem off
17193      RTWSystemCode       "Auto"
17194      FunctionWithSeparateData off
17195      Opaque          off
17196      RequestExecContextInheritance off
17197      MaskHideContents    off
17198      System {
17199        Name            "Radio 4\nRSSI Buffer"
17200        Location            [2, 70, 1918, 1150]
17201        Open            off
17202        ModelBrowserVisibility  off
17203        ModelBrowserWidth       200
17204        ScreenColor         "white"
17205        PaperOrientation        "landscape"
17206        PaperPositionMode       "auto"
17207        PaperType           "usletter"
17208        PaperUnits          "inches"
17209        TiledPaperMargins       [0.500000, 0.500000, 0.500000, 0.500000]
17210        TiledPageScale      1
17211        ShowPageBoundaries      off
17212        ZoomFactor          "100"
17213        Block {
17214          BlockType           Inport
17215          Name            "Addr_14b"
17216          SID             "487"
17217          Position            [325, 348, 355, 362]
17218          NamePlacement       "alternate"
17219          IconDisplay         "Port number"
17220        }
17221        Block {
17222          BlockType           Inport
17223          Name            "RSSI"
17224          SID             "488"
17225          Position            [95, 383, 125, 397]
17226          Port            "2"
17227          IconDisplay         "Port number"
17228        }
17229        Block {
17230          BlockType           Inport
17231          Name            "WE"
17232          SID             "489"
17233          Position            [720, 478, 750, 492]
17234          Port            "3"
17235          IconDisplay         "Port number"
17236        }
17237        Block {
17238          BlockType           Reference
17239          Name            "11MSB"
17240          SID             "490"
17241          Ports           [1, 1]
17242          Position            [430, 346, 470, 364]
17243          NamePlacement       "alternate"
17244          LibraryVersion          "1.2"
17245          SourceBlock         "xbsIndex_r4/Slice"
17246          SourceType          "Xilinx Bit Slice Extractor Block"
17247          infoedit            "Extracts a given range of bits from each input sample and presents it at the output.  The outp"
17248          "ut type is ordinarily unsigned with binary point at zero, but can be Boolean when the slice is one bit wide.<P>"
17249          "<P>Hardware notes: In hardware this block costs nothing."
17250          nbits           "11"
17251          boolean_output          off
17252          mode            "Upper Bit Location + Width"
17253          bit1            "0"
17254          base1           "MSB of Input"
17255          bit0            "0"
17256          base0           "LSB of Input"
17257          dbl_ovrd            off
17258          has_advanced_control    "0"
17259          sggui_pos           "20,20,449,407"
17260          block_type          "slice"
17261          block_version       "8.2"
17262          sg_icon_stat        "40,18,1,1,white,blue,0,1fd851a7,right,,[ ],[ ]"
17263          sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npatch([0 40 40 0 0 ],[0 0 18 18 0 ],[0.77 0"
17264          ".82 0.91 ]);\nplot([0 40 40 0 0 ],[0 0 18 18 0 ]);\npatch([15.55 18.44 20.44 22.44 24.44 20.44 17.55 15.55 ],[1"
17265          "1.22 11.22 13.22 11.22 13.22 13.22 13.22 11.22 ],[1 1 1 ]);\npatch([17.55 20.44 18.44 15.55 17.55 ],[9.22 9.22 "
17266          "11.22 11.22 9.22 ],[0.931 0.946 0.973 ]);\npatch([15.55 18.44 20.44 17.55 15.55 ],[7.22 7.22 9.22 9.22 7.22 ],["
17267          "1 1 1 ]);\npatch([17.55 24.44 22.44 20.44 18.44 15.55 17.55 ],[5.22 5.22 7.22 5.22 7.22 7.22 5.22 ],[0.931 0.94"
17268          "6 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\n\ncolor('black"
17269          "');port_label('output',1,'[a:b]');\nfprintf('','COMMENT: end icon text');"
17270        }
17271        Block {
17272          BlockType           Reference
17273          Name            "BIT[2]"
17274          SID             "491"
17275          Ports           [1, 1]
17276          Position            [430, 401, 470, 419]
17277          LibraryVersion          "1.2"
17278          SourceBlock         "xbsIndex_r4/Slice"
17279          SourceType          "Xilinx Bit Slice Extractor Block"
17280          infoedit            "Extracts a given range of bits from each input sample and presents it at the output.  The outp"
17281          "ut type is ordinarily unsigned with binary point at zero, but can be Boolean when the slice is one bit wide.<P>"
17282          "<P>Hardware notes: In hardware this block costs nothing."
17283          nbits           "1"
17284          boolean_output          on
17285          mode            "Lower Bit Location + Width"
17286          bit1            "0"
17287          base1           "MSB of Input"
17288          bit0            "2"
17289          base0           "LSB of Input"
17290          dbl_ovrd            off
17291          has_advanced_control    "0"
17292          sggui_pos           "20,20,449,407"
17293          block_type          "slice"
17294          block_version       "8.2"
17295          sg_icon_stat        "40,18,1,1,white,blue,0,1fd851a7,right,,[ ],[ ]"
17296          sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npatch([0 40 40 0 0 ],[0 0 18 18 0 ],[0.77 0"
17297          ".82 0.91 ]);\nplot([0 40 40 0 0 ],[0 0 18 18 0 ]);\npatch([15.55 18.44 20.44 22.44 24.44 20.44 17.55 15.55 ],[1"
17298          "1.22 11.22 13.22 11.22 13.22 13.22 13.22 11.22 ],[1 1 1 ]);\npatch([17.55 20.44 18.44 15.55 17.55 ],[9.22 9.22 "
17299          "11.22 11.22 9.22 ],[0.931 0.946 0.973 ]);\npatch([15.55 18.44 20.44 17.55 15.55 ],[7.22 7.22 9.22 9.22 7.22 ],["
17300          "1 1 1 ]);\npatch([17.55 24.44 22.44 20.44 18.44 15.55 17.55 ],[5.22 5.22 7.22 5.22 7.22 7.22 5.22 ],[0.931 0.94"
17301          "6 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\n\ncolor('black"
17302          "');port_label('output',1,'[a:b]');\nfprintf('','COMMENT: end icon text');"
17303        }
17304        Block {
17305          BlockType           Reference
17306          Name            "Concat"
17307          SID             "492"
17308          Ports           [2, 1]
17309          Position            [685, 381, 725, 459]
17310          ShowName            off
17311          LibraryVersion          "1.2"
17312          SourceBlock         "xbsIndex_r4/Concat"
17313          SourceType          "Xilinx Bus Concatenator Block"
17314          infoedit            "Concatenates two or more inputs.  Output will be cast to an unsigned value with the binary poi"
17315          "nt at zero."
17316          num_inputs          "2"
17317          dbl_ovrd            off
17318          has_advanced_control    "0"
17319          sggui_pos           "-1,-1,-1,-1"
17320          block_type          "concat"
17321          block_version       "10.1.2"
17322          sg_icon_stat        "40,78,2,1,white,blue,0,16398980,right,,[ ],[ ]"
17323          sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npatch([0 40 40 0 0 ],[0 0 78 78 0 ],[0.77 0"
17324          ".82 0.91 ]);\nplot([0 40 40 0 0 ],[0 0 78 78 0 ]);\npatch([8.875 16.1 21.1 26.1 31.1 21.1 13.875 8.875 ],[44.55"
17325          " 44.55 49.55 44.55 49.55 49.55 49.55 44.55 ],[1 1 1 ]);\npatch([13.875 21.1 16.1 8.875 13.875 ],[39.55 39.55 44"
17326          ".55 44.55 39.55 ],[0.931 0.946 0.973 ]);\npatch([8.875 16.1 21.1 13.875 8.875 ],[34.55 34.55 39.55 39.55 34.55 "
17327          "],[1 1 1 ]);\npatch([13.875 31.1 26.1 21.1 16.1 8.875 13.875 ],[29.55 29.55 34.55 29.55 34.55 34.55 29.55 ],[0."
17328          "931 0.946 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor("
17329          "'black');port_label('input',1,'hi');\ncolor('black');port_label('input',2,'lo');\n\ncolor('black');disp('\\font"
17330          "size{20}\\}','texmode','on');\nfprintf('','COMMENT: end icon text');"
17331        }
17332        Block {
17333          BlockType           Reference
17334          Name            "Convert"
17335          SID             "493"
17336          Ports           [1, 1]
17337          Position            [285, 382, 320, 398]
17338          ShowName            off
17339          LibraryVersion          "1.2"
17340          SourceBlock         "xbsIndex_r4/Convert"
17341          SourceType          "Xilinx Type Converter Block"
17342          infoedit            "Hardware notes: rounding and saturating require hardware resources; truncating and wrapping do"
17343          " not."
17344          gui_display_data_type   "Fixed-point"
17345          arith_type          "Unsigned"
17346          n_bits              "16"
17347          bin_pt              "0"
17348          float_type          "Single"
17349          exp_bits            "8"
17350          fraction_bits       "24"
17351          quantization        "Truncate"
17352          overflow            "Wrap"
17353          en              off
17354          latency             "0"
17355          dbl_ovrd            off
17356          pipeline            off
17357          xl_use_area         off
17358          xl_area             "[0,0,0,0,0,0,0]"
17359          has_advanced_control    "0"
17360          sggui_pos           "20,20,461,375"
17361          block_type          "convert"
17362          block_version       "8.2"
17363          sg_icon_stat        "35,16,1,1,white,blue,0,edca21da,right,,[ ],[ ]"
17364          sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npatch([0 35 35 0 0 ],[0 0 16 16 0 ],[0.77 0"
17365          ".82 0.91 ]);\nplot([0 35 35 0 0 ],[0 0 16 16 0 ]);\npatch([12.55 15.44 17.44 19.44 21.44 17.44 14.55 12.55 ],[1"
17366          "0.22 10.22 12.22 10.22 12.22 12.22 12.22 10.22 ],[1 1 1 ]);\npatch([14.55 17.44 15.44 12.55 14.55 ],[8.22 8.22 "
17367          "10.22 10.22 8.22 ],[0.931 0.946 0.973 ]);\npatch([12.55 15.44 17.44 14.55 12.55 ],[6.22 6.22 8.22 8.22 6.22 ],["
17368          "1 1 1 ]);\npatch([14.55 21.44 19.44 17.44 15.44 12.55 14.55 ],[4.22 4.22 6.22 4.22 6.22 6.22 4.22 ],[0.931 0.94"
17369          "6 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\n\ncolor('black"
17370          "');port_label('output',1,'cast');\nfprintf('','COMMENT: end icon text');"
17371        }
17372        Block {
17373          BlockType           Reference
17374          Name            "Inverter"
17375          SID             "494"
17376          Ports           [1, 1]
17377          Position            [510, 402, 540, 418]
17378          ShowName            off
17379          LibraryVersion          "1.2"
17380          SourceBlock         "xbsIndex_r4/Inverter"
17381          SourceType          "Xilinx Inverter Block"
17382          infoedit            "Bitwise logical negation (one's complement) operator."
17383          en              off
17384          latency             "0"
17385          dbl_ovrd            off
17386          xl_use_area         off
17387          xl_area             "[0,0,0,0,0,0,0]"
17388          has_advanced_control    "0"
17389          sggui_pos           "20,20,348,251"
17390          block_type          "inv"
17391          block_version       "10.1.2"
17392          sg_icon_stat        "30,16,1,1,white,blue,0,267846e5,right,,[ ],[ ]"
17393          sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npatch([0 30 30 0 0 ],[0 0 16 16 0 ],[0.77 0"
17394          ".82 0.91 ]);\nplot([0 30 30 0 0 ],[0 0 16 16 0 ]);\npatch([10.55 13.44 15.44 17.44 19.44 15.44 12.55 10.55 ],[1"
17395          "0.22 10.22 12.22 10.22 12.22 12.22 12.22 10.22 ],[1 1 1 ]);\npatch([12.55 15.44 13.44 10.55 12.55 ],[8.22 8.22 "
17396          "10.22 10.22 8.22 ],[0.931 0.946 0.973 ]);\npatch([10.55 13.44 15.44 12.55 10.55 ],[6.22 6.22 8.22 8.22 6.22 ],["
17397          "1 1 1 ]);\npatch([12.55 19.44 17.44 15.44 13.44 10.55 12.55 ],[4.22 4.22 6.22 4.22 6.22 6.22 4.22 ],[0.931 0.94"
17398          "6 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\n\n\ncolor('bla"
17399          "ck');disp('not');\nfprintf('','COMMENT: end icon text');"
17400        }
17401        Block {
17402          BlockType           Reference
17403          Name            "Register"
17404          SID             "495"
17405          Ports           [2, 1]
17406          Position            [580, 378, 625, 422]
17407          ShowName            off
17408          LibraryVersion          "1.2"
17409          SourceBlock         "xbsIndex_r4/Register"
17410          SourceType          "Xilinx Register Block"
17411          init            "0"
17412          rst             off
17413          en              on
17414          dbl_ovrd            off
17415          xl_use_area         off
17416          xl_area             "[0,0,0,0,0,0,0]"
17417          has_advanced_control    "0"
17418          sggui_pos           "20,20,348,193"
17419          block_type          "register"
17420          block_version       "10.1.2"
17421          sg_icon_stat        "45,44,2,1,white,blue,0,6bd0930c,right,,[ ],[ ]"
17422          sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npatch([0 45 45 0 0 ],[0 0 44 44 0 ],[0.77 0"
17423          ".82 0.91 ]);\nplot([0 45 45 0 0 ],[0 0 44 44 0 ]);\npatch([8.65 17.32 23.32 29.32 35.32 23.32 14.65 8.65 ],[28."
17424          "66 28.66 34.66 28.66 34.66 34.66 34.66 28.66 ],[1 1 1 ]);\npatch([14.65 23.32 17.32 8.65 14.65 ],[22.66 22.66 2"
17425          "8.66 28.66 22.66 ],[0.931 0.946 0.973 ]);\npatch([8.65 17.32 23.32 14.65 8.65 ],[16.66 16.66 22.66 22.66 16.66 "
17426          "],[1 1 1 ]);\npatch([14.65 35.32 29.32 23.32 17.32 8.65 14.65 ],[10.66 10.66 16.66 10.66 16.66 16.66 10.66 ],[0"
17427          ".931 0.946 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor"
17428          "('black');port_label('input',1,'d');\ncolor('black');port_label('input',2,'en');\ncolor('black');port_label('ou"
17429          "tput',1,'q');\ncolor('black');disp('z^{-1}','texmode','on');\nfprintf('','COMMENT: end icon text');"
17430        }
17431        Block {
17432          BlockType           Reference
17433          Name            "Reinterpret1"
17434          SID             "496"
17435          Ports           [1, 1]
17436          Position            [180, 382, 215, 398]
17437          ShowName            off
17438          LibraryVersion          "1.2"
17439          SourceBlock         "xbsIndex_r4/Reinterpret"
17440          SourceType          "Xilinx Type Reinterpreter Block"
17441          infoedit            "Changes signal type without altering the binary representation.   You can changed the signal b"
17442          "etween signed and unsigned, and relocate the binary point.<P><P>Hardware notes: In hardware this block costs no"
17443          "thing.<P><P>Example:  Suppose the input is 6 bits wide, signed, with 2 fractional bits, and the output is force"
17444          "d to unsigned with 0 fractional bits.  Then an input of -2.0 (1110.00 in binary 2's complement) becomes an outp"
17445          "ut of 56 (111000 in binary)."
17446          force_arith_type        on
17447          arith_type          "Unsigned"
17448          force_bin_pt        on
17449          bin_pt              "0"
17450          has_advanced_control    "0"
17451          sggui_pos           "436,54,356,312"
17452          block_type          "reinterpret"
17453          block_version       "9.1.01"
17454          sg_icon_stat        "35,16,1,1,white,blue,0,6b04d0b0,right,,[ ],[ ]"
17455          sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npatch([0 35 35 0 0 ],[0 0 16 16 0 ],[0.77 0"
17456          ".82 0.91 ]);\nplot([0 35 35 0 0 ],[0 0 16 16 0 ]);\npatch([12.55 15.44 17.44 19.44 21.44 17.44 14.55 12.55 ],[1"
17457          "0.22 10.22 12.22 10.22 12.22 12.22 12.22 10.22 ],[1 1 1 ]);\npatch([14.55 17.44 15.44 12.55 14.55 ],[8.22 8.22 "
17458          "10.22 10.22 8.22 ],[0.931 0.946 0.973 ]);\npatch([12.55 15.44 17.44 14.55 12.55 ],[6.22 6.22 8.22 8.22 6.22 ],["
17459          "1 1 1 ]);\npatch([14.55 21.44 19.44 17.44 15.44 12.55 14.55 ],[4.22 4.22 6.22 4.22 6.22 6.22 4.22 ],[0.931 0.94"
17460          "6 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\n\n\ncolor('bla"
17461          "ck');disp('reinterpret');\nfprintf('','COMMENT: end icon text');"
17462        }
17463        Block {
17464          BlockType           Reference
17465          Name            "Shared Memory"
17466          SID             "497"
17467          Ports           [3, 1]
17468          Position            [800, 323, 880, 517]
17469          AttributesFormatString  "<< %<shared_memory_name> >>"
17470          LibraryVersion          "1.2"
17471          SourceBlock         "xbsIndex_r4/Shared Memory"
17472          SourceType          "Xilinx Shared Memory Random Access Memory Block"
17473          shared_memory_name      "'RSSIBuff_Radio4'"
17474          depth           "2^11"
17475          ownership           "Locally Owned and Initialized"
17476          initVector          "0"
17477          en              off
17478          mutex           "Unprotected"
17479          mode            "Read and Write"
17480          write_mode          "Read After Write"
17481          time_out            "0"
17482          latency             "1"
17483          explicit_data_type      on
17484          gui_display_data_type   "Fixed-point"
17485          arith_type          "Unsigned"
17486          n_bits              "32"
17487          bin_pt              "0"
17488          preci_type          "Single"
17489          xl_use_area         off
17490          xl_area             "[0,0,0,0,0,0,0]"
17491          implementation          "Block RAM"
17492          use_rpm             "off"
17493          has_advanced_control    "0"
17494          sggui_pos           "20,20,384,381"
17495          block_type          "shmem"
17496          block_version       "10.1.2"
17497          sg_icon_stat        "80,194,3,1,white,blue,0,bf435243,right,,[ ],[ ]"
17498          sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npatch([0 80 80 0 0 ],[0 0 194 194 0 ],[0.77"
17499          " 0.82 0.91 ]);\nplot([0 80 80 0 0 ],[0 0 194 194 0 ]);\npatch([15.525 31.42 42.42 53.42 64.42 42.42 26.525 15.5"
17500          "25 ],[109.21 109.21 120.21 109.21 120.21 120.21 120.21 109.21 ],[1 1 1 ]);\npatch([26.525 42.42 31.42 15.525 26"
17501          ".525 ],[98.21 98.21 109.21 109.21 98.21 ],[0.931 0.946 0.973 ]);\npatch([15.525 31.42 42.42 26.525 15.525 ],[87"
17502          ".21 87.21 98.21 98.21 87.21 ],[1 1 1 ]);\npatch([26.525 64.42 53.42 42.42 31.42 15.525 26.525 ],[76.21 76.21 87"
17503          ".21 76.21 87.21 87.21 76.21 ],[0.931 0.946 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','CO"
17504          "MMENT: begin icon text');\ncolor('black');port_label('input',1,'addr');\ncolor('black');port_label('input',2,'d"
17505          "in');\ncolor('black');port_label('input',3,'we');\ncolor('black');port_label('output',1,'dout');\nfprintf('','C"
17506          "OMMENT: end icon text');"
17507        }
17508        Block {
17509          BlockType           Terminator
17510          Name            "Terminator2"
17511          SID             "498"
17512          Position            [945, 410, 965, 430]
17513          ShowName            off
17514        }
17515        Line {
17516          SrcBlock            "RSSI"
17517          SrcPort             1
17518          DstBlock            "Reinterpret1"
17519          DstPort             1
17520        }
17521        Line {
17522          SrcBlock            "Convert"
17523          SrcPort             1
17524          Points              [35, 0]
17525          Branch {
17526        DstBlock        "Register"
17527        DstPort         1
17528          }
17529          Branch {
17530        Points          [0, 50]
17531        DstBlock        "Concat"
17532        DstPort         2
17533          }
17534        }
17535        Line {
17536          SrcBlock            "Addr_14b"
17537          SrcPort             1
17538          Points              [40, 0]
17539          Branch {
17540        DstBlock        "11MSB"
17541        DstPort         1
17542          }
17543          Branch {
17544        Points          [0, 55]
17545        DstBlock        "BIT[2]"
17546        DstPort         1
17547          }
17548        }
17549        Line {
17550          SrcBlock            "WE"
17551          SrcPort             1
17552          DstBlock            "Shared Memory"
17553          DstPort             3
17554        }
17555        Line {
17556          SrcBlock            "Reinterpret1"
17557          SrcPort             1
17558          DstBlock            "Convert"
17559          DstPort             1
17560        }
17561        Line {
17562          SrcBlock            "Concat"
17563          SrcPort             1
17564          DstBlock            "Shared Memory"
17565          DstPort             2
17566        }
17567        Line {
17568          SrcBlock            "BIT[2]"
17569          SrcPort             1
17570          DstBlock            "Inverter"
17571          DstPort             1
17572        }
17573        Line {
17574          SrcBlock            "11MSB"
17575          SrcPort             1
17576          DstBlock            "Shared Memory"
17577          DstPort             1
17578        }
17579        Line {
17580          SrcBlock            "Shared Memory"
17581          SrcPort             1
17582          DstBlock            "Terminator2"
17583          DstPort             1
17584        }
17585        Line {
17586          SrcBlock            "Register"
17587          SrcPort             1
17588          DstBlock            "Concat"
17589          DstPort             1
17590        }
17591        Line {
17592          SrcBlock            "Inverter"
17593          SrcPort             1
17594          DstBlock            "Register"
17595          DstPort             2
17596        }
17597      }
17598    }
17599    Block {
17600      BlockType       SubSystem
17601      Name            "Radio 4 I/Q\nBuffer"
17602      SID             "499"
17603      Ports           [3]
17604      Position        [650, 116, 705, 224]
17605      MinAlgLoopOccurrences   off
17606      PropExecContextOutsideSubsystem off
17607      RTWSystemCode       "Auto"
17608      FunctionWithSeparateData off
17609      Opaque          off
17610      RequestExecContextInheritance off
17611      MaskHideContents    off
17612      System {
17613        Name            "Radio 4 I/Q\nBuffer"
17614        Location            [10, 82, 1278, 734]
17615        Open            off
17616        ModelBrowserVisibility  off
17617        ModelBrowserWidth       200
17618        ScreenColor         "white"
17619        PaperOrientation        "landscape"
17620        PaperPositionMode       "auto"
17621        PaperType           "usletter"
17622        PaperUnits          "inches"
17623        TiledPaperMargins       [0.500000, 0.500000, 0.500000, 0.500000]
17624        TiledPageScale      1
17625        ShowPageBoundaries      off
17626        ZoomFactor          "100"
17627        Block {
17628          BlockType           Inport
17629          Name            "Addr_14b"
17630          SID             "500"
17631          Position            [205, 68, 235, 82]
17632          IconDisplay         "Port number"
17633        }
17634        Block {
17635          BlockType           Inport
17636          Name            "I/Q_32b"
17637          SID             "501"
17638          Position            [205, 98, 235, 112]
17639          Port            "2"
17640          IconDisplay         "Port number"
17641        }
17642        Block {
17643          BlockType           Inport
17644          Name            "WE"
17645          SID             "502"
17646          Position            [205, 128, 235, 142]
17647          Port            "3"
17648          IconDisplay         "Port number"
17649        }
17650        Block {
17651          BlockType           Reference
17652          Name            "Shared Memory"
17653          SID             "503"
17654          Ports           [3, 1]
17655          Position            [320, 60, 400, 150]
17656          AttributesFormatString  "<< %<shared_memory_name> >>"
17657          LibraryVersion          "1.2"
17658          SourceBlock         "xbsIndex_r4/Shared Memory"
17659          SourceType          "Xilinx Shared Memory Random Access Memory Block"
17660          shared_memory_name      "'RxBuff_Radio4'"
17661          depth           "2^14"
17662          ownership           "Locally Owned and Initialized"
17663          initVector          "0"
17664          en              off
17665          mutex           "Unprotected"
17666          mode            "Read and Write"
17667          write_mode          "Read After Write"
17668          time_out            "0"
17669          latency             "1"
17670          explicit_data_type      on
17671          gui_display_data_type   "Fixed-point"
17672          arith_type          "Unsigned"
17673          n_bits              "32"
17674          bin_pt              "0"
17675          preci_type          "Single"
17676          xl_use_area         off
17677          xl_area             "[0,0,0,0,0,0,0]"
17678          implementation          "Block RAM"
17679          use_rpm             "off"
17680          has_advanced_control    "0"
17681          sggui_pos           "20,20,384,381"
17682          block_type          "shmem"
17683          block_version       "10.1.2"
17684          sg_icon_stat        "80,90,3,1,white,blue,0,bf435243,right,,[ ],[ ]"
17685          sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npatch([0 80 80 0 0 ],[0 0 90 90 0 ],[0.77 0"
17686          ".82 0.91 ]);\nplot([0 80 80 0 0 ],[0 0 90 90 0 ]);\npatch([15.525 31.42 42.42 53.42 64.42 42.42 26.525 15.525 ]"
17687          ",[57.21 57.21 68.21 57.21 68.21 68.21 68.21 57.21 ],[1 1 1 ]);\npatch([26.525 42.42 31.42 15.525 26.525 ],[46.2"
17688          "1 46.21 57.21 57.21 46.21 ],[0.931 0.946 0.973 ]);\npatch([15.525 31.42 42.42 26.525 15.525 ],[35.21 35.21 46.2"
17689          "1 46.21 35.21 ],[1 1 1 ]);\npatch([26.525 64.42 53.42 42.42 31.42 15.525 26.525 ],[24.21 24.21 35.21 24.21 35.2"
17690          "1 35.21 24.21 ],[0.931 0.946 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin i"
17691          "con text');\ncolor('black');port_label('input',1,'addr');\ncolor('black');port_label('input',2,'din');\ncolor('"
17692          "black');port_label('input',3,'we');\ncolor('black');port_label('output',1,'dout');\nfprintf('','COMMENT: end ic"
17693          "on text');"
17694        }
17695        Block {
17696          BlockType           Terminator
17697          Name            "Terminator"
17698          SID             "504"
17699          Position            [450, 95, 470, 115]
17700          ShowName            off
17701        }
17702        Line {
17703          SrcBlock            "Shared Memory"
17704          SrcPort             1
17705          DstBlock            "Terminator"
17706          DstPort             1
17707        }
17708        Line {
17709          SrcBlock            "WE"
17710          SrcPort             1
17711          DstBlock            "Shared Memory"
17712          DstPort             3
17713        }
17714        Line {
17715          SrcBlock            "I/Q_32b"
17716          SrcPort             1
17717          DstBlock            "Shared Memory"
17718          DstPort             2
17719        }
17720        Line {
17721          SrcBlock            "Addr_14b"
17722          SrcPort             1
17723          DstBlock            "Shared Memory"
17724          DstPort             1
17725        }
17726      }
17727    }
17728    Block {
17729      BlockType       Scope
17730      Name            "Scope"
17731      SID             "505"
17732      Ports           [2]
17733      Position        [585, 14, 625, 96]
17734      Floating        off
17735      Location        [5, 49, 1285, 757]
17736      Open            off
17737      NumInputPorts       "2"
17738      ZoomMode        "yonly"
17739      List {
17740        ListType            AxesTitles
17741        axes1           "%<SignalLabel>"
17742        axes2           "%<SignalLabel>"
17743      }
17744      YMin            "-5~-5"
17745      YMax            "5~5"
17746      SaveName        "ScopeData2"
17747      DataFormat          "StructureWithTime"
17748      MaxDataPoints       "40000"
17749      SampleTime          "0"
17750    }
17751    Line {
17752      SrcBlock        "Gateway Out1"
17753      SrcPort         1
17754      DstBlock        "Scope"
17755      DstPort         2
17756    }
17757    Line {
17758      SrcBlock        "Gateway Out"
17759      SrcPort         1
17760      DstBlock        "Scope"
17761      DstPort         1
17762    }
17763    Line {
17764      SrcBlock        "I/Q"
17765      SrcPort         1
17766      DstBlock        "Radio 4 I/Q\nBuffer"
17767      DstPort         2
17768    }
17769    Line {
17770      SrcBlock        "Convert1"
17771      SrcPort         1
17772      DstBlock        "Logical"
17773      DstPort         2
17774    }
17775    Line {
17776      SrcBlock        "EN"
17777      SrcPort         1
17778      DstBlock        "Convert1"
17779      DstPort         1
17780    }
17781    Line {
17782      SrcBlock        "WE"
17783      SrcPort         1
17784      DstBlock        "Logical"
17785      DstPort         1
17786    }
17787    Line {
17788      SrcBlock        "Addr"
17789      SrcPort         1
17790      Points          [75, 0]
17791      Branch {
17792        Points          [0, -100]
17793        DstBlock            "Gateway Out"
17794        DstPort         1
17795      }
17796      Branch {
17797        Labels          [0, 0]
17798        DstBlock            "Radio 4 I/Q\nBuffer"
17799        DstPort         1
17800      }
17801      Branch {
17802        Points          [0, 150]
17803        DstBlock            "Radio 4\nRSSI Buffer"
17804        DstPort         1
17805      }
17806    }
17807    Line {
17808      SrcBlock        "Logical"
17809      SrcPort         1
17810      Points          [65, 0]
17811      Branch {
17812        Points          [85, 0]
17813        Branch {
17814          Points              [0, -130]
17815          DstBlock            "Gateway Out1"
17816          DstPort             1
17817        }
17818        Branch {
17819          Labels              [0, 0]
17820          DstBlock            "Radio 4 I/Q\nBuffer"
17821          DstPort             3
17822        }
17823      }
17824      Branch {
17825        Points          [0, 150]
17826        DstBlock            "Radio 4\nRSSI Buffer"
17827        DstPort         3
17828      }
17829    }
17830    Line {
17831      SrcBlock        "RSSI"
17832      SrcPort         1
17833      DstBlock        "Radio 4\nRSSI Buffer"
17834      DstPort         2
17835    }
17836      }
17837    }
17838    Block {
17839      BlockType           SubSystem
17840      Name            "Radio 4\nTx Buffer"
17841      SID             "506"
17842      Ports           [3, 1]
17843      Position            [1015, 952, 1095, 1008]
17844      MinAlgLoopOccurrences   off
17845      PropExecContextOutsideSubsystem off
17846      RTWSystemCode       "Auto"
17847      FunctionWithSeparateData off
17848      Opaque              off
17849      RequestExecContextInheritance off
17850      MaskHideContents        off
17851      System {
17852    Name            "Radio 4\nTx Buffer"
17853    Location        [2, 74, 1270, 726]
17854    Open            off
17855    ModelBrowserVisibility  off
17856    ModelBrowserWidth   200
17857    ScreenColor     "white"
17858    PaperOrientation    "landscape"
17859    PaperPositionMode   "auto"
17860    PaperType       "usletter"
17861    PaperUnits      "inches"
17862    TiledPaperMargins   [0.500000, 0.500000, 0.500000, 0.500000]
17863    TiledPageScale      1
17864    ShowPageBoundaries  off
17865    ZoomFactor      "100"
17866    Block {
17867      BlockType       Inport
17868      Name            "RdAddr_14b"
17869      SID             "507"
17870      Position        [350, 288, 380, 302]
17871      NamePlacement       "alternate"
17872      IconDisplay         "Port number"
17873    }
17874    Block {
17875      BlockType       Inport
17876      Name            "Rst"
17877      SID             "508"
17878      Position        [30, 173, 60, 187]
17879      Port            "2"
17880      IconDisplay         "Port number"
17881    }
17882    Block {
17883      BlockType       Inport
17884      Name            "En"
17885      SID             "509"
17886      Position        [30, 203, 60, 217]
17887      Port            "3"
17888      IconDisplay         "Port number"
17889    }
17890    Block {
17891      BlockType       Reference
17892      Name            "Constant"
17893      SID             "510"
17894      Ports           [0, 1]
17895      Position        [630, 350, 655, 370]
17896      ShowName        off
17897      LibraryVersion      "1.2"
17898      SourceBlock         "xbsIndex_r4/Constant"
17899      SourceType          "Xilinx Constant Block Block"
17900      const           "0"
17901      gui_display_data_type   "Fixed-point"
17902      arith_type          "Unsigned"
17903      n_bits          "32"
17904      bin_pt          "0"
17905      preci_type          "Single"
17906      exp_width       "8"
17907      frac_width          "24"
17908      explicit_period     off
17909      period          "1"
17910      dsp48_infoedit      "The use of this block for DSP48 instructions is deprecated.  Please use the Opmode block."
17911      equ             "P=C"
17912      opselect        "C"
17913      inp2            "PCIN>>17"
17914      opr             "+"
17915      inp1            "P"
17916      carry           "CIN"
17917      dbl_ovrd        off
17918      has_advanced_control    "0"
17919      sggui_pos       "20,20,400,346"
17920      block_type          "constant"
17921      block_version       "10.1.2"
17922      sg_icon_stat        "25,20,0,1,white,blue,0,bf4ddd8b,right,,[ ],[ ]"
17923      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 25 25 0 0 ],[0 0 20 20 0 ],[0.77 0.82 0.91"
17924      " ]);\nplot([0 25 25 0 0 ],[0 0 20 20 0 ]);\npatch([7.55 10.44 12.44 14.44 16.44 12.44 9.55 7.55 ],[12.22 12.22 14.2"
17925      "2 12.22 14.22 14.22 14.22 12.22 ],[1 1 1 ]);\npatch([9.55 12.44 10.44 7.55 9.55 ],[10.22 10.22 12.22 12.22 10.22 ],"
17926      "[0.931 0.946 0.973 ]);\npatch([7.55 10.44 12.44 9.55 7.55 ],[8.22 8.22 10.22 10.22 8.22 ],[1 1 1 ]);\npatch([9.55 1"
17927      "6.44 14.44 12.44 10.44 7.55 9.55 ],[6.22 6.22 8.22 6.22 8.22 8.22 6.22 ],[0.931 0.946 0.973 ]);\nfprintf('','COMMEN"
17928      "T: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('output',1,'0');\nfprin"
17929      "tf('','COMMENT: end icon text');"
17930    }
17931    Block {
17932      BlockType       Reference
17933      Name            "Constant1"
17934      SID             "511"
17935      Ports           [0, 1]
17936      Position        [355, 345, 380, 365]
17937      ShowName        off
17938      LibraryVersion      "1.2"
17939      SourceBlock         "xbsIndex_r4/Constant"
17940      SourceType          "Xilinx Constant Block Block"
17941      const           "0"
17942      gui_display_data_type   "Fixed-point"
17943      arith_type          "Boolean"
17944      n_bits          "32"
17945      bin_pt          "0"
17946      preci_type          "Single"
17947      exp_width       "8"
17948      frac_width          "24"
17949      explicit_period     off
17950      period          "1"
17951      dsp48_infoedit      "The use of this block for DSP48 instructions is deprecated.  Please use the Opmode block."
17952      equ             "P=C"
17953      opselect        "C"
17954      inp2            "PCIN>>17"
17955      opr             "+"
17956      inp1            "P"
17957      carry           "CIN"
17958      dbl_ovrd        off
17959      has_advanced_control    "0"
17960      sggui_pos       "20,20,400,346"
17961      block_type          "constant"
17962      block_version       "10.1.2"
17963      sg_icon_stat        "25,20,0,1,white,blue,0,bf4ddd8b,right,,[ ],[ ]"
17964      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 25 25 0 0 ],[0 0 20 20 0 ],[0.77 0.82 0.91"
17965      " ]);\nplot([0 25 25 0 0 ],[0 0 20 20 0 ]);\npatch([7.55 10.44 12.44 14.44 16.44 12.44 9.55 7.55 ],[12.22 12.22 14.2"
17966      "2 12.22 14.22 14.22 14.22 12.22 ],[1 1 1 ]);\npatch([9.55 12.44 10.44 7.55 9.55 ],[10.22 10.22 12.22 12.22 10.22 ],"
17967      "[0.931 0.946 0.973 ]);\npatch([7.55 10.44 12.44 9.55 7.55 ],[8.22 8.22 10.22 10.22 8.22 ],[1 1 1 ]);\npatch([9.55 1"
17968      "6.44 14.44 12.44 10.44 7.55 9.55 ],[6.22 6.22 8.22 6.22 8.22 8.22 6.22 ],[0.931 0.946 0.973 ]);\nfprintf('','COMMEN"
17969      "T: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('output',1,'0');\nfprin"
17970      "tf('','COMMENT: end icon text');"
17971    }
17972    Block {
17973      BlockType       Reference
17974      Name            "Constant2"
17975      SID             "512"
17976      Ports           [0, 1]
17977      Position        [355, 315, 380, 335]
17978      ShowName        off
17979      LibraryVersion      "1.2"
17980      SourceBlock         "xbsIndex_r4/Constant"
17981      SourceType          "Xilinx Constant Block Block"
17982      const           "0"
17983      gui_display_data_type   "Fixed-point"
17984      arith_type          "Unsigned"
17985      n_bits          "32"
17986      bin_pt          "0"
17987      preci_type          "Single"
17988      exp_width       "8"
17989      frac_width          "24"
17990      explicit_period     off
17991      period          "1"
17992      dsp48_infoedit      "The use of this block for DSP48 instructions is deprecated.  Please use the Opmode block."
17993      equ             "P=C"
17994      opselect        "C"
17995      inp2            "PCIN>>17"
17996      opr             "+"
17997      inp1            "P"
17998      carry           "CIN"
17999      dbl_ovrd        off
18000      has_advanced_control    "0"
18001      sggui_pos       "20,20,400,346"
18002      block_type          "constant"
18003      block_version       "10.1.2"
18004      sg_icon_stat        "25,20,0,1,white,blue,0,bf4ddd8b,right,,[ ],[ ]"
18005      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 25 25 0 0 ],[0 0 20 20 0 ],[0.77 0.82 0.91"
18006      " ]);\nplot([0 25 25 0 0 ],[0 0 20 20 0 ]);\npatch([7.55 10.44 12.44 14.44 16.44 12.44 9.55 7.55 ],[12.22 12.22 14.2"
18007      "2 12.22 14.22 14.22 14.22 12.22 ],[1 1 1 ]);\npatch([9.55 12.44 10.44 7.55 9.55 ],[10.22 10.22 12.22 12.22 10.22 ],"
18008      "[0.931 0.946 0.973 ]);\npatch([7.55 10.44 12.44 9.55 7.55 ],[8.22 8.22 10.22 10.22 8.22 ],[1 1 1 ]);\npatch([9.55 1"
18009      "6.44 14.44 12.44 10.44 7.55 9.55 ],[6.22 6.22 8.22 6.22 8.22 8.22 6.22 ],[0.931 0.946 0.973 ]);\nfprintf('','COMMEN"
18010      "T: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('output',1,'0');\nfprin"
18011      "tf('','COMMENT: end icon text');"
18012    }
18013    Block {
18014      BlockType       Reference
18015      Name            "Convert"
18016      SID             "513"
18017      Ports           [1, 1]
18018      Position        [350, 188, 375, 202]
18019      ShowName        off
18020      LibraryVersion      "1.2"
18021      SourceBlock         "xbsIndex_r4/Convert"
18022      SourceType          "Xilinx Type Converter Block"
18023      infoedit        "Hardware notes: rounding and saturating require hardware resources; truncating and wrapping do not."
18024      gui_display_data_type   "Fixed-point"
18025      arith_type          "Boolean"
18026      n_bits          "16"
18027      bin_pt          "14"
18028      float_type          "Single"
18029      exp_bits        "8"
18030      fraction_bits       "24"
18031      quantization        "Truncate"
18032      overflow        "Wrap"
18033      en              off
18034      latency         "0"
18035      dbl_ovrd        off
18036      pipeline        off
18037      xl_use_area         off
18038      xl_area         "[0,0,0,0,0,0,0]"
18039      has_advanced_control    "0"
18040      sggui_pos       "20,20,374,375"
18041      block_type          "convert"
18042      block_version       "8.2"
18043      sg_icon_stat        "25,14,1,1,white,blue,0,edca21da,right,,[ ],[ ]"
18044      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 25 25 0 0 ],[0 0 14 14 0 ],[0.77 0.82 0.91"
18045      " ]);\nplot([0 25 25 0 0 ],[0 0 14 14 0 ]);\npatch([7.55 10.44 12.44 14.44 16.44 12.44 9.55 7.55 ],[9.22 9.22 11.22 "
18046      "9.22 11.22 11.22 11.22 9.22 ],[1 1 1 ]);\npatch([9.55 12.44 10.44 7.55 9.55 ],[7.22 7.22 9.22 9.22 7.22 ],[0.931 0."
18047      "946 0.973 ]);\npatch([7.55 10.44 12.44 9.55 7.55 ],[5.22 5.22 7.22 7.22 5.22 ],[1 1 1 ]);\npatch([9.55 16.44 14.44 "
18048      "12.44 10.44 7.55 9.55 ],[3.22 3.22 5.22 3.22 5.22 5.22 3.22 ],[0.931 0.946 0.973 ]);\nfprintf('','COMMENT: end icon"
18049      " graphics');\nfprintf('','COMMENT: begin icon text');\n\ncolor('black');port_label('output',1,'cast');\nfprintf('',"
18050      "'COMMENT: end icon text');"
18051    }
18052    Block {
18053      BlockType       Reference
18054      Name            "Convert1"
18055      SID             "514"
18056      Ports           [1, 1]
18057      Position        [100, 203, 120, 217]
18058      ShowName        off
18059      LibraryVersion      "1.2"
18060      SourceBlock         "xbsIndex_r4/Convert"
18061      SourceType          "Xilinx Type Converter Block"
18062      infoedit        "Hardware notes: rounding and saturating require hardware resources; truncating and wrapping do not."
18063      gui_display_data_type   "Fixed-point"
18064      arith_type          "Boolean"
18065      n_bits          "16"
18066      bin_pt          "14"
18067      float_type          "Single"
18068      exp_bits        "8"
18069      fraction_bits       "24"
18070      quantization        "Truncate"
18071      overflow        "Wrap"
18072      en              off
18073      latency         "0"
18074      dbl_ovrd        off
18075      pipeline        off
18076      xl_use_area         off
18077      xl_area         "[0,0,0,0,0,0,0]"
18078      has_advanced_control    "0"
18079      sggui_pos       "20,20,374,375"
18080      block_type          "convert"
18081      block_version       "8.2"
18082      sg_icon_stat        "20,14,1,1,white,blue,0,edca21da,right,,[ ],[ ]"
18083      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 20 20 0 0 ],[0 0 14 14 0 ],[0.77 0.82 0.91"
18084      " ]);\nplot([0 20 20 0 0 ],[0 0 14 14 0 ]);\npatch([5.55 8.44 10.44 12.44 14.44 10.44 7.55 5.55 ],[9.22 9.22 11.22 9"
18085      ".22 11.22 11.22 11.22 9.22 ],[1 1 1 ]);\npatch([7.55 10.44 8.44 5.55 7.55 ],[7.22 7.22 9.22 9.22 7.22 ],[0.931 0.94"
18086      "6 0.973 ]);\npatch([5.55 8.44 10.44 7.55 5.55 ],[5.22 5.22 7.22 7.22 5.22 ],[1 1 1 ]);\npatch([7.55 14.44 12.44 10."
18087      "44 8.44 5.55 7.55 ],[3.22 3.22 5.22 3.22 5.22 5.22 3.22 ],[0.931 0.946 0.973 ]);\nfprintf('','COMMENT: end icon gra"
18088      "phics');\nfprintf('','COMMENT: begin icon text');\n\ncolor('black');port_label('output',1,'cast');\nfprintf('','COM"
18089      "MENT: end icon text');"
18090    }
18091    Block {
18092      BlockType       Reference
18093      Name            "Inverter"
18094      SID             "515"
18095      Ports           [1, 1]
18096      Position        [150, 201, 180, 219]
18097      LibraryVersion      "1.2"
18098      SourceBlock         "xbsIndex_r4/Inverter"
18099      SourceType          "Xilinx Inverter Block"
18100      infoedit        "Bitwise logical negation (one's complement) operator."
18101      en              off
18102      latency         "0"
18103      dbl_ovrd        off
18104      xl_use_area         off
18105      xl_area         "[0,0,0,0,0,0,0]"
18106      has_advanced_control    "0"
18107      sggui_pos       "-1,-1,-1,-1"
18108      block_type          "inv"
18109      block_version       "9.1.01"
18110      sg_icon_stat        "30,18,1,1,white,blue,0,267846e5,right,,[ ],[ ]"
18111      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 30 30 0 0 ],[0 0 18 18 0 ],[0.77 0.82 0.91"
18112      " ]);\nplot([0 30 30 0 0 ],[0 0 18 18 0 ]);\npatch([10.55 13.44 15.44 17.44 19.44 15.44 12.55 10.55 ],[11.22 11.22 1"
18113      "3.22 11.22 13.22 13.22 13.22 11.22 ],[1 1 1 ]);\npatch([12.55 15.44 13.44 10.55 12.55 ],[9.22 9.22 11.22 11.22 9.22"
18114      " ],[0.931 0.946 0.973 ]);\npatch([10.55 13.44 15.44 12.55 10.55 ],[7.22 7.22 9.22 9.22 7.22 ],[1 1 1 ]);\npatch([12"
18115      ".55 19.44 17.44 15.44 13.44 10.55 12.55 ],[5.22 5.22 7.22 5.22 7.22 7.22 5.22 ],[0.931 0.946 0.973 ]);\nfprintf('',"
18116      "'COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\n\n\ncolor('black');disp('not');\nfprintf('"
18117      "','COMMENT: end icon text');"
18118    }
18119    Block {
18120      BlockType       Reference
18121      Name            "Logical"
18122      SID             "516"
18123      Ports           [2, 1]
18124      Position        [215, 165, 270, 225]
18125      LibraryVersion      "1.2"
18126      SourceBlock         "xbsIndex_r4/Logical"
18127      SourceType          "Xilinx Logical Block Block"
18128      logical_function    "OR"
18129      inputs          "2"
18130      en              off
18131      latency         "0"
18132      precision       "Full"
18133      arith_type          "Unsigned"
18134      n_bits          "16"
18135      bin_pt          "0"
18136      align_bp        on
18137      dbl_ovrd        off
18138      xl_use_area         off
18139      xl_area         "[0,0,0,0,0,0,0]"
18140      has_advanced_control    "0"
18141      sggui_pos       "-1,-1,-1,-1"
18142      block_type          "logical"
18143      block_version       "9.1.01"
18144      sg_icon_stat        "55,60,2,1,white,blue,0,7ede7d88,right,,[ ],[ ]"
18145      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 0 ],[0 0 60 60 0 ],[0.77 0.82 0.91"
18146      " ]);\nplot([0 55 55 0 0 ],[0 0 60 60 0 ]);\npatch([11.425 21.54 28.54 35.54 42.54 28.54 18.425 11.425 ],[37.77 37.7"
18147      "7 44.77 37.77 44.77 44.77 44.77 37.77 ],[1 1 1 ]);\npatch([18.425 28.54 21.54 11.425 18.425 ],[30.77 30.77 37.77 37"
18148      ".77 30.77 ],[0.931 0.946 0.973 ]);\npatch([11.425 21.54 28.54 18.425 11.425 ],[23.77 23.77 30.77 30.77 23.77 ],[1 1"
18149      " 1 ]);\npatch([18.425 42.54 35.54 28.54 21.54 11.425 18.425 ],[16.77 16.77 23.77 16.77 23.77 23.77 16.77 ],[0.931 0"
18150      ".946 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\n\n\n\ncolor('bl"
18151      "ack');disp('or');\nfprintf('','COMMENT: end icon text');"
18152    }
18153    Block {
18154      BlockType       Reference
18155      Name            "Mux"
18156      SID             "517"
18157      Ports           [3, 1]
18158      Position        [750, 273, 795, 377]
18159      LibraryVersion      "1.2"
18160      SourceBlock         "xbsIndex_r4/Mux"
18161      SourceType          "Xilinx Bus Multiplexer Block"
18162      inputs          "2"
18163      en              off
18164      latency         "0"
18165      precision       "Full"
18166      arith_type          "Unsigned"
18167      n_bits          "16"
18168      bin_pt          "14"
18169      quantization        "Truncate"
18170      overflow        "Wrap"
18171      dbl_ovrd        off
18172      xl_use_area         off
18173      xl_area         "[0,0,0,0,0,0,0]"
18174      has_advanced_control    "0"
18175      sggui_pos       "20,20,348,303"
18176      block_type          "mux"
18177      block_version       "10.1.2"
18178      sg_icon_stat        "45,104,3,1,white,blue,3,eb98d690,right,,[ ],[ ]"
18179      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 45 45 0 0 ],[0 14.8571 89.1429 104 0 ],[0."
18180      "77 0.82 0.91 ]);\nplot([0 45 45 0 0 ],[0 14.8571 89.1429 104 0 ]);\npatch([8.65 17.32 23.32 29.32 35.32 23.32 14.65"
18181      " 8.65 ],[58.66 58.66 64.66 58.66 64.66 64.66 64.66 58.66 ],[1 1 1 ]);\npatch([14.65 23.32 17.32 8.65 14.65 ],[52.66"
18182      " 52.66 58.66 58.66 52.66 ],[0.931 0.946 0.973 ]);\npatch([8.65 17.32 23.32 14.65 8.65 ],[46.66 46.66 52.66 52.66 46"
18183      ".66 ],[1 1 1 ]);\npatch([14.65 35.32 29.32 23.32 17.32 8.65 14.65 ],[40.66 40.66 46.66 40.66 46.66 46.66 40.66 ],[0"
18184      ".931 0.946 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('bl"
18185      "ack');port_label('input',1,'sel');\ncolor('black');port_label('input',2,'d0');\ncolor('black');port_label('input',3"
18186      ",'d1');\n\ncolor('black');disp('\\bf{}','texmode','on');\nfprintf('','COMMENT: end icon text');"
18187    }
18188    Block {
18189      BlockType       Reference
18190      Name            "Shared Memory"
18191      SID             "518"
18192      Ports           [3, 1]
18193      Position        [445, 280, 525, 370]
18194      AttributesFormatString  "<< %<shared_memory_name> >>"
18195      LibraryVersion      "1.2"
18196      SourceBlock         "xbsIndex_r4/Shared Memory"
18197      SourceType          "Xilinx Shared Memory Random Access Memory Block"
18198      shared_memory_name      "'TxBuff_Radio4'"
18199      depth           "2^14"
18200      ownership       "Locally Owned and Initialized"
18201      initVector          "0"
18202      en              off
18203      mutex           "Unprotected"
18204      mode            "Read and Write"
18205      write_mode          "Read After Write"
18206      time_out        "0"
18207      latency         "1"
18208      explicit_data_type      on
18209      gui_display_data_type   "Fixed-point"
18210      arith_type          "Unsigned"
18211      n_bits          "32"
18212      bin_pt          "0"
18213      preci_type          "Single"
18214      xl_use_area         off
18215      xl_area         "[0,0,0,0,0,0,0]"
18216      implementation      "Block RAM"
18217      use_rpm         "off"
18218      has_advanced_control    "0"
18219      sggui_pos       "20,20,384,381"
18220      block_type          "shmem"
18221      block_version       "10.1.2"
18222      sg_icon_stat        "80,90,3,1,white,blue,0,bf435243,right,,[ ],[ ]"
18223      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 80 80 0 0 ],[0 0 90 90 0 ],[0.77 0.82 0.91"
18224      " ]);\nplot([0 80 80 0 0 ],[0 0 90 90 0 ]);\npatch([15.525 31.42 42.42 53.42 64.42 42.42 26.525 15.525 ],[57.21 57.2"
18225      "1 68.21 57.21 68.21 68.21 68.21 57.21 ],[1 1 1 ]);\npatch([26.525 42.42 31.42 15.525 26.525 ],[46.21 46.21 57.21 57"
18226      ".21 46.21 ],[0.931 0.946 0.973 ]);\npatch([15.525 31.42 42.42 26.525 15.525 ],[35.21 35.21 46.21 46.21 35.21 ],[1 1"
18227      " 1 ]);\npatch([26.525 64.42 53.42 42.42 31.42 15.525 26.525 ],[24.21 24.21 35.21 24.21 35.21 35.21 24.21 ],[0.931 0"
18228      ".946 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');"
18229      "port_label('input',1,'addr');\ncolor('black');port_label('input',2,'din');\ncolor('black');port_label('input',3,'we"
18230      "');\ncolor('black');port_label('output',1,'dout');\nfprintf('','COMMENT: end icon text');"
18231    }
18232    Block {
18233      BlockType       Outport
18234      Name            "IQ_32b"
18235      SID             "519"
18236      Position        [885, 318, 915, 332]
18237      IconDisplay         "Port number"
18238    }
18239    Line {
18240      SrcBlock        "Mux"
18241      SrcPort         1
18242      DstBlock        "IQ_32b"
18243      DstPort         1
18244    }
18245    Line {
18246      SrcBlock        "Constant2"
18247      SrcPort         1
18248      DstBlock        "Shared Memory"
18249      DstPort         2
18250    }
18251    Line {
18252      SrcBlock        "Constant1"
18253      SrcPort         1
18254      DstBlock        "Shared Memory"
18255      DstPort         3
18256    }
18257    Line {
18258      SrcBlock        "Shared Memory"
18259      SrcPort         1
18260      DstBlock        "Mux"
18261      DstPort         2
18262    }
18263    Line {
18264      SrcBlock        "Constant"
18265      SrcPort         1
18266      DstBlock        "Mux"
18267      DstPort         3
18268    }
18269    Line {
18270      SrcBlock        "RdAddr_14b"
18271      SrcPort         1
18272      DstBlock        "Shared Memory"
18273      DstPort         1
18274    }
18275    Line {
18276      SrcBlock        "Inverter"
18277      SrcPort         1
18278      DstBlock        "Logical"
18279      DstPort         2
18280    }
18281    Line {
18282      SrcBlock        "Convert1"
18283      SrcPort         1
18284      DstBlock        "Inverter"
18285      DstPort         1
18286    }
18287    Line {
18288      SrcBlock        "En"
18289      SrcPort         1
18290      DstBlock        "Convert1"
18291      DstPort         1
18292    }
18293    Line {
18294      SrcBlock        "Rst"
18295      SrcPort         1
18296      DstBlock        "Logical"
18297      DstPort         1
18298    }
18299    Line {
18300      SrcBlock        "Convert"
18301      SrcPort         1
18302      Points          [160, 0; 0, 95]
18303      DstBlock        "Mux"
18304      DstPort         1
18305    }
18306    Line {
18307      SrcBlock        "Logical"
18308      SrcPort         1
18309      DstBlock        "Convert"
18310      DstPort         1
18311    }
18312      }
18313    }
18314    Block {
18315      BlockType           SubSystem
18316      Name            "Radio 4 Inputs"
18317      SID             "854"
18318      Ports           [1, 2]
18319      Position            [905, 465, 1090, 505]
18320      NamePlacement       "alternate"
18321      MinAlgLoopOccurrences   off
18322      PropExecContextOutsideSubsystem off
18323      RTWSystemCode       "Auto"
18324      FunctionWithSeparateData off
18325      Opaque              off
18326      RequestExecContextInheritance off
18327      MaskHideContents        off
18328      System {
18329    Name            "Radio 4 Inputs"
18330    Location        [2, 70, 2558, 1376]
18331    Open            off
18332    ModelBrowserVisibility  off
18333    ModelBrowserWidth   200
18334    ScreenColor     "white"
18335    PaperOrientation    "landscape"
18336    PaperPositionMode   "auto"
18337    PaperType       "usletter"
18338    PaperUnits      "inches"
18339    TiledPaperMargins   [0.500000, 0.500000, 0.500000, 0.500000]
18340    TiledPageScale      1
18341    ShowPageBoundaries  off
18342    ZoomFactor      "100"
18343    Block {
18344      BlockType       Inport
18345      Name            "MGCAGC_AGCDCO_SEL"
18346      SID             "855"
18347      Position        [240, 258, 270, 272]
18348      IconDisplay         "Port number"
18349    }
18350    Block {
18351      BlockType       SubSystem
18352      Name            "ADC I"
18353      SID             "856"
18354      Ports           [1, 1]
18355      Position        [420, 243, 575, 287]
18356      NamePlacement       "alternate"
18357      MinAlgLoopOccurrences   off
18358      PropExecContextOutsideSubsystem off
18359      RTWSystemCode       "Auto"
18360      FunctionWithSeparateData off
18361      Opaque          off
18362      RequestExecContextInheritance off
18363      MaskHideContents    off
18364      System {
18365        Name            "ADC I"
18366        Location            [2, 82, 2558, 1387]
18367        Open            off
18368        ModelBrowserVisibility  off
18369        ModelBrowserWidth       200
18370        ScreenColor         "white"
18371        PaperOrientation        "landscape"
18372        PaperPositionMode       "auto"
18373        PaperType           "usletter"
18374        PaperUnits          "inches"
18375        TiledPaperMargins       [0.500000, 0.500000, 0.500000, 0.500000]
18376        TiledPageScale      1
18377        ShowPageBoundaries      off
18378        ZoomFactor          "100"
18379        Block {
18380          BlockType           Inport
18381          Name            "MGCAGC_AGCDCO_SEL"
18382          SID             "857"
18383          Position            [175, 73, 205, 87]
18384          IconDisplay         "Port number"
18385        }
18386        Block {
18387          BlockType           Constant
18388          Name            "Constant2"
18389          SID             "858"
18390          Position            [55, 150, 85, 180]
18391          ShowName            off
18392          Value           "0"
18393        }
18394        Block {
18395          BlockType           Reference
18396          Name            "FromAGC_Radio4_I"
18397          SID             "859"
18398          Ports           [1, 1]
18399          Position            [160, 255, 225, 275]
18400          LibraryVersion          "1.2"
18401          SourceBlock         "xbsIndex_r4/Gateway In"
18402          SourceType          "Xilinx Gateway In Block"
18403          infoedit            "Gateway in block.  Converts inputs of type Simulink integer, single, double and fixed point to"
18404          "  Xilinx fixed-point or floating-point data type.<br><br>Hardware notes:  In hardware these blocks become top l"
18405          "evel input ports."
18406          gui_display_data_type   "Fixed-point"
18407          arith_type          "Signed  (2's comp)"
18408          n_bits              "12"
18409          bin_pt              "11"
18410          preci_type          "Single"
18411          exp_width           "8"
18412          frac_width          "24"
18413          quantization        "Round  (unbiased: +/- Inf)"
18414          overflow            "Saturate"
18415          period              "1"
18416          dbl_ovrd            off
18417          timing_constraint       "None"
18418          locs_specified          off
18419          LOCs            "{}"
18420          xl_use_area         off
18421          xl_area             "[0,0,0,0,0,0,0]"
18422          inherit_from_input      off
18423          UseAsADC            off
18424          ADCChannel          "'1'"
18425          hdl_port            "on"
18426          has_advanced_control    "0"
18427          sggui_pos           "20,20,348,406"
18428          block_type          "gatewayin"
18429          block_version       "10.1.3"
18430          sg_icon_stat        "65,20,1,1,white,yellow,1,00d3666e,right,,[ ],[ ]"
18431          sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npatch([0 65 65 0 0 ],[0 0 20 20 0 ],[0.95 0"
18432          ".93 0.65 ]);\nplot([0 65 65 0 0 ],[0 0 20 20 0 ]);\npatch([27.55 30.44 32.44 34.44 36.44 32.44 29.55 27.55 ],[1"
18433          "2.22 12.22 14.22 12.22 14.22 14.22 14.22 12.22 ],[1 1 1 ]);\npatch([29.55 32.44 30.44 27.55 29.55 ],[10.22 10.2"
18434          "2 12.22 12.22 10.22 ],[0.985 0.979 0.895 ]);\npatch([27.55 30.44 32.44 29.55 27.55 ],[8.22 8.22 10.22 10.22 8.2"
18435          "2 ],[1 1 1 ]);\npatch([29.55 36.44 34.44 32.44 30.44 27.55 29.55 ],[6.22 6.22 8.22 6.22 8.22 8.22 6.22 ],[0.985"
18436          " 0.979 0.895 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('bl"
18437          "ack');port_label('input',1,'\\fontsize{11pt}\\bf In ','texmode','on');\ncolor('black');port_label('output',1,' "
18438          "');\nfprintf('','COMMENT: end icon text');"
18439        }
18440        Block {
18441          BlockType           Reference
18442          Name            "Mux3"
18443          SID             "860"
18444          Ports           [3, 1]
18445          Position            [320, 113, 365, 217]
18446          LibraryVersion          "1.2"
18447          SourceBlock         "xbsIndex_r4/Mux"
18448          SourceType          "Xilinx Bus Multiplexer Block"
18449          inputs              "2"
18450          en              off
18451          latency             "0"
18452          precision           "Full"
18453          arith_type          "Unsigned"
18454          n_bits              "1"
18455          bin_pt              "0"
18456          quantization        "Truncate"
18457          overflow            "Wrap"
18458          dbl_ovrd            off
18459          xl_use_area         off
18460          xl_area             "[0,0,0,0,0,0,0]"
18461          has_advanced_control    "0"
18462          sggui_pos           "20,20,348,303"
18463          block_type          "mux"
18464          block_version       "10.1.3"
18465          sg_icon_stat        "45,104,3,1,white,blue,3,eb98d690,right,,[ ],[ ]"
18466          sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npatch([0 45 45 0 0 ],[0 14.8571 89.1429 104"
18467          " 0 ],[0.77 0.82 0.91 ]);\nplot([0 45 45 0 0 ],[0 14.8571 89.1429 104 0 ]);\npatch([8.65 17.32 23.32 29.32 35.32"
18468          " 23.32 14.65 8.65 ],[58.66 58.66 64.66 58.66 64.66 64.66 64.66 58.66 ],[1 1 1 ]);\npatch([14.65 23.32 17.32 8.6"
18469          "5 14.65 ],[52.66 52.66 58.66 58.66 52.66 ],[0.931 0.946 0.973 ]);\npatch([8.65 17.32 23.32 14.65 8.65 ],[46.66 "
18470          "46.66 52.66 52.66 46.66 ],[1 1 1 ]);\npatch([14.65 35.32 29.32 23.32 17.32 8.65 14.65 ],[40.66 40.66 46.66 40.6"
18471          "6 46.66 46.66 40.66 ],[0.931 0.946 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: b"
18472          "egin icon text');\ncolor('black');port_label('input',1,'sel');\ncolor('black');port_label('input',2,'d0');\ncol"
18473          "or('black');port_label('input',3,'d1');\n\ncolor('black');disp('\\bf{}','texmode','on');\nfprintf('','COMMENT: "
18474          "end icon text');"
18475        }
18476        Block {
18477          BlockType           Reference
18478          Name            "Register1"
18479          SID             "861"
18480          Ports           [1, 1]
18481          Position            [490, 151, 525, 179]
18482          ShowName            off
18483          LibraryVersion          "1.2"
18484          SourceBlock         "xbsIndex_r4/Register"
18485          SourceType          "Xilinx Register Block"
18486          init            "0"
18487          rst             off
18488          en              off
18489          dbl_ovrd            off
18490          xl_use_area         off
18491          xl_area             "[0,0,0,0,0,0,0]"
18492          has_advanced_control    "0"
18493          sggui_pos           "-1,-1,-1,-1"
18494          block_type          "register"
18495          block_version       "8.2"
18496          sg_icon_stat        "35,28,1,1,white,blue,0,c80657c5,right,,[ ],[ ]"
18497          sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npatch([0 35 35 0 0 ],[0 0 28 28 0 ],[0.77 0"
18498          ".82 0.91 ]);\nplot([0 35 35 0 0 ],[0 0 28 28 0 ]);\npatch([8.1 13.88 17.88 21.88 25.88 17.88 12.1 8.1 ],[18.44 "
18499          "18.44 22.44 18.44 22.44 22.44 22.44 18.44 ],[1 1 1 ]);\npatch([12.1 17.88 13.88 8.1 12.1 ],[14.44 14.44 18.44 1"
18500          "8.44 14.44 ],[0.931 0.946 0.973 ]);\npatch([8.1 13.88 17.88 12.1 8.1 ],[10.44 10.44 14.44 14.44 10.44 ],[1 1 1 "
18501          "]);\npatch([12.1 25.88 21.88 17.88 13.88 8.1 12.1 ],[6.44 6.44 10.44 6.44 10.44 10.44 6.44 ],[0.931 0.946 0.973"
18502          " ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_l"
18503          "abel('input',1,'d');\ncolor('black');port_label('output',1,'q');\ncolor('black');disp('z^{-1}','texmode','on');"
18504          "\nfprintf('','COMMENT: end icon text');"
18505        }
18506        Block {
18507          BlockType           Reference
18508          Name            "radio4_ADC_I"
18509          SID             "862"
18510          Ports           [1, 1]
18511          Position            [160, 155, 225, 175]
18512          LibraryVersion          "1.2"
18513          SourceBlock         "xbsIndex_r4/Gateway In"
18514          SourceType          "Xilinx Gateway In Block"
18515          infoedit            "Gateway in block.  Converts inputs of type Simulink integer, single, double and fixed point to"
18516          "  Xilinx fixed-point or floating-point data type.<br><br>Hardware notes:  In hardware these blocks become top l"
18517          "evel input ports."
18518          gui_display_data_type   "Fixed-point"
18519          arith_type          "Signed  (2's comp)"
18520          n_bits              "12"
18521          bin_pt              "11"
18522          preci_type          "Single"
18523          exp_width           "8"
18524          frac_width          "24"
18525          quantization        "Round  (unbiased: +/- Inf)"
18526          overflow            "Saturate"
18527          period              "1"
18528          dbl_ovrd            off
18529          timing_constraint       "None"
18530          locs_specified          off
18531          LOCs            "{}"
18532          xl_use_area         off
18533          xl_area             "[0,0,0,0,0,0,0]"
18534          inherit_from_input      off
18535          UseAsADC            off
18536          ADCChannel          "'1'"
18537          hdl_port            "on"
18538          has_advanced_control    "0"
18539          sggui_pos           "20,20,348,406"
18540          block_type          "gatewayin"
18541          block_version       "10.1.3"
18542          sg_icon_stat        "65,20,1,1,white,yellow,1,00d3666e,right,,[ ],[ ]"
18543          sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npatch([0 65 65 0 0 ],[0 0 20 20 0 ],[0.95 0"
18544          ".93 0.65 ]);\nplot([0 65 65 0 0 ],[0 0 20 20 0 ]);\npatch([27.55 30.44 32.44 34.44 36.44 32.44 29.55 27.55 ],[1"
18545          "2.22 12.22 14.22 12.22 14.22 14.22 14.22 12.22 ],[1 1 1 ]);\npatch([29.55 32.44 30.44 27.55 29.55 ],[10.22 10.2"
18546          "2 12.22 12.22 10.22 ],[0.985 0.979 0.895 ]);\npatch([27.55 30.44 32.44 29.55 27.55 ],[8.22 8.22 10.22 10.22 8.2"
18547          "2 ],[1 1 1 ]);\npatch([29.55 36.44 34.44 32.44 30.44 27.55 29.55 ],[6.22 6.22 8.22 6.22 8.22 8.22 6.22 ],[0.985"
18548          " 0.979 0.895 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('bl"
18549          "ack');port_label('input',1,'\\fontsize{11pt}\\bf In ','texmode','on');\ncolor('black');port_label('output',1,' "
18550          "');\nfprintf('','COMMENT: end icon text');"
18551        }
18552        Block {
18553          BlockType           Outport
18554          Name            "ADC I"
18555          SID             "863"
18556          Position            [595, 158, 625, 172]
18557          IconDisplay         "Port number"
18558        }
18559        Line {
18560          SrcBlock            "Mux3"
18561          SrcPort             1
18562          DstBlock            "Register1"
18563          DstPort             1
18564        }
18565        Line {
18566          SrcBlock            "radio4_ADC_I"
18567          SrcPort             1
18568          DstBlock            "Mux3"
18569          DstPort             2
18570        }
18571        Line {
18572          SrcBlock            "FromAGC_Radio4_I"
18573          SrcPort             1
18574          Points              [50, 0; 0, -65]
18575          DstBlock            "Mux3"
18576          DstPort             3
18577        }
18578        Line {
18579          SrcBlock            "MGCAGC_AGCDCO_SEL"
18580          SrcPort             1
18581          Points              [70, 0; 0, 50]
18582          DstBlock            "Mux3"
18583          DstPort             1
18584        }
18585        Line {
18586          SrcBlock            "Constant2"
18587          SrcPort             1
18588          DstBlock            "radio4_ADC_I"
18589          DstPort             1
18590        }
18591        Line {
18592          SrcBlock            "Register1"
18593          SrcPort             1
18594          DstBlock            "ADC I"
18595          DstPort             1
18596        }
18597      }
18598    }
18599    Block {
18600      BlockType       SubSystem
18601      Name            "ADC Q"
18602      SID             "864"
18603      Ports           [1, 1]
18604      Position        [420, 304, 575, 346]
18605      MinAlgLoopOccurrences   off
18606      PropExecContextOutsideSubsystem off
18607      RTWSystemCode       "Auto"
18608      FunctionWithSeparateData off
18609      Opaque          off
18610      RequestExecContextInheritance off
18611      MaskHideContents    off
18612      System {
18613        Name            "ADC Q"
18614        Location            [66, 91, 1078, 743]
18615        Open            off
18616        ModelBrowserVisibility  off
18617        ModelBrowserWidth       200
18618        ScreenColor         "white"
18619        PaperOrientation        "landscape"
18620        PaperPositionMode       "auto"
18621        PaperType           "usletter"
18622        PaperUnits          "inches"
18623        TiledPaperMargins       [0.500000, 0.500000, 0.500000, 0.500000]
18624        TiledPageScale      1
18625        ShowPageBoundaries      off
18626        ZoomFactor          "100"
18627        Block {
18628          BlockType           Inport
18629          Name            "MGCAGC_AGCDCO_SEL"
18630          SID             "865"
18631          Position            [160, 43, 190, 57]
18632          IconDisplay         "Port number"
18633        }
18634        Block {
18635          BlockType           Constant
18636          Name            "Constant2"
18637          SID             "866"
18638          Position            [40, 120, 70, 150]
18639          ShowName            off
18640          Value           "0"
18641        }
18642        Block {
18643          BlockType           Reference
18644          Name            "FromAGC_Radio4_Q"
18645          SID             "867"
18646          Ports           [1, 1]
18647          Position            [145, 225, 210, 245]
18648          LibraryVersion          "1.2"
18649          SourceBlock         "xbsIndex_r4/Gateway In"
18650          SourceType          "Xilinx Gateway In Block"
18651          infoedit            "Gateway in block.  Converts inputs of type Simulink integer, single, double and fixed point to"
18652          "  Xilinx fixed-point or floating-point data type.<br><br>Hardware notes:  In hardware these blocks become top l"
18653          "evel input ports."
18654          gui_display_data_type   "Fixed-point"
18655          arith_type          "Signed  (2's comp)"
18656          n_bits              "12"
18657          bin_pt              "11"
18658          preci_type          "Single"
18659          exp_width           "8"
18660          frac_width          "24"
18661          quantization        "Round  (unbiased: +/- Inf)"
18662          overflow            "Saturate"
18663          period              "1"
18664          dbl_ovrd            off
18665          timing_constraint       "None"
18666          locs_specified          off
18667          LOCs            "{}"
18668          xl_use_area         off
18669          xl_area             "[0,0,0,0,0,0,0]"
18670          inherit_from_input      off
18671          UseAsADC            off
18672          ADCChannel          "'1'"
18673          hdl_port            "on"
18674          has_advanced_control    "0"
18675          sggui_pos           "20,20,348,406"
18676          block_type          "gatewayin"
18677          block_version       "10.1.3"
18678          sg_icon_stat        "65,20,1,1,white,yellow,1,00d3666e,right,,[ ],[ ]"
18679          sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npatch([0 65 65 0 0 ],[0 0 20 20 0 ],[0.95 0"
18680          ".93 0.65 ]);\nplot([0 65 65 0 0 ],[0 0 20 20 0 ]);\npatch([27.55 30.44 32.44 34.44 36.44 32.44 29.55 27.55 ],[1"
18681          "2.22 12.22 14.22 12.22 14.22 14.22 14.22 12.22 ],[1 1 1 ]);\npatch([29.55 32.44 30.44 27.55 29.55 ],[10.22 10.2"
18682          "2 12.22 12.22 10.22 ],[0.985 0.979 0.895 ]);\npatch([27.55 30.44 32.44 29.55 27.55 ],[8.22 8.22 10.22 10.22 8.2"
18683          "2 ],[1 1 1 ]);\npatch([29.55 36.44 34.44 32.44 30.44 27.55 29.55 ],[6.22 6.22 8.22 6.22 8.22 8.22 6.22 ],[0.985"
18684          " 0.979 0.895 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('bl"
18685          "ack');port_label('input',1,'\\fontsize{11pt}\\bf In ','texmode','on');\ncolor('black');port_label('output',1,' "
18686          "');\nfprintf('','COMMENT: end icon text');"
18687        }
18688        Block {
18689          BlockType           Reference
18690          Name            "Mux3"
18691          SID             "868"
18692          Ports           [3, 1]
18693          Position            [305, 83, 350, 187]
18694          LibraryVersion          "1.2"
18695          SourceBlock         "xbsIndex_r4/Mux"
18696          SourceType          "Xilinx Bus Multiplexer Block"
18697          inputs              "2"
18698          en              off
18699          latency             "0"
18700          precision           "Full"
18701          arith_type          "Unsigned"
18702          n_bits              "1"
18703          bin_pt              "0"
18704          quantization        "Truncate"
18705          overflow            "Wrap"
18706          dbl_ovrd            off
18707          xl_use_area         off
18708          xl_area             "[0,0,0,0,0,0,0]"
18709          has_advanced_control    "0"
18710          sggui_pos           "20,20,348,303"
18711          block_type          "mux"
18712          block_version       "10.1.3"
18713          sg_icon_stat        "45,104,3,1,white,blue,3,eb98d690,right,,[ ],[ ]"
18714          sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npatch([0 45 45 0 0 ],[0 14.8571 89.1429 104"
18715          " 0 ],[0.77 0.82 0.91 ]);\nplot([0 45 45 0 0 ],[0 14.8571 89.1429 104 0 ]);\npatch([8.65 17.32 23.32 29.32 35.32"
18716          " 23.32 14.65 8.65 ],[58.66 58.66 64.66 58.66 64.66 64.66 64.66 58.66 ],[1 1 1 ]);\npatch([14.65 23.32 17.32 8.6"
18717          "5 14.65 ],[52.66 52.66 58.66 58.66 52.66 ],[0.931 0.946 0.973 ]);\npatch([8.65 17.32 23.32 14.65 8.65 ],[46.66 "
18718          "46.66 52.66 52.66 46.66 ],[1 1 1 ]);\npatch([14.65 35.32 29.32 23.32 17.32 8.65 14.65 ],[40.66 40.66 46.66 40.6"
18719          "6 46.66 46.66 40.66 ],[0.931 0.946 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: b"
18720          "egin icon text');\ncolor('black');port_label('input',1,'sel');\ncolor('black');port_label('input',2,'d0');\ncol"
18721          "or('black');port_label('input',3,'d1');\n\ncolor('black');disp('\\bf{}','texmode','on');\nfprintf('','COMMENT: "
18722          "end icon text');"
18723        }
18724        Block {
18725          BlockType           Reference
18726          Name            "Register1"
18727          SID             "869"
18728          Ports           [1, 1]
18729          Position            [475, 121, 510, 149]
18730          ShowName            off
18731          LibraryVersion          "1.2"
18732          SourceBlock         "xbsIndex_r4/Register"
18733          SourceType          "Xilinx Register Block"
18734          init            "0"
18735          rst             off
18736          en              off
18737          dbl_ovrd            off
18738          xl_use_area         off
18739          xl_area             "[0,0,0,0,0,0,0]"
18740          has_advanced_control    "0"
18741          sggui_pos           "-1,-1,-1,-1"
18742          block_type          "register"
18743          block_version       "8.2"
18744          sg_icon_stat        "35,28,1,1,white,blue,0,c80657c5,right,,[ ],[ ]"
18745          sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npatch([0 35 35 0 0 ],[0 0 28 28 0 ],[0.77 0"
18746          ".82 0.91 ]);\nplot([0 35 35 0 0 ],[0 0 28 28 0 ]);\npatch([8.1 13.88 17.88 21.88 25.88 17.88 12.1 8.1 ],[18.44 "
18747          "18.44 22.44 18.44 22.44 22.44 22.44 18.44 ],[1 1 1 ]);\npatch([12.1 17.88 13.88 8.1 12.1 ],[14.44 14.44 18.44 1"
18748          "8.44 14.44 ],[0.931 0.946 0.973 ]);\npatch([8.1 13.88 17.88 12.1 8.1 ],[10.44 10.44 14.44 14.44 10.44 ],[1 1 1 "
18749          "]);\npatch([12.1 25.88 21.88 17.88 13.88 8.1 12.1 ],[6.44 6.44 10.44 6.44 10.44 10.44 6.44 ],[0.931 0.946 0.973"
18750          " ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_l"
18751          "abel('input',1,'d');\ncolor('black');port_label('output',1,'q');\ncolor('black');disp('z^{-1}','texmode','on');"
18752          "\nfprintf('','COMMENT: end icon text');"
18753        }
18754        Block {
18755          BlockType           Reference
18756          Name            "radio4_ADC_Q"
18757          SID             "870"
18758          Ports           [1, 1]
18759          Position            [145, 125, 210, 145]
18760          LibraryVersion          "1.2"
18761          SourceBlock         "xbsIndex_r4/Gateway In"
18762          SourceType          "Xilinx Gateway In Block"
18763          infoedit            "Gateway in block.  Converts inputs of type Simulink integer, single, double and fixed point to"
18764          "  Xilinx fixed-point or floating-point data type.<br><br>Hardware notes:  In hardware these blocks become top l"
18765          "evel input ports."
18766          gui_display_data_type   "Fixed-point"
18767          arith_type          "Signed  (2's comp)"
18768          n_bits              "12"
18769          bin_pt              "11"
18770          preci_type          "Single"
18771          exp_width           "8"
18772          frac_width          "24"
18773          quantization        "Round  (unbiased: +/- Inf)"
18774          overflow            "Saturate"
18775          period              "1"
18776          dbl_ovrd            off
18777          timing_constraint       "None"
18778          locs_specified          off
18779          LOCs            "{}"
18780          xl_use_area         off
18781          xl_area             "[0,0,0,0,0,0,0]"
18782          inherit_from_input      off
18783          UseAsADC            off
18784          ADCChannel          "'1'"
18785          hdl_port            "on"
18786          has_advanced_control    "0"
18787          sggui_pos           "20,20,348,406"
18788          block_type          "gatewayin"
18789          block_version       "10.1.3"
18790          sg_icon_stat        "65,20,1,1,white,yellow,1,00d3666e,right,,[ ],[ ]"
18791          sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npatch([0 65 65 0 0 ],[0 0 20 20 0 ],[0.95 0"
18792          ".93 0.65 ]);\nplot([0 65 65 0 0 ],[0 0 20 20 0 ]);\npatch([27.55 30.44 32.44 34.44 36.44 32.44 29.55 27.55 ],[1"
18793          "2.22 12.22 14.22 12.22 14.22 14.22 14.22 12.22 ],[1 1 1 ]);\npatch([29.55 32.44 30.44 27.55 29.55 ],[10.22 10.2"
18794          "2 12.22 12.22 10.22 ],[0.985 0.979 0.895 ]);\npatch([27.55 30.44 32.44 29.55 27.55 ],[8.22 8.22 10.22 10.22 8.2"
18795          "2 ],[1 1 1 ]);\npatch([29.55 36.44 34.44 32.44 30.44 27.55 29.55 ],[6.22 6.22 8.22 6.22 8.22 8.22 6.22 ],[0.985"
18796          " 0.979 0.895 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('bl"
18797          "ack');port_label('input',1,'\\fontsize{11pt}\\bf In ','texmode','on');\ncolor('black');port_label('output',1,' "
18798          "');\nfprintf('','COMMENT: end icon text');"
18799        }
18800        Block {
18801          BlockType           Outport
18802          Name            "ADC Q"
18803          SID             "871"
18804          Position            [580, 128, 610, 142]
18805          IconDisplay         "Port number"
18806        }
18807        Line {
18808          SrcBlock            "Mux3"
18809          SrcPort             1
18810          DstBlock            "Register1"
18811          DstPort             1
18812        }
18813        Line {
18814          SrcBlock            "radio4_ADC_Q"
18815          SrcPort             1
18816          DstBlock            "Mux3"
18817          DstPort             2
18818        }
18819        Line {
18820          SrcBlock            "FromAGC_Radio4_Q"
18821          SrcPort             1
18822          Points              [50, 0; 0, -65]
18823          DstBlock            "Mux3"
18824          DstPort             3
18825        }
18826        Line {
18827          SrcBlock            "MGCAGC_AGCDCO_SEL"
18828          SrcPort             1
18829          Points              [70, 0; 0, 50]
18830          DstBlock            "Mux3"
18831          DstPort             1
18832        }
18833        Line {
18834          SrcBlock            "Constant2"
18835          SrcPort             1
18836          DstBlock            "radio4_ADC_Q"
18837          DstPort             1
18838        }
18839        Line {
18840          SrcBlock            "Register1"
18841          SrcPort             1
18842          DstBlock            "ADC Q"
18843          DstPort             1
18844        }
18845      }
18846    }
18847    Block {
18848      BlockType       SubSystem
18849      Name            "Concatenates_1"
18850      SID             "923"
18851      Ports           [2, 1]
18852      Position        [685, 235, 735, 355]
18853      NamePlacement       "alternate"
18854      MinAlgLoopOccurrences   off
18855      PropExecContextOutsideSubsystem off
18856      RTWSystemCode       "Auto"
18857      FunctionWithSeparateData off
18858      Opaque          off
18859      RequestExecContextInheritance off
18860      MaskHideContents    off
18861      MaskIconFrame       on
18862      MaskIconOpaque      on
18863      MaskIconRotate      "none"
18864      MaskPortRotate      "default"
18865      MaskIconUnits       "autoscale"
18866      System {
18867        Name            "Concatenates_1"
18868        Location            [266, 282, 2174, 1362]
18869        Open            off
18870        ModelBrowserVisibility  off
18871        ModelBrowserWidth       200
18872        ScreenColor         "white"
18873        PaperOrientation        "landscape"
18874        PaperPositionMode       "auto"
18875        PaperType           "usletter"
18876        PaperUnits          "inches"
18877        TiledPaperMargins       [0.500000, 0.500000, 0.500000, 0.500000]
18878        TiledPageScale      1
18879        ShowPageBoundaries      off
18880        ZoomFactor          "100"
18881        Block {
18882          BlockType           Inport
18883          Name            "ADC_I"
18884          SID             "924"
18885          Position            [330, 93, 360, 107]
18886          IconDisplay         "Port number"
18887          MaskIconFrame       on
18888          MaskIconOpaque          on
18889          MaskIconRotate          "none"
18890          MaskPortRotate          "default"
18891          MaskIconUnits       "autoscale"
18892        }
18893        Block {
18894          BlockType           Inport
18895          Name            "ADC_Q"
18896          SID             "925"
18897          Position            [330, 213, 360, 227]
18898          Port            "2"
18899          IconDisplay         "Port number"
18900          MaskIconFrame       on
18901          MaskIconOpaque          on
18902          MaskIconRotate          "none"
18903          MaskPortRotate          "default"
18904          MaskIconUnits       "autoscale"
18905        }
18906        Block {
18907          BlockType           Reference
18908          Name            "Concat1"
18909          SID             "926"
18910          Ports           [2, 1]
18911          Position            [490, 191, 520, 229]
18912          ShowName            off
18913          LibraryVersion          "1.2"
18914          SourceBlock         "xbsIndex_r4/Concat"
18915          SourceType          "Xilinx Bus Concatenator Block"
18916          infoedit            "Concatenates two or more inputs.  Output will be cast to an unsigned value with the binary poi"
18917          "nt at zero."
18918          num_inputs          "2"
18919          dbl_ovrd            off
18920          has_advanced_control    "0"
18921          sggui_pos           "-1,-1,-1,-1"
18922          block_type          "concat"
18923          block_version       "8.2"
18924          sg_icon_stat        "30,38,2,1,white,blue,0,16398980,right,,[ ],[ ]"
18925          sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npatch([0 30 30 0 0 ],[0 0 38 38 0 ],[0.77 0"
18926          ".82 0.91 ]);\nplot([0 30 30 0 0 ],[0 0 38 38 0 ]);\npatch([6.1 11.88 15.88 19.88 23.88 15.88 10.1 6.1 ],[23.44 "
18927          "23.44 27.44 23.44 27.44 27.44 27.44 23.44 ],[1 1 1 ]);\npatch([10.1 15.88 11.88 6.1 10.1 ],[19.44 19.44 23.44 2"
18928          "3.44 19.44 ],[0.931 0.946 0.973 ]);\npatch([6.1 11.88 15.88 10.1 6.1 ],[15.44 15.44 19.44 19.44 15.44 ],[1 1 1 "
18929          "]);\npatch([10.1 23.88 19.88 15.88 11.88 6.1 10.1 ],[11.44 11.44 15.44 11.44 15.44 15.44 11.44 ],[0.931 0.946 0"
18930          ".973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');po"
18931          "rt_label('input',1,'hi');\ncolor('black');port_label('input',2,'lo');\n\ncolor('black');disp('\\fontsize{20}\\}"
18932          "','texmode','on');\nfprintf('','COMMENT: end icon text');"
18933        }
18934        Block {
18935          BlockType           Reference
18936          Name            "Concat2"
18937          SID             "927"
18938          Ports           [2, 1]
18939          Position            [490, 71, 520, 109]
18940          ShowName            off
18941          LibraryVersion          "1.2"
18942          SourceBlock         "xbsIndex_r4/Concat"
18943          SourceType          "Xilinx Bus Concatenator Block"
18944          infoedit            "Concatenates two or more inputs.  Output will be cast to an unsigned value with the binary poi"
18945          "nt at zero."
18946          num_inputs          "2"
18947          dbl_ovrd            off
18948          has_advanced_control    "0"
18949          sggui_pos           "-1,-1,-1,-1"
18950          block_type          "concat"
18951          block_version       "8.2"
18952          sg_icon_stat        "30,38,2,1,white,blue,0,16398980,right,,[ ],[ ]"
18953          sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npatch([0 30 30 0 0 ],[0 0 38 38 0 ],[0.77 0"
18954          ".82 0.91 ]);\nplot([0 30 30 0 0 ],[0 0 38 38 0 ]);\npatch([6.1 11.88 15.88 19.88 23.88 15.88 10.1 6.1 ],[23.44 "
18955          "23.44 27.44 23.44 27.44 27.44 27.44 23.44 ],[1 1 1 ]);\npatch([10.1 15.88 11.88 6.1 10.1 ],[19.44 19.44 23.44 2"
18956          "3.44 19.44 ],[0.931 0.946 0.973 ]);\npatch([6.1 11.88 15.88 10.1 6.1 ],[15.44 15.44 19.44 19.44 15.44 ],[1 1 1 "
18957          "]);\npatch([10.1 23.88 19.88 15.88 11.88 6.1 10.1 ],[11.44 11.44 15.44 11.44 15.44 15.44 11.44 ],[0.931 0.946 0"
18958          ".973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');po"
18959          "rt_label('input',1,'hi');\ncolor('black');port_label('input',2,'lo');\n\ncolor('black');disp('\\fontsize{20}\\}"
18960          "','texmode','on');\nfprintf('','COMMENT: end icon text');"
18961        }
18962        Block {
18963          BlockType           Reference
18964          Name            "Concat3"
18965          SID             "928"
18966          Ports           [2, 1]
18967          Position            [545, 81, 575, 119]
18968          ShowName            off
18969          LibraryVersion          "1.2"
18970          SourceBlock         "xbsIndex_r4/Concat"
18971          SourceType          "Xilinx Bus Concatenator Block"
18972          infoedit            "Concatenates two or more inputs.  Output will be cast to an unsigned value with the binary poi"
18973          "nt at zero."
18974          num_inputs          "2"
18975          dbl_ovrd            off
18976          has_advanced_control    "0"
18977          sggui_pos           "-1,-1,-1,-1"
18978          block_type          "concat"
18979          block_version       "8.2"
18980          sg_icon_stat        "30,38,2,1,white,blue,0,16398980,right,,[ ],[ ]"
18981          sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npatch([0 30 30 0 0 ],[0 0 38 38 0 ],[0.77 0"
18982          ".82 0.91 ]);\nplot([0 30 30 0 0 ],[0 0 38 38 0 ]);\npatch([6.1 11.88 15.88 19.88 23.88 15.88 10.1 6.1 ],[23.44 "
18983          "23.44 27.44 23.44 27.44 27.44 27.44 23.44 ],[1 1 1 ]);\npatch([10.1 15.88 11.88 6.1 10.1 ],[19.44 19.44 23.44 2"
18984          "3.44 19.44 ],[0.931 0.946 0.973 ]);\npatch([6.1 11.88 15.88 10.1 6.1 ],[15.44 15.44 19.44 19.44 15.44 ],[1 1 1 "
18985          "]);\npatch([10.1 23.88 19.88 15.88 11.88 6.1 10.1 ],[11.44 11.44 15.44 11.44 15.44 15.44 11.44 ],[0.931 0.946 0"
18986          ".973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');po"
18987          "rt_label('input',1,'hi');\ncolor('black');port_label('input',2,'lo');\n\ncolor('black');disp('\\fontsize{20}\\}"
18988          "','texmode','on');\nfprintf('','COMMENT: end icon text');"
18989        }
18990        Block {
18991          BlockType           Reference
18992          Name            "Concat4"
18993          SID             "929"
18994          Ports           [2, 1]
18995          Position            [545, 201, 575, 239]
18996          ShowName            off
18997          LibraryVersion          "1.2"
18998          SourceBlock         "xbsIndex_r4/Concat"
18999          SourceType          "Xilinx Bus Concatenator Block"
19000          infoedit            "Concatenates two or more inputs.  Output will be cast to an unsigned value with the binary poi"
19001          "nt at zero."
19002          num_inputs          "2"
19003          dbl_ovrd            off
19004          has_advanced_control    "0"
19005          sggui_pos           "-1,-1,-1,-1"
19006          block_type          "concat"
19007          block_version       "8.2"
19008          sg_icon_stat        "30,38,2,1,white,blue,0,16398980,right,,[ ],[ ]"
19009          sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npatch([0 30 30 0 0 ],[0 0 38 38 0 ],[0.77 0"
19010          ".82 0.91 ]);\nplot([0 30 30 0 0 ],[0 0 38 38 0 ]);\npatch([6.1 11.88 15.88 19.88 23.88 15.88 10.1 6.1 ],[23.44 "
19011          "23.44 27.44 23.44 27.44 27.44 27.44 23.44 ],[1 1 1 ]);\npatch([10.1 15.88 11.88 6.1 10.1 ],[19.44 19.44 23.44 2"
19012          "3.44 19.44 ],[0.931 0.946 0.973 ]);\npatch([6.1 11.88 15.88 10.1 6.1 ],[15.44 15.44 19.44 19.44 15.44 ],[1 1 1 "
19013          "]);\npatch([10.1 23.88 19.88 15.88 11.88 6.1 10.1 ],[11.44 11.44 15.44 11.44 15.44 15.44 11.44 ],[0.931 0.946 0"
19014          ".973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');po"
19015          "rt_label('input',1,'hi');\ncolor('black');port_label('input',2,'lo');\n\ncolor('black');disp('\\fontsize{20}\\}"
19016          "','texmode','on');\nfprintf('','COMMENT: end icon text');"
19017        }
19018        Block {
19019          BlockType           Reference
19020          Name            "Concat5"
19021          SID             "930"
19022          Ports           [2, 1]
19023          Position            [635, 80, 660, 160]
19024          ShowName            off
19025          LibraryVersion          "1.2"
19026          SourceBlock         "xbsIndex_r4/Concat"
19027          SourceType          "Xilinx Bus Concatenator Block"
19028          infoedit            "Concatenates two or more inputs.  Output will be cast to an unsigned value with the binary poi"
19029          "nt at zero."
19030          num_inputs          "2"
19031          dbl_ovrd            off
19032          has_advanced_control    "0"
19033          sggui_pos           "-1,-1,-1,-1"
19034          block_type          "concat"
19035          block_version       "8.2"
19036          sg_icon_stat        "25,80,2,1,white,blue,0,16398980,right,,[ ],[ ]"
19037          sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npatch([0 25 25 0 0 ],[0 0 80 80 0 ],[0.77 0"
19038          ".82 0.91 ]);\nplot([0 25 25 0 0 ],[0 0 80 80 0 ]);\npatch([5.325 9.66 12.66 15.66 18.66 12.66 8.325 5.325 ],[43"
19039          ".33 43.33 46.33 43.33 46.33 46.33 46.33 43.33 ],[1 1 1 ]);\npatch([8.325 12.66 9.66 5.325 8.325 ],[40.33 40.33 "
19040          "43.33 43.33 40.33 ],[0.931 0.946 0.973 ]);\npatch([5.325 9.66 12.66 8.325 5.325 ],[37.33 37.33 40.33 40.33 37.3"
19041          "3 ],[1 1 1 ]);\npatch([8.325 18.66 15.66 12.66 9.66 5.325 8.325 ],[34.33 34.33 37.33 34.33 37.33 37.33 34.33 ],"
19042          "[0.931 0.946 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncol"
19043          "or('black');port_label('input',1,'hi');\ncolor('black');port_label('input',2,'lo');\n\ncolor('black');disp('\\f"
19044          "ontsize{20}\\}','texmode','on');\nfprintf('','COMMENT: end icon text');"
19045        }
19046        Block {
19047          BlockType           Reference
19048          Name            "Reinterpret"
19049          SID             "931"
19050          Ports           [1, 1]
19051          Position            [385, 90, 420, 110]
19052          ShowName            off
19053          LibraryVersion          "1.2"
19054          SourceBlock         "xbsIndex_r4/Reinterpret"
19055          SourceType          "Xilinx Type Reinterpreter Block"
19056          infoedit            "Changes signal type without altering the binary representation.   You can changed the signal b"
19057          "etween signed and unsigned, and relocate the binary point.<P><P>Hardware notes: In hardware this block costs no"
19058          "thing.<P><P>Example:  Suppose the input is 6 bits wide, signed, with 2 fractional bits, and the output is force"
19059          "d to unsigned with 0 fractional bits.  Then an input of -2.0 (1110.00 in binary 2's complement) becomes an outp"
19060          "ut of 56 (111000 in binary)."
19061          force_arith_type        on
19062          arith_type          "Unsigned"
19063          force_bin_pt        on
19064          bin_pt              "0"
19065          has_advanced_control    "0"
19066          sggui_pos           "20,20,356,309"
19067          block_type          "reinterpret"
19068          block_version       "8.2"
19069          sg_icon_stat        "35,20,1,1,white,blue,0,6b04d0b0,right,,[ ],[ ]"
19070          sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npatch([0 35 35 0 0 ],[0 0 20 20 0 ],[0.77 0"
19071          ".82 0.91 ]);\nplot([0 35 35 0 0 ],[0 0 20 20 0 ]);\npatch([12.55 15.44 17.44 19.44 21.44 17.44 14.55 12.55 ],[1"
19072          "2.22 12.22 14.22 12.22 14.22 14.22 14.22 12.22 ],[1 1 1 ]);\npatch([14.55 17.44 15.44 12.55 14.55 ],[10.22 10.2"
19073          "2 12.22 12.22 10.22 ],[0.931 0.946 0.973 ]);\npatch([12.55 15.44 17.44 14.55 12.55 ],[8.22 8.22 10.22 10.22 8.2"
19074          "2 ],[1 1 1 ]);\npatch([14.55 21.44 19.44 17.44 15.44 12.55 14.55 ],[6.22 6.22 8.22 6.22 8.22 8.22 6.22 ],[0.931"
19075          " 0.946 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\n\n\ncolor"
19076          "('black');disp('reinterpret');\nfprintf('','COMMENT: end icon text');"
19077        }
19078        Block {
19079          BlockType           Reference
19080          Name            "Reinterpret1"
19081          SID             "932"
19082          Ports           [1, 1]
19083          Position            [390, 210, 425, 230]
19084          ShowName            off
19085          LibraryVersion          "1.2"
19086          SourceBlock         "xbsIndex_r4/Reinterpret"
19087          SourceType          "Xilinx Type Reinterpreter Block"
19088          infoedit            "Changes signal type without altering the binary representation.   You can changed the signal b"
19089          "etween signed and unsigned, and relocate the binary point.<P><P>Hardware notes: In hardware this block costs no"
19090          "thing.<P><P>Example:  Suppose the input is 6 bits wide, signed, with 2 fractional bits, and the output is force"
19091          "d to unsigned with 0 fractional bits.  Then an input of -2.0 (1110.00 in binary 2's complement) becomes an outp"
19092          "ut of 56 (111000 in binary)."
19093          force_arith_type        on
19094          arith_type          "Unsigned"
19095          force_bin_pt        on
19096          bin_pt              "0"
19097          has_advanced_control    "0"
19098          sggui_pos           "20,20,356,309"
19099          block_type          "reinterpret"
19100          block_version       "8.2"
19101          sg_icon_stat        "35,20,1,1,white,blue,0,6b04d0b0,right,,[ ],[ ]"
19102          sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npatch([0 35 35 0 0 ],[0 0 20 20 0 ],[0.77 0"
19103          ".82 0.91 ]);\nplot([0 35 35 0 0 ],[0 0 20 20 0 ]);\npatch([12.55 15.44 17.44 19.44 21.44 17.44 14.55 12.55 ],[1"
19104          "2.22 12.22 14.22 12.22 14.22 14.22 14.22 12.22 ],[1 1 1 ]);\npatch([14.55 17.44 15.44 12.55 14.55 ],[10.22 10.2"
19105          "2 12.22 12.22 10.22 ],[0.931 0.946 0.973 ]);\npatch([12.55 15.44 17.44 14.55 12.55 ],[8.22 8.22 10.22 10.22 8.2"
19106          "2 ],[1 1 1 ]);\npatch([14.55 21.44 19.44 17.44 15.44 12.55 14.55 ],[6.22 6.22 8.22 6.22 8.22 8.22 6.22 ],[0.931"
19107          " 0.946 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\n\n\ncolor"
19108          "('black');disp('reinterpret');\nfprintf('','COMMENT: end icon text');"
19109        }
19110        Block {
19111          BlockType           Reference
19112          Name            "zero"
19113          SID             "933"
19114          Ports           [0, 1]
19115          Position            [440, 191, 460, 209]
19116          ShowName            off
19117          LibraryVersion          "1.2"
19118          SourceBlock         "xbsIndex_r4/Constant"
19119          SourceType          "Xilinx Constant Block Block"
19120          const           "0"
19121          gui_display_data_type   "Fixed-point"
19122          arith_type          "Unsigned"
19123          n_bits              "2"
19124          bin_pt              "0"
19125          preci_type          "Single"
19126          exp_width           "8"
19127          frac_width          "24"
19128          explicit_period         off
19129          period              "1"
19130          dsp48_infoedit          "The use of this block for DSP48 instructions is deprecated.  Please use the Opmode block."
19131          equ             "P=C"
19132          opselect            "C"
19133          inp2            "PCIN>>17"
19134          opr             "+"
19135          inp1            "P"
19136          carry           "CIN"
19137          dbl_ovrd            off
19138          has_advanced_control    "0"
19139          sggui_pos           "-1,-1,-1,-1"
19140          block_type          "constant"
19141          block_version       "VER_STRING_GOES_HERE"
19142          sg_icon_stat        "20,18,0,1,white,blue,0,bf4ddd8b,right,,[ ],[ ]"
19143          sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npatch([0 20 20 0 0 ],[0 0 18 18 0 ],[0.77 0"
19144          ".82 0.91 ]);\nplot([0 20 20 0 0 ],[0 0 18 18 0 ]);\npatch([5.55 8.44 10.44 12.44 14.44 10.44 7.55 5.55 ],[11.22"
19145          " 11.22 13.22 11.22 13.22 13.22 13.22 11.22 ],[1 1 1 ]);\npatch([7.55 10.44 8.44 5.55 7.55 ],[9.22 9.22 11.22 11"
19146          ".22 9.22 ],[0.931 0.946 0.973 ]);\npatch([5.55 8.44 10.44 7.55 5.55 ],[7.22 7.22 9.22 9.22 7.22 ],[1 1 1 ]);\np"
19147          "atch([7.55 14.44 12.44 10.44 8.44 5.55 7.55 ],[5.22 5.22 7.22 5.22 7.22 7.22 5.22 ],[0.931 0.946 0.973 ]);\nfpr"
19148          "intf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('out"
19149          "put',1,'0');\nfprintf('','COMMENT: end icon text');"
19150        }
19151        Block {
19152          BlockType           Reference
19153          Name            "zero1"
19154          SID             "934"
19155          Ports           [0, 1]
19156          Position            [440, 71, 460, 89]
19157          ShowName            off
19158          LibraryVersion          "1.2"
19159          SourceBlock         "xbsIndex_r4/Constant"
19160          SourceType          "Xilinx Constant Block Block"
19161          const           "0"
19162          gui_display_data_type   "Fixed-point"
19163          arith_type          "Unsigned"
19164          n_bits              "2"
19165          bin_pt              "0"
19166          preci_type          "Single"
19167          exp_width           "8"
19168          frac_width          "24"
19169          explicit_period         off
19170          period              "1"
19171          dsp48_infoedit          "The use of this block for DSP48 instructions is deprecated.  Please use the Opmode block."
19172          equ             "P=C"
19173          opselect            "C"
19174          inp2            "PCIN>>17"
19175          opr             "+"
19176          inp1            "P"
19177          carry           "CIN"
19178          dbl_ovrd            off
19179          has_advanced_control    "0"
19180          sggui_pos           "-1,-1,-1,-1"
19181          block_type          "constant"
19182          block_version       "VER_STRING_GOES_HERE"
19183          sg_icon_stat        "20,18,0,1,white,blue,0,bf4ddd8b,right,,[ ],[ ]"
19184          sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npatch([0 20 20 0 0 ],[0 0 18 18 0 ],[0.77 0"
19185          ".82 0.91 ]);\nplot([0 20 20 0 0 ],[0 0 18 18 0 ]);\npatch([5.55 8.44 10.44 12.44 14.44 10.44 7.55 5.55 ],[11.22"
19186          " 11.22 13.22 11.22 13.22 13.22 13.22 11.22 ],[1 1 1 ]);\npatch([7.55 10.44 8.44 5.55 7.55 ],[9.22 9.22 11.22 11"
19187          ".22 9.22 ],[0.931 0.946 0.973 ]);\npatch([5.55 8.44 10.44 7.55 5.55 ],[7.22 7.22 9.22 9.22 7.22 ],[1 1 1 ]);\np"
19188          "atch([7.55 14.44 12.44 10.44 8.44 5.55 7.55 ],[5.22 5.22 7.22 5.22 7.22 7.22 5.22 ],[0.931 0.946 0.973 ]);\nfpr"
19189          "intf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('out"
19190          "put',1,'0');\nfprintf('','COMMENT: end icon text');"
19191        }
19192        Block {
19193          BlockType           Reference
19194          Name            "zero2"
19195          SID             "935"
19196          Ports           [0, 1]
19197          Position            [440, 101, 460, 119]
19198          ShowName            off
19199          LibraryVersion          "1.2"
19200          SourceBlock         "xbsIndex_r4/Constant"
19201          SourceType          "Xilinx Constant Block Block"
19202          const           "0"
19203          gui_display_data_type   "Fixed-point"
19204          arith_type          "Unsigned"
19205          n_bits              "2"
19206          bin_pt              "0"
19207          preci_type          "Single"
19208          exp_width           "8"
19209          frac_width          "24"
19210          explicit_period         off
19211          period              "1"
19212          dsp48_infoedit          "The use of this block for DSP48 instructions is deprecated.  Please use the Opmode block."
19213          equ             "P=C"
19214          opselect            "C"
19215          inp2            "PCIN>>17"
19216          opr             "+"
19217          inp1            "P"
19218          carry           "CIN"
19219          dbl_ovrd            off
19220          has_advanced_control    "0"
19221          sggui_pos           "-1,-1,-1,-1"
19222          block_type          "constant"
19223          block_version       "VER_STRING_GOES_HERE"
19224          sg_icon_stat        "20,18,0,1,white,blue,0,bf4ddd8b,right,,[ ],[ ]"
19225          sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npatch([0 20 20 0 0 ],[0 0 18 18 0 ],[0.77 0"
19226          ".82 0.91 ]);\nplot([0 20 20 0 0 ],[0 0 18 18 0 ]);\npatch([5.55 8.44 10.44 12.44 14.44 10.44 7.55 5.55 ],[11.22"
19227          " 11.22 13.22 11.22 13.22 13.22 13.22 11.22 ],[1 1 1 ]);\npatch([7.55 10.44 8.44 5.55 7.55 ],[9.22 9.22 11.22 11"
19228          ".22 9.22 ],[0.931 0.946 0.973 ]);\npatch([5.55 8.44 10.44 7.55 5.55 ],[7.22 7.22 9.22 9.22 7.22 ],[1 1 1 ]);\np"
19229          "atch([7.55 14.44 12.44 10.44 8.44 5.55 7.55 ],[5.22 5.22 7.22 5.22 7.22 7.22 5.22 ],[0.931 0.946 0.973 ]);\nfpr"
19230          "intf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('out"
19231          "put',1,'0');\nfprintf('','COMMENT: end icon text');"
19232        }
19233        Block {
19234          BlockType           Reference
19235          Name            "zero3"
19236          SID             "936"
19237          Ports           [0, 1]
19238          Position            [440, 221, 460, 239]
19239          ShowName            off
19240          LibraryVersion          "1.2"
19241          SourceBlock         "xbsIndex_r4/Constant"
19242          SourceType          "Xilinx Constant Block Block"
19243          const           "0"
19244          gui_display_data_type   "Fixed-point"
19245          arith_type          "Unsigned"
19246          n_bits              "2"
19247          bin_pt              "0"
19248          preci_type          "Single"
19249          exp_width           "8"
19250          frac_width          "24"
19251          explicit_period         off
19252          period              "1"
19253          dsp48_infoedit          "The use of this block for DSP48 instructions is deprecated.  Please use the Opmode block."
19254          equ             "P=C"
19255          opselect            "C"
19256          inp2            "PCIN>>17"
19257          opr             "+"
19258          inp1            "P"
19259          carry           "CIN"
19260          dbl_ovrd            off
19261          has_advanced_control    "0"
19262          sggui_pos           "-1,-1,-1,-1"
19263          block_type          "constant"
19264          block_version       "VER_STRING_GOES_HERE"
19265          sg_icon_stat        "20,18,0,1,white,blue,0,bf4ddd8b,right,,[ ],[ ]"
19266          sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npatch([0 20 20 0 0 ],[0 0 18 18 0 ],[0.77 0"
19267          ".82 0.91 ]);\nplot([0 20 20 0 0 ],[0 0 18 18 0 ]);\npatch([5.55 8.44 10.44 12.44 14.44 10.44 7.55 5.55 ],[11.22"
19268          " 11.22 13.22 11.22 13.22 13.22 13.22 11.22 ],[1 1 1 ]);\npatch([7.55 10.44 8.44 5.55 7.55 ],[9.22 9.22 11.22 11"
19269          ".22 9.22 ],[0.931 0.946 0.973 ]);\npatch([5.55 8.44 10.44 7.55 5.55 ],[7.22 7.22 9.22 9.22 7.22 ],[1 1 1 ]);\np"
19270          "atch([7.55 14.44 12.44 10.44 8.44 5.55 7.55 ],[5.22 5.22 7.22 5.22 7.22 7.22 5.22 ],[0.931 0.946 0.973 ]);\nfpr"
19271          "intf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('out"
19272          "put',1,'0');\nfprintf('','COMMENT: end icon text');"
19273        }
19274        Block {
19275          BlockType           Outport
19276          Name            "32b"
19277          SID             "937"
19278          Position            [695, 113, 725, 127]
19279          IconDisplay         "Port number"
19280          MaskIconFrame       on
19281          MaskIconOpaque          on
19282          MaskIconRotate          "none"
19283          MaskPortRotate          "default"
19284          MaskIconUnits       "autoscale"
19285        }
19286        Line {
19287          SrcBlock            "zero"
19288          SrcPort             1
19289          DstBlock            "Concat1"
19290          DstPort             1
19291        }
19292        Line {
19293          SrcBlock            "zero1"
19294          SrcPort             1
19295          DstBlock            "Concat2"
19296          DstPort             1
19297        }
19298        Line {
19299          SrcBlock            "Concat5"
19300          SrcPort             1
19301          DstBlock            "32b"
19302          DstPort             1
19303        }
19304        Line {
19305          SrcBlock            "ADC_I"
19306          SrcPort             1
19307          DstBlock            "Reinterpret"
19308          DstPort             1
19309        }
19310        Line {
19311          SrcBlock            "ADC_Q"
19312          SrcPort             1
19313          DstBlock            "Reinterpret1"
19314          DstPort             1
19315        }
19316        Line {
19317          SrcBlock            "Reinterpret"
19318          SrcPort             1
19319          DstBlock            "Concat2"
19320          DstPort             2
19321        }
19322        Line {
19323          SrcBlock            "Reinterpret1"
19324          SrcPort             1
19325          DstBlock            "Concat1"
19326          DstPort             2
19327        }
19328        Line {
19329          SrcBlock            "Concat2"
19330          SrcPort             1
19331          DstBlock            "Concat3"
19332          DstPort             1
19333        }
19334        Line {
19335          SrcBlock            "Concat1"
19336          SrcPort             1
19337          DstBlock            "Concat4"
19338          DstPort             1
19339        }
19340        Line {
19341          SrcBlock            "zero2"
19342          SrcPort             1
19343          DstBlock            "Concat3"
19344          DstPort             2
19345        }
19346        Line {
19347          SrcBlock            "zero3"
19348          SrcPort             1
19349          DstBlock            "Concat4"
19350          DstPort             2
19351        }
19352        Line {
19353          SrcBlock            "Concat3"
19354          SrcPort             1
19355          DstBlock            "Concat5"
19356          DstPort             1
19357        }
19358        Line {
19359          SrcBlock            "Concat4"
19360          SrcPort             1
19361          Points              [20, 0; 0, -80]
19362          DstBlock            "Concat5"
19363          DstPort             2
19364        }
19365      }
19366    }
19367    Block {
19368      BlockType       SubSystem
19369      Name            "RSSI"
19370      SID             "883"
19371      Ports           [0, 1]
19372      Position        [470, 397, 540, 433]
19373      MinAlgLoopOccurrences   off
19374      PropExecContextOutsideSubsystem off
19375      RTWSystemCode       "Auto"
19376      FunctionWithSeparateData off
19377      Opaque          off
19378      RequestExecContextInheritance off
19379      MaskHideContents    off
19380      System {
19381        Name            "RSSI"
19382        Location            [2, 82, 1184, 734]
19383        Open            off
19384        ModelBrowserVisibility  off
19385        ModelBrowserWidth       200
19386        ScreenColor         "white"
19387        PaperOrientation        "landscape"
19388        PaperPositionMode       "auto"
19389        PaperType           "usletter"
19390        PaperUnits          "inches"
19391        TiledPaperMargins       [0.500000, 0.500000, 0.500000, 0.500000]
19392        TiledPageScale      1
19393        ShowPageBoundaries      off
19394        ZoomFactor          "100"
19395        Block {
19396          BlockType           Constant
19397          Name            "Constant1"
19398          SID             "884"
19399          Position            [160, 100, 190, 130]
19400          ShowName            off
19401          Value           "0"
19402        }
19403        Block {
19404          BlockType           Reference
19405          Name            "radio4_RSSI"
19406          SID             "885"
19407          Ports           [1, 1]
19408          Position            [280, 109, 335, 121]
19409          NamePlacement       "alternate"
19410          LibraryVersion          "1.2"
19411          SourceBlock         "xbsIndex_r4/Gateway In"
19412          SourceType          "Xilinx Gateway In Block"
19413          infoedit            "Gateway in block.  Converts inputs of type Simulink integer, double and fixed point to  Xilinx"
19414          " fixed point type.<P><P>Hardware notes:  In hardware these blocks become top level input ports."
19415          gui_display_data_type   "Fixed-point"
19416          arith_type          "Unsigned"
19417          n_bits              "10"
19418          bin_pt              "0"
19419          preci_type          "Single"
19420          exp_width           "8"
19421          frac_width          "24"
19422          quantization        "Truncate"
19423          overflow            "Wrap"
19424          period              "1"
19425          dbl_ovrd            off
19426          timing_constraint       "None"
19427          locs_specified          off
19428          LOCs            "{}"
19429          xl_use_area         off
19430          xl_area             "[0,0,0,0,0,0,0]"
19431          inherit_from_input      off
19432          UseAsADC            off
19433          ADCChannel          "'1'"
19434          hdl_port            "on"
19435          has_advanced_control    "0"
19436          sggui_pos           "20,20,356,432"
19437          block_type          "gatewayin"
19438          block_version       "VER_STRING_GOES_HERE"
19439          sg_icon_stat        "55,12,1,1,white,yellow,1,00d3666e,right,,[ ],[ ]"
19440          sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 0 ],[0 0 12 12 0 ],[0.95 0"
19441          ".93 0.65 ]);\nplot([0 55 55 0 0 ],[0 0 12 12 0 ]);\npatch([24.775 26.22 27.22 28.22 29.22 27.22 25.775 24.775 ]"
19442          ",[7.11 7.11 8.11 7.11 8.11 8.11 8.11 7.11 ],[1 1 1 ]);\npatch([25.775 27.22 26.22 24.775 25.775 ],[6.11 6.11 7."
19443          "11 7.11 6.11 ],[0.985 0.979 0.895 ]);\npatch([24.775 26.22 27.22 25.775 24.775 ],[5.11 5.11 6.11 6.11 5.11 ],[1"
19444          " 1 1 ]);\npatch([25.775 29.22 28.22 27.22 26.22 24.775 25.775 ],[4.11 4.11 5.11 4.11 5.11 5.11 4.11 ],[0.985 0."
19445          "979 0.895 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black"
19446          "');port_label('input',1,'\\fontsize{11pt}\\bf In ','texmode','on');\ncolor('black');port_label('output',1,' ');"
19447          "\nfprintf('','COMMENT: end icon text');"
19448        }
19449        Block {
19450          BlockType           Outport
19451          Name            "RSSI"
19452          SID             "886"
19453          Position            [740, 108, 770, 122]
19454          IconDisplay         "Port number"
19455        }
19456        Line {
19457          SrcBlock            "radio4_RSSI"
19458          SrcPort             1
19459          DstBlock            "RSSI"
19460          DstPort             1
19461        }
19462        Line {
19463          SrcBlock            "Constant1"
19464          SrcPort             1
19465          DstBlock            "radio4_RSSI"
19466          DstPort             1
19467        }
19468      }
19469    }
19470    Block {
19471      BlockType       Outport
19472      Name            "Radio 4 RSSI"
19473      SID             "887"
19474      Position        [655, 408, 685, 422]
19475      IconDisplay         "Port number"
19476    }
19477    Block {
19478      BlockType       Outport
19479      Name            "Radio 4 I/Q"
19480      SID             "888"
19481      Position        [865, 288, 895, 302]
19482      Port            "2"
19483      IconDisplay         "Port number"
19484    }
19485    Line {
19486      SrcBlock        "ADC Q"
19487      SrcPort         1
19488      DstBlock        "Concatenates_1"
19489      DstPort         2
19490    }
19491    Line {
19492      SrcBlock        "ADC I"
19493      SrcPort         1
19494      DstBlock        "Concatenates_1"
19495      DstPort         1
19496    }
19497    Line {
19498      SrcBlock        "MGCAGC_AGCDCO_SEL"
19499      SrcPort         1
19500      Points          [75, 0]
19501      Branch {
19502        Points          [0, 60]
19503        DstBlock            "ADC Q"
19504        DstPort         1
19505      }
19506      Branch {
19507        DstBlock            "ADC I"
19508        DstPort         1
19509      }
19510    }
19511    Line {
19512      SrcBlock        "RSSI"
19513      SrcPort         1
19514      DstBlock        "Radio 4 RSSI"
19515      DstPort         1
19516    }
19517    Line {
19518      SrcBlock        "Concatenates_1"
19519      SrcPort         1
19520      DstBlock        "Radio 4 I/Q"
19521      DstPort         1
19522    }
19523      }
19524    }
19525    Block {
19526      BlockType           SubSystem
19527      Name            "Radio 4 Outputs"
19528      SID             "714"
19529      Ports           [1]
19530      Position            [1175, 956, 1225, 1004]
19531      NamePlacement       "alternate"
19532      MinAlgLoopOccurrences   off
19533      PropExecContextOutsideSubsystem off
19534      RTWSystemCode       "Auto"
19535      FunctionWithSeparateData off
19536      Opaque              off
19537      RequestExecContextInheritance off
19538      MaskHideContents        off
19539      System {
19540    Name            "Radio 4 Outputs"
19541    Location        [2, 82, 1078, 539]
19542    Open            off
19543    ModelBrowserVisibility  off
19544    ModelBrowserWidth   200
19545    ScreenColor     "white"
19546    PaperOrientation    "landscape"
19547    PaperPositionMode   "auto"
19548    PaperType       "usletter"
19549    PaperUnits      "inches"
19550    TiledPaperMargins   [0.500000, 0.500000, 0.500000, 0.500000]
19551    TiledPageScale      1
19552    ShowPageBoundaries  off
19553    ZoomFactor      "100"
19554    Block {
19555      BlockType       Inport
19556      Name            "32b"
19557      SID             "715"
19558      Position        [85, 238, 115, 252]
19559      IconDisplay         "Port number"
19560    }
19561    Block {
19562      BlockType       Reference
19563      Name            "16LSB"
19564      SID             "716"
19565      Ports           [1, 1]
19566      Position        [265, 237, 305, 253]
19567      LibraryVersion      "1.2"
19568      SourceBlock         "xbsIndex_r4/Slice"
19569      SourceType          "Xilinx Bit Slice Extractor Block"
19570      infoedit        "Extracts a given range of bits from each input sample and presents it at the output.  The output type "
19571      "is ordinarily unsigned with binary point at zero, but can be Boolean when the slice is one bit wide.<P><P>Hardware "
19572      "notes: In hardware this block costs nothing."
19573      nbits           "16"
19574      boolean_output      off
19575      mode            "Lower Bit Location + Width"
19576      bit1            "0"
19577      base1           "MSB of Input"
19578      bit0            "0"
19579      base0           "LSB of Input"
19580      dbl_ovrd        off
19581      has_advanced_control    "0"
19582      sggui_pos       "20,20,442,407"
19583      block_type          "slice"
19584      block_version       "8.2"
19585      sg_icon_stat        "40,16,1,1,white,blue,0,1fd851a7,right,,[ ],[ ]"
19586      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 40 40 0 0 ],[0 0 16 16 0 ],[0.77 0.82 0.91"
19587      " ]);\nplot([0 40 40 0 0 ],[0 0 16 16 0 ]);\npatch([15.55 18.44 20.44 22.44 24.44 20.44 17.55 15.55 ],[10.22 10.22 1"
19588      "2.22 10.22 12.22 12.22 12.22 10.22 ],[1 1 1 ]);\npatch([17.55 20.44 18.44 15.55 17.55 ],[8.22 8.22 10.22 10.22 8.22"
19589      " ],[0.931 0.946 0.973 ]);\npatch([15.55 18.44 20.44 17.55 15.55 ],[6.22 6.22 8.22 8.22 6.22 ],[1 1 1 ]);\npatch([17"
19590      ".55 24.44 22.44 20.44 18.44 15.55 17.55 ],[4.22 4.22 6.22 4.22 6.22 6.22 4.22 ],[0.931 0.946 0.973 ]);\nfprintf('',"
19591      "'COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\n\ncolor('black');port_label('output',1,'[a"
19592      ":b]');\nfprintf('','COMMENT: end icon text');"
19593    }
19594    Block {
19595      BlockType       Reference
19596      Name            "16MSB"
19597      SID             "717"
19598      Ports           [1, 1]
19599      Position        [265, 181, 305, 199]
19600      NamePlacement       "alternate"
19601      LibraryVersion      "1.2"
19602      SourceBlock         "xbsIndex_r4/Slice"
19603      SourceType          "Xilinx Bit Slice Extractor Block"
19604      infoedit        "Extracts a given range of bits from each input sample and presents it at the output.  The output type "
19605      "is ordinarily unsigned with binary point at zero, but can be Boolean when the slice is one bit wide.<P><P>Hardware "
19606      "notes: In hardware this block costs nothing."
19607      nbits           "16"
19608      boolean_output      off
19609      mode            "Upper Bit Location + Width"
19610      bit1            "0"
19611      base1           "MSB of Input"
19612      bit0            "0"
19613      base0           "LSB of Input"
19614      dbl_ovrd        off
19615      has_advanced_control    "0"
19616      sggui_pos       "20,20,442,407"
19617      block_type          "slice"
19618      block_version       "8.2"
19619      sg_icon_stat        "40,18,1,1,white,blue,0,1fd851a7,right,,[ ],[ ]"
19620      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 40 40 0 0 ],[0 0 18 18 0 ],[0.77 0.82 0.91"
19621      " ]);\nplot([0 40 40 0 0 ],[0 0 18 18 0 ]);\npatch([15.55 18.44 20.44 22.44 24.44 20.44 17.55 15.55 ],[11.22 11.22 1"
19622      "3.22 11.22 13.22 13.22 13.22 11.22 ],[1 1 1 ]);\npatch([17.55 20.44 18.44 15.55 17.55 ],[9.22 9.22 11.22 11.22 9.22"
19623      " ],[0.931 0.946 0.973 ]);\npatch([15.55 18.44 20.44 17.55 15.55 ],[7.22 7.22 9.22 9.22 7.22 ],[1 1 1 ]);\npatch([17"
19624      ".55 24.44 22.44 20.44 18.44 15.55 17.55 ],[5.22 5.22 7.22 5.22 7.22 7.22 5.22 ],[0.931 0.946 0.973 ]);\nfprintf('',"
19625      "'COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\n\ncolor('black');port_label('output',1,'[a"
19626      ":b]');\nfprintf('','COMMENT: end icon text');"
19627    }
19628    Block {
19629      BlockType       Reference
19630      Name            "Convert"
19631      SID             "718"
19632      Ports           [1, 1]
19633      Position        [480, 175, 525, 205]
19634      LibraryVersion      "1.2"
19635      SourceBlock         "xbsIndex_r4/Convert"
19636      SourceType          "Xilinx Type Converter Block"
19637      infoedit        "Hardware notes: rounding and saturating require hardware resources; truncating and wrapping do not."
19638      gui_display_data_type   "Fixed-point"
19639      arith_type          "Signed  (2's comp)"
19640      n_bits          "12"
19641      bin_pt          "11"
19642      float_type          "Single"
19643      exp_bits        "8"
19644      fraction_bits       "24"
19645      quantization        "Truncate"
19646      overflow        "Wrap"
19647      en              off
19648      latency         "0"
19649      dbl_ovrd        off
19650      pipeline        off
19651      xl_use_area         off
19652      xl_area         "[0,0,0,0,0,0,0]"
19653      has_advanced_control    "0"
19654      sggui_pos       "-1,-1,-1,-1"
19655      block_type          "convert"
19656      sg_icon_stat        "45,30,1,1,white,blue,0,edca21da,right,,[ ],[ ]"
19657      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 45 45 0 0 ],[0 0 30 30 0 ],[0.77 0.82 0.91"
19658      " ]);\nplot([0 45 45 0 0 ],[0 0 30 30 0 ]);\npatch([13.1 18.88 22.88 26.88 30.88 22.88 17.1 13.1 ],[19.44 19.44 23.4"
19659      "4 19.44 23.44 23.44 23.44 19.44 ],[1 1 1 ]);\npatch([17.1 22.88 18.88 13.1 17.1 ],[15.44 15.44 19.44 19.44 15.44 ],"
19660      "[0.931 0.946 0.973 ]);\npatch([13.1 18.88 22.88 17.1 13.1 ],[11.44 11.44 15.44 15.44 11.44 ],[1 1 1 ]);\npatch([17."
19661      "1 30.88 26.88 22.88 18.88 13.1 17.1 ],[7.44 7.44 11.44 7.44 11.44 11.44 7.44 ],[0.931 0.946 0.973 ]);\nfprintf('','"
19662      "COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\n\ncolor('black');port_label('output',1,'cas"
19663      "t');\nfprintf('','COMMENT: end icon text');"
19664    }
19665    Block {
19666      BlockType       Reference
19667      Name            "Convert1"
19668      SID             "719"
19669      Ports           [1, 1]
19670      Position        [480, 230, 525, 260]
19671      LibraryVersion      "1.2"
19672      SourceBlock         "xbsIndex_r4/Convert"
19673      SourceType          "Xilinx Type Converter Block"
19674      infoedit        "Hardware notes: rounding and saturating require hardware resources; truncating and wrapping do not."
19675      gui_display_data_type   "Fixed-point"
19676      arith_type          "Signed  (2's comp)"
19677      n_bits          "12"
19678      bin_pt          "11"
19679      float_type          "Single"
19680      exp_bits        "8"
19681      fraction_bits       "24"
19682      quantization        "Truncate"
19683      overflow        "Wrap"
19684      en              off
19685      latency         "0"
19686      dbl_ovrd        off
19687      pipeline        off
19688      xl_use_area         off
19689      xl_area         "[0,0,0,0,0,0,0]"
19690      has_advanced_control    "0"
19691      sggui_pos       "-1,-1,-1,-1"
19692      block_type          "convert"
19693      sg_icon_stat        "45,30,1,1,white,blue,0,edca21da,right,,[ ],[ ]"
19694      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 45 45 0 0 ],[0 0 30 30 0 ],[0.77 0.82 0.91"
19695      " ]);\nplot([0 45 45 0 0 ],[0 0 30 30 0 ]);\npatch([13.1 18.88 22.88 26.88 30.88 22.88 17.1 13.1 ],[19.44 19.44 23.4"
19696      "4 19.44 23.44 23.44 23.44 19.44 ],[1 1 1 ]);\npatch([17.1 22.88 18.88 13.1 17.1 ],[15.44 15.44 19.44 19.44 15.44 ],"
19697      "[0.931 0.946 0.973 ]);\npatch([13.1 18.88 22.88 17.1 13.1 ],[11.44 11.44 15.44 15.44 11.44 ],[1 1 1 ]);\npatch([17."
19698      "1 30.88 26.88 22.88 18.88 13.1 17.1 ],[7.44 7.44 11.44 7.44 11.44 11.44 7.44 ],[0.931 0.946 0.973 ]);\nfprintf('','"
19699      "COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\n\ncolor('black');port_label('output',1,'cas"
19700      "t');\nfprintf('','COMMENT: end icon text');"
19701    }
19702    Block {
19703      BlockType       Reference
19704      Name            "Register"
19705      SID             "720"
19706      Ports           [1, 1]
19707      Position        [625, 176, 660, 204]
19708      ShowName        off
19709      LibraryVersion      "1.2"
19710      SourceBlock         "xbsIndex_r4/Register"
19711      SourceType          "Xilinx Register Block"
19712      init            "0"
19713      rst             off
19714      en              off
19715      dbl_ovrd        off
19716      xl_use_area         off
19717      xl_area         "[0,0,0,0,0,0,0]"
19718      has_advanced_control    "0"
19719      sggui_pos       "-1,-1,-1,-1"
19720      block_type          "register"
19721      block_version       "8.2"
19722      sg_icon_stat        "35,28,1,1,white,blue,0,c80657c5,right,,[ ],[ ]"
19723      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 35 35 0 0 ],[0 0 28 28 0 ],[0.77 0.82 0.91"
19724      " ]);\nplot([0 35 35 0 0 ],[0 0 28 28 0 ]);\npatch([8.1 13.88 17.88 21.88 25.88 17.88 12.1 8.1 ],[18.44 18.44 22.44 "
19725      "18.44 22.44 22.44 22.44 18.44 ],[1 1 1 ]);\npatch([12.1 17.88 13.88 8.1 12.1 ],[14.44 14.44 18.44 18.44 14.44 ],[0."
19726      "931 0.946 0.973 ]);\npatch([8.1 13.88 17.88 12.1 8.1 ],[10.44 10.44 14.44 14.44 10.44 ],[1 1 1 ]);\npatch([12.1 25."
19727      "88 21.88 17.88 13.88 8.1 12.1 ],[6.44 6.44 10.44 6.44 10.44 10.44 6.44 ],[0.931 0.946 0.973 ]);\nfprintf('','COMMEN"
19728      "T: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1,'d');\ncolor("
19729      "'black');port_label('output',1,'q');\ncolor('black');disp('z^{-1}','texmode','on');\nfprintf('','COMMENT: end icon "
19730      "text');"
19731    }
19732    Block {
19733      BlockType       Reference
19734      Name            "Register1"
19735      SID             "721"
19736      Ports           [1, 1]
19737      Position        [625, 231, 660, 259]
19738      ShowName        off
19739      LibraryVersion      "1.2"
19740      SourceBlock         "xbsIndex_r4/Register"
19741      SourceType          "Xilinx Register Block"
19742      init            "0"
19743      rst             off
19744      en              off
19745      dbl_ovrd        off
19746      xl_use_area         off
19747      xl_area         "[0,0,0,0,0,0,0]"
19748      has_advanced_control    "0"
19749      sggui_pos       "-1,-1,-1,-1"
19750      block_type          "register"
19751      block_version       "8.2"
19752      sg_icon_stat        "35,28,1,1,white,blue,0,c80657c5,right,,[ ],[ ]"
19753      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 35 35 0 0 ],[0 0 28 28 0 ],[0.77 0.82 0.91"
19754      " ]);\nplot([0 35 35 0 0 ],[0 0 28 28 0 ]);\npatch([8.1 13.88 17.88 21.88 25.88 17.88 12.1 8.1 ],[18.44 18.44 22.44 "
19755      "18.44 22.44 22.44 22.44 18.44 ],[1 1 1 ]);\npatch([12.1 17.88 13.88 8.1 12.1 ],[14.44 14.44 18.44 18.44 14.44 ],[0."
19756      "931 0.946 0.973 ]);\npatch([8.1 13.88 17.88 12.1 8.1 ],[10.44 10.44 14.44 14.44 10.44 ],[1 1 1 ]);\npatch([12.1 25."
19757      "88 21.88 17.88 13.88 8.1 12.1 ],[6.44 6.44 10.44 6.44 10.44 10.44 6.44 ],[0.931 0.946 0.973 ]);\nfprintf('','COMMEN"
19758      "T: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1,'d');\ncolor("
19759      "'black');port_label('output',1,'q');\ncolor('black');disp('z^{-1}','texmode','on');\nfprintf('','COMMENT: end icon "
19760      "text');"
19761    }
19762    Block {
19763      BlockType       Reference
19764      Name            "Reinterpret"
19765      SID             "722"
19766      Ports           [1, 1]
19767      Position        [365, 180, 410, 200]
19768      ShowName        off
19769      LibraryVersion      "1.2"
19770      SourceBlock         "xbsIndex_r4/Reinterpret"
19771      SourceType          "Xilinx Type Reinterpreter Block"
19772      infoedit        "Changes signal type without altering the binary representation.   You can changed the signal between s"
19773      "igned and unsigned, and relocate the binary point.<P><P>Hardware notes: In hardware this block costs nothing.<P><P>"
19774      "Example:  Suppose the input is 6 bits wide, signed, with 2 fractional bits, and the output is forced to unsigned wi"
19775      "th 0 fractional bits.  Then an input of -2.0 (1110.00 in binary 2's complement) becomes an output of 56 (111000 in "
19776      "binary)."
19777      force_arith_type    on
19778      arith_type          "Signed  (2's comp)"
19779      force_bin_pt        on
19780      bin_pt          "15"
19781      has_advanced_control    "0"
19782      sggui_pos       "20,20,356,309"
19783      block_type          "reinterpret"
19784      block_version       "8.2"
19785      sg_icon_stat        "45,20,1,1,white,blue,0,6b04d0b0,right,,[ ],[ ]"
19786      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 45 45 0 0 ],[0 0 20 20 0 ],[0.77 0.82 0.91"
19787      " ]);\nplot([0 45 45 0 0 ],[0 0 20 20 0 ]);\npatch([17.55 20.44 22.44 24.44 26.44 22.44 19.55 17.55 ],[12.22 12.22 1"
19788      "4.22 12.22 14.22 14.22 14.22 12.22 ],[1 1 1 ]);\npatch([19.55 22.44 20.44 17.55 19.55 ],[10.22 10.22 12.22 12.22 10"
19789      ".22 ],[0.931 0.946 0.973 ]);\npatch([17.55 20.44 22.44 19.55 17.55 ],[8.22 8.22 10.22 10.22 8.22 ],[1 1 1 ]);\npatc"
19790      "h([19.55 26.44 24.44 22.44 20.44 17.55 19.55 ],[6.22 6.22 8.22 6.22 8.22 8.22 6.22 ],[0.931 0.946 0.973 ]);\nfprint"
19791      "f('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\n\n\ncolor('black');disp('reinterpret'"
19792      ");\nfprintf('','COMMENT: end icon text');"
19793    }
19794    Block {
19795      BlockType       Reference
19796      Name            "Reinterpret1"
19797      SID             "723"
19798      Ports           [1, 1]
19799      Position        [365, 235, 410, 255]
19800      ShowName        off
19801      LibraryVersion      "1.2"
19802      SourceBlock         "xbsIndex_r4/Reinterpret"
19803      SourceType          "Xilinx Type Reinterpreter Block"
19804      infoedit        "Changes signal type without altering the binary representation.   You can changed the signal between s"
19805      "igned and unsigned, and relocate the binary point.<P><P>Hardware notes: In hardware this block costs nothing.<P><P>"
19806      "Example:  Suppose the input is 6 bits wide, signed, with 2 fractional bits, and the output is forced to unsigned wi"
19807      "th 0 fractional bits.  Then an input of -2.0 (1110.00 in binary 2's complement) becomes an output of 56 (111000 in "
19808      "binary)."
19809      force_arith_type    on
19810      arith_type          "Signed  (2's comp)"
19811      force_bin_pt        on
19812      bin_pt          "15"
19813      has_advanced_control    "0"
19814      sggui_pos       "20,20,356,309"
19815      block_type          "reinterpret"
19816      block_version       "8.2"
19817      sg_icon_stat        "45,20,1,1,white,blue,0,6b04d0b0,right,,[ ],[ ]"
19818      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 45 45 0 0 ],[0 0 20 20 0 ],[0.77 0.82 0.91"
19819      " ]);\nplot([0 45 45 0 0 ],[0 0 20 20 0 ]);\npatch([17.55 20.44 22.44 24.44 26.44 22.44 19.55 17.55 ],[12.22 12.22 1"
19820      "4.22 12.22 14.22 14.22 14.22 12.22 ],[1 1 1 ]);\npatch([19.55 22.44 20.44 17.55 19.55 ],[10.22 10.22 12.22 12.22 10"
19821      ".22 ],[0.931 0.946 0.973 ]);\npatch([17.55 20.44 22.44 19.55 17.55 ],[8.22 8.22 10.22 10.22 8.22 ],[1 1 1 ]);\npatc"
19822      "h([19.55 26.44 24.44 22.44 20.44 17.55 19.55 ],[6.22 6.22 8.22 6.22 8.22 8.22 6.22 ],[0.931 0.946 0.973 ]);\nfprint"
19823      "f('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\n\n\ncolor('black');disp('reinterpret'"
19824      ");\nfprintf('','COMMENT: end icon text');"
19825    }
19826    Block {
19827      BlockType       Terminator
19828      Name            "Terminator1"
19829      SID             "724"
19830      Position        [870, 180, 890, 200]
19831      ShowName        off
19832    }
19833    Block {
19834      BlockType       Terminator
19835      Name            "Terminator2"
19836      SID             "725"
19837      Position        [870, 235, 890, 255]
19838      ShowName        off
19839    }
19840    Block {
19841      BlockType       Reference
19842      Name            "radio4_DAC_I"
19843      SID             "726"
19844      Ports           [1, 1]
19845      Position        [750, 180, 810, 200]
19846      LibraryVersion      "1.2"
19847      SourceBlock         "xbsIndex_r4/Gateway Out"
19848      SourceType          "Xilinx Gateway Out Block"
19849      infoedit        "Gateway out block.  Converts Xilinx fixed-point or floating-point type inputs into ouputs of type Simu"
19850      "link integer, single, double, or fixed point.<br><br>Hardware notes:  In hardware these blocks become top level out"
19851      "put ports or are discarded, depending on how they are configured."
19852      inherit_from_input      off
19853      hdl_port        on
19854      timing_constraint   "None"
19855      locs_specified      off
19856      LOCs            "{}"
19857      xl_use_area         off
19858      xl_area         "[0,0,0,0,0,0,0]"
19859      UseAsDAC        off
19860      DACChannel          "'1'"
19861      has_advanced_control    "0"
19862      sggui_pos       "-1,-1,-1,-1"
19863      block_type          "gatewayout"
19864      sg_icon_stat        "60,20,1,1,white,yellow,1,cc31b7ac,right,,[ ],[ ]"
19865      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 0 ],[0 0 20 20 0 ],[0.95 0.93 0.65"
19866      " ]);\nplot([0 60 60 0 0 ],[0 0 20 20 0 ]);\npatch([25.55 28.44 30.44 32.44 34.44 30.44 27.55 25.55 ],[12.22 12.22 1"
19867      "4.22 12.22 14.22 14.22 14.22 12.22 ],[1 1 1 ]);\npatch([27.55 30.44 28.44 25.55 27.55 ],[10.22 10.22 12.22 12.22 10"
19868      ".22 ],[0.985 0.979 0.895 ]);\npatch([25.55 28.44 30.44 27.55 25.55 ],[8.22 8.22 10.22 10.22 8.22 ],[1 1 1 ]);\npatc"
19869      "h([27.55 34.44 32.44 30.44 28.44 25.55 27.55 ],[6.22 6.22 8.22 6.22 8.22 8.22 6.22 ],[0.985 0.979 0.895 ]);\nfprint"
19870      "f('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1,'"
19871      " ');\ncolor('black');port_label('output',1,'\\fontsize{11pt}\\bf Out ','texmode','on');\nfprintf('','COMMENT: end i"
19872      "con text');"
19873    }
19874    Block {
19875      BlockType       Reference
19876      Name            "radio4_DAC_Q"
19877      SID             "727"
19878      Ports           [1, 1]
19879      Position        [750, 235, 810, 255]
19880      LibraryVersion      "1.2"
19881      SourceBlock         "xbsIndex_r4/Gateway Out"
19882      SourceType          "Xilinx Gateway Out Block"
19883      infoedit        "Gateway out block.  Converts Xilinx fixed-point or floating-point type inputs into ouputs of type Simu"
19884      "link integer, single, double, or fixed point.<br><br>Hardware notes:  In hardware these blocks become top level out"
19885      "put ports or are discarded, depending on how they are configured."
19886      inherit_from_input      off
19887      hdl_port        on
19888      timing_constraint   "None"
19889      locs_specified      off
19890      LOCs            "{}"
19891      xl_use_area         off
19892      xl_area         "[0,0,0,0,0,0,0]"
19893      UseAsDAC        off
19894      DACChannel          "'1'"
19895      has_advanced_control    "0"
19896      sggui_pos       "-1,-1,-1,-1"
19897      block_type          "gatewayout"
19898      sg_icon_stat        "60,20,1,1,white,yellow,1,cc31b7ac,right,,[ ],[ ]"
19899      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 0 ],[0 0 20 20 0 ],[0.95 0.93 0.65"
19900      " ]);\nplot([0 60 60 0 0 ],[0 0 20 20 0 ]);\npatch([25.55 28.44 30.44 32.44 34.44 30.44 27.55 25.55 ],[12.22 12.22 1"
19901      "4.22 12.22 14.22 14.22 14.22 12.22 ],[1 1 1 ]);\npatch([27.55 30.44 28.44 25.55 27.55 ],[10.22 10.22 12.22 12.22 10"
19902      ".22 ],[0.985 0.979 0.895 ]);\npatch([25.55 28.44 30.44 27.55 25.55 ],[8.22 8.22 10.22 10.22 8.22 ],[1 1 1 ]);\npatc"
19903      "h([27.55 34.44 32.44 30.44 28.44 25.55 27.55 ],[6.22 6.22 8.22 6.22 8.22 8.22 6.22 ],[0.985 0.979 0.895 ]);\nfprint"
19904      "f('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1,'"
19905      " ');\ncolor('black');port_label('output',1,'\\fontsize{11pt}\\bf Out ','texmode','on');\nfprintf('','COMMENT: end i"
19906      "con text');"
19907    }
19908    Line {
19909      SrcBlock        "Convert1"
19910      SrcPort         1
19911      DstBlock        "Register1"
19912      DstPort         1
19913    }
19914    Line {
19915      SrcBlock        "Convert"
19916      SrcPort         1
19917      DstBlock        "Register"
19918      DstPort         1
19919    }
19920    Line {
19921      SrcBlock        "32b"
19922      SrcPort         1
19923      Points          [65, 0]
19924      Branch {
19925        DstBlock            "16LSB"
19926        DstPort         1
19927      }
19928      Branch {
19929        Points          [0, -55]
19930        DstBlock            "16MSB"
19931        DstPort         1
19932      }
19933    }
19934    Line {
19935      SrcBlock        "Register1"
19936      SrcPort         1
19937      DstBlock        "radio4_DAC_Q"
19938      DstPort         1
19939    }
19940    Line {
19941      SrcBlock        "Register"
19942      SrcPort         1
19943      DstBlock        "radio4_DAC_I"
19944      DstPort         1
19945    }
19946    Line {
19947      SrcBlock        "16MSB"
19948      SrcPort         1
19949      DstBlock        "Reinterpret"
19950      DstPort         1
19951    }
19952    Line {
19953      SrcBlock        "16LSB"
19954      SrcPort         1
19955      DstBlock        "Reinterpret1"
19956      DstPort         1
19957    }
19958    Line {
19959      SrcBlock        "Reinterpret"
19960      SrcPort         1
19961      DstBlock        "Convert"
19962      DstPort         1
19963    }
19964    Line {
19965      SrcBlock        "Reinterpret1"
19966      SrcPort         1
19967      DstBlock        "Convert1"
19968      DstPort         1
19969    }
19970    Line {
19971      SrcBlock        "radio4_DAC_I"
19972      SrcPort         1
19973      DstBlock        "Terminator1"
19974      DstPort         1
19975    }
19976    Line {
19977      SrcBlock        "radio4_DAC_Q"
19978      SrcPort         1
19979      DstBlock        "Terminator2"
19980      DstPort         1
19981    }
19982      }
19983    }
19984    Block {
19985      BlockType           Reference
19986      Name            "Register"
19987      SID             "594"
19988      Ports           [1, 1]
19989      Position            [250, 1006, 285, 1034]
19990      ShowName            off
19991      LibraryVersion          "1.2"
19992      SourceBlock         "xbsIndex_r4/Register"
19993      SourceType          "Xilinx Register Block"
19994      init            "0"
19995      rst             off
19996      en              off
19997      dbl_ovrd            off
19998      xl_use_area         off
19999      xl_area             "[0,0,0,0,0,0,0]"
20000      has_advanced_control    "0"
20001      sggui_pos           "-1,-1,-1,-1"
20002      block_type          "register"
20003      block_version       "8.2"
20004      sg_icon_stat        "35,28,1,1,white,blue,0,c80657c5,right,,[ ],[ ]"
20005      sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npatch([0 35 35 0 0 ],[0 0 28 28 0 ],[0.77 0."
20006      "82 0.91 ]);\nplot([0 35 35 0 0 ],[0 0 28 28 0 ]);\npatch([8.1 13.88 17.88 21.88 25.88 17.88 12.1 8.1 ],[18.44 18"
20007      ".44 22.44 18.44 22.44 22.44 22.44 18.44 ],[1 1 1 ]);\npatch([12.1 17.88 13.88 8.1 12.1 ],[14.44 14.44 18.44 18.4"
20008      "4 14.44 ],[0.931 0.946 0.973 ]);\npatch([8.1 13.88 17.88 12.1 8.1 ],[10.44 10.44 14.44 14.44 10.44 ],[1 1 1 ]);\n"
20009      "patch([12.1 25.88 21.88 17.88 13.88 8.1 12.1 ],[6.44 6.44 10.44 6.44 10.44 10.44 6.44 ],[0.931 0.946 0.973 ]);\n"
20010      "fprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('i"
20011      "nput',1,'d');\ncolor('black');port_label('output',1,'q');\ncolor('black');disp('z^{-1}','texmode','on');\nfprint"
20012      "f('','COMMENT: end icon text');"
20013    }
20014    Block {
20015      BlockType           SubSystem
20016      Name            "Rx Control"
20017      SID             "595"
20018      Ports           [1, 2]
20019      Position            [415, 224, 510, 266]
20020      MinAlgLoopOccurrences   off
20021      PropExecContextOutsideSubsystem off
20022      RTWSystemCode       "Auto"
20023      FunctionWithSeparateData off
20024      Opaque              off
20025      RequestExecContextInheritance off
20026      MaskHideContents        off
20027      System {
20028    Name            "Rx Control"
20029    Location        [2, 74, 1270, 710]
20030    Open            off
20031    ModelBrowserVisibility  off
20032    ModelBrowserWidth   200
20033    ScreenColor     "white"
20034    PaperOrientation    "landscape"
20035    PaperPositionMode   "auto"
20036    PaperType       "usletter"
20037    PaperUnits      "inches"
20038    TiledPaperMargins   [0.500000, 0.500000, 0.500000, 0.500000]
20039    TiledPageScale      1
20040    ShowPageBoundaries  off
20041    ZoomFactor      "159"
20042    Block {
20043      BlockType       Inport
20044      Name            "Start"
20045      SID             "596"
20046      Position        [15, 298, 45, 312]
20047      IconDisplay         "Port number"
20048    }
20049    Block {
20050      BlockType       Reference
20051      Name            "Convert"
20052      SID             "597"
20053      Ports           [1, 1]
20054      Position        [635, 162, 665, 178]
20055      ShowName        off
20056      LibraryVersion      "1.2"
20057      SourceBlock         "xbsIndex_r4/Convert"
20058      SourceType          "Xilinx Type Converter Block"
20059      infoedit        "Hardware notes: rounding and saturating require hardware resources; truncating and wrapping do not."
20060      gui_display_data_type   "Fixed-point"
20061      arith_type          "Unsigned"
20062      n_bits          "1"
20063      bin_pt          "0"
20064      float_type          "Single"
20065      exp_bits        "8"
20066      fraction_bits       "24"
20067      quantization        "Truncate"
20068      overflow        "Wrap"
20069      en              off
20070      latency         "0"
20071      dbl_ovrd        off
20072      pipeline        off
20073      xl_use_area         off
20074      xl_area         "[0,0,0,0,0,0,0]"
20075      has_advanced_control    "0"
20076      sggui_pos       "20,20,461,334"
20077      block_type          "convert"
20078      block_version       "10.1.2"
20079      sg_icon_stat        "30,16,1,1,white,blue,0,edca21da,right,,[ ],[ ]"
20080      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 30 30 0 0 ],[0 0 16 16 0 ],[0.77 0.82 0.91"
20081      " ]);\nplot([0 30 30 0 0 ],[0 0 16 16 0 ]);\npatch([10.55 13.44 15.44 17.44 19.44 15.44 12.55 10.55 ],[10.22 10.22 1"
20082      "2.22 10.22 12.22 12.22 12.22 10.22 ],[1 1 1 ]);\npatch([12.55 15.44 13.44 10.55 12.55 ],[8.22 8.22 10.22 10.22 8.22"
20083      " ],[0.931 0.946 0.973 ]);\npatch([10.55 13.44 15.44 12.55 10.55 ],[6.22 6.22 8.22 8.22 6.22 ],[1 1 1 ]);\npatch([12"
20084      ".55 19.44 17.44 15.44 13.44 10.55 12.55 ],[4.22 4.22 6.22 4.22 6.22 6.22 4.22 ],[0.931 0.946 0.973 ]);\nfprintf('',"
20085      "'COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\n\ncolor('black');port_label('output',1,'ca"
20086      "st');\nfprintf('','COMMENT: end icon text');"
20087    }
20088    Block {
20089      BlockType       Reference
20090      Name            "Gateway Out1"
20091      SID             "598"
20092      Ports           [1, 1]
20093      Position        [585, 25, 615, 35]
20094      ShowName        off
20095      LibraryVersion      "1.2"
20096      SourceBlock         "xbsIndex_r4/Gateway Out"
20097      SourceType          "Xilinx Gateway Out Block"
20098      infoedit        "Gateway out block.  Converts Xilinx fixed point inputs into ouputs of type Simulink integer, double, o"
20099      "r fixed point.<P><P>Hardware notes:  In hardware these blocks become top level output ports or are discarded, depen"
20100      "ding on how they are configured."
20101      inherit_from_input      off
20102      hdl_port        off
20103      timing_constraint   "None"
20104      locs_specified      off
20105      LOCs            "{}"
20106      xl_use_area         off
20107      xl_area         "[0,0,0,0,0,0,0]"
20108      UseAsDAC        off
20109      DACChannel          "'1'"
20110      has_advanced_control    "0"
20111      sggui_pos       "20,20,336,386"
20112      block_type          "gatewayout"
20113      block_version       "10.1.2"
20114      sg_icon_stat        "30,10,1,1,white,grey,1,632ec840,right,,[ ],[ ]"
20115      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 30 30 0 0 ],[0 0 10 10 0 ],[0.88 0.88 0.88"
20116      " ]);\nplot([0 30 30 0 0 ],[0 0 10 10 0 ]);\npatch([12.775 14.22 15.22 16.22 17.22 15.22 13.775 12.775 ],[6.11 6.11 "
20117      "7.11 6.11 7.11 7.11 7.11 6.11 ],[1 1 1 ]);\npatch([13.775 15.22 14.22 12.775 13.775 ],[5.11 5.11 6.11 6.11 5.11 ],["
20118      "0.964 0.964 0.964 ]);\npatch([12.775 14.22 15.22 13.775 12.775 ],[4.11 4.11 5.11 5.11 4.11 ],[1 1 1 ]);\npatch([13."
20119      "775 17.22 16.22 15.22 14.22 12.775 13.775 ],[3.11 3.11 4.11 3.11 4.11 4.11 3.11 ],[0.964 0.964 0.964 ]);\nfprintf('"
20120      "','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1,' ')"
20121      ";\ncolor('black');port_label('output',1,'\\fontsize{11pt} Out ','texmode','on');\nfprintf('','COMMENT: end icon tex"
20122      "t');"
20123    }
20124    Block {
20125      BlockType       Reference
20126      Name            "Gateway Out2"
20127      SID             "599"
20128      Ports           [1, 1]
20129      Position        [585, 40, 615, 50]
20130      ShowName        off
20131      LibraryVersion      "1.2"
20132      SourceBlock         "xbsIndex_r4/Gateway Out"
20133      SourceType          "Xilinx Gateway Out Block"
20134      infoedit        "Gateway out block.  Converts Xilinx fixed point inputs into ouputs of type Simulink integer, double, o"
20135      "r fixed point.<P><P>Hardware notes:  In hardware these blocks become top level output ports or are discarded, depen"
20136      "ding on how they are configured."
20137      inherit_from_input      off
20138      hdl_port        off
20139      timing_constraint   "None"
20140      locs_specified      off
20141      LOCs            "{}"
20142      xl_use_area         off
20143      xl_area         "[0,0,0,0,0,0,0]"
20144      UseAsDAC        off
20145      DACChannel          "'1'"
20146      has_advanced_control    "0"
20147      sggui_pos       "20,20,336,386"
20148      block_type          "gatewayout"
20149      block_version       "10.1.2"
20150      sg_icon_stat        "30,10,1,1,white,grey,1,632ec840,right,,[ ],[ ]"
20151      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 30 30 0 0 ],[0 0 10 10 0 ],[0.88 0.88 0.88"
20152      " ]);\nplot([0 30 30 0 0 ],[0 0 10 10 0 ]);\npatch([12.775 14.22 15.22 16.22 17.22 15.22 13.775 12.775 ],[6.11 6.11 "
20153      "7.11 6.11 7.11 7.11 7.11 6.11 ],[1 1 1 ]);\npatch([13.775 15.22 14.22 12.775 13.775 ],[5.11 5.11 6.11 6.11 5.11 ],["
20154      "0.964 0.964 0.964 ]);\npatch([12.775 14.22 15.22 13.775 12.775 ],[4.11 4.11 5.11 5.11 4.11 ],[1 1 1 ]);\npatch([13."
20155      "775 17.22 16.22 15.22 14.22 12.775 13.775 ],[3.11 3.11 4.11 3.11 4.11 4.11 3.11 ],[0.964 0.964 0.964 ]);\nfprintf('"
20156      "','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1,' ')"
20157      ";\ncolor('black');port_label('output',1,'\\fontsize{11pt} Out ','texmode','on');\nfprintf('','COMMENT: end icon tex"
20158      "t');"
20159    }
20160    Block {
20161      BlockType       Reference
20162      Name            "Gateway Out3"
20163      SID             "600"
20164      Ports           [1, 1]
20165      Position        [585, 55, 615, 65]
20166      ShowName        off
20167      LibraryVersion      "1.2"
20168      SourceBlock         "xbsIndex_r4/Gateway Out"
20169      SourceType          "Xilinx Gateway Out Block"
20170      infoedit        "Gateway out block.  Converts Xilinx fixed point inputs into ouputs of type Simulink integer, double, o"
20171      "r fixed point.<P><P>Hardware notes:  In hardware these blocks become top level output ports or are discarded, depen"
20172      "ding on how they are configured."
20173      inherit_from_input      off
20174      hdl_port        off
20175      timing_constraint   "None"
20176      locs_specified      off
20177      LOCs            "{}"
20178      xl_use_area         off
20179      xl_area         "[0,0,0,0,0,0,0]"
20180      UseAsDAC        off
20181      DACChannel          "'1'"
20182      has_advanced_control    "0"
20183      sggui_pos       "20,20,336,386"
20184      block_type          "gatewayout"
20185      block_version       "10.1.2"
20186      sg_icon_stat        "30,10,1,1,white,grey,1,632ec840,right,,[ ],[ ]"
20187      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 30 30 0 0 ],[0 0 10 10 0 ],[0.88 0.88 0.88"
20188      " ]);\nplot([0 30 30 0 0 ],[0 0 10 10 0 ]);\npatch([12.775 14.22 15.22 16.22 17.22 15.22 13.775 12.775 ],[6.11 6.11 "
20189      "7.11 6.11 7.11 7.11 7.11 6.11 ],[1 1 1 ]);\npatch([13.775 15.22 14.22 12.775 13.775 ],[5.11 5.11 6.11 6.11 5.11 ],["
20190      "0.964 0.964 0.964 ]);\npatch([12.775 14.22 15.22 13.775 12.775 ],[4.11 4.11 5.11 5.11 4.11 ],[1 1 1 ]);\npatch([13."
20191      "775 17.22 16.22 15.22 14.22 12.775 13.775 ],[3.11 3.11 4.11 3.11 4.11 4.11 3.11 ],[0.964 0.964 0.964 ]);\nfprintf('"
20192      "','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1,' ')"
20193      ";\ncolor('black');port_label('output',1,'\\fontsize{11pt} Out ','texmode','on');\nfprintf('','COMMENT: end icon tex"
20194      "t');"
20195    }
20196    Block {
20197      BlockType       Reference
20198      Name            "Gateway Out4"
20199      SID             "601"
20200      Ports           [1, 1]
20201      Position        [585, 70, 615, 80]
20202      ShowName        off
20203      LibraryVersion      "1.2"
20204      SourceBlock         "xbsIndex_r4/Gateway Out"
20205      SourceType          "Xilinx Gateway Out Block"
20206      infoedit        "Gateway out block.  Converts Xilinx fixed point inputs into ouputs of type Simulink integer, double, o"
20207      "r fixed point.<P><P>Hardware notes:  In hardware these blocks become top level output ports or are discarded, depen"
20208      "ding on how they are configured."
20209      inherit_from_input      off
20210      hdl_port        off
20211      timing_constraint   "None"
20212      locs_specified      off
20213      LOCs            "{}"
20214      xl_use_area         off
20215      xl_area         "[0,0,0,0,0,0,0]"
20216      UseAsDAC        off
20217      DACChannel          "'1'"
20218      has_advanced_control    "0"
20219      sggui_pos       "20,20,336,386"
20220      block_type          "gatewayout"
20221      block_version       "10.1.2"
20222      sg_icon_stat        "30,10,1,1,white,grey,1,632ec840,right,,[ ],[ ]"
20223      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 30 30 0 0 ],[0 0 10 10 0 ],[0.88 0.88 0.88"
20224      " ]);\nplot([0 30 30 0 0 ],[0 0 10 10 0 ]);\npatch([12.775 14.22 15.22 16.22 17.22 15.22 13.775 12.775 ],[6.11 6.11 "
20225      "7.11 6.11 7.11 7.11 7.11 6.11 ],[1 1 1 ]);\npatch([13.775 15.22 14.22 12.775 13.775 ],[5.11 5.11 6.11 6.11 5.11 ],["
20226      "0.964 0.964 0.964 ]);\npatch([12.775 14.22 15.22 13.775 12.775 ],[4.11 4.11 5.11 5.11 4.11 ],[1 1 1 ]);\npatch([13."
20227      "775 17.22 16.22 15.22 14.22 12.775 13.775 ],[3.11 3.11 4.11 3.11 4.11 4.11 3.11 ],[0.964 0.964 0.964 ]);\nfprintf('"
20228      "','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1,' ')"
20229      ";\ncolor('black');port_label('output',1,'\\fontsize{11pt} Out ','texmode','on');\nfprintf('','COMMENT: end icon tex"
20230      "t');"
20231    }
20232    Block {
20233      BlockType       Reference
20234      Name            "Gateway Out5"
20235      SID             "602"
20236      Ports           [1, 1]
20237      Position        [585, 85, 615, 95]
20238      ShowName        off
20239      LibraryVersion      "1.2"
20240      SourceBlock         "xbsIndex_r4/Gateway Out"
20241      SourceType          "Xilinx Gateway Out Block"
20242      infoedit        "Gateway out block.  Converts Xilinx fixed point inputs into ouputs of type Simulink integer, double, o"
20243      "r fixed point.<P><P>Hardware notes:  In hardware these blocks become top level output ports or are discarded, depen"
20244      "ding on how they are configured."
20245      inherit_from_input      off
20246      hdl_port        off
20247      timing_constraint   "None"
20248      locs_specified      off
20249      LOCs            "{}"
20250      xl_use_area         off
20251      xl_area         "[0,0,0,0,0,0,0]"
20252      UseAsDAC        off
20253      DACChannel          "'1'"
20254      has_advanced_control    "0"
20255      sggui_pos       "20,20,336,386"
20256      block_type          "gatewayout"
20257      block_version       "10.1.2"
20258      sg_icon_stat        "30,10,1,1,white,grey,1,632ec840,right,,[ ],[ ]"
20259      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 30 30 0 0 ],[0 0 10 10 0 ],[0.88 0.88 0.88"
20260      " ]);\nplot([0 30 30 0 0 ],[0 0 10 10 0 ]);\npatch([12.775 14.22 15.22 16.22 17.22 15.22 13.775 12.775 ],[6.11 6.11 "
20261      "7.11 6.11 7.11 7.11 7.11 6.11 ],[1 1 1 ]);\npatch([13.775 15.22 14.22 12.775 13.775 ],[5.11 5.11 6.11 6.11 5.11 ],["
20262      "0.964 0.964 0.964 ]);\npatch([12.775 14.22 15.22 13.775 12.775 ],[4.11 4.11 5.11 5.11 4.11 ],[1 1 1 ]);\npatch([13."
20263      "775 17.22 16.22 15.22 14.22 12.775 13.775 ],[3.11 3.11 4.11 3.11 4.11 4.11 3.11 ],[0.964 0.964 0.964 ]);\nfprintf('"
20264      "','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1,' ')"
20265      ";\ncolor('black');port_label('output',1,'\\fontsize{11pt} Out ','texmode','on');\nfprintf('','COMMENT: end icon tex"
20266      "t');"
20267    }
20268    Block {
20269      BlockType       Goto
20270      Name            "Goto2"
20271      SID             "603"
20272      Position        [695, 160, 825, 180]
20273      ShowName        off
20274      GotoTag         "CAPTURE_IS_DONE"
20275      TagVisibility       "global"
20276    }
20277    Block {
20278      BlockType       Reference
20279      Name            "Inverter1"
20280      SID             "604"
20281      Ports           [1, 1]
20282      Position        [360, 281, 385, 299]
20283      ShowName        off
20284      LibraryVersion      "1.2"
20285      SourceBlock         "xbsIndex_r4/Inverter"
20286      SourceType          "Xilinx Inverter Block"
20287      infoedit        "Bitwise logical negation (one's complement) operator."
20288      en              off
20289      latency         "0"
20290      dbl_ovrd        off
20291      xl_use_area         off
20292      xl_area         "[0,0,0,0,0,0,0]"
20293      has_advanced_control    "0"
20294      sggui_pos       "-1,-1,-1,-1"
20295      block_type          "inv"
20296      block_version       "8.2"
20297      sg_icon_stat        "25,18,1,1,white,blue,0,267846e5,right,,[ ],[ ]"
20298      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 25 25 0 0 ],[0 0 18 18 0 ],[0.77 0.82 0.91"
20299      " ]);\nplot([0 25 25 0 0 ],[0 0 18 18 0 ]);\npatch([7.55 10.44 12.44 14.44 16.44 12.44 9.55 7.55 ],[11.22 11.22 13.2"
20300      "2 11.22 13.22 13.22 13.22 11.22 ],[1 1 1 ]);\npatch([9.55 12.44 10.44 7.55 9.55 ],[9.22 9.22 11.22 11.22 9.22 ],[0."
20301      "931 0.946 0.973 ]);\npatch([7.55 10.44 12.44 9.55 7.55 ],[7.22 7.22 9.22 9.22 7.22 ],[1 1 1 ]);\npatch([9.55 16.44 "
20302      "14.44 12.44 10.44 7.55 9.55 ],[5.22 5.22 7.22 5.22 7.22 7.22 5.22 ],[0.931 0.946 0.973 ]);\nfprintf('','COMMENT: en"
20303      "d icon graphics');\nfprintf('','COMMENT: begin icon text');\n\n\ncolor('black');disp('not');\nfprintf('','COMMENT: "
20304      "end icon text');"
20305    }
20306    Block {
20307      BlockType       Reference
20308      Name            "Inverter2"
20309      SID             "605"
20310      Ports           [1, 1]
20311      Position        [570, 161, 595, 179]
20312      ShowName        off
20313      LibraryVersion      "1.2"
20314      SourceBlock         "xbsIndex_r4/Inverter"
20315      SourceType          "Xilinx Inverter Block"
20316      infoedit        "Bitwise logical negation (one's complement) operator."
20317      en              off
20318      latency         "0"
20319      dbl_ovrd        off
20320      xl_use_area         off
20321      xl_area         "[0,0,0,0,0,0,0]"
20322      has_advanced_control    "0"
20323      sggui_pos       "-1,-1,-1,-1"
20324      block_type          "inv"
20325      block_version       "8.2"
20326      sg_icon_stat        "25,18,1,1,white,blue,0,267846e5,right,,[ ],[ ]"
20327      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 25 25 0 0 ],[0 0 18 18 0 ],[0.77 0.82 0.91"
20328      " ]);\nplot([0 25 25 0 0 ],[0 0 18 18 0 ]);\npatch([7.55 10.44 12.44 14.44 16.44 12.44 9.55 7.55 ],[11.22 11.22 13.2"
20329      "2 11.22 13.22 13.22 13.22 11.22 ],[1 1 1 ]);\npatch([9.55 12.44 10.44 7.55 9.55 ],[9.22 9.22 11.22 11.22 9.22 ],[0."
20330      "931 0.946 0.973 ]);\npatch([7.55 10.44 12.44 9.55 7.55 ],[7.22 7.22 9.22 9.22 7.22 ],[1 1 1 ]);\npatch([9.55 16.44 "
20331      "14.44 12.44 10.44 7.55 9.55 ],[5.22 5.22 7.22 5.22 7.22 7.22 5.22 ],[0.931 0.946 0.973 ]);\nfprintf('','COMMENT: en"
20332      "d icon graphics');\nfprintf('','COMMENT: begin icon text');\n\n\ncolor('black');disp('not');\nfprintf('','COMMENT: "
20333      "end icon text');"
20334    }
20335    Block {
20336      BlockType       Reference
20337      Name            "Relational1"
20338      SID             "606"
20339      Ports           [2, 1]
20340      Position        [425, 354, 470, 401]
20341      BlockMirror         on
20342      NamePlacement       "alternate"
20343      ShowName        off
20344      LibraryVersion      "1.2"
20345      SourceBlock         "xbsIndex_r4/Relational"
20346      SourceType          "Xilinx Arithmetic Relational Operator Block"
20347      mode            "a>=b"
20348      en              off
20349      latency         "0"
20350      dbl_ovrd        off
20351      xl_use_area         off
20352      xl_area         "[0,0,0,0,0,0,0]"
20353      has_advanced_control    "0"
20354      sggui_pos       "20,20,348,193"
20355      block_type          "relational"
20356      block_version       "8.2"
20357      sg_icon_stat        "45,47,2,1,white,blue,0,6218dc92,left,,[ ],[ ]"
20358      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 45 45 0 0 ],[0 0 47 47 0 ],[0.77 0.82 0.91"
20359      " ]);\nplot([0 45 45 0 0 ],[0 0 47 47 0 ]);\npatch([8.65 17.32 23.32 29.32 35.32 23.32 14.65 8.65 ],[29.66 29.66 35."
20360      "66 29.66 35.66 35.66 35.66 29.66 ],[1 1 1 ]);\npatch([14.65 23.32 17.32 8.65 14.65 ],[23.66 23.66 29.66 29.66 23.66"
20361      " ],[0.931 0.946 0.973 ]);\npatch([8.65 17.32 23.32 14.65 8.65 ],[17.66 17.66 23.66 23.66 17.66 ],[1 1 1 ]);\npatch("
20362      "[14.65 35.32 29.32 23.32 17.32 8.65 14.65 ],[11.66 11.66 17.66 11.66 17.66 17.66 11.66 ],[0.931 0.946 0.973 ]);\nfp"
20363      "rintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input'"
20364      ",1,'a');\ncolor('black');port_label('input',2,'b');\ncolor('black');port_label('output',1,'\\bfa \\geq b','texmode'"
20365      ",'on');\ncolor('black');disp(' ');\nfprintf('','COMMENT: end icon text');"
20366    }
20367    Block {
20368      BlockType       Reference
20369      Name            "Rx Addr Counter1"
20370      SID             "607"
20371      Ports           [2, 1]
20372      Position        [425, 276, 465, 329]
20373      NamePlacement       "alternate"
20374      LibraryVersion      "1.2"
20375      SourceBlock         "xbsIndex_r4/Counter"
20376      SourceType          "Xilinx Counter Block"
20377      infoedit        "Hardware notes: Free running counters are the least expensive in hardware.  A count limited counter is"
20378      " implemented by combining a counter with a comparator."
20379      cnt_type        "Free Running"
20380      cnt_to          "Inf"
20381      operation       "Up"
20382      start_count         "0"
20383      cnt_by_val          "1"
20384      arith_type          "Unsigned"
20385      n_bits          "14"
20386      bin_pt          "0"
20387      load_pin        off
20388      rst             on
20389      en              on
20390      explicit_period     "off"
20391      period          "1"
20392      dbl_ovrd        off
20393      use_behavioral_HDL      off
20394      implementation      "Fabric"
20395      xl_use_area         off
20396      xl_area         "[0,0,0,0,0,0,0]"
20397      use_rpm         "off"
20398      has_advanced_control    "0"
20399      sggui_pos       "20,20,356,630"
20400      block_type          "counter"
20401      block_version       "8.2"
20402      sg_icon_stat        "40,53,2,1,white,blue,0,ae3608d6,right,,[ ],[ ]"
20403      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 40 40 0 0 ],[0 0 53 53 0 ],[0.77 0.82 0.91"
20404      " ]);\nplot([0 40 40 0 0 ],[0 0 53 53 0 ]);\npatch([8.875 16.1 21.1 26.1 31.1 21.1 13.875 8.875 ],[31.55 31.55 36.55"
20405      " 31.55 36.55 36.55 36.55 31.55 ],[1 1 1 ]);\npatch([13.875 21.1 16.1 8.875 13.875 ],[26.55 26.55 31.55 31.55 26.55 "
20406      "],[0.931 0.946 0.973 ]);\npatch([8.875 16.1 21.1 13.875 8.875 ],[21.55 21.55 26.55 26.55 21.55 ],[1 1 1 ]);\npatch("
20407      "[13.875 31.1 26.1 21.1 16.1 8.875 13.875 ],[16.55 16.55 21.55 16.55 21.55 21.55 16.55 ],[0.931 0.946 0.973 ]);\nfpr"
20408      "intf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',"
20409      "1,'rst');\ncolor('black');port_label('input',2,'en');\n\ncolor('black');disp('{\\fontsize{14}\\bf++}','texmode','on"
20410      "');\nfprintf('','COMMENT: end icon text');\n"
20411    }
20412    Block {
20413      BlockType       Scope
20414      Name            "Rx Control"
20415      SID             "608"
20416      Ports           [5]
20417      Position        [665, 22, 695, 98]
20418      Floating        off
20419      Location        [5, 34, 1285, 742]
20420      Open            off
20421      NumInputPorts       "5"
20422      ZoomMode        "yonly"
20423      List {
20424        ListType            AxesTitles
20425        axes1           "%<SignalLabel>"
20426        axes2           "%<SignalLabel>"
20427        axes3           "%<SignalLabel>"
20428        axes4           "%<SignalLabel>"
20429        axes5           "%<SignalLabel>"
20430      }
20431      TimeRange       "70000"
20432      YMin            "0~0~0~0~0"
20433      YMax            "1~1~1~1~20000"
20434      SaveName        "ScopeData1"
20435      DataFormat          "StructureWithTime"
20436      LimitDataPoints     off
20437      SampleTime          "0"
20438    }
20439    Block {
20440      BlockType       SubSystem
20441      Name            "S-R Latch1"
20442      SID             "609"
20443      Ports           [2, 1]
20444      Position        [225, 297, 265, 333]
20445      MinAlgLoopOccurrences   off
20446      PropExecContextOutsideSubsystem off
20447      RTWSystemCode       "Auto"
20448      FunctionWithSeparateData off
20449      Opaque          off
20450      RequestExecContextInheritance off
20451      MaskHideContents    off
20452      System {
20453        Name            "S-R Latch1"
20454        Location            [2, 74, 1184, 1000]
20455        Open            off
20456        ModelBrowserVisibility  off
20457        ModelBrowserWidth       200
20458        ScreenColor         "white"
20459        PaperOrientation        "landscape"
20460        PaperPositionMode       "auto"
20461        PaperType           "usletter"
20462        PaperUnits          "inches"
20463        TiledPaperMargins       [0.500000, 0.500000, 0.500000, 0.500000]
20464        TiledPageScale      1
20465        ShowPageBoundaries      off
20466        ZoomFactor          "100"
20467        Block {
20468          BlockType           Inport
20469          Name            "S"
20470          SID             "610"
20471          Position            [125, 198, 155, 212]
20472          IconDisplay         "Port number"
20473        }
20474        Block {
20475          BlockType           Inport
20476          Name            "R"
20477          SID             "611"
20478          Position            [125, 178, 155, 192]
20479          NamePlacement       "alternate"
20480          Port            "2"
20481          IconDisplay         "Port number"
20482        }
20483        Block {
20484          BlockType           Reference
20485          Name            "Convert"
20486          SID             "612"
20487          Ports           [1, 1]
20488          Position            [200, 178, 230, 192]
20489          ShowName            off
20490          LibraryVersion          "1.2"
20491          SourceBlock         "xbsIndex_r4/Convert"
20492          SourceType          "Xilinx Type Converter Block"
20493          infoedit            "Hardware notes: rounding and saturating require hardware resources; truncating and wrapping do"
20494          " not."
20495          gui_display_data_type   "Fixed-point"
20496          arith_type          "Boolean"
20497          n_bits              "16"
20498          bin_pt              "14"
20499          float_type          "Single"
20500          exp_bits            "8"
20501          fraction_bits       "24"
20502          quantization        "Truncate"
20503          overflow            "Wrap"
20504          en              off
20505          latency             "0"
20506          dbl_ovrd            off
20507          pipeline            off
20508          xl_use_area         off
20509          xl_area             "[0,0,0,0,0,0,0]"
20510          has_advanced_control    "0"
20511          sggui_pos           "20,20,374,375"
20512          block_type          "convert"
20513          block_version       "8.2"
20514          sg_icon_stat        "30,14,1,1,white,blue,0,edca21da,right,,[ ],[ ]"
20515          sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npatch([0 30 30 0 0 ],[0 0 14 14 0 ],[0.77 0"
20516          ".82 0.91 ]);\nplot([0 30 30 0 0 ],[0 0 14 14 0 ]);\npatch([10.55 13.44 15.44 17.44 19.44 15.44 12.55 10.55 ],[9"
20517          ".22 9.22 11.22 9.22 11.22 11.22 11.22 9.22 ],[1 1 1 ]);\npatch([12.55 15.44 13.44 10.55 12.55 ],[7.22 7.22 9.22"
20518          " 9.22 7.22 ],[0.931 0.946 0.973 ]);\npatch([10.55 13.44 15.44 12.55 10.55 ],[5.22 5.22 7.22 7.22 5.22 ],[1 1 1 "
20519          "]);\npatch([12.55 19.44 17.44 15.44 13.44 10.55 12.55 ],[3.22 3.22 5.22 3.22 5.22 5.22 3.22 ],[0.931 0.946 0.97"
20520          "3 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\n\ncolor('black');por"
20521          "t_label('output',1,'cast');\nfprintf('','COMMENT: end icon text');"
20522        }
20523        Block {
20524          BlockType           Reference
20525          Name            "Convert1"
20526          SID             "613"
20527          Ports           [1, 1]
20528          Position            [200, 198, 230, 212]
20529          ShowName            off
20530          LibraryVersion          "1.2"
20531          SourceBlock         "xbsIndex_r4/Convert"
20532          SourceType          "Xilinx Type Converter Block"
20533          infoedit            "Hardware notes: rounding and saturating require hardware resources; truncating and wrapping do"
20534          " not."
20535          gui_display_data_type   "Fixed-point"
20536          arith_type          "Boolean"
20537          n_bits              "16"
20538          bin_pt              "14"
20539          float_type          "Single"
20540          exp_bits            "8"
20541          fraction_bits       "24"
20542          quantization        "Truncate"
20543          overflow            "Wrap"
20544          en              off
20545          latency             "0"
20546          dbl_ovrd            off
20547          pipeline            off
20548          xl_use_area         off
20549          xl_area             "[0,0,0,0,0,0,0]"
20550          has_advanced_control    "0"
20551          sggui_pos           "20,20,374,375"
20552          block_type          "convert"
20553          block_version       "8.2"
20554          sg_icon_stat        "30,14,1,1,white,blue,0,edca21da,right,,[ ],[ ]"
20555          sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npatch([0 30 30 0 0 ],[0 0 14 14 0 ],[0.77 0"
20556          ".82 0.91 ]);\nplot([0 30 30 0 0 ],[0 0 14 14 0 ]);\npatch([10.55 13.44 15.44 17.44 19.44 15.44 12.55 10.55 ],[9"
20557          ".22 9.22 11.22 9.22 11.22 11.22 11.22 9.22 ],[1 1 1 ]);\npatch([12.55 15.44 13.44 10.55 12.55 ],[7.22 7.22 9.22"
20558          " 9.22 7.22 ],[0.931 0.946 0.973 ]);\npatch([10.55 13.44 15.44 12.55 10.55 ],[5.22 5.22 7.22 7.22 5.22 ],[1 1 1 "
20559          "]);\npatch([12.55 19.44 17.44 15.44 13.44 10.55 12.55 ],[3.22 3.22 5.22 3.22 5.22 5.22 3.22 ],[0.931 0.946 0.97"
20560          "3 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\n\ncolor('black');por"
20561          "t_label('output',1,'cast');\nfprintf('','COMMENT: end icon text');"
20562        }
20563        Block {
20564          BlockType           Reference
20565          Name            "Register"
20566          SID             "614"
20567          Ports           [3, 1]
20568          Position            [280, 153, 330, 217]
20569          NamePlacement       "alternate"
20570          ShowName            off
20571          LibraryVersion          "1.2"
20572          SourceBlock         "xbsIndex_r4/Register"
20573          SourceType          "Xilinx Register Block"
20574          init            "0"
20575          rst             on
20576          en              on
20577          dbl_ovrd            off
20578          xl_use_area         off
20579          xl_area             "[0,0,0,0,0,0,0]"
20580          has_advanced_control    "0"
20581          sggui_pos           "-1,-1,-1,-1"
20582          block_type          "register"
20583          block_version       "8.2"
20584          sg_icon_stat        "50,64,3,1,white,blue,0,30546de1,right,,[ ],[ ]"
20585          sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npatch([0 50 50 0 0 ],[0 0 64 64 0 ],[0.77 0"
20586          ".82 0.91 ]);\nplot([0 50 50 0 0 ],[0 0 64 64 0 ]);\npatch([9.425 19.54 26.54 33.54 40.54 26.54 16.425 9.425 ],["
20587          "39.77 39.77 46.77 39.77 46.77 46.77 46.77 39.77 ],[1 1 1 ]);\npatch([16.425 26.54 19.54 9.425 16.425 ],[32.77 3"
20588          "2.77 39.77 39.77 32.77 ],[0.931 0.946 0.973 ]);\npatch([9.425 19.54 26.54 16.425 9.425 ],[25.77 25.77 32.77 32."
20589          "77 25.77 ],[1 1 1 ]);\npatch([16.425 40.54 33.54 26.54 19.54 9.425 16.425 ],[18.77 18.77 25.77 18.77 25.77 25.7"
20590          "7 18.77 ],[0.931 0.946 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon te"
20591          "xt');\ncolor('black');port_label('input',1,'d');\ncolor('black');port_label('input',2,'rst');\ncolor('black');p"
20592          "ort_label('input',3,'en');\ncolor('black');port_label('output',1,'q');\ncolor('black');disp('z^{-1}','texmode',"
20593          "'on');\nfprintf('','COMMENT: end icon text');"
20594        }
20595        Block {
20596          BlockType           Reference
20597          Name            "zero3"
20598          SID             "615"
20599          Ports           [0, 1]
20600          Position            [215, 156, 235, 174]
20601          ShowName            off
20602          LibraryVersion          "1.2"
20603          SourceBlock         "xbsIndex_r4/Constant"
20604          SourceType          "Xilinx Constant Block Block"
20605          const           "1"
20606          gui_display_data_type   "Fixed-point"
20607          arith_type          "Boolean"
20608          n_bits              "1"
20609          bin_pt              "0"
20610          preci_type          "Single"
20611          exp_width           "8"
20612          frac_width          "24"
20613          explicit_period         off
20614          period              "1"
20615          dsp48_infoedit          "The use of this block for DSP48 instructions is deprecated.  Please use the Opmode block."
20616          equ             "P=C"
20617          opselect            "C"
20618          inp2            "PCIN>>17"
20619          opr             "+"
20620          inp1            "P"
20621          carry           "CIN"
20622          dbl_ovrd            off
20623          has_advanced_control    "0"
20624          sggui_pos           "-1,-1,-1,-1"
20625          block_type          "constant"
20626          block_version       "VER_STRING_GOES_HERE"
20627          sg_icon_stat        "20,18,0,1,white,blue,0,1c72b5be,right,,[ ],[ ]"
20628          sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npatch([0 20 20 0 0 ],[0 0 18 18 0 ],[0.77 0"
20629          ".82 0.91 ]);\nplot([0 20 20 0 0 ],[0 0 18 18 0 ]);\npatch([5.55 8.44 10.44 12.44 14.44 10.44 7.55 5.55 ],[11.22"
20630          " 11.22 13.22 11.22 13.22 13.22 13.22 11.22 ],[1 1 1 ]);\npatch([7.55 10.44 8.44 5.55 7.55 ],[9.22 9.22 11.22 11"
20631          ".22 9.22 ],[0.931 0.946 0.973 ]);\npatch([5.55 8.44 10.44 7.55 5.55 ],[7.22 7.22 9.22 9.22 7.22 ],[1 1 1 ]);\np"
20632          "atch([7.55 14.44 12.44 10.44 8.44 5.55 7.55 ],[5.22 5.22 7.22 5.22 7.22 7.22 5.22 ],[0.931 0.946 0.973 ]);\nfpr"
20633          "intf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('out"
20634          "put',1,'1');\nfprintf('','COMMENT: end icon text');"
20635        }
20636        Block {
20637          BlockType           Outport
20638          Name            "Q"
20639          SID             "616"
20640          Position            [395, 178, 425, 192]
20641          IconDisplay         "Port number"
20642        }
20643        Line {
20644          SrcBlock            "Convert1"
20645          SrcPort             1
20646          DstBlock            "Register"
20647          DstPort             3
20648        }
20649        Line {
20650          SrcBlock            "Convert"
20651          SrcPort             1
20652          DstBlock            "Register"
20653          DstPort             2
20654        }
20655        Line {
20656          SrcBlock            "Register"
20657          SrcPort             1
20658          DstBlock            "Q"
20659          DstPort             1
20660        }
20661        Line {
20662          SrcBlock            "S"
20663          SrcPort             1
20664          DstBlock            "Convert1"
20665          DstPort             1
20666        }
20667        Line {
20668          SrcBlock            "R"
20669          SrcPort             1
20670          DstBlock            "Convert"
20671          DstPort             1
20672        }
20673        Line {
20674          SrcBlock            "zero3"
20675          SrcPort             1
20676          DstBlock            "Register"
20677          DstPort             1
20678        }
20679      }
20680    }
20681    Block {
20682      BlockType       Reference
20683      Name            "zero3"
20684      SID             "617"
20685      Ports           [0, 1]
20686      Position        [510, 380, 565, 400]
20687      BlockMirror         on
20688      NamePlacement       "alternate"
20689      ShowName        off
20690      LibraryVersion      "1.2"
20691      SourceBlock         "xbsIndex_r4/Constant"
20692      SourceType          "Xilinx Constant Block Block"
20693      const           "2^14-1"
20694      gui_display_data_type   "Fixed-point"
20695      arith_type          "Unsigned"
20696      n_bits          "14"
20697      bin_pt          "0"
20698      preci_type          "Single"
20699      exp_width       "8"
20700      frac_width          "24"
20701      explicit_period     off
20702      period          "1"
20703      dsp48_infoedit      "The use of this block for DSP48 instructions is deprecated.  Please use the Opmode block."
20704      equ             "P=C"
20705      opselect        "C"
20706      inp2            "PCIN>>17"
20707      opr             "+"
20708      inp1            "P"
20709      carry           "CIN"
20710      dbl_ovrd        off
20711      has_advanced_control    "0"
20712      sggui_pos       "20,20,400,346"
20713      block_type          "constant"
20714      block_version       "VER_STRING_GOES_HERE"
20715      sg_icon_stat        "55,20,0,1,white,blue,0,fddc9e01,left,,[ ],[ ]"
20716      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 0 ],[0 0 20 20 0 ],[0.77 0.82 0.91"
20717      " ]);\nplot([0 55 55 0 0 ],[0 0 20 20 0 ]);\npatch([22.55 25.44 27.44 29.44 31.44 27.44 24.55 22.55 ],[12.22 12.22 1"
20718      "4.22 12.22 14.22 14.22 14.22 12.22 ],[1 1 1 ]);\npatch([24.55 27.44 25.44 22.55 24.55 ],[10.22 10.22 12.22 12.22 10"
20719      ".22 ],[0.931 0.946 0.973 ]);\npatch([22.55 25.44 27.44 24.55 22.55 ],[8.22 8.22 10.22 10.22 8.22 ],[1 1 1 ]);\npatc"
20720      "h([24.55 31.44 29.44 27.44 25.44 22.55 24.55 ],[6.22 6.22 8.22 6.22 8.22 8.22 6.22 ],[0.931 0.946 0.973 ]);\nfprint"
20721      "f('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('output',1,"
20722      "'16383');\nfprintf('','COMMENT: end icon text');"
20723    }
20724    Block {
20725      BlockType       Outport
20726      Name            "WrAddr"
20727      SID             "618"
20728      Position        [730, 298, 760, 312]
20729      IconDisplay         "Port number"
20730    }
20731    Block {
20732      BlockType       Outport
20733      Name            "WrEn"
20734      SID             "619"
20735      Position        [730, 233, 760, 247]
20736      Port            "2"
20737      IconDisplay         "Port number"
20738    }
20739    Line {
20740      SrcBlock        "Start"
20741      SrcPort         1
20742      Points          [145, 0]
20743      Branch {
20744        DstBlock            "S-R Latch1"
20745        DstPort         1
20746      }
20747      Branch {
20748        Points          [0, -275]
20749        DstBlock            "Gateway Out1"
20750        DstPort         1
20751      }
20752    }
20753    Line {
20754      SrcBlock        "S-R Latch1"
20755      SrcPort         1
20756      Points          [60, 0]
20757      Branch {
20758        DstBlock            "Rx Addr Counter1"
20759        DstPort         2
20760      }
20761      Branch {
20762        Points          [0, -25]
20763        Branch {
20764          DstBlock            "Inverter1"
20765          DstPort             1
20766        }
20767        Branch {
20768          Points              [0, -50]
20769          Branch {
20770        Points          [200, 0]
20771        Branch {
20772          DstBlock        "WrEn"
20773          DstPort         1
20774        }
20775        Branch {
20776          Points          [0, -70]
20777          DstBlock        "Inverter2"
20778          DstPort         1
20779        }
20780          }
20781          Branch {
20782        Points          [0, -180]
20783        DstBlock        "Gateway Out3"
20784        DstPort         1
20785          }
20786        }
20787      }
20788    }
20789    Line {
20790      SrcBlock        "Inverter1"
20791      SrcPort         1
20792      Points          [15, 0]
20793      Branch {
20794        DstBlock            "Rx Addr Counter1"
20795        DstPort         1
20796      }
20797      Branch {
20798        Points          [0, -215]
20799        DstBlock            "Gateway Out4"
20800        DstPort         1
20801      }
20802    }
20803    Line {
20804      SrcBlock        "Rx Addr Counter1"
20805      SrcPort         1
20806      Points          [45, 0]
20807      Branch {
20808        Points          [0, 60]
20809        DstBlock            "Relational1"
20810        DstPort         1
20811      }
20812      Branch {
20813        DstBlock            "WrAddr"
20814        DstPort         1
20815      }
20816      Branch {
20817        Points          [0, -215]
20818        DstBlock            "Gateway Out5"
20819        DstPort         1
20820      }
20821    }
20822    Line {
20823      SrcBlock        "Gateway Out5"
20824      SrcPort         1
20825      DstBlock        "Rx Control"
20826      DstPort         5
20827    }
20828    Line {
20829      SrcBlock        "Gateway Out4"
20830      SrcPort         1
20831      DstBlock        "Rx Control"
20832      DstPort         4
20833    }
20834    Line {
20835      SrcBlock        "Gateway Out3"
20836      SrcPort         1
20837      DstBlock        "Rx Control"
20838      DstPort         3
20839    }
20840    Line {
20841      SrcBlock        "Gateway Out2"
20842      SrcPort         1
20843      DstBlock        "Rx Control"
20844      DstPort         2
20845    }
20846    Line {
20847      SrcBlock        "Gateway Out1"
20848      SrcPort         1
20849      DstBlock        "Rx Control"
20850      DstPort         1
20851    }
20852    Line {
20853      SrcBlock        "zero3"
20854      SrcPort         1
20855      DstBlock        "Relational1"
20856      DstPort         2
20857    }
20858    Line {
20859      SrcBlock        "Relational1"
20860      SrcPort         1
20861      Points          [-220, 0; 0, -55]
20862      Branch {
20863        DstBlock            "S-R Latch1"
20864        DstPort         2
20865      }
20866      Branch {
20867        Points          [0, -280]
20868        DstBlock            "Gateway Out2"
20869        DstPort         1
20870      }
20871    }
20872    Line {
20873      SrcBlock        "Inverter2"
20874      SrcPort         1
20875      DstBlock        "Convert"
20876      DstPort         1
20877    }
20878    Line {
20879      SrcBlock        "Convert"
20880      SrcPort         1
20881      DstBlock        "Goto2"
20882      DstPort         1
20883    }
20884      }
20885    }
20886    Block {
20887      BlockType           Reference
20888      Name            "StartCapture"
20889      SID             "620"
20890      Ports           [1, 1]
20891      Position            [120, 214, 175, 226]
20892      NamePlacement       "alternate"
20893      LibraryVersion          "1.2"
20894      SourceBlock         "xbsIndex_r4/Gateway In"
20895      SourceType          "Xilinx Gateway In Block"
20896      infoedit            "Gateway in block.  Converts inputs of type Simulink integer, double and fixed point to  Xilinx "
20897      "fixed point type.<P><P>Hardware notes:  In hardware these blocks become top level input ports."
20898      gui_display_data_type   "Fixed-point"
20899      arith_type          "Unsigned"
20900      n_bits              "1"
20901      bin_pt              "0"
20902      preci_type          "Single"
20903      exp_width           "8"
20904      frac_width          "24"
20905      quantization        "Round  (unbiased: +/- Inf)"
20906      overflow            "Saturate"
20907      period              "1"
20908      dbl_ovrd            off
20909      timing_constraint       "None"
20910      locs_specified          off
20911      LOCs            "{}"
20912      xl_use_area         off
20913      xl_area             "[0,0,0,0,0,0,0]"
20914      inherit_from_input      off
20915      UseAsADC            off
20916      ADCChannel          "'1'"
20917      hdl_port            "on"
20918      has_advanced_control    "0"
20919      sggui_pos           "20,20,356,432"
20920      block_type          "gatewayin"
20921      block_version       "VER_STRING_GOES_HERE"
20922      sg_icon_stat        "55,12,1,1,white,yellow,1,00d3666e,right,,[ ],[ ]"
20923      sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 0 ],[0 0 12 12 0 ],[0.95 0."
20924      "93 0.65 ]);\nplot([0 55 55 0 0 ],[0 0 12 12 0 ]);\npatch([24.775 26.22 27.22 28.22 29.22 27.22 25.775 24.775 ],["
20925      "7.11 7.11 8.11 7.11 8.11 8.11 8.11 7.11 ],[1 1 1 ]);\npatch([25.775 27.22 26.22 24.775 25.775 ],[6.11 6.11 7.11 "
20926      "7.11 6.11 ],[0.985 0.979 0.895 ]);\npatch([24.775 26.22 27.22 25.775 24.775 ],[5.11 5.11 6.11 6.11 5.11 ],[1 1 1"
20927      " ]);\npatch([25.775 29.22 28.22 27.22 26.22 24.775 25.775 ],[4.11 4.11 5.11 4.11 5.11 5.11 4.11 ],[0.985 0.979 0"
20928      ".895 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');por"
20929      "t_label('input',1,'\\fontsize{11pt}\\bf In ','texmode','on');\ncolor('black');port_label('output',1,' ');\nfprin"
20930      "tf('','COMMENT: end icon text');"
20931    }
20932    Block {
20933      BlockType           Reference
20934      Name            "StartTx"
20935      SID             "621"
20936      Ports           [1, 1]
20937      Position            [160, 654, 215, 666]
20938      NamePlacement       "alternate"
20939      LibraryVersion          "1.2"
20940      SourceBlock         "xbsIndex_r4/Gateway In"
20941      SourceType          "Xilinx Gateway In Block"
20942      infoedit            "Gateway in block.  Converts inputs of type Simulink integer, double and fixed point to  Xilinx "
20943      "fixed point type.<P><P>Hardware notes:  In hardware these blocks become top level input ports."
20944      gui_display_data_type   "Fixed-point"
20945      arith_type          "Unsigned"
20946      n_bits              "1"
20947      bin_pt              "0"
20948      preci_type          "Single"
20949      exp_width           "8"
20950      frac_width          "24"
20951      quantization        "Round  (unbiased: +/- Inf)"
20952      overflow            "Saturate"
20953      period              "1"
20954      dbl_ovrd            off
20955      timing_constraint       "None"
20956      locs_specified          off
20957      LOCs            "{}"
20958      xl_use_area         off
20959      xl_area             "[0,0,0,0,0,0,0]"
20960      inherit_from_input      off
20961      UseAsADC            off
20962      ADCChannel          "'1'"
20963      hdl_port            "on"
20964      has_advanced_control    "0"
20965      sggui_pos           "20,20,356,432"
20966      block_type          "gatewayin"
20967      block_version       "VER_STRING_GOES_HERE"
20968      sg_icon_stat        "55,12,1,1,white,yellow,1,00d3666e,right,,[ ],[ ]"
20969      sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 0 ],[0 0 12 12 0 ],[0.95 0."
20970      "93 0.65 ]);\nplot([0 55 55 0 0 ],[0 0 12 12 0 ]);\npatch([24.775 26.22 27.22 28.22 29.22 27.22 25.775 24.775 ],["
20971      "7.11 7.11 8.11 7.11 8.11 8.11 8.11 7.11 ],[1 1 1 ]);\npatch([25.775 27.22 26.22 24.775 25.775 ],[6.11 6.11 7.11 "
20972      "7.11 6.11 ],[0.985 0.979 0.895 ]);\npatch([24.775 26.22 27.22 25.775 24.775 ],[5.11 5.11 6.11 6.11 5.11 ],[1 1 1"
20973      " ]);\npatch([25.775 29.22 28.22 27.22 26.22 24.775 25.775 ],[4.11 4.11 5.11 4.11 5.11 5.11 4.11 ],[0.985 0.979 0"
20974      ".895 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');por"
20975      "t_label('input',1,'\\fontsize{11pt}\\bf In ','texmode','on');\ncolor('black');port_label('output',1,' ');\nfprin"
20976      "tf('','COMMENT: end icon text');"
20977    }
20978    Block {
20979      BlockType           Reference
20980      Name            "StopTx"
20981      SID             "622"
20982      Ports           [1, 1]
20983      Position            [160, 739, 215, 751]
20984      NamePlacement       "alternate"
20985      LibraryVersion          "1.2"
20986      SourceBlock         "xbsIndex_r4/Gateway In"
20987      SourceType          "Xilinx Gateway In Block"
20988      infoedit            "Gateway in block.  Converts inputs of type Simulink integer, double and fixed point to  Xilinx "
20989      "fixed point type.<P><P>Hardware notes:  In hardware these blocks become top level input ports."
20990      gui_display_data_type   "Fixed-point"
20991      arith_type          "Unsigned"
20992      n_bits              "1"
20993      bin_pt              "0"
20994      preci_type          "Single"
20995      exp_width           "8"
20996      frac_width          "24"
20997      quantization        "Round  (unbiased: +/- Inf)"
20998      overflow            "Saturate"
20999      period              "1"
21000      dbl_ovrd            off
21001      timing_constraint       "None"
21002      locs_specified          off
21003      LOCs            "{}"
21004      xl_use_area         off
21005      xl_area             "[0,0,0,0,0,0,0]"
21006      inherit_from_input      off
21007      UseAsADC            off
21008      ADCChannel          "'1'"
21009      hdl_port            "on"
21010      has_advanced_control    "0"
21011      sggui_pos           "20,20,356,432"
21012      block_type          "gatewayin"
21013      block_version       "VER_STRING_GOES_HERE"
21014      sg_icon_stat        "55,12,1,1,white,yellow,1,00d3666e,right,,[ ],[ ]"
21015      sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 0 ],[0 0 12 12 0 ],[0.95 0."
21016      "93 0.65 ]);\nplot([0 55 55 0 0 ],[0 0 12 12 0 ]);\npatch([24.775 26.22 27.22 28.22 29.22 27.22 25.775 24.775 ],["
21017      "7.11 7.11 8.11 7.11 8.11 8.11 8.11 7.11 ],[1 1 1 ]);\npatch([25.775 27.22 26.22 24.775 25.775 ],[6.11 6.11 7.11 "
21018      "7.11 6.11 ],[0.985 0.979 0.895 ]);\npatch([24.775 26.22 27.22 25.775 24.775 ],[5.11 5.11 6.11 6.11 5.11 ],[1 1 1"
21019      " ]);\npatch([25.775 29.22 28.22 27.22 26.22 24.775 25.775 ],[4.11 4.11 5.11 4.11 5.11 5.11 4.11 ],[0.985 0.979 0"
21020      ".895 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');por"
21021      "t_label('input',1,'\\fontsize{11pt}\\bf In ','texmode','on');\ncolor('black');port_label('output',1,' ');\nfprin"
21022      "tf('','COMMENT: end icon text');"
21023    }
21024    Block {
21025      BlockType           Terminator
21026      Name            "Terminator"
21027      SID             "623"
21028      Position            [260, 945, 280, 965]
21029      ShowName            off
21030    }
21031    Block {
21032      BlockType           Terminator
21033      Name            "Terminator1"
21034      SID             "672"
21035      Position            [810, 765, 820, 775]
21036      NamePlacement       "alternate"
21037      ShowName            off
21038    }
21039    Block {
21040      BlockType           Terminator
21041      Name            "Terminator2"
21042      SID             "671"
21043      Position            [535, 530, 545, 540]
21044      BlockMirror         on
21045      ShowName            off
21046    }
21047    Block {
21048      BlockType           SubSystem
21049      Name            "Tx Control"
21050      SID             "624"
21051      Ports           [4, 2]
21052      Position            [570, 650, 680, 715]
21053      MinAlgLoopOccurrences   off
21054      PropExecContextOutsideSubsystem off
21055      RTWSystemCode       "Auto"
21056      FunctionWithSeparateData off
21057      Opaque              off
21058      RequestExecContextInheritance off
21059      MaskHideContents        off
21060      System {
21061    Name            "Tx Control"
21062    Location        [2, 74, 1254, 710]
21063    Open            off
21064    ModelBrowserVisibility  off
21065    ModelBrowserWidth   200
21066    ScreenColor     "white"
21067    PaperOrientation    "landscape"
21068    PaperPositionMode   "auto"
21069    PaperType       "usletter"
21070    PaperUnits      "inches"
21071    TiledPaperMargins   [0.500000, 0.500000, 0.500000, 0.500000]
21072    TiledPageScale      1
21073    ShowPageBoundaries  off
21074    ZoomFactor      "100"
21075    Block {
21076      BlockType       Inport
21077      Name            "StartTx"
21078      SID             "625"
21079      Position        [390, 368, 420, 382]
21080      NamePlacement       "alternate"
21081      IconDisplay         "Port number"
21082    }
21083    Block {
21084      BlockType       Inport
21085      Name            "StopTx"
21086      SID             "626"
21087      Position        [145, 423, 175, 437]
21088      Port            "2"
21089      IconDisplay         "Port number"
21090    }
21091    Block {
21092      BlockType       Inport
21093      Name            "ContinuousTx"
21094      SID             "627"
21095      Position        [150, 353, 180, 367]
21096      Port            "3"
21097      IconDisplay         "Port number"
21098    }
21099    Block {
21100      BlockType       Inport
21101      Name            "TxDelay"
21102      SID             "628"
21103      Position        [750, 413, 780, 427]
21104      Port            "4"
21105      IconDisplay         "Port number"
21106    }
21107    Block {
21108      BlockType       Reference
21109      Name            "Convert1"
21110      SID             "629"
21111      Ports           [1, 1]
21112      Position        [470, 366, 500, 384]
21113      NamePlacement       "alternate"
21114      LibraryVersion      "1.2"
21115      SourceBlock         "xbsIndex_r4/Convert"
21116      SourceType          "Xilinx Type Converter Block"
21117      infoedit        "Hardware notes: rounding and saturating require hardware resources; truncating and wrapping do not."
21118      gui_display_data_type   "Fixed-point"
21119      arith_type          "Boolean"
21120      n_bits          "1"
21121      bin_pt          "0"
21122      float_type          "Single"
21123      exp_bits        "8"
21124      fraction_bits       "24"
21125      quantization        "Truncate"
21126      overflow        "Wrap"
21127      en              off
21128      latency         "0"
21129      dbl_ovrd        off
21130      pipeline        off
21131      xl_use_area         off
21132      xl_area         "[0,0,0,0,0,0,0]"
21133      has_advanced_control    "0"
21134      sggui_pos       "20,20,461,375"
21135      block_type          "convert"
21136      block_version       "9.1.01"
21137      sg_icon_stat        "30,18,1,1,white,blue,0,edca21da,right,,[ ],[ ]"
21138      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 30 30 0 0 ],[0 0 18 18 0 ],[0.77 0.82 0.91"
21139      " ]);\nplot([0 30 30 0 0 ],[0 0 18 18 0 ]);\npatch([10.55 13.44 15.44 17.44 19.44 15.44 12.55 10.55 ],[11.22 11.22 1"
21140      "3.22 11.22 13.22 13.22 13.22 11.22 ],[1 1 1 ]);\npatch([12.55 15.44 13.44 10.55 12.55 ],[9.22 9.22 11.22 11.22 9.22"
21141      " ],[0.931 0.946 0.973 ]);\npatch([10.55 13.44 15.44 12.55 10.55 ],[7.22 7.22 9.22 9.22 7.22 ],[1 1 1 ]);\npatch([12"
21142      ".55 19.44 17.44 15.44 13.44 10.55 12.55 ],[5.22 5.22 7.22 5.22 7.22 7.22 5.22 ],[0.931 0.946 0.973 ]);\nfprintf('',"
21143      "'COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\n\ncolor('black');port_label('output',1,'ca"
21144      "st');\nfprintf('','COMMENT: end icon text');"
21145    }
21146    Block {
21147      BlockType       Reference
21148      Name            "Convert2"
21149      SID             "630"
21150      Ports           [1, 1]
21151      Position        [230, 421, 260, 439]
21152      LibraryVersion      "1.2"
21153      SourceBlock         "xbsIndex_r4/Convert"
21154      SourceType          "Xilinx Type Converter Block"
21155      infoedit        "Hardware notes: rounding and saturating require hardware resources; truncating and wrapping do not."
21156      gui_display_data_type   "Fixed-point"
21157      arith_type          "Boolean"
21158      n_bits          "1"
21159      bin_pt          "0"
21160      float_type          "Single"
21161      exp_bits        "8"
21162      fraction_bits       "24"
21163      quantization        "Truncate"
21164      overflow        "Wrap"
21165      en              off
21166      latency         "0"
21167      dbl_ovrd        off
21168      pipeline        off
21169      xl_use_area         off
21170      xl_area         "[0,0,0,0,0,0,0]"
21171      has_advanced_control    "0"
21172      sggui_pos       "20,20,461,375"
21173      block_type          "convert"
21174      block_version       "9.1.01"
21175      sg_icon_stat        "30,18,1,1,white,blue,0,edca21da,right,,[ ],[ ]"
21176      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 30 30 0 0 ],[0 0 18 18 0 ],[0.77 0.82 0.91"
21177      " ]);\nplot([0 30 30 0 0 ],[0 0 18 18 0 ]);\npatch([10.55 13.44 15.44 17.44 19.44 15.44 12.55 10.55 ],[11.22 11.22 1"
21178      "3.22 11.22 13.22 13.22 13.22 11.22 ],[1 1 1 ]);\npatch([12.55 15.44 13.44 10.55 12.55 ],[9.22 9.22 11.22 11.22 9.22"
21179      " ],[0.931 0.946 0.973 ]);\npatch([10.55 13.44 15.44 12.55 10.55 ],[7.22 7.22 9.22 9.22 7.22 ],[1 1 1 ]);\npatch([12"
21180      ".55 19.44 17.44 15.44 13.44 10.55 12.55 ],[5.22 5.22 7.22 5.22 7.22 7.22 5.22 ],[0.931 0.946 0.973 ]);\nfprintf('',"
21181      "'COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\n\ncolor('black');port_label('output',1,'ca"
21182      "st');\nfprintf('','COMMENT: end icon text');"
21183    }
21184    Block {
21185      BlockType       Reference
21186      Name            "From Register4"
21187      SID             "631"
21188      Ports           [0, 1]
21189      Position        [1230, 220, 1275, 250]
21190      BlockMirror         on
21191      NamePlacement       "alternate"
21192      ShowName        off
21193      AttributesFormatString  "TxLength\\n<< %<shared_memory_name> >>"
21194      LibraryVersion      "1.2"
21195      SourceBlock         "xbsIndex_r4/From Register"
21196      SourceType          "Xilinx Shared Memory Based From Register Block"
21197      infoedit        "Register block that reads data to a shared memory register.  Delay of one sample period."
21198      shared_memory_name      "'TxLength'"
21199      init            "2^14-1"
21200      period          "1"
21201      ownership       "Locally owned and initialized"
21202      gui_display_data_type   "Fixed-point"
21203      arith_type          "Unsigned"
21204      n_bits          "14"
21205      bin_pt          "0"
21206      preci_type          "Single"
21207      dbl_ovrd        off
21208      xl_use_area         off
21209      xl_area         "[0,0,0,0,0,0,0]"
21210      has_advanced_control    "0"
21211      sggui_pos       "20,20,381,246"
21212      block_type          "fromreg"
21213      block_version       "8.2"
21214      sg_icon_stat        "45,30,0,1,white,blue,0,b27a07ff,left,,[ ],[ ]"
21215      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 45 45 0 0 ],[0 0 30 30 0 ],[0.77 0.82 0.91"
21216      " ]);\nplot([0 45 45 0 0 ],[0 0 30 30 0 ]);\npatch([13.1 18.88 22.88 26.88 30.88 22.88 17.1 13.1 ],[19.44 19.44 23.4"
21217      "4 19.44 23.44 23.44 23.44 19.44 ],[1 1 1 ]);\npatch([17.1 22.88 18.88 13.1 17.1 ],[15.44 15.44 19.44 19.44 15.44 ],"
21218      "[0.931 0.946 0.973 ]);\npatch([13.1 18.88 22.88 17.1 13.1 ],[11.44 11.44 15.44 15.44 11.44 ],[1 1 1 ]);\npatch([17."
21219      "1 30.88 26.88 22.88 18.88 13.1 17.1 ],[7.44 7.44 11.44 7.44 11.44 11.44 7.44 ],[0.931 0.946 0.973 ]);\nfprintf('','"
21220      "COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('output',1,'dout'"
21221      ");\nfprintf('','COMMENT: end icon text');"
21222    }
21223    Block {
21224      BlockType       Reference
21225      Name            "Gateway Out1"
21226      SID             "632"
21227      Ports           [1, 1]
21228      Position        [1295, 620, 1325, 630]
21229      ShowName        off
21230      LibraryVersion      "1.2"
21231      SourceBlock         "xbsIndex_r4/Gateway Out"
21232      SourceType          "Xilinx Gateway Out Block"
21233      infoedit        "Gateway out block.  Converts Xilinx fixed point inputs into ouputs of type Simulink integer, double, o"
21234      "r fixed point.<P><P>Hardware notes:  In hardware these blocks become top level output ports or are discarded, depen"
21235      "ding on how they are configured."
21236      inherit_from_input      off
21237      hdl_port        off
21238      timing_constraint   "None"
21239      locs_specified      off
21240      LOCs            "{}"
21241      xl_use_area         off
21242      xl_area         "[0,0,0,0,0,0,0]"
21243      UseAsDAC        off
21244      DACChannel          "'1'"
21245      has_advanced_control    "0"
21246      sggui_pos       "20,20,336,386"
21247      block_type          "gatewayout"
21248      block_version       "10.1.2"
21249      sg_icon_stat        "30,10,1,1,white,grey,1,632ec840,right,,[ ],[ ]"
21250      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 30 30 0 0 ],[0 0 10 10 0 ],[0.88 0.88 0.88"
21251      " ]);\nplot([0 30 30 0 0 ],[0 0 10 10 0 ]);\npatch([12.775 14.22 15.22 16.22 17.22 15.22 13.775 12.775 ],[6.11 6.11 "
21252      "7.11 6.11 7.11 7.11 7.11 6.11 ],[1 1 1 ]);\npatch([13.775 15.22 14.22 12.775 13.775 ],[5.11 5.11 6.11 6.11 5.11 ],["
21253      "0.964 0.964 0.964 ]);\npatch([12.775 14.22 15.22 13.775 12.775 ],[4.11 4.11 5.11 5.11 4.11 ],[1 1 1 ]);\npatch([13."
21254      "775 17.22 16.22 15.22 14.22 12.775 13.775 ],[3.11 3.11 4.11 3.11 4.11 4.11 3.11 ],[0.964 0.964 0.964 ]);\nfprintf('"
21255      "','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1,' ')"
21256      ";\ncolor('black');port_label('output',1,'\\fontsize{11pt} Out ','texmode','on');\nfprintf('','COMMENT: end icon tex"
21257      "t');"
21258    }
21259    Block {
21260      BlockType       Reference
21261      Name            "Gateway Out2"
21262      SID             "633"
21263      Ports           [1, 1]
21264      Position        [1295, 635, 1325, 645]
21265      ShowName        off
21266      LibraryVersion      "1.2"
21267      SourceBlock         "xbsIndex_r4/Gateway Out"
21268      SourceType          "Xilinx Gateway Out Block"
21269      infoedit        "Gateway out block.  Converts Xilinx fixed point inputs into ouputs of type Simulink integer, double, o"
21270      "r fixed point.<P><P>Hardware notes:  In hardware these blocks become top level output ports or are discarded, depen"
21271      "ding on how they are configured."
21272      inherit_from_input      off
21273      hdl_port        off
21274      timing_constraint   "None"
21275      locs_specified      off
21276      LOCs            "{}"
21277      xl_use_area         off
21278      xl_area         "[0,0,0,0,0,0,0]"
21279      UseAsDAC        off
21280      DACChannel          "'1'"
21281      has_advanced_control    "0"
21282      sggui_pos       "20,20,336,386"
21283      block_type          "gatewayout"
21284      block_version       "10.1.2"
21285      sg_icon_stat        "30,10,1,1,white,grey,1,632ec840,right,,[ ],[ ]"
21286      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 30 30 0 0 ],[0 0 10 10 0 ],[0.88 0.88 0.88"
21287      " ]);\nplot([0 30 30 0 0 ],[0 0 10 10 0 ]);\npatch([12.775 14.22 15.22 16.22 17.22 15.22 13.775 12.775 ],[6.11 6.11 "
21288      "7.11 6.11 7.11 7.11 7.11 6.11 ],[1 1 1 ]);\npatch([13.775 15.22 14.22 12.775 13.775 ],[5.11 5.11 6.11 6.11 5.11 ],["
21289      "0.964 0.964 0.964 ]);\npatch([12.775 14.22 15.22 13.775 12.775 ],[4.11 4.11 5.11 5.11 4.11 ],[1 1 1 ]);\npatch([13."
21290      "775 17.22 16.22 15.22 14.22 12.775 13.775 ],[3.11 3.11 4.11 3.11 4.11 4.11 3.11 ],[0.964 0.964 0.964 ]);\nfprintf('"
21291      "','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1,' ')"
21292      ";\ncolor('black');port_label('output',1,'\\fontsize{11pt} Out ','texmode','on');\nfprintf('','COMMENT: end icon tex"
21293      "t');"
21294    }
21295    Block {
21296      BlockType       Reference
21297      Name            "Gateway Out3"
21298      SID             "634"
21299      Ports           [1, 1]
21300      Position        [1295, 650, 1325, 660]
21301      ShowName        off
21302      LibraryVersion      "1.2"
21303      SourceBlock         "xbsIndex_r4/Gateway Out"
21304      SourceType          "Xilinx Gateway Out Block"
21305      infoedit        "Gateway out block.  Converts Xilinx fixed point inputs into ouputs of type Simulink integer, double, o"
21306      "r fixed point.<P><P>Hardware notes:  In hardware these blocks become top level output ports or are discarded, depen"
21307      "ding on how they are configured."
21308      inherit_from_input      off
21309      hdl_port        off
21310      timing_constraint   "None"
21311      locs_specified      off
21312      LOCs            "{}"
21313      xl_use_area         off
21314      xl_area         "[0,0,0,0,0,0,0]"
21315      UseAsDAC        off
21316      DACChannel          "'1'"
21317      has_advanced_control    "0"
21318      sggui_pos       "20,20,336,386"
21319      block_type          "gatewayout"
21320      block_version       "10.1.2"
21321      sg_icon_stat        "30,10,1,1,white,grey,1,632ec840,right,,[ ],[ ]"
21322      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 30 30 0 0 ],[0 0 10 10 0 ],[0.88 0.88 0.88"
21323      " ]);\nplot([0 30 30 0 0 ],[0 0 10 10 0 ]);\npatch([12.775 14.22 15.22 16.22 17.22 15.22 13.775 12.775 ],[6.11 6.11 "
21324      "7.11 6.11 7.11 7.11 7.11 6.11 ],[1 1 1 ]);\npatch([13.775 15.22 14.22 12.775 13.775 ],[5.11 5.11 6.11 6.11 5.11 ],["
21325      "0.964 0.964 0.964 ]);\npatch([12.775 14.22 15.22 13.775 12.775 ],[4.11 4.11 5.11 5.11 4.11 ],[1 1 1 ]);\npatch([13."
21326      "775 17.22 16.22 15.22 14.22 12.775 13.775 ],[3.11 3.11 4.11 3.11 4.11 4.11 3.11 ],[0.964 0.964 0.964 ]);\nfprintf('"
21327      "','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1,' ')"
21328      ";\ncolor('black');port_label('output',1,'\\fontsize{11pt} Out ','texmode','on');\nfprintf('','COMMENT: end icon tex"
21329      "t');"
21330    }
21331    Block {
21332      BlockType       Reference
21333      Name            "Gateway Out4"
21334      SID             "635"
21335      Ports           [1, 1]
21336      Position        [1295, 665, 1325, 675]
21337      ShowName        off
21338      LibraryVersion      "1.2"
21339      SourceBlock         "xbsIndex_r4/Gateway Out"
21340      SourceType          "Xilinx Gateway Out Block"
21341      infoedit        "Gateway out block.  Converts Xilinx fixed point inputs into ouputs of type Simulink integer, double, o"
21342      "r fixed point.<P><P>Hardware notes:  In hardware these blocks become top level output ports or are discarded, depen"
21343      "ding on how they are configured."
21344      inherit_from_input      off
21345      hdl_port        off
21346      timing_constraint   "None"
21347      locs_specified      off
21348      LOCs            "{}"
21349      xl_use_area         off
21350      xl_area         "[0,0,0,0,0,0,0]"
21351      UseAsDAC        off
21352      DACChannel          "'1'"
21353      has_advanced_control    "0"
21354      sggui_pos       "20,20,336,386"
21355      block_type          "gatewayout"
21356      block_version       "10.1.2"
21357      sg_icon_stat        "30,10,1,1,white,grey,1,632ec840,right,,[ ],[ ]"
21358      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 30 30 0 0 ],[0 0 10 10 0 ],[0.88 0.88 0.88"
21359      " ]);\nplot([0 30 30 0 0 ],[0 0 10 10 0 ]);\npatch([12.775 14.22 15.22 16.22 17.22 15.22 13.775 12.775 ],[6.11 6.11 "
21360      "7.11 6.11 7.11 7.11 7.11 6.11 ],[1 1 1 ]);\npatch([13.775 15.22 14.22 12.775 13.775 ],[5.11 5.11 6.11 6.11 5.11 ],["
21361      "0.964 0.964 0.964 ]);\npatch([12.775 14.22 15.22 13.775 12.775 ],[4.11 4.11 5.11 5.11 4.11 ],[1 1 1 ]);\npatch([13."
21362      "775 17.22 16.22 15.22 14.22 12.775 13.775 ],[3.11 3.11 4.11 3.11 4.11 4.11 3.11 ],[0.964 0.964 0.964 ]);\nfprintf('"
21363      "','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1,' ')"
21364      ";\ncolor('black');port_label('output',1,'\\fontsize{11pt} Out ','texmode','on');\nfprintf('','COMMENT: end icon tex"
21365      "t');"
21366    }
21367    Block {
21368      BlockType       Reference
21369      Name            "Gateway Out5"
21370      SID             "636"
21371      Ports           [1, 1]
21372      Position        [1295, 680, 1325, 690]
21373      ShowName        off
21374      LibraryVersion      "1.2"
21375      SourceBlock         "xbsIndex_r4/Gateway Out"
21376      SourceType          "Xilinx Gateway Out Block"
21377      infoedit        "Gateway out block.  Converts Xilinx fixed point inputs into ouputs of type Simulink integer, double, o"
21378      "r fixed point.<P><P>Hardware notes:  In hardware these blocks become top level output ports or are discarded, depen"
21379      "ding on how they are configured."
21380      inherit_from_input      off
21381      hdl_port        off
21382      timing_constraint   "None"
21383      locs_specified      off
21384      LOCs            "{}"
21385      xl_use_area         off
21386      xl_area         "[0,0,0,0,0,0,0]"
21387      UseAsDAC        off
21388      DACChannel          "'1'"
21389      has_advanced_control    "0"
21390      sggui_pos       "20,20,336,386"
21391      block_type          "gatewayout"
21392      block_version       "10.1.2"
21393      sg_icon_stat        "30,10,1,1,white,grey,1,632ec840,right,,[ ],[ ]"
21394      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 30 30 0 0 ],[0 0 10 10 0 ],[0.88 0.88 0.88"
21395      " ]);\nplot([0 30 30 0 0 ],[0 0 10 10 0 ]);\npatch([12.775 14.22 15.22 16.22 17.22 15.22 13.775 12.775 ],[6.11 6.11 "
21396      "7.11 6.11 7.11 7.11 7.11 6.11 ],[1 1 1 ]);\npatch([13.775 15.22 14.22 12.775 13.775 ],[5.11 5.11 6.11 6.11 5.11 ],["
21397      "0.964 0.964 0.964 ]);\npatch([12.775 14.22 15.22 13.775 12.775 ],[4.11 4.11 5.11 5.11 4.11 ],[1 1 1 ]);\npatch([13."
21398      "775 17.22 16.22 15.22 14.22 12.775 13.775 ],[3.11 3.11 4.11 3.11 4.11 4.11 3.11 ],[0.964 0.964 0.964 ]);\nfprintf('"
21399      "','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1,' ')"
21400      ";\ncolor('black');port_label('output',1,'\\fontsize{11pt} Out ','texmode','on');\nfprintf('','COMMENT: end icon tex"
21401      "t');"
21402    }
21403    Block {
21404      BlockType       Reference
21405      Name            "Gateway Out6"
21406      SID             "637"
21407      Ports           [1, 1]
21408      Position        [1295, 695, 1325, 705]
21409      ShowName        off
21410      LibraryVersion      "1.2"
21411      SourceBlock         "xbsIndex_r4/Gateway Out"
21412      SourceType          "Xilinx Gateway Out Block"
21413      infoedit        "Gateway out block.  Converts Xilinx fixed point inputs into ouputs of type Simulink integer, double, o"
21414      "r fixed point.<P><P>Hardware notes:  In hardware these blocks become top level output ports or are discarded, depen"
21415      "ding on how they are configured."
21416      inherit_from_input      off
21417      hdl_port        off
21418      timing_constraint   "None"
21419      locs_specified      off
21420      LOCs            "{}"
21421      xl_use_area         off
21422      xl_area         "[0,0,0,0,0,0,0]"
21423      UseAsDAC        off
21424      DACChannel          "'1'"
21425      has_advanced_control    "0"
21426      sggui_pos       "20,20,336,386"
21427      block_type          "gatewayout"
21428      block_version       "10.1.2"
21429      sg_icon_stat        "30,10,1,1,white,grey,1,632ec840,right,,[ ],[ ]"
21430      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 30 30 0 0 ],[0 0 10 10 0 ],[0.88 0.88 0.88"
21431      " ]);\nplot([0 30 30 0 0 ],[0 0 10 10 0 ]);\npatch([12.775 14.22 15.22 16.22 17.22 15.22 13.775 12.775 ],[6.11 6.11 "
21432      "7.11 6.11 7.11 7.11 7.11 6.11 ],[1 1 1 ]);\npatch([13.775 15.22 14.22 12.775 13.775 ],[5.11 5.11 6.11 6.11 5.11 ],["
21433      "0.964 0.964 0.964 ]);\npatch([12.775 14.22 15.22 13.775 12.775 ],[4.11 4.11 5.11 5.11 4.11 ],[1 1 1 ]);\npatch([13."
21434      "775 17.22 16.22 15.22 14.22 12.775 13.775 ],[3.11 3.11 4.11 3.11 4.11 4.11 3.11 ],[0.964 0.964 0.964 ]);\nfprintf('"
21435      "','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1,' ')"
21436      ";\ncolor('black');port_label('output',1,'\\fontsize{11pt} Out ','texmode','on');\nfprintf('','COMMENT: end icon tex"
21437      "t');"
21438    }
21439    Block {
21440      BlockType       Reference
21441      Name            "Gateway Out7"
21442      SID             "638"
21443      Ports           [1, 1]
21444      Position        [1295, 710, 1325, 720]
21445      ShowName        off
21446      LibraryVersion      "1.2"
21447      SourceBlock         "xbsIndex_r4/Gateway Out"
21448      SourceType          "Xilinx Gateway Out Block"
21449      infoedit        "Gateway out block.  Converts Xilinx fixed point inputs into ouputs of type Simulink integer, double, o"
21450      "r fixed point.<P><P>Hardware notes:  In hardware these blocks become top level output ports or are discarded, depen"
21451      "ding on how they are configured."
21452      inherit_from_input      off
21453      hdl_port        off
21454      timing_constraint   "None"
21455      locs_specified      off
21456      LOCs            "{}"
21457      xl_use_area         off
21458      xl_area         "[0,0,0,0,0,0,0]"
21459      UseAsDAC        off
21460      DACChannel          "'1'"
21461      has_advanced_control    "0"
21462      sggui_pos       "20,20,336,386"
21463      block_type          "gatewayout"
21464      block_version       "10.1.2"
21465      sg_icon_stat        "30,10,1,1,white,grey,1,632ec840,right,,[ ],[ ]"
21466      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 30 30 0 0 ],[0 0 10 10 0 ],[0.88 0.88 0.88"
21467      " ]);\nplot([0 30 30 0 0 ],[0 0 10 10 0 ]);\npatch([12.775 14.22 15.22 16.22 17.22 15.22 13.775 12.775 ],[6.11 6.11 "
21468      "7.11 6.11 7.11 7.11 7.11 6.11 ],[1 1 1 ]);\npatch([13.775 15.22 14.22 12.775 13.775 ],[5.11 5.11 6.11 6.11 5.11 ],["
21469      "0.964 0.964 0.964 ]);\npatch([12.775 14.22 15.22 13.775 12.775 ],[4.11 4.11 5.11 5.11 4.11 ],[1 1 1 ]);\npatch([13."
21470      "775 17.22 16.22 15.22 14.22 12.775 13.775 ],[3.11 3.11 4.11 3.11 4.11 4.11 3.11 ],[0.964 0.964 0.964 ]);\nfprintf('"
21471      "','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1,' ')"
21472      ";\ncolor('black');port_label('output',1,'\\fontsize{11pt} Out ','texmode','on');\nfprintf('','COMMENT: end icon tex"
21473      "t');"
21474    }
21475    Block {
21476      BlockType       Reference
21477      Name            "Gateway Out8"
21478      SID             "639"
21479      Ports           [1, 1]
21480      Position        [1295, 725, 1325, 735]
21481      ShowName        off
21482      LibraryVersion      "1.2"
21483      SourceBlock         "xbsIndex_r4/Gateway Out"
21484      SourceType          "Xilinx Gateway Out Block"
21485      infoedit        "Gateway out block.  Converts Xilinx fixed point inputs into ouputs of type Simulink integer, double, o"
21486      "r fixed point.<P><P>Hardware notes:  In hardware these blocks become top level output ports or are discarded, depen"
21487      "ding on how they are configured."
21488      inherit_from_input      off
21489      hdl_port        off
21490      timing_constraint   "None"
21491      locs_specified      off
21492      LOCs            "{}"
21493      xl_use_area         off
21494      xl_area         "[0,0,0,0,0,0,0]"
21495      UseAsDAC        off
21496      DACChannel          "'1'"
21497      has_advanced_control    "0"
21498      sggui_pos       "20,20,336,386"
21499      block_type          "gatewayout"
21500      block_version       "10.1.2"
21501      sg_icon_stat        "30,10,1,1,white,grey,1,632ec840,right,,[ ],[ ]"
21502      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 30 30 0 0 ],[0 0 10 10 0 ],[0.88 0.88 0.88"
21503      " ]);\nplot([0 30 30 0 0 ],[0 0 10 10 0 ]);\npatch([12.775 14.22 15.22 16.22 17.22 15.22 13.775 12.775 ],[6.11 6.11 "
21504      "7.11 6.11 7.11 7.11 7.11 6.11 ],[1 1 1 ]);\npatch([13.775 15.22 14.22 12.775 13.775 ],[5.11 5.11 6.11 6.11 5.11 ],["
21505      "0.964 0.964 0.964 ]);\npatch([12.775 14.22 15.22 13.775 12.775 ],[4.11 4.11 5.11 5.11 4.11 ],[1 1 1 ]);\npatch([13."
21506      "775 17.22 16.22 15.22 14.22 12.775 13.775 ],[3.11 3.11 4.11 3.11 4.11 4.11 3.11 ],[0.964 0.964 0.964 ]);\nfprintf('"
21507      "','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1,' ')"
21508      ";\ncolor('black');port_label('output',1,'\\fontsize{11pt} Out ','texmode','on');\nfprintf('','COMMENT: end icon tex"
21509      "t');"
21510    }
21511    Block {
21512      BlockType       Reference
21513      Name            "Inverter"
21514      SID             "640"
21515      Ports           [1, 1]
21516      Position        [765, 476, 790, 494]
21517      BlockMirror         on
21518      NamePlacement       "alternate"
21519      ShowName        off
21520      LibraryVersion      "1.2"
21521      SourceBlock         "xbsIndex_r4/Inverter"
21522      SourceType          "Xilinx Inverter Block"
21523      infoedit        "Bitwise logical negation (one's complement) operator."
21524      en              off
21525      latency         "0"
21526      dbl_ovrd        off
21527      xl_use_area         off
21528      xl_area         "[0,0,0,0,0,0,0]"
21529      has_advanced_control    "0"
21530      sggui_pos       "-1,-1,-1,-1"
21531      block_type          "inv"
21532      block_version       "8.2"
21533      sg_icon_stat        "25,18,1,1,white,blue,0,267846e5,left,,[ ],[ ]"
21534      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 25 25 0 0 ],[0 0 18 18 0 ],[0.77 0.82 0.91"
21535      " ]);\nplot([0 25 25 0 0 ],[0 0 18 18 0 ]);\npatch([7.55 10.44 12.44 14.44 16.44 12.44 9.55 7.55 ],[11.22 11.22 13.2"
21536      "2 11.22 13.22 13.22 13.22 11.22 ],[1 1 1 ]);\npatch([9.55 12.44 10.44 7.55 9.55 ],[9.22 9.22 11.22 11.22 9.22 ],[0."
21537      "931 0.946 0.973 ]);\npatch([7.55 10.44 12.44 9.55 7.55 ],[7.22 7.22 9.22 9.22 7.22 ],[1 1 1 ]);\npatch([9.55 16.44 "
21538      "14.44 12.44 10.44 7.55 9.55 ],[5.22 5.22 7.22 5.22 7.22 7.22 5.22 ],[0.931 0.946 0.973 ]);\nfprintf('','COMMENT: en"
21539      "d icon graphics');\nfprintf('','COMMENT: begin icon text');\n\n\ncolor('black');disp('not');\nfprintf('','COMMENT: "
21540      "end icon text');"
21541    }
21542    Block {
21543      BlockType       Reference
21544      Name            "Logical"
21545      SID             "641"
21546      Ports           [2, 1]
21547      Position        [665, 376, 700, 409]
21548      ShowName        off
21549      LibraryVersion      "1.2"
21550      SourceBlock         "xbsIndex_r4/Logical"
21551      SourceType          "Xilinx Logical Block Block"
21552      logical_function    "AND"
21553      inputs          "2"
21554      en              off
21555      latency         "0"
21556      precision       "Full"
21557      arith_type          "Unsigned"
21558      n_bits          "16"
21559      bin_pt          "0"
21560      align_bp        on
21561      dbl_ovrd        off
21562      xl_use_area         off
21563      xl_area         "[0,0,0,0,0,0,0]"
21564      has_advanced_control    "0"
21565      sggui_pos       "20,20,348,261"
21566      block_type          "logical"
21567      block_version       "8.2"
21568      sg_icon_stat        "35,33,2,1,white,blue,0,83a4b621,right,,[ ],[ ]"
21569      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 35 35 0 0 ],[0 0 33 33 0 ],[0.77 0.82 0.91"
21570      " ]);\nplot([0 35 35 0 0 ],[0 0 33 33 0 ]);\npatch([8.1 13.88 17.88 21.88 25.88 17.88 12.1 8.1 ],[20.44 20.44 24.44 "
21571      "20.44 24.44 24.44 24.44 20.44 ],[1 1 1 ]);\npatch([12.1 17.88 13.88 8.1 12.1 ],[16.44 16.44 20.44 20.44 16.44 ],[0."
21572      "931 0.946 0.973 ]);\npatch([8.1 13.88 17.88 12.1 8.1 ],[12.44 12.44 16.44 16.44 12.44 ],[1 1 1 ]);\npatch([12.1 25."
21573      "88 21.88 17.88 13.88 8.1 12.1 ],[8.44 8.44 12.44 8.44 12.44 12.44 8.44 ],[0.931 0.946 0.973 ]);\nfprintf('','COMMEN"
21574      "T: end icon graphics');\nfprintf('','COMMENT: begin icon text');\n\n\n\ncolor('black');disp('and');\nfprintf('','CO"
21575      "MMENT: end icon text');"
21576    }
21577    Block {
21578      BlockType       Reference
21579      Name            "Logical1"
21580      SID             "642"
21581      Ports           [2, 1]
21582      Position        [970, 326, 1005, 359]
21583      ShowName        off
21584      LibraryVersion      "1.2"
21585      SourceBlock         "xbsIndex_r4/Logical"
21586      SourceType          "Xilinx Logical Block Block"
21587      logical_function    "AND"
21588      inputs          "2"
21589      en              off
21590      latency         "0"
21591      precision       "Full"
21592      arith_type          "Unsigned"
21593      n_bits          "16"
21594      bin_pt          "0"
21595      align_bp        on
21596      dbl_ovrd        off
21597      xl_use_area         off
21598      xl_area         "[0,0,0,0,0,0,0]"
21599      has_advanced_control    "0"
21600      sggui_pos       "20,20,348,261"
21601      block_type          "logical"
21602      block_version       "8.2"
21603      sg_icon_stat        "35,33,2,1,white,blue,0,83a4b621,right,,[ ],[ ]"
21604      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 35 35 0 0 ],[0 0 33 33 0 ],[0.77 0.82 0.91"
21605      " ]);\nplot([0 35 35 0 0 ],[0 0 33 33 0 ]);\npatch([8.1 13.88 17.88 21.88 25.88 17.88 12.1 8.1 ],[20.44 20.44 24.44 "
21606      "20.44 24.44 24.44 24.44 20.44 ],[1 1 1 ]);\npatch([12.1 17.88 13.88 8.1 12.1 ],[16.44 16.44 20.44 20.44 16.44 ],[0."
21607      "931 0.946 0.973 ]);\npatch([8.1 13.88 17.88 12.1 8.1 ],[12.44 12.44 16.44 16.44 12.44 ],[1 1 1 ]);\npatch([12.1 25."
21608      "88 21.88 17.88 13.88 8.1 12.1 ],[8.44 8.44 12.44 8.44 12.44 12.44 8.44 ],[0.931 0.946 0.973 ]);\nfprintf('','COMMEN"
21609      "T: end icon graphics');\nfprintf('','COMMENT: begin icon text');\n\n\n\ncolor('black');disp('and');\nfprintf('','CO"
21610      "MMENT: end icon text');"
21611    }
21612    Block {
21613      BlockType       Reference
21614      Name            "Logical2"
21615      SID             "643"
21616      Ports           [2, 1]
21617      Position        [645, 216, 680, 249]
21618      ShowName        off
21619      LibraryVersion      "1.2"
21620      SourceBlock         "xbsIndex_r4/Logical"
21621      SourceType          "Xilinx Logical Block Block"
21622      logical_function    "OR"
21623      inputs          "2"
21624      en              off
21625      latency         "0"
21626      precision       "Full"
21627      arith_type          "Unsigned"
21628      n_bits          "16"
21629      bin_pt          "0"
21630      align_bp        on
21631      dbl_ovrd        off
21632      xl_use_area         off
21633      xl_area         "[0,0,0,0,0,0,0]"
21634      has_advanced_control    "0"
21635      sggui_pos       "20,20,348,261"
21636      block_type          "logical"
21637      block_version       "8.2"
21638      sg_icon_stat        "35,33,2,1,white,blue,0,7ede7d88,right,,[ ],[ ]"
21639      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 35 35 0 0 ],[0 0 33 33 0 ],[0.77 0.82 0.91"
21640      " ]);\nplot([0 35 35 0 0 ],[0 0 33 33 0 ]);\npatch([8.1 13.88 17.88 21.88 25.88 17.88 12.1 8.1 ],[20.44 20.44 24.44 "
21641      "20.44 24.44 24.44 24.44 20.44 ],[1 1 1 ]);\npatch([12.1 17.88 13.88 8.1 12.1 ],[16.44 16.44 20.44 20.44 16.44 ],[0."
21642      "931 0.946 0.973 ]);\npatch([8.1 13.88 17.88 12.1 8.1 ],[12.44 12.44 16.44 16.44 12.44 ],[1 1 1 ]);\npatch([12.1 25."
21643      "88 21.88 17.88 13.88 8.1 12.1 ],[8.44 8.44 12.44 8.44 12.44 12.44 8.44 ],[0.931 0.946 0.973 ]);\nfprintf('','COMMEN"
21644      "T: end icon graphics');\nfprintf('','COMMENT: begin icon text');\n\n\n\ncolor('black');disp('or');\nfprintf('','COM"
21645      "MENT: end icon text');"
21646    }
21647    Block {
21648      BlockType       SubSystem
21649      Name            "Posedge2"
21650      SID             "644"
21651      Ports           [1, 1]
21652      Position        [570, 233, 605, 247]
21653      MinAlgLoopOccurrences   off
21654      PropExecContextOutsideSubsystem off
21655      RTWSystemCode       "Auto"
21656      FunctionWithSeparateData off
21657      Opaque          off
21658      RequestExecContextInheritance off
21659      MaskHideContents    off
21660      System {
21661        Name            "Posedge2"
21662        Location            [132, 719, 452, 817]
21663        Open            off
21664        ModelBrowserVisibility  off
21665        ModelBrowserWidth       200
21666        ScreenColor         "white"
21667        PaperOrientation        "landscape"
21668        PaperPositionMode       "auto"
21669        PaperType           "usletter"
21670        PaperUnits          "inches"
21671        TiledPaperMargins       [0.500000, 0.500000, 0.500000, 0.500000]
21672        TiledPageScale      1
21673        ShowPageBoundaries      off
21674        ZoomFactor          "100"
21675        Block {
21676          BlockType           Inport
21677          Name            "D"
21678          SID             "645"
21679          Position            [25, 33, 55, 47]
21680          IconDisplay         "Port number"
21681        }
21682        Block {
21683          BlockType           Reference
21684          Name            "Delay"
21685          SID             "646"
21686          Ports           [1, 1]
21687          Position            [145, 45, 175, 75]
21688          ShowName            off
21689          LibraryVersion          "1.2"
21690          SourceBlock         "xbsIndex_r4/Delay"
21691          SourceType          "Xilinx Delay Block"
21692          infoedit            "Hardware notes: A delay line is a chain, each link of which is an SRL16 followed by a flip-flo"
21693          "p. If register retiming is enabled, the delay line is a chain of flip-flops."
21694          rst             off
21695          infoeditControl         "Selection of Reset will increase slice count due to use of real FFs and instead of SRLs"
21696          en              off
21697          latency             "1"
21698          dbl_ovrd            off
21699          reg_retiming        off
21700          xl_use_area         off
21701          xl_area             "[0,0,0,0,0,0,0]"
21702          has_advanced_control    "0"
21703          sggui_pos           "-1,-1,-1,-1"
21704          block_type          "delay"
21705          block_version       "8.2"
21706          sg_icon_stat        "30,30,1,1,white,blue,0,07b98262,right,,[ ],[ ]"
21707          sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npatch([0 30 30 0 0 ],[0 0 30 30 0 ],[0.77 0"
21708          ".82 0.91 ]);\nplot([0 30 30 0 0 ],[0 0 30 30 0 ]);\npatch([6.1 11.88 15.88 19.88 23.88 15.88 10.1 6.1 ],[19.44 "
21709          "19.44 23.44 19.44 23.44 23.44 23.44 19.44 ],[1 1 1 ]);\npatch([10.1 15.88 11.88 6.1 10.1 ],[15.44 15.44 19.44 1"
21710          "9.44 15.44 ],[0.931 0.946 0.973 ]);\npatch([6.1 11.88 15.88 10.1 6.1 ],[11.44 11.44 15.44 15.44 11.44 ],[1 1 1 "
21711          "]);\npatch([10.1 23.88 19.88 15.88 11.88 6.1 10.1 ],[7.44 7.44 11.44 7.44 11.44 11.44 7.44 ],[0.931 0.946 0.973"
21712          " ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\n\n\ncolor('black');di"
21713          "sp('z^{-1}','texmode','on');\nfprintf('','COMMENT: end icon text');"
21714        }
21715        Block {
21716          BlockType           Reference
21717          Name            "Inverter"
21718          SID             "647"
21719          Ports           [1, 1]
21720          Position            [90, 47, 120, 73]
21721          ShowName            off
21722          LibraryVersion          "1.2"
21723          SourceBlock         "xbsIndex_r4/Inverter"
21724          SourceType          "Xilinx Inverter Block"
21725          infoedit            "Bitwise logical negation (one's complement) operator."
21726          en              off
21727          latency             "0"
21728          dbl_ovrd            off
21729          xl_use_area         off
21730          xl_area             "[0,0,0,0,0,0,0]"
21731          has_advanced_control    "0"
21732          sggui_pos           "20,20,348,251"
21733          block_type          "inv"
21734          block_version       "8.2"
21735          sg_icon_stat        "30,26,1,1,white,blue,0,267846e5,right,,[ ],[ ]"
21736          sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npatch([0 30 30 0 0 ],[0 0 26 26 0 ],[0.77 0"
21737          ".82 0.91 ]);\nplot([0 30 30 0 0 ],[0 0 26 26 0 ]);\npatch([8.325 12.66 15.66 18.66 21.66 15.66 11.325 8.325 ],["
21738          "16.33 16.33 19.33 16.33 19.33 19.33 19.33 16.33 ],[1 1 1 ]);\npatch([11.325 15.66 12.66 8.325 11.325 ],[13.33 1"
21739          "3.33 16.33 16.33 13.33 ],[0.931 0.946 0.973 ]);\npatch([8.325 12.66 15.66 11.325 8.325 ],[10.33 10.33 13.33 13."
21740          "33 10.33 ],[1 1 1 ]);\npatch([11.325 21.66 18.66 15.66 12.66 8.325 11.325 ],[7.33 7.33 10.33 7.33 10.33 10.33 7"
21741          ".33 ],[0.931 0.946 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text')"
21742          ";\n\n\ncolor('black');disp('not');\nfprintf('','COMMENT: end icon text');"
21743        }
21744        Block {
21745          BlockType           Reference
21746          Name            "Logical"
21747          SID             "648"
21748          Ports           [2, 1]
21749          Position            [205, 29, 240, 71]
21750          ShowName            off
21751          LibraryVersion          "1.2"
21752          SourceBlock         "xbsIndex_r4/Logical"
21753          SourceType          "Xilinx Logical Block Block"
21754          logical_function        "AND"
21755          inputs              "2"
21756          en              off
21757          latency             "0"
21758          precision           "Full"
21759          arith_type          "Unsigned"
21760          n_bits              "16"
21761          bin_pt              "0"
21762          align_bp            on
21763          dbl_ovrd            off
21764          xl_use_area         off
21765          xl_area             "[0,0,0,0,0,0,0]"
21766          has_advanced_control    "0"
21767          sggui_pos           "20,20,348,261"
21768          block_type          "logical"
21769          block_version       "8.2"
21770          sg_icon_stat        "35,42,2,1,white,blue,0,83a4b621,right,,[ ],[ ]"
21771          sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npatch([0 35 35 0 0 ],[0 0 42 42 0 ],[0.77 0"
21772          ".82 0.91 ]);\nplot([0 35 35 0 0 ],[0 0 42 42 0 ]);\npatch([5.875 13.1 18.1 23.1 28.1 18.1 10.875 5.875 ],[26.55"
21773          " 26.55 31.55 26.55 31.55 31.55 31.55 26.55 ],[1 1 1 ]);\npatch([10.875 18.1 13.1 5.875 10.875 ],[21.55 21.55 26"
21774          ".55 26.55 21.55 ],[0.931 0.946 0.973 ]);\npatch([5.875 13.1 18.1 10.875 5.875 ],[16.55 16.55 21.55 21.55 16.55 "
21775          "],[1 1 1 ]);\npatch([10.875 28.1 23.1 18.1 13.1 5.875 10.875 ],[11.55 11.55 16.55 11.55 16.55 16.55 11.55 ],[0."
21776          "931 0.946 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\n\n\n\n"
21777          "color('black');disp('and');\nfprintf('','COMMENT: end icon text');"
21778        }
21779        Block {
21780          BlockType           Outport
21781          Name            "Q"
21782          SID             "649"
21783          Position            [265, 43, 295, 57]
21784          IconDisplay         "Port number"
21785        }
21786        Line {
21787          SrcBlock            "Logical"
21788          SrcPort             1
21789          Points              [0, 0]
21790          DstBlock            "Q"
21791          DstPort             1
21792        }
21793        Line {
21794          SrcBlock            "Delay"
21795          SrcPort             1
21796          Points              [0, 0]
21797          DstBlock            "Logical"
21798          DstPort             2
21799        }
21800        Line {
21801          SrcBlock            "Inverter"
21802          SrcPort             1
21803          DstBlock            "Delay"
21804          DstPort             1
21805        }
21806        Line {
21807          SrcBlock            "D"
21808          SrcPort             1
21809          Points              [0, 0; 15, 0]
21810          Branch {
21811        DstBlock        "Logical"
21812        DstPort         1
21813          }
21814          Branch {
21815        DstBlock        "Inverter"
21816        DstPort         1
21817          }
21818        }
21819      }
21820    }
21821    Block {
21822      BlockType       Reference
21823      Name            "Relational"
21824      SID             "650"
21825      Ports           [2, 1]
21826      Position        [1085, 223, 1130, 272]
21827      BlockMirror         on
21828      ShowName        off
21829      LibraryVersion      "1.2"
21830      SourceBlock         "xbsIndex_r4/Relational"
21831      SourceType          "Xilinx Arithmetic Relational Operator Block"
21832      mode            "a<=b"
21833      en              off
21834      latency         "0"
21835      dbl_ovrd        off
21836      xl_use_area         off
21837      xl_area         "[0,0,0,0,0,0,0]"
21838      has_advanced_control    "0"
21839      sggui_pos       "20,20,348,193"
21840      block_type          "relational"
21841      block_version       "8.2"
21842      sg_icon_stat        "45,49,2,1,white,blue,0,52e4b236,left,,[ ],[ ]"
21843      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 45 45 0 0 ],[0 0 49 49 0 ],[0.77 0.82 0.91"
21844      " ]);\nplot([0 45 45 0 0 ],[0 0 49 49 0 ]);\npatch([8.65 17.32 23.32 29.32 35.32 23.32 14.65 8.65 ],[30.66 30.66 36."
21845      "66 30.66 36.66 36.66 36.66 30.66 ],[1 1 1 ]);\npatch([14.65 23.32 17.32 8.65 14.65 ],[24.66 24.66 30.66 30.66 24.66"
21846      " ],[0.931 0.946 0.973 ]);\npatch([8.65 17.32 23.32 14.65 8.65 ],[18.66 18.66 24.66 24.66 18.66 ],[1 1 1 ]);\npatch("
21847      "[14.65 35.32 29.32 23.32 17.32 8.65 14.65 ],[12.66 12.66 18.66 12.66 18.66 18.66 12.66 ],[0.931 0.946 0.973 ]);\nfp"
21848      "rintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input'"
21849      ",1,'a');\ncolor('black');port_label('input',2,'b');\ncolor('black');port_label('output',1,'\\bfa \\leq b','texmode'"
21850      ",'on');\ncolor('black');disp(' ');\nfprintf('','COMMENT: end icon text');"
21851    }
21852    Block {
21853      BlockType       Reference
21854      Name            "Relational1"
21855      SID             "651"
21856      Ports           [2, 1]
21857      Position        [845, 367, 890, 438]
21858      ShowName        off
21859      LibraryVersion      "1.2"
21860      SourceBlock         "xbsIndex_r4/Relational"
21861      SourceType          "Xilinx Arithmetic Relational Operator Block"
21862      mode            "a>=b"
21863      en              off
21864      latency         "0"
21865      dbl_ovrd        off
21866      xl_use_area         off
21867      xl_area         "[0,0,0,0,0,0,0]"
21868      has_advanced_control    "0"
21869      sggui_pos       "20,20,348,193"
21870      block_type          "relational"
21871      block_version       "8.2"
21872      sg_icon_stat        "45,71,2,1,white,blue,0,6218dc92,right,,[ ],[ ]"
21873      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 45 45 0 0 ],[0 0 71 71 0 ],[0.77 0.82 0.91"
21874      " ]);\nplot([0 45 45 0 0 ],[0 0 71 71 0 ]);\npatch([8.65 17.32 23.32 29.32 35.32 23.32 14.65 8.65 ],[41.66 41.66 47."
21875      "66 41.66 47.66 47.66 47.66 41.66 ],[1 1 1 ]);\npatch([14.65 23.32 17.32 8.65 14.65 ],[35.66 35.66 41.66 41.66 35.66"
21876      " ],[0.931 0.946 0.973 ]);\npatch([8.65 17.32 23.32 14.65 8.65 ],[29.66 29.66 35.66 35.66 29.66 ],[1 1 1 ]);\npatch("
21877      "[14.65 35.32 29.32 23.32 17.32 8.65 14.65 ],[23.66 23.66 29.66 23.66 29.66 29.66 23.66 ],[0.931 0.946 0.973 ]);\nfp"
21878      "rintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input'"
21879      ",1,'a');\ncolor('black');port_label('input',2,'b');\ncolor('black');port_label('output',1,'\\bfa \\geq b','texmode'"
21880      ",'on');\ncolor('black');disp(' ');\nfprintf('','COMMENT: end icon text');"
21881    }
21882    Block {
21883      BlockType       SubSystem
21884      Name            "S-R Latch"
21885      SID             "652"
21886      Ports           [2, 1]
21887      Position        [555, 367, 595, 403]
21888      MinAlgLoopOccurrences   off
21889      PropExecContextOutsideSubsystem off
21890      RTWSystemCode       "Auto"
21891      FunctionWithSeparateData off
21892      Opaque          off
21893      RequestExecContextInheritance off
21894      MaskHideContents    off
21895      System {
21896        Name            "S-R Latch"
21897        Location            [2, 74, 1184, 726]
21898        Open            off
21899        ModelBrowserVisibility  off
21900        ModelBrowserWidth       200
21901        ScreenColor         "white"
21902        PaperOrientation        "landscape"
21903        PaperPositionMode       "auto"
21904        PaperType           "usletter"
21905        PaperUnits          "inches"
21906        TiledPaperMargins       [0.500000, 0.500000, 0.500000, 0.500000]
21907        TiledPageScale      1
21908        ShowPageBoundaries      off
21909        ZoomFactor          "100"
21910        Block {
21911          BlockType           Inport
21912          Name            "S"
21913          SID             "653"
21914          Position            [125, 198, 155, 212]
21915          IconDisplay         "Port number"
21916        }
21917        Block {
21918          BlockType           Inport
21919          Name            "R"
21920          SID             "654"
21921          Position            [125, 178, 155, 192]
21922          NamePlacement       "alternate"
21923          Port            "2"
21924          IconDisplay         "Port number"
21925        }
21926        Block {
21927          BlockType           Reference
21928          Name            "Convert"
21929          SID             "655"
21930          Ports           [1, 1]
21931          Position            [200, 178, 230, 192]
21932          ShowName            off
21933          LibraryVersion          "1.2"
21934          SourceBlock         "xbsIndex_r4/Convert"
21935          SourceType          "Xilinx Type Converter Block"
21936          infoedit            "Hardware notes: rounding and saturating require hardware resources; truncating and wrapping do"
21937          " not."
21938          gui_display_data_type   "Fixed-point"
21939          arith_type          "Boolean"
21940          n_bits              "16"
21941          bin_pt              "14"
21942          float_type          "Single"
21943          exp_bits            "8"
21944          fraction_bits       "24"
21945          quantization        "Truncate"
21946          overflow            "Wrap"
21947          en              off
21948          latency             "0"
21949          dbl_ovrd            off
21950          pipeline            off
21951          xl_use_area         off
21952          xl_area             "[0,0,0,0,0,0,0]"
21953          has_advanced_control    "0"
21954          sggui_pos           "20,20,374,375"
21955          block_type          "convert"
21956          block_version       "8.2"
21957          sg_icon_stat        "30,14,1,1,white,blue,0,edca21da,right,,[ ],[ ]"
21958          sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npatch([0 30 30 0 0 ],[0 0 14 14 0 ],[0.77 0"
21959          ".82 0.91 ]);\nplot([0 30 30 0 0 ],[0 0 14 14 0 ]);\npatch([10.55 13.44 15.44 17.44 19.44 15.44 12.55 10.55 ],[9"
21960          ".22 9.22 11.22 9.22 11.22 11.22 11.22 9.22 ],[1 1 1 ]);\npatch([12.55 15.44 13.44 10.55 12.55 ],[7.22 7.22 9.22"
21961          " 9.22 7.22 ],[0.931 0.946 0.973 ]);\npatch([10.55 13.44 15.44 12.55 10.55 ],[5.22 5.22 7.22 7.22 5.22 ],[1 1 1 "
21962          "]);\npatch([12.55 19.44 17.44 15.44 13.44 10.55 12.55 ],[3.22 3.22 5.22 3.22 5.22 5.22 3.22 ],[0.931 0.946 0.97"
21963          "3 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\n\ncolor('black');por"
21964          "t_label('output',1,'cast');\nfprintf('','COMMENT: end icon text');"
21965        }
21966        Block {
21967          BlockType           Reference
21968          Name            "Convert1"
21969          SID             "656"
21970          Ports           [1, 1]
21971          Position            [200, 198, 230, 212]
21972          ShowName            off
21973          LibraryVersion          "1.2"
21974          SourceBlock         "xbsIndex_r4/Convert"
21975          SourceType          "Xilinx Type Converter Block"
21976          infoedit            "Hardware notes: rounding and saturating require hardware resources; truncating and wrapping do"
21977          " not."
21978          gui_display_data_type   "Fixed-point"
21979          arith_type          "Boolean"
21980          n_bits              "16"
21981          bin_pt              "14"
21982          float_type          "Single"
21983          exp_bits            "8"
21984          fraction_bits       "24"
21985          quantization        "Truncate"
21986          overflow            "Wrap"
21987          en              off
21988          latency             "0"
21989          dbl_ovrd            off
21990          pipeline            off
21991          xl_use_area         off
21992          xl_area             "[0,0,0,0,0,0,0]"
21993          has_advanced_control    "0"
21994          sggui_pos           "20,20,374,375"
21995          block_type          "convert"
21996          block_version       "8.2"
21997          sg_icon_stat        "30,14,1,1,white,blue,0,edca21da,right,,[ ],[ ]"
21998          sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npatch([0 30 30 0 0 ],[0 0 14 14 0 ],[0.77 0"
21999          ".82 0.91 ]);\nplot([0 30 30 0 0 ],[0 0 14 14 0 ]);\npatch([10.55 13.44 15.44 17.44 19.44 15.44 12.55 10.55 ],[9"
22000          ".22 9.22 11.22 9.22 11.22 11.22 11.22 9.22 ],[1 1 1 ]);\npatch([12.55 15.44 13.44 10.55 12.55 ],[7.22 7.22 9.22"
22001          " 9.22 7.22 ],[0.931 0.946 0.973 ]);\npatch([10.55 13.44 15.44 12.55 10.55 ],[5.22 5.22 7.22 7.22 5.22 ],[1 1 1 "
22002          "]);\npatch([12.55 19.44 17.44 15.44 13.44 10.55 12.55 ],[3.22 3.22 5.22 3.22 5.22 5.22 3.22 ],[0.931 0.946 0.97"
22003          "3 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\n\ncolor('black');por"
22004          "t_label('output',1,'cast');\nfprintf('','COMMENT: end icon text');"
22005        }
22006        Block {
22007          BlockType           Reference
22008          Name            "Register"
22009          SID             "657"
22010          Ports           [3, 1]
22011          Position            [280, 153, 330, 217]
22012          NamePlacement       "alternate"
22013          ShowName            off
22014          LibraryVersion          "1.2"
22015          SourceBlock         "xbsIndex_r4/Register"
22016          SourceType          "Xilinx Register Block"
22017          init            "0"
22018          rst             on
22019          en              on
22020          dbl_ovrd            off
22021          xl_use_area         off
22022          xl_area             "[0,0,0,0,0,0,0]"
22023          has_advanced_control    "0"
22024          sggui_pos           "-1,-1,-1,-1"
22025          block_type          "register"
22026          block_version       "8.2"
22027          sg_icon_stat        "50,64,3,1,white,blue,0,30546de1,right,,[ ],[ ]"
22028          sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npatch([0 50 50 0 0 ],[0 0 64 64 0 ],[0.77 0"
22029          ".82 0.91 ]);\nplot([0 50 50 0 0 ],[0 0 64 64 0 ]);\npatch([9.425 19.54 26.54 33.54 40.54 26.54 16.425 9.425 ],["
22030          "39.77 39.77 46.77 39.77 46.77 46.77 46.77 39.77 ],[1 1 1 ]);\npatch([16.425 26.54 19.54 9.425 16.425 ],[32.77 3"
22031          "2.77 39.77 39.77 32.77 ],[0.931 0.946 0.973 ]);\npatch([9.425 19.54 26.54 16.425 9.425 ],[25.77 25.77 32.77 32."
22032          "77 25.77 ],[1 1 1 ]);\npatch([16.425 40.54 33.54 26.54 19.54 9.425 16.425 ],[18.77 18.77 25.77 18.77 25.77 25.7"
22033          "7 18.77 ],[0.931 0.946 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon te"
22034          "xt');\ncolor('black');port_label('input',1,'d');\ncolor('black');port_label('input',2,'rst');\ncolor('black');p"
22035          "ort_label('input',3,'en');\ncolor('black');port_label('output',1,'q');\ncolor('black');disp('z^{-1}','texmode',"
22036          "'on');\nfprintf('','COMMENT: end icon text');"
22037        }
22038        Block {
22039          BlockType           Reference
22040          Name            "zero3"
22041          SID             "658"
22042          Ports           [0, 1]
22043          Position            [215, 156, 235, 174]
22044          ShowName            off
22045          LibraryVersion          "1.2"
22046          SourceBlock         "xbsIndex_r4/Constant"
22047          SourceType          "Xilinx Constant Block Block"
22048          const           "1"
22049          gui_display_data_type   "Fixed-point"
22050          arith_type          "Boolean"
22051          n_bits              "1"
22052          bin_pt              "0"
22053          preci_type          "Single"
22054          exp_width           "8"
22055          frac_width          "24"
22056          explicit_period         off
22057          period              "1"
22058          dsp48_infoedit          "The use of this block for DSP48 instructions is deprecated.  Please use the Opmode block."
22059          equ             "P=C"
22060          opselect            "C"
22061          inp2            "PCIN>>17"
22062          opr             "+"
22063          inp1            "P"
22064          carry           "CIN"
22065          dbl_ovrd            off
22066          has_advanced_control    "0"
22067          sggui_pos           "-1,-1,-1,-1"
22068          block_type          "constant"
22069          block_version       "VER_STRING_GOES_HERE"
22070          sg_icon_stat        "20,18,0,1,white,blue,0,1c72b5be,right,,[ ],[ ]"
22071          sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npatch([0 20 20 0 0 ],[0 0 18 18 0 ],[0.77 0"
22072          ".82 0.91 ]);\nplot([0 20 20 0 0 ],[0 0 18 18 0 ]);\npatch([5.55 8.44 10.44 12.44 14.44 10.44 7.55 5.55 ],[11.22"
22073          " 11.22 13.22 11.22 13.22 13.22 13.22 11.22 ],[1 1 1 ]);\npatch([7.55 10.44 8.44 5.55 7.55 ],[9.22 9.22 11.22 11"
22074          ".22 9.22 ],[0.931 0.946 0.973 ]);\npatch([5.55 8.44 10.44 7.55 5.55 ],[7.22 7.22 9.22 9.22 7.22 ],[1 1 1 ]);\np"
22075          "atch([7.55 14.44 12.44 10.44 8.44 5.55 7.55 ],[5.22 5.22 7.22 5.22 7.22 7.22 5.22 ],[0.931 0.946 0.973 ]);\nfpr"
22076          "intf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('out"
22077          "put',1,'1');\nfprintf('','COMMENT: end icon text');"
22078        }
22079        Block {
22080          BlockType           Outport
22081          Name            "Q"
22082          SID             "659"
22083          Position            [395, 178, 425, 192]
22084          IconDisplay         "Port number"
22085        }
22086        Line {
22087          SrcBlock            "Convert1"
22088          SrcPort             1
22089          DstBlock            "Register"
22090          DstPort             3
22091        }
22092        Line {
22093          SrcBlock            "Convert"
22094          SrcPort             1
22095          DstBlock            "Register"
22096          DstPort             2
22097        }
22098        Line {
22099          SrcBlock            "Register"
22100          SrcPort             1
22101          DstBlock            "Q"
22102          DstPort             1
22103        }
22104        Line {
22105          SrcBlock            "S"
22106          SrcPort             1
22107          DstBlock            "Convert1"
22108          DstPort             1
22109        }
22110        Line {
22111          SrcBlock            "R"
22112          SrcPort             1
22113          DstBlock            "Convert"
22114          DstPort             1
22115        }
22116        Line {
22117          SrcBlock            "zero3"
22118          SrcPort             1
22119          DstBlock            "Register"
22120          DstPort             1
22121        }
22122      }
22123    }
22124    Block {
22125      BlockType       Reference
22126      Name            "Transmisson\nMode Selector"
22127      SID             "660"
22128      Ports           [3, 1]
22129      Position        [315, 343, 350, 447]
22130      LibraryVersion      "1.2"
22131      SourceBlock         "xbsIndex_r4/Mux"
22132      SourceType          "Xilinx Bus Multiplexer Block"
22133      inputs          "2"
22134      en              off
22135      latency         "0"
22136      precision       "Full"
22137      arith_type          "Unsigned"
22138      n_bits          "16"
22139      bin_pt          "14"
22140      quantization        "Truncate"
22141      overflow        "Wrap"
22142      dbl_ovrd        off
22143      xl_use_area         off
22144      xl_area         "[0,0,0,0,0,0,0]"
22145      has_advanced_control    "0"
22146      sggui_pos       "-1,-1,-1,-1"
22147      block_type          "mux"
22148      block_version       "9.1.01"
22149      sg_icon_stat        "35,104,3,1,white,blue,3,eb98d690,right,,[ ],[ ]"
22150      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 35 35 0 0 ],[0 14.8571 89.1429 104 0 ],[0."
22151      "77 0.82 0.91 ]);\nplot([0 35 35 0 0 ],[0 14.8571 89.1429 104 0 ]);\npatch([5.875 13.1 18.1 23.1 28.1 18.1 10.875 5."
22152      "875 ],[57.55 57.55 62.55 57.55 62.55 62.55 62.55 57.55 ],[1 1 1 ]);\npatch([10.875 18.1 13.1 5.875 10.875 ],[52.55 "
22153      "52.55 57.55 57.55 52.55 ],[0.931 0.946 0.973 ]);\npatch([5.875 13.1 18.1 10.875 5.875 ],[47.55 47.55 52.55 52.55 47"
22154      ".55 ],[1 1 1 ]);\npatch([10.875 28.1 23.1 18.1 13.1 5.875 10.875 ],[42.55 42.55 47.55 42.55 47.55 47.55 42.55 ],[0."
22155      "931 0.946 0.973 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('bla"
22156      "ck');port_label('input',1,'sel');\ncolor('black');port_label('input',2,'d0');\ncolor('black');port_label('input',3,"
22157      "'d1');\n\ncolor('black');disp('\\bf{}','texmode','on');\nfprintf('','COMMENT: end icon text');"
22158    }
22159    Block {
22160      BlockType       Reference
22161      Name            "Tx Addr Counter"
22162      SID             "661"
22163      Ports           [2, 1]
22164      Position        [1085, 308, 1130, 357]
22165      NamePlacement       "alternate"
22166      LibraryVersion      "1.2"
22167      SourceBlock         "xbsIndex_r4/Counter"
22168      SourceType          "Xilinx Counter Block"
22169      infoedit        "Hardware notes: Free running counters are the least expensive in hardware.  A count limited counter is"
22170      " implemented by combining a counter with a comparator."
22171      cnt_type        "Free Running"
22172      cnt_to          "Inf"
22173      operation       "Up"
22174      start_count         "0"
22175      cnt_by_val          "1"
22176      arith_type          "Unsigned"
22177      n_bits          "14"
22178      bin_pt          "0"
22179      load_pin        off
22180      rst             on
22181      en              on
22182      explicit_period     "off"
22183      period          "1"
22184      dbl_ovrd        off
22185      use_behavioral_HDL      off
22186      implementation      "Fabric"
22187      xl_use_area         off
22188      xl_area         "[0,0,0,0,0,0,0]"
22189      use_rpm         "off"
22190      has_advanced_control    "0"
22191      sggui_pos       "20,20,356,630"
22192      block_type          "counter"
22193      block_version       "8.2"
22194      sg_icon_stat        "45,49,2,1,white,blue,0,ae3608d6,right,,[ ],[ ]"
22195      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 45 45 0 0 ],[0 0 49 49 0 ],[0.77 0.82 0.91"
22196      " ]);\nplot([0 45 45 0 0 ],[0 0 49 49 0 ]);\npatch([8.65 17.32 23.32 29.32 35.32 23.32 14.65 8.65 ],[30.66 30.66 36."
22197      "66 30.66 36.66 36.66 36.66 30.66 ],[1 1 1 ]);\npatch([14.65 23.32 17.32 8.65 14.65 ],[24.66 24.66 30.66 30.66 24.66"
22198      " ],[0.931 0.946 0.973 ]);\npatch([8.65 17.32 23.32 14.65 8.65 ],[18.66 18.66 24.66 24.66 18.66 ],[1 1 1 ]);\npatch("
22199      "[14.65 35.32 29.32 23.32 17.32 8.65 14.65 ],[12.66 12.66 18.66 12.66 18.66 18.66 12.66 ],[0.931 0.946 0.973 ]);\nfp"
22200      "rintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input'"
22201      ",1,'rst');\ncolor('black');port_label('input',2,'en');\n\ncolor('black');disp('{\\fontsize{14}\\bf++}','texmode','o"
22202      "n');\nfprintf('','COMMENT: end icon text');\n"
22203    }
22204    Block {
22205      BlockType       Scope
22206      Name            "Tx Control"
22207      SID             "662"
22208      Ports           [8]
22209      Position        [1375, 624, 1405, 731]
22210      Floating        off
22211      Location        [1, 45, 1281, 719]
22212      Open            off
22213      NumInputPorts       "8"
22214      ZoomMode        "yonly"
22215      List {
22216        ListType            AxesTitles
22217        axes1           "%<SignalLabel>"
22218        axes2           "%<SignalLabel>"
22219        axes3           "%<SignalLabel>"
22220        axes4           "%<SignalLabel>"
22221        axes5           "%<SignalLabel>"
22222        axes6           "%<SignalLabel>"
22223        axes7           "%<SignalLabel>"
22224        axes8           "%<SignalLabel>"
22225      }
22226      TimeRange       "70000"
22227      YMin            "0~0~0~0~0~0~0~0"
22228      YMax            "2~1~1~1~1~100~1~20000"
22229      SaveName        "ScopeData3"
22230      DataFormat          "StructureWithTime"
22231      LimitDataPoints     off
22232      SampleTime          "0"
22233    }
22234    Block {
22235      BlockType       Reference
22236      Name            "Tx Delay Counter"
22237      SID             "663"
22238      Ports           [2, 1]
22239      Position        [745, 356, 785, 409]
22240      NamePlacement       "alternate"
22241      LibraryVersion      "1.2"
22242      SourceBlock         "xbsIndex_r4/Counter"
22243      SourceType          "Xilinx Counter Block"
22244      infoedit        "Hardware notes: Free running counters are the least expensive in hardware.  A count limited counter is"
22245      " implemented by combining a counter with a comparator."
22246      cnt_type        "Free Running"
22247      cnt_to          "Inf"
22248      operation       "Up"
22249      start_count         "0"
22250      cnt_by_val          "1"
22251      arith_type          "Unsigned"
22252      n_bits          "14"
22253      bin_pt          "0"
22254      load_pin        off
22255      rst             on
22256      en              on
22257      explicit_period     "off"
22258      period          "1"
22259      dbl_ovrd        off
22260      use_behavioral_HDL      off
22261      implementation      "Fabric"
22262      xl_use_area         off
22263      xl_area         "[0,0,0,0,0,0,0]"
22264      use_rpm         "off"
22265      has_advanced_control    "0"
22266      sggui_pos       "20,20,356,630"
22267      block_type          "counter"
22268      block_version       "8.2"
22269      sg_icon_stat        "40,53,2,1,white,blue,0,ae3608d6,right,,[ ],[ ]"
22270      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');\npatch([0 40 40 0 0 ],[0 0 53 53 0 ],[0.77 0.82 0.91"
22271      " ]);\nplot([0 40 40 0 0 ],[0 0 53 53 0 ]);\npatch([8.875 16.1 21.1 26.1 31.1 21.1 13.875 8.875 ],[31.55 31.55 36.55"
22272      " 31.55 36.55 36.55 36.55 31.55 ],[1 1 1 ]);\npatch([13.875 21.1 16.1 8.875 13.875 ],[26.55 26.55 31.55 31.55 26.55 "
22273      "],[0.931 0.946 0.973 ]);\npatch([8.875 16.1 21.1 13.875 8.875 ],[21.55 21.55 26.55 26.55 21.55 ],[1 1 1 ]);\npatch("
22274      "[13.875 31.1 26.1 21.1 16.1 8.875 13.875 ],[16.55 16.55 21.55 16.55 21.55 21.55 16.55 ],[0.931 0.946 0.973 ]);\nfpr"
22275      "intf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',"
22276      "1,'rst');\ncolor('black');port_label('input',2,'en');\n\ncolor('black');disp('{\\fontsize{14}\\bf++}','texmode','on"
22277      "');\nfprintf('','COMMENT: end icon text');\n"
22278    }
22279    Block {
22280      BlockType       Outport
22281      Name            "Addr"
22282      SID             "664"
22283      Position        [1315, 328, 1345, 342]
22284      IconDisplay         "Port number"
22285    }
22286    Block {
22287      BlockType       Outport
22288      Name            "Vout"
22289      SID             "665"
22290      Position        [1160, 418, 1190, 432]
22291      Port            "2"
22292      IconDisplay         "Port number"
22293    }
22294    Line {
22295      SrcBlock        "Transmisson\nMode Selector"
22296      SrcPort         1
22297      Points          [20, 0]
22298      Branch {
22299        DstBlock            "S-R Latch"
22300        DstPort         2
22301      }
22302      Branch {
22303        Points          [0, -170]
22304        DstBlock            "Logical2"
22305        DstPort         1
22306      }
22307    }
22308    Line {
22309      SrcBlock        "Tx Delay Counter"
22310      SrcPort         1
22311      Points          [25, 0]
22312      Branch {
22313        DstBlock            "Relational1"
22314        DstPort         1
22315      }
22316      Branch {
22317        Points          [0, 315]
22318        DstBlock            "Gateway Out6"
22319        DstPort         1
22320      }
22321    }
22322    Line {
22323      SrcBlock        "TxDelay"
22324      SrcPort         1
22325      DstBlock        "Relational1"
22326      DstPort         2
22327    }
22328    Line {
22329      SrcBlock        "ContinuousTx"
22330      SrcPort         1
22331      Points          [105, 0]
22332      Branch {
22333        DstBlock            "Transmisson\nMode Selector"
22334        DstPort         1
22335      }
22336      Branch {
22337        Points          [0, 265]
22338        DstBlock            "Gateway Out1"
22339        DstPort         1
22340      }
22341    }
22342    Line {
22343      SrcBlock        "StartTx"
22344      SrcPort         1
22345      DstBlock        "Convert1"
22346      DstPort         1
22347    }
22348    Line {
22349      SrcBlock        "StopTx"
22350      SrcPort         1
22351      DstBlock        "Convert2"
22352      DstPort         1
22353    }
22354    Line {
22355      SrcBlock        "Logical"
22356      SrcPort         1
22357      DstBlock        "Tx Delay Counter"
22358      DstPort         2
22359    }
22360    Line {
22361      SrcBlock        "S-R Latch"
22362      SrcPort         1
22363      Points          [20, 0]
22364      Branch {
22365        Points          [0, 0]
22366        Branch {
22367          DstBlock            "Logical"
22368          DstPort             1
22369        }
22370        Branch {
22371          Points              [0, 0; 0, -50]
22372          DstBlock            "Logical1"
22373          DstPort             1
22374        }
22375      }
22376      Branch {
22377        Points          [0, 300]
22378        DstBlock            "Gateway Out5"
22379        DstPort         1
22380      }
22381    }
22382    Line {
22383      SrcBlock        "Relational1"
22384      SrcPort         1
22385      Points          [50, 0]
22386      Branch {
22387        Points          [0, 80]
22388        DstBlock            "Inverter"
22389        DstPort         1
22390      }
22391      Branch {
22392        Points          [0, -55]
22393        DstBlock            "Logical1"
22394        DstPort         2
22395      }
22396    }
22397    Line {
22398      SrcBlock        "Inverter"
22399      SrcPort         1
22400      Points          [-130, 0; 0, -85]
22401      DstBlock        "Logical"
22402      DstPort         2
22403    }
22404    Line {
22405      SrcBlock        "Logical1"
22406      SrcPort         1
22407      Points          [30, 0]
22408      Branch {
22409        DstBlock            "Tx Addr Counter"
22410        DstPort         2
22411      }
22412      Branch {
22413        Points          [0, 80]
22414        Branch {
22415          DstBlock            "Vout"
22416          DstPort             1
22417        }
22418        Branch {
22419          Points              [0, 290]
22420          DstBlock            "Gateway Out7"
22421          DstPort             1
22422        }
22423      }
22424    }
22425    Line {
22426      SrcBlock        "Tx Addr Counter"
22427      SrcPort         1
22428      Points          [65, 0]
22429      Branch {
22430        DstBlock            "Addr"
22431        DstPort         1
22432      }
22433      Branch {
22434        Points          [0, -75]
22435        DstBlock            "Relational"
22436        DstPort         2
22437      }
22438      Branch {
22439        Points          [0, 395]
22440        DstBlock            "Gateway Out8"
22441        DstPort         1
22442      }
22443    }
22444    Line {
22445      SrcBlock        "From Register4"
22446      SrcPort         1
22447      DstBlock        "Relational"
22448      DstPort         1
22449    }
22450    Line {
22451      SrcBlock        "Posedge2"
22452      SrcPort         1
22453      DstBlock        "Logical2"
22454      DstPort         2
22455    }
22456    Line {
22457      SrcBlock        "Relational"
22458      SrcPort         1
22459      Points          [-90, 0; 0, -60; -710, 0; 0, 205]
22460      Branch {
22461        DstBlock            "Transmisson\nMode Selector"
22462        DstPort         2
22463      }
22464      Branch {
22465        Points          [0, 245]
22466        DstBlock            "Gateway Out2"
22467        DstPort         1
22468      }
22469    }
22470    Line {
22471      SrcBlock        "Logical2"
22472      SrcPort         1
22473      Points          [20, 0]
22474      Branch {
22475        Points          [240, 0; 0, 85]
22476        DstBlock            "Tx Addr Counter"
22477        DstPort         1
22478      }
22479      Branch {
22480        Points          [0, 135]
22481        DstBlock            "Tx Delay Counter"
22482        DstPort         1
22483      }
22484    }
22485    Line {
22486      SrcBlock        "Convert1"
22487      SrcPort         1
22488      Points          [25, 0]
22489      Branch {
22490        DstBlock            "S-R Latch"
22491        DstPort         1
22492      }
22493      Branch {
22494        Points          [0, -135]
22495        DstBlock            "Posedge2"
22496        DstPort         1
22497      }
22498      Branch {
22499        Points          [0, 295]
22500        DstBlock            "Gateway Out4"
22501        DstPort         1
22502      }
22503    }
22504    Line {
22505      SrcBlock        "Convert2"
22506      SrcPort         1
22507      Points          [5, 0]
22508      Branch {
22509        DstBlock            "Transmisson\nMode Selector"
22510        DstPort         3
22511      }
22512      Branch {
22513        Points          [0, 225]
22514        DstBlock            "Gateway Out3"
22515        DstPort         1
22516      }
22517    }
22518    Line {
22519      SrcBlock        "Gateway Out5"
22520      SrcPort         1
22521      DstBlock        "Tx Control"
22522      DstPort         5
22523    }
22524    Line {
22525      SrcBlock        "Gateway Out4"
22526      SrcPort         1
22527      DstBlock        "Tx Control"
22528      DstPort         4
22529    }
22530    Line {
22531      SrcBlock        "Gateway Out3"
22532      SrcPort         1
22533      DstBlock        "Tx Control"
22534      DstPort         3
22535    }
22536    Line {
22537      SrcBlock        "Gateway Out2"
22538      SrcPort         1
22539      DstBlock        "Tx Control"
22540      DstPort         2
22541    }
22542    Line {
22543      SrcBlock        "Gateway Out1"
22544      SrcPort         1
22545      DstBlock        "Tx Control"
22546      DstPort         1
22547    }
22548    Line {
22549      SrcBlock        "Gateway Out6"
22550      SrcPort         1
22551      DstBlock        "Tx Control"
22552      DstPort         6
22553    }
22554    Line {
22555      SrcBlock        "Gateway Out7"
22556      SrcPort         1
22557      DstBlock        "Tx Control"
22558      DstPort         7
22559    }
22560    Line {
22561      SrcBlock        "Gateway Out8"
22562      SrcPort         1
22563      DstBlock        "Tx Control"
22564      DstPort         8
22565    }
22566      }
22567    }
22568    Block {
22569      BlockType           Reference
22570      Name            "debug_AGC_Done"
22571      SID             "666"
22572      Ports           [1, 1]
22573      Position            [270, 1088, 310, 1102]
22574      LibraryVersion          "1.2"
22575      SourceBlock         "xbsIndex_r4/Gateway Out"
22576      SourceType          "Xilinx Gateway Out Block"
22577      infoedit            "Gateway out block.  Converts Xilinx fixed point inputs into ouputs of type Simulink integer, do"
22578      "uble, or fixed point.<P><P>Hardware notes:  In hardware these blocks become top level output ports or are discar"
22579      "ded, depending on how they are configured."
22580      inherit_from_input      off
22581      hdl_port            on
22582      timing_constraint       "None"
22583      locs_specified          off
22584      LOCs            "{}"
22585      xl_use_area         off
22586      xl_area             "[0,0,0,0,0,0,0]"
22587      UseAsDAC            off
22588      DACChannel          "'1'"
22589      has_advanced_control    "0"
22590      sggui_pos           "-1,-1,-1,-1"
22591      block_type          "gatewayout"
22592      block_version       "8.2"
22593      sg_icon_stat        "40,14,1,1,white,yellow,1,cc31b7ac,right,,[ ],[ ]"
22594      sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npatch([0 40 40 0 0 ],[0 0 14 14 0 ],[0.95 0."
22595      "93 0.65 ]);\nplot([0 40 40 0 0 ],[0 0 14 14 0 ]);\npatch([15.55 18.44 20.44 22.44 24.44 20.44 17.55 15.55 ],[9.2"
22596      "2 9.22 11.22 9.22 11.22 11.22 11.22 9.22 ],[1 1 1 ]);\npatch([17.55 20.44 18.44 15.55 17.55 ],[7.22 7.22 9.22 9."
22597      "22 7.22 ],[0.985 0.979 0.895 ]);\npatch([15.55 18.44 20.44 17.55 15.55 ],[5.22 5.22 7.22 7.22 5.22 ],[1 1 1 ]);\n"
22598      "patch([17.55 24.44 22.44 20.44 18.44 15.55 17.55 ],[3.22 3.22 5.22 3.22 5.22 5.22 3.22 ],[0.985 0.979 0.895 ]);\n"
22599      "fprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('i"
22600      "nput',1,' ');\ncolor('black');port_label('output',1,'\\fontsize{11pt}\\bf Out ','texmode','on');\nfprintf('','CO"
22601      "MMENT: end icon text');"
22602    }
22603    Block {
22604      BlockType           Reference
22605      Name            "debug_Capturing"
22606      SID             "667"
22607      Ports           [1, 1]
22608      Position            [580, 528, 620, 542]
22609      BlockMirror         on
22610      NamePlacement       "alternate"
22611      LibraryVersion          "1.2"
22612      SourceBlock         "xbsIndex_r4/Gateway Out"
22613      SourceType          "Xilinx Gateway Out Block"
22614      infoedit            "Gateway out block.  Converts Xilinx fixed point inputs into ouputs of type Simulink integer, do"
22615      "uble, or fixed point.<P><P>Hardware notes:  In hardware these blocks become top level output ports or are discar"
22616      "ded, depending on how they are configured."
22617      inherit_from_input      off
22618      hdl_port            on
22619      timing_constraint       "None"
22620      locs_specified          off
22621      LOCs            "{}"
22622      xl_use_area         off
22623      xl_area             "[0,0,0,0,0,0,0]"
22624      UseAsDAC            off
22625      DACChannel          "'1'"
22626      has_advanced_control    "0"
22627      sggui_pos           "-1,-1,-1,-1"
22628      block_type          "gatewayout"
22629      block_version       "8.2"
22630      sg_icon_stat        "40,14,1,1,white,yellow,1,cc31b7ac,left,,[ ],[ ]"
22631      sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npatch([0 40 40 0 0 ],[0 0 14 14 0 ],[0.95 0."
22632      "93 0.65 ]);\nplot([0 40 40 0 0 ],[0 0 14 14 0 ]);\npatch([15.55 18.44 20.44 22.44 24.44 20.44 17.55 15.55 ],[9.2"
22633      "2 9.22 11.22 9.22 11.22 11.22 11.22 9.22 ],[1 1 1 ]);\npatch([17.55 20.44 18.44 15.55 17.55 ],[7.22 7.22 9.22 9."
22634      "22 7.22 ],[0.985 0.979 0.895 ]);\npatch([15.55 18.44 20.44 17.55 15.55 ],[5.22 5.22 7.22 7.22 5.22 ],[1 1 1 ]);\n"
22635      "patch([17.55 24.44 22.44 20.44 18.44 15.55 17.55 ],[3.22 3.22 5.22 3.22 5.22 5.22 3.22 ],[0.985 0.979 0.895 ]);\n"
22636      "fprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('i"
22637      "nput',1,' ');\ncolor('black');port_label('output',1,'\\fontsize{11pt}\\bf Out ','texmode','on');\nfprintf('','CO"
22638      "MMENT: end icon text');"
22639    }
22640    Block {
22641      BlockType           Reference
22642      Name            "debug_Transmitting"
22643      SID             "668"
22644      Ports           [1, 1]
22645      Position            [755, 763, 795, 777]
22646      LibraryVersion          "1.2"
22647      SourceBlock         "xbsIndex_r4/Gateway Out"
22648      SourceType          "Xilinx Gateway Out Block"
22649      infoedit            "Gateway out block.  Converts Xilinx fixed point inputs into ouputs of type Simulink integer, do"
22650      "uble, or fixed point.<P><P>Hardware notes:  In hardware these blocks become top level output ports or are discar"
22651      "ded, depending on how they are configured."
22652      inherit_from_input      off
22653      hdl_port            on
22654      timing_constraint       "None"
22655      locs_specified          off
22656      LOCs            "{}"
22657      xl_use_area         off
22658      xl_area             "[0,0,0,0,0,0,0]"
22659      UseAsDAC            off
22660      DACChannel          "'1'"
22661      has_advanced_control    "0"
22662      sggui_pos           "-1,-1,-1,-1"
22663      block_type          "gatewayout"
22664      block_version       "8.2"
22665      sg_icon_stat        "40,14,1,1,white,yellow,1,cc31b7ac,right,,[ ],[ ]"
22666      sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npatch([0 40 40 0 0 ],[0 0 14 14 0 ],[0.95 0."
22667      "93 0.65 ]);\nplot([0 40 40 0 0 ],[0 0 14 14 0 ]);\npatch([15.55 18.44 20.44 22.44 24.44 20.44 17.55 15.55 ],[9.2"
22668      "2 9.22 11.22 9.22 11.22 11.22 11.22 9.22 ],[1 1 1 ]);\npatch([17.55 20.44 18.44 15.55 17.55 ],[7.22 7.22 9.22 9."
22669      "22 7.22 ],[0.985 0.979 0.895 ]);\npatch([15.55 18.44 20.44 17.55 15.55 ],[5.22 5.22 7.22 7.22 5.22 ],[1 1 1 ]);\n"
22670      "patch([17.55 24.44 22.44 20.44 18.44 15.55 17.55 ],[3.22 3.22 5.22 3.22 5.22 5.22 3.22 ],[0.985 0.979 0.895 ]);\n"
22671      "fprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('i"
22672      "nput',1,' ');\ncolor('black');port_label('output',1,'\\fontsize{11pt}\\bf Out ','texmode','on');\nfprintf('','CO"
22673      "MMENT: end icon text');"
22674    }
22675    Line {
22676      SrcBlock            "Pulse\nGenerator"
22677      SrcPort             1
22678      DstBlock            "StartCapture"
22679      DstPort             1
22680    }
22681    Line {
22682      SrcBlock            "From9"
22683      SrcPort             1
22684      DstBlock            "Logical"
22685      DstPort             2
22686    }
22687    Line {
22688      SrcBlock            "Logical"
22689      SrcPort             1
22690      DstBlock            "Posedge"
22691      DstPort             1
22692    }
22693    Line {
22694      SrcBlock            "StartCapture"
22695      SrcPort             1
22696      DstBlock            "Logical"
22697      DstPort             1
22698    }
22699    Line {
22700      SrcBlock            "From12"
22701      SrcPort             1
22702      DstBlock            "Radio 3\nRx Buffers"
22703      DstPort             5
22704    }
22705    Line {
22706      SrcBlock            "From11"
22707      SrcPort             1
22708      DstBlock            "Radio 2\nRx Buffers"
22709      DstPort             5
22710    }
22711    Line {
22712      SrcBlock            "Posedge"
22713      SrcPort             1
22714      DstBlock            "Rx Control"
22715      DstPort             1
22716    }
22717    Line {
22718      SrcBlock            "Radio 1 Inputs"
22719      SrcPort             2
22720      DstBlock            "Radio 1\nRx Buffers"
22721      DstPort             2
22722    }
22723    Line {
22724      SrcBlock            "From10"
22725      SrcPort             1
22726      DstBlock            "Radio 1\nRx Buffers"
22727      DstPort             5
22728    }
22729    Line {
22730      SrcBlock            "From13"
22731      SrcPort             1
22732      DstBlock            "Radio 4\nRx Buffers"
22733      DstPort             5
22734    }
22735    Line {
22736      SrcBlock            "Rx Control"
22737      SrcPort             1
22738      Points              [25, 0]
22739      Branch {
22740    Points          [130, 0]
22741    Branch {
22742      DstBlock        "Radio 2\nRx Buffers"
22743      DstPort         3
22744    }
22745    Branch {
22746      Points          [0, -145]
22747      DstBlock        "Radio 1\nRx Buffers"
22748      DstPort         3
22749    }
22750    Branch {
22751      Points          [0, 140]
22752      Branch {
22753        DstBlock            "Radio 3\nRx Buffers"
22754        DstPort         3
22755      }
22756      Branch {
22757        Points          [0, 140]
22758        DstBlock            "Radio 4\nRx Buffers"
22759        DstPort         3
22760      }
22761    }
22762      }
22763      Branch {
22764    DstBlock        "Goto1"
22765    DstPort         1
22766      }
22767    }
22768    Line {
22769      SrcBlock            "Rx Control"
22770      SrcPort             2
22771      Points              [180, 0]
22772      Branch {
22773    Labels          [1, 0]
22774    DstBlock        "Radio 2\nRx Buffers"
22775    DstPort         4
22776      }
22777      Branch {
22778    Points          [0, 140]
22779    Branch {
22780      DstBlock        "Radio 3\nRx Buffers"
22781      DstPort         4
22782    }
22783    Branch {
22784      Points          [0, 140]
22785      Branch {
22786        DstBlock            "Radio 4\nRx Buffers"
22787        DstPort         4
22788      }
22789      Branch {
22790        DstBlock            "debug_Capturing"
22791        DstPort         1
22792      }
22793    }
22794      }
22795      Branch {
22796    Points          [0, -145]
22797    DstBlock        "Radio 1\nRx Buffers"
22798    DstPort         4
22799      }
22800    }
22801    Line {
22802      SrcBlock            "Radio 2\nTx Buffer"
22803      SrcPort             1
22804      DstBlock            "Radio 2 Outputs"
22805      DstPort             1
22806    }
22807    Line {
22808      SrcBlock            "Posedge1"
22809      SrcPort             1
22810      DstBlock            "Tx Control"
22811      DstPort             1
22812    }
22813    Line {
22814      SrcBlock            "From2"
22815      SrcPort             1
22816      Points              [75, 0; 0, -140]
22817      DstBlock            "Tx Control"
22818      DstPort             3
22819    }
22820    Line {
22821      SrcBlock            "Posedge2"
22822      SrcPort             1
22823      Points              [60, 0; 0, -85]
22824      DstBlock            "Tx Control"
22825      DstPort             2
22826    }
22827    Line {
22828      SrcBlock            " 1"
22829      SrcPort             1
22830      DstBlock            "Posedge2"
22831      DstPort             1
22832    }
22833    Line {
22834      SrcBlock            "StopTx"
22835      SrcPort             1
22836      DstBlock            " 1"
22837      DstPort             1
22838    }
22839    Line {
22840      SrcBlock            "Pulse\nGenerator2"
22841      SrcPort             1
22842      DstBlock            "StopTx"
22843      DstPort             1
22844    }
22845    Line {
22846      SrcBlock            " "
22847      SrcPort             1
22848      DstBlock            "Posedge1"
22849      DstPort             1
22850    }
22851    Line {
22852      SrcBlock            "Pulse\nGenerator1"
22853      SrcPort             1
22854      DstBlock            "StartTx"
22855      DstPort             1
22856    }
22857    Line {
22858      SrcBlock            "From6"
22859      SrcPort             1
22860      DstBlock            "Radio 2\nTx Buffer"
22861      DstPort             3
22862    }
22863    Line {
22864      SrcBlock            "From4"
22865      SrcPort             1
22866      Points              [105, 0; 0, -200]
22867      DstBlock            "Tx Control"
22868      DstPort             4
22869    }
22870    Line {
22871      SrcBlock            "Tx Control"
22872      SrcPort             2
22873      Points              [25, 0]
22874      Branch {
22875    Points          [0, 70]
22876    DstBlock        "debug_Transmitting"
22877    DstPort         1
22878      }
22879      Branch {
22880    DstBlock        "Inverter"
22881    DstPort         1
22882      }
22883    }
22884    Line {
22885      SrcBlock            "Radio 3\nTx Buffer"
22886      SrcPort             1
22887      DstBlock            "Radio 3 Outputs"
22888      DstPort             1
22889    }
22890    Line {
22891      SrcBlock            "From7"
22892      SrcPort             1
22893      DstBlock            "Radio 3\nTx Buffer"
22894      DstPort             3
22895    }
22896    Line {
22897      SrcBlock            "Radio 1\nTx Buffer"
22898      SrcPort             1
22899      DstBlock            "Radio 1 Outputs"
22900      DstPort             1
22901    }
22902    Line {
22903      SrcBlock            "From5"
22904      SrcPort             1
22905      DstBlock            "Radio 1\nTx Buffer"
22906      DstPort             3
22907    }
22908    Line {
22909      SrcBlock            "Radio 4\nTx Buffer"
22910      SrcPort             1
22911      DstBlock            "Radio 4 Outputs"
22912      DstPort             1
22913    }
22914    Line {
22915      SrcBlock            "From8"
22916      SrcPort             1
22917      DstBlock            "Radio 4\nTx Buffer"
22918      DstPort             3
22919    }
22920    Line {
22921      SrcBlock            "Tx Control"
22922      SrcPort             1
22923      Points              [155, 0]
22924      Branch {
22925    DstBlock        "Radio 1\nTx Buffer"
22926    DstPort         1
22927      }
22928      Branch {
22929    Points          [0, 95]
22930    Branch {
22931      DstBlock        "Radio 2\nTx Buffer"
22932      DstPort         1
22933    }
22934    Branch {
22935      Points          [0, 95]
22936      Branch {
22937        DstBlock            "Radio 3\nTx Buffer"
22938        DstPort         1
22939      }
22940      Branch {
22941        Points          [0, 100]
22942        DstBlock            "Radio 4\nTx Buffer"
22943        DstPort         1
22944      }
22945    }
22946      }
22947    }
22948    Line {
22949      SrcBlock            "Inverter"
22950      SrcPort             1
22951      Points              [55, 0]
22952      Branch {
22953    Points          [0, -10]
22954    DstBlock        "Radio 1\nTx Buffer"
22955    DstPort         2
22956      }
22957      Branch {
22958    Points          [0, 85]
22959    Branch {
22960      DstBlock        "Radio 2\nTx Buffer"
22961      DstPort         2
22962    }
22963    Branch {
22964      Points          [0, 95]
22965      Branch {
22966        DstBlock            "Radio 3\nTx Buffer"
22967        DstPort         2
22968      }
22969      Branch {
22970        Points          [0, 100]
22971        DstBlock            "Radio 4\nTx Buffer"
22972        DstPort         2
22973      }
22974    }
22975      }
22976    }
22977    Line {
22978      SrcBlock            "From1"
22979      SrcPort             1
22980      DstBlock            " 1"
22981      DstPort             2
22982    }
22983    Line {
22984      SrcBlock            "From18"
22985      SrcPort             1
22986      Points              [15, 0; 0, -75]
22987      Branch {
22988    Points          [0, -140]
22989    Branch {
22990      Points          [0, -140]
22991      Branch {
22992        Points          [0, -145]
22993        DstBlock            "Radio 1 Inputs"
22994        DstPort         1
22995      }
22996      Branch {
22997        DstBlock            "Radio 2 Inputs"
22998        DstPort         1
22999      }
23000    }
23001    Branch {
23002      DstBlock        "Radio 3 Inputs"
23003      DstPort         1
23004    }
23005      }
23006      Branch {
23007    DstBlock        "Radio 4 Inputs"
23008    DstPort         1
23009      }
23010    }
23011    Line {
23012      SrcBlock            "From19"
23013      SrcPort             1
23014      Points              [15, 0]
23015      Branch {
23016    Points          [0, 165]
23017    DstBlock        " "
23018    DstPort         1
23019      }
23020      Branch {
23021    Points          [0, -205]
23022    DstBlock        "Logical"
23023    DstPort         3
23024      }
23025    }
23026    Line {
23027      SrcBlock            "StartTx"
23028      SrcPort             1
23029      DstBlock            " "
23030      DstPort             2
23031    }
23032    Line {
23033      SrcBlock            "From3"
23034      SrcPort             1
23035      DstBlock            " "
23036      DstPort             3
23037    }
23038    Line {
23039      SrcBlock            "RSSI Clock\nGenerator"
23040      SrcPort             1
23041      DstBlock            "RSSI_ADC_CLK"
23042      DstPort             1
23043    }
23044    Line {
23045      SrcBlock            "RSSI_ADC_CLK"
23046      SrcPort             1
23047      DstBlock            "Terminator"
23048      DstPort             1
23049    }
23050    Line {
23051      SrcBlock            "AGC_Done"
23052      SrcPort             1
23053      Points              [15, 0]
23054      Branch {
23055    Points          [0, 75]
23056    DstBlock        "debug_AGC_Done"
23057    DstPort         1
23058      }
23059      Branch {
23060    DstBlock        "Register"
23061    DstPort         1
23062      }
23063    }
23064    Line {
23065      SrcBlock            "Pulse\nGenerator3"
23066      SrcPort             1
23067      DstBlock            "AGC_Done"
23068      DstPort             1
23069    }
23070    Line {
23071      SrcBlock            "Register"
23072      SrcPort             1
23073      DstBlock            "Posedge3"
23074      DstPort             1
23075    }
23076    Line {
23077      SrcBlock            "Posedge3"
23078      SrcPort             1
23079      DstBlock            "Convert2"
23080      DstPort             1
23081    }
23082    Line {
23083      SrcBlock            "Convert2"
23084      SrcPort             1
23085      DstBlock            "Goto3"
23086      DstPort             1
23087    }
23088    Line {
23089      SrcBlock            "debug_Capturing"
23090      SrcPort             1
23091      DstBlock            "Terminator2"
23092      DstPort             1
23093    }
23094    Line {
23095      SrcBlock            "debug_Transmitting"
23096      SrcPort             1
23097      DstBlock            "Terminator1"
23098      DstPort             1
23099    }
23100    Line {
23101      SrcBlock            "Radio 1 Inputs"
23102      SrcPort             1
23103      Points              [35, 0]
23104      Branch {
23105    DstBlock        "Radio 1\nRx Buffers"
23106    DstPort         1
23107      }
23108      Branch {
23109    Points          [0, -20]
23110    DstBlock        "Goto2"
23111    DstPort         1
23112      }
23113    }
23114    Line {
23115      SrcBlock            "Radio 2 Inputs"
23116      SrcPort             1
23117      Points              [35, 0]
23118      Branch {
23119    DstBlock        "Radio 2\nRx Buffers"
23120    DstPort         1
23121      }
23122      Branch {
23123    Points          [0, -20]
23124    DstBlock        "Goto4"
23125    DstPort         1
23126      }
23127    }
23128    Line {
23129      SrcBlock            "Radio 2 Inputs"
23130      SrcPort             2
23131      DstBlock            "Radio 2\nRx Buffers"
23132      DstPort             2
23133    }
23134    Line {
23135      SrcBlock            "Radio 3 Inputs"
23136      SrcPort             1
23137      Points              [40, 0]
23138      Branch {
23139    DstBlock        "Radio 3\nRx Buffers"
23140    DstPort         1
23141      }
23142      Branch {
23143    Points          [0, -20]
23144    DstBlock        "Goto5"
23145    DstPort         1
23146      }
23147    }
23148    Line {
23149      SrcBlock            "Radio 3 Inputs"
23150      SrcPort             2
23151      DstBlock            "Radio 3\nRx Buffers"
23152      DstPort             2
23153    }
23154    Line {
23155      SrcBlock            "Radio 4 Inputs"
23156      SrcPort             1
23157      Points              [40, 0]
23158      Branch {
23159    DstBlock        "Radio 4\nRx Buffers"
23160    DstPort         1
23161      }
23162      Branch {
23163    Points          [0, -20]
23164    DstBlock        "Goto6"
23165    DstPort         1
23166      }
23167    }
23168    Line {
23169      SrcBlock            "Radio 4 Inputs"
23170      SrcPort             2
23171      DstBlock            "Radio 4\nRx Buffers"
23172      DstPort             2
23173    }
23174    Annotation {
23175      Position            [348, 1029]
23176    }
23177  }
23178}
23179MatData {
23180  NumRecords          1
23181  DataRecord {
23182    Tag             DataTag0
23183    Data            "  %)30     .    \"&,   8    (     @         %    \"     $    !     0         %  0 !@    $    ,    <V%V9"
23184    "60 =V]R:P        X   !8,0  !@    @    \"          4    (     0    $    !          4 !  ,     0   !@   !S:&%R960   "
23185    "    !C;VUP:6QA=&EO;@ .    8 0   8    (     @         %    \"     $    !     0         %  0 $P    $   \"8    8V]M<&"
23186    "EL871I;VX          &-O;7!I;&%T:6]N7VQU=     !S:6UU;&EN:U]P97)I;V0     :6YC<E]N971L:7-T         '1R:6U?=F)I=',     "
23187    "      !D8FQ?;W9R9               9&5P<F5C871E9%]C;VYT<F]L &)L;V-K7VEC;VY?9&ES<&QA>0 .    .     8    (    !         "
23188    " %    \"     $    '     0         0    !P   '1A<F=E=#( #@   , !   &    \"     (         !0    @    !     0    $   "
23189    "      !0 $  <    !    #@   &ME>7,   !V86QU97,    .    P     8    (     0         %    \"     $    \"     0        "
23190    " .    0     8    (    !          %    \"     $    +     0         0    \"P   $A$3\"!.971L:7-T       .    2     8  "
23191    "  (    !          %    \"     $    8     0         0    &    $5X<&]R=\"!A<R!A('!C;W)E('1O($5$2PX   \"H    !@    @ "
23192    "   !          4    (     0    (    !          X    X    !@    @    $          4    (     0    <    !         !    "
23193    " '    =&%R9V5T,0 .    .     8    (    !          %    \"     $    '     0         0    !P   '1A<F=E=#( #@   #     "
23194    "&    \"     0         !0    @    !     0    $         $  ! #$    .    ,     8    (    !          %    \"     $    "
23195    "#     0         0  , ;V9F  X   !(    !@    @    $          4    (     0   !<    !         !     7    179E<GEW:&5R9"
23196    "2!I;B!3=6)3>7-T96T #@   $@    &    \"     0         !0    @    !    &     $         $    !@   !!8V-O<F1I;F<@=&\\@0"
23197    "FQO8VL@36%S:W,.    ,     8    (    !          %    \"     $    #     0         0  , ;V9F  X    X    !@    @    $  "
23198    "        4    (     0    <    !         !     '    1&5F875L=  .    F\"P   8    (     @         %    \"     $    !  "
23199    "   0         %  0 \"     $    0    =&%R9V5T,0!T87)G970R  X   #X$@  !@    @    \"          4    (     0    $    !  "
23200    "        4 !  >     0   \"@%  !I;F9O961I=                             !X:6QI;GAF86UI;'D                       !P87)"
23201    "T                                  !S<&5E9                                 !P86-K86=E                             "
23202    " !S>6YT:&5S:7-?=&]O;%]S9V%D=F%N8V5D      !S>6YT:&5S:7-?=&]O;                     !C;&]C:U]W<F%P<&5R7W-G861V86YC960"
23203    "       !C;&]C:U]W<F%P<&5R                      !D:7)E8W1O<GD                           !P<F]J7W1Y<&5?<V=A9'9A;F-E9"
23204    "             !P<F]J7W1Y<&4                           !3>6YT:%]F:6QE7W-G861V86YC960           !3>6YT:%]F:6QE       "
23205    "                   !);7!L7V9I;&5?<V=A9'9A;F-E9             !);7!L7V9I;&4                           !T97-T8F5N8VA?<"
23206    "V=A9'9A;F-E9             !T97-T8F5N8V@                           !S>7-C;&M?<&5R:6]D                      !D8VU?:6Y"
23207    "P=71?8VQO8VM?<&5R:6]D          !I;F-R7VYE=&QI<W1?<V=A9'9A;F-E9         !T<FEM7W9B:71S7W-G861V86YC960           !D8"
23208    "FQ?;W9R9%]S9V%D=F%N8V5D              !C;W)E7V=E;F5R871I;VY?<V=A9'9A;F-E9     !C;W)E7V=E;F5R871I;VX                "
23209    "   !R=6Y?8V]R96=E;E]S9V%D=F%N8V5D          !R=6Y?8V]R96=E;@                        !D97!R96-A=&5D7V-O;G1R;VQ?<V=A9"
23210    "'9A;F-E9 !E=F%L7V9I96QD                          !H87-?861V86YC961?8V]N=')O;             !S9V=U:5]P;W,            "
23211    "               !B;&]C:U]T>7!E                          !B;&]C:U]V97)S:6]N                      !S9U]I8V]N7W-T870  "
23212    "                     !S9U]M87-K7V1I<W!L87D                   !S9U]L:7-T7V-O;G1E;G1S                  !S9U]B;&]C:V="
23213    "U:5]X;6P                   !C;&]C:U]L;V,                           !C<F5A=&5?:6YT97)F86-E7V1O8W5M96YT      !S>6YT:"
23214    "&5S:7-?;&%N9W5A9V4               !S>6YT:%]F:6QE                          !I;7!L7V9I;&4                           !"
23215    "C95]C;'(                               !P<F5S97)V95]H:65R87)C:'D                .    2     8    (    !          % "
23216    "   \"     $    1     0         0    $0   \"!3>7-T96T@1V5N97)A=&]R          X    X    !@    @    $          4    ( "
23217    "    0    <    !         !     '    =FER=&5X-@ .    0     8    (    !          %    \"     $    *     0         0  "
23218    "  \"@   'AC-G9L>#$S,'0        .    ,     8    (    !          %    \"     $    \"     0         0  ( +3$   X    X "
23219    "   !@    @    $          4    (     0    8    !         !     &    9F8Q,34V   .    ,     8    (    !          %   "
23220    " \"                0         0          X    P    !@    @    $          4    (     0    ,    !         !   P!84U0 "
23221    "#@   #     &    \"     0         !0    @               $         $          .    0     8    (    !          %    \""
23222    "     $    -     0         0    #0   $-L;V-K($5N86)L97,    .    0     8    (    !          %    \"     $    )     0"
23223    "         0    \"0   \"XO;F5T;&ES=          .    ,     8    (    !          %    \"                0         0     "
23224    "     X   !(    !@    @    $          4    (     0   !$    !         !     1    4')O:F5C=\"!.879I9V%T;W(         #@"
23225    "   #     &    \"     0         !0    @               $         $          .    0     8    (    !          %    \" "
23226    "    $    ,     0         0    #    %A35\"!$969A=6QT<P     .    ,     8    (    !          %    \"                0"
23227    "         0          X   !     !@    @    $          4    (     0    P    !         !     ,    25-%($1E9F%U;'1S    "
23228    "  X    P    !@    @    $          4    (               !         !          #@   #     &    \"     0         !0   "
23229    " @    !     P    $         $  # &]F9@ .    ,     8    (    !          %    \"     $    \"     0         0  ( ,3   "
23230    " X    P    !@    @    $          4    (     0    (    !         !   @ Q,   #@   #     &    \"     0         !0    "
23231    "@               $         $          .    ,     8    (    !          %    \"                0         0          X"
23232    "    P    !@    @    $          4    (               !         !          #@   #     &    \"     0         !0    @ "
23233    "              $         $          .    2     8    (    !          %    \"     $    8     0         0    &    $%C8"
23234    "V]R9&EN9R!T;R!\";&]C:R!-87-K<PX    P    !@    @    $          4    (               !         !          #@   #    "
23235    " &    \"     0         !0    @    !     P    $         $  # &]F9@ .    ,     8    (    !          %    \"         "
23236    "       0         0          X    P    !@    @    $          4    (     0    $    !         !   0 P    #@   #     &"
23237    "    \"     0         !0    @    !     0    $         $  ! #     .    0     8    (    !          %    \"     $    +"
23238    "     0         0    \"P   \"TQ+\"TQ+\"TQ+\"TQ       .    .     8    (    !          %    \"     $    &     0      "
23239    "   0    !@   '-Y<V=E;@  #@   #     &    \"     0         !0    @               $         $          .    8     8  "
23240    "  (    !          %    \"     $    N     0         0    +@   #4P+#4P+\"TQ+\"TQ+'1O:V5N+'=H:71E+# L,#<W,S0L<FEG:'0L"
23241    "+%L@72Q;(%T   X    ( P  !@    @    $          4    (     0   -<\"   !         !    #7 @  9G!R:6YT9B@G)RPG0T]-345.5"
23242    "#H@8F5G:6X@:6-O;B!G<F%P:&EC<R<I.PIP871C:\"A;,\" U,\" U,\" P(# @72Q;,\" P(#4P(#4P(# @72Q;,2 Q(#$@72D[\"G!A=&-H*%LQ+"
23243    "C8S-S4@,38N.#$@,C<N,S$@,S<N.#$@-#@N,S$@,C<N,S$@,3(N,3,W-2 Q+C8S-S4@72Q;,S8N-C4U(#,V+C8U-2 T-RXQ-34@,S8N-C4U(#0W+C$"
23244    "U-2 T-RXQ-34@-#<N,34U(#,V+C8U-2!=+%LP+CDS,S,S,R P+C(P,SDR,B P+C$T,3$W-B!=*3L*<&%T8V@H6S$R+C$S-S4@,C<N,S$@,38N.#$@,"
23245    "2XV,S<U(#$R+C$S-S4@72Q;,C8N,34U(#(V+C$U-2 S-BXV-34@,S8N-C4U(#(V+C$U-2!=+%LP+C8Y.# S.2 P+C S,3,W,C4@,\"XR,3DV,#@@72"
23246    "D[\"G!A=&-H*%LQ+C8S-S4@,38N.#$@,C<N,S$@,3(N,3,W-2 Q+C8S-S4@72Q;,34N-C4U(#$U+C8U-2 R-BXQ-34@,C8N,34U(#$U+C8U-2!=+%L"
23247    "P+CDS,S,S,R P+C(P,SDR,B P+C$T,3$W-B!=*3L*<&%T8V@H6S$R+C$S-S4@-#@N,S$@,S<N.#$@,C<N,S$@,38N.#$@,2XV,S<U(#$R+C$S-S4@7"
23248    "2Q;-2XQ-34@-2XQ-34@,34N-C4U(#4N,34U(#$U+C8U-2 Q-2XV-34@-2XQ-34@72Q;,\"XV.3@P,SD@,\"XP,S$S-S(U(# N,C$Y-C X(%TI.PIF<"
23249    "')I;G1F*\"<G+\"=#3TU-14Y4.B!E;F0@:6-O;B!G<F%P:&EC<R<I.PIF<')I;G1F*\"<G+\"=#3TU-14Y4.B!B96=I;B!I8V]N('1E>'0G*3L*9G!"
23250    "R:6YT9B@G)RPG0T]-345.5#H@96YD(&EC;VX@=&5X=\"<I.P .    ,     8    (    !          %    \"                0         "
23251    "0          X    P    !@    @    $          4    (               !         !          #@   #     &    \"     0     "
23252    "    !0    @               $         $          .    ,     8    (    !          %    \"     $    #     0         0 "
23253    " , ;V9F  X    P    !@    @    $          4    (     0    0    !         !  ! !62$1,#@   $     &    \"     0       "
23254    "  !0    @    !    #0    $         $     T   !84U0@1&5F875L=',J    #@   $     &    \"     0         !0    @    !   "
23255    " #0    $         $     T   !)4T4@1&5F875L=',J    #@   #@    &    \"     8         !0    @    !     0    $         "
23256    "\"0    @               X    X    !@    @    &          4    (     0    $    !          D    (               .    2"
23257    "!D   8    (     @         %    \"     $    !     0         %  0 '@    $   \"^!0  :6YF;V5D:70                      "
23258    "       >&EL:6YX9F%M:6QY                        <&%R=                                   <W!E960                    "
23259    "             <&%C:V%G90                              <WEN=&AE<VES7W1O;VQ?<V=A9'9A;F-E9       <WEN=&AE<VES7W1O;VP  "
23260    "                   8VQO8VM?=W)A<'!E<E]S9V%D=F%N8V5D        8VQO8VM?=W)A<'!E<@                      9&ER96-T;W)Y   "
23261    "                         <')O:E]T>7!E7W-G861V86YC960             <')O:E]T>7!E                            4WEN=&A?9"
23262    "FEL95]S9V%D=F%N8V5D            4WEN=&A?9FEL90                          26UP;%]F:6QE7W-G861V86YC960             26U"
23263    "P;%]F:6QE                            =&5S=&)E;F-H7W-G861V86YC960             =&5S=&)E;F-H                         "
23264    "   <WES8VQK7W!E<FEO9                       9&-M7VEN<'5T7V-L;V-K7W!E<FEO9           :6YC<E]N971L:7-T7W-G861V86YC960"
23265    "         =')I;5]V8FET<U]S9V%D=F%N8V5D            9&)L7V]V<F1?<V=A9'9A;F-E9               8V]R95]G96YE<F%T:6]N7W-G8"
23266    "61V86YC960     8V]R95]G96YE<F%T:6]N                    <G5N7V-O<F5G96Y?<V=A9'9A;F-E9           <G5N7V-O<F5G96X    "
23267    "                     9&5P<F5C871E9%]C;VYT<F]L7W-G861V86YC960 979A;%]F:65L9                           :&%S7V%D=F%N8"
23268    "V5D7V-O;G1R;VP             <V=G=6E?<&]S                            8FQO8VM?='EP90                          8FQO8VM"
23269    "?=F5R<VEO;@                      <V=?:6-O;E]S=&%T                        <V=?;6%S:U]D:7-P;&%Y                    <"
23270    "V=?;&ES=%]C;VYT96YT<P                  <V=?8FQO8VMG=6E?>&UL                    8VQO8VM?;&]C                       "
23271    "     8W)E871E7VEN=&5R9F%C95]D;V-U;65N=       <WEN=&AE<VES7VQA;F=U86=E                <WEN=&A?9FEL90               "
23272    "           :6UP;%]F:6QE                            8V5?8VQR                                <')E<V5R=F5?:&EE<F%R8VA"
23273    "Y                =F5R<VEO;@                              <V5T=&EN9W-?9F-N                        <')E8V]M<&EL95]F8"
23274    "VX                     =7!D871E7V9C;@                          >&QE9&MS971T:6YG<V1A=&$                    .    2  "
23275    "   8    (    !          %    \"     $    1     0         0    $0   \"!3>7-T96T@1V5N97)A=&]R          X    X    !@ "
23276    "   @    $          4    (     0    <    !         !     '    =FER=&5X-@ .    0     8    (    !          %    \"   "
23277    "  $    *     0         0    \"@   'AC-G9L>#$S,'0        .    ,     8    (    !          %    \"     $    \"     0 "
23278    "        0  ( +3$   X    X    !@    @    $          4    (     0    8    !         !     &    9F8Q,34V   .    ,    "
23279    " 8    (    !          %    \"                0         0          X    P    !@    @    $          4    (     0    "
23280    ",    !         !   P!84U0 #@   #     &    \"     0         !0    @               $         $          .    0     8"
23281    "    (    !          %    \"     $    -     0         0    #0   $-L;V-K($5N86)L97,    .    0     8    (    !       "
23282    "   %    \"     $    ,     0         0    #    \"XO=S-?;F5T;&ES=      .    ,     8    (    !          %    \"      "
23283    "          0         0          X   !(    !@    @    $          4    (     0   !$    !         !     1    4')O:F5C="
23284    "\"!.879I9V%T;W(         #@   #     &    \"     0         !0    @               $         $          .    0     8  "
23285    "  (    !          %    \"     $    ,     0         0    #    %A35\"!$969A=6QT<P     .    ,     8    (    !        "
23286    "  %    \"                0         0          X   !     !@    @    $          4    (     0    P    !         !    "
23287    " ,    25-%($1E9F%U;'1S      X    P    !@    @    $          4    (               !         !          #@   #     &"
23288    "    \"     0         !0    @    !     P    $         $  # &]F9@ .    ,     8    (    !          %    \"     $    \""
23289    "     0         0  ( ,3    X    P    !@    @    $          4    (     0    (    !         !   @ Q,   #@   #     &  "
23290    "  \"     0         !0    @               $         $          .    ,     8    (    !          %    \"             "
23291    "   0         0          X    P    !@    @    $          4    (               !         !          #@   #     &    "
23292    "\"     0         !0    @               $         $          .    2     8    (    !          %    \"     $    8    "
23293    " 0         0    &    $%C8V]R9&EN9R!T;R!\";&]C:R!-87-K<PX    P    !@    @    $          4    (               !     "
23294    "    !          #@   #     &    \"     0         !0    @    !     P    $         $  # &]F9@ .    ,     8    (    ! "
23295    "         %    \"                0         0          X    P    !@    @    $          4    (     0    $    !       "
23296    "  !   0 P    #@   #     &    \"     0         !0    @    !     0    $         $  ! #     .    0     8    (    !   "
23297    "       %    \"     $    +     0         0    \"P   \"TQ+\"TQ+\"TQ+\"TQ       .    .     8    (    !          %    "
23298    "\"     $    &     0         0    !@   '-Y<V=E;@  #@   #     &    \"     0         !0    @               $         "
23299    "$          .    8     8    (    !          %    \"     $    N     0         0    +@   #4P+#4P+\"TQ+\"TQ+'1O:V5N+'="
23300    "H:71E+# L,#<W,S0L<FEG:'0L+%L@72Q;(%T   X    ( P  !@    @    $          4    (     0   -<\"   !         !    #7 @  "
23301    "9G!R:6YT9B@G)RPG0T]-345.5#H@8F5G:6X@:6-O;B!G<F%P:&EC<R<I.PIP871C:\"A;,\" U,\" U,\" P(# @72Q;,\" P(#4P(#4P(# @72Q;,"
23302    "2 Q(#$@72D[\"G!A=&-H*%LQ+C8S-S4@,38N.#$@,C<N,S$@,S<N.#$@-#@N,S$@,C<N,S$@,3(N,3,W-2 Q+C8S-S4@72Q;,S8N-C4U(#,V+C8U-2"
23303    " T-RXQ-34@,S8N-C4U(#0W+C$U-2 T-RXQ-34@-#<N,34U(#,V+C8U-2!=+%LP+CDS,S,S,R P+C(P,SDR,B P+C$T,3$W-B!=*3L*<&%T8V@H6S$R"
23304    "+C$S-S4@,C<N,S$@,38N.#$@,2XV,S<U(#$R+C$S-S4@72Q;,C8N,34U(#(V+C$U-2 S-BXV-34@,S8N-C4U(#(V+C$U-2!=+%LP+C8Y.# S.2 P+C"
23305    " S,3,W,C4@,\"XR,3DV,#@@72D[\"G!A=&-H*%LQ+C8S-S4@,38N.#$@,C<N,S$@,3(N,3,W-2 Q+C8S-S4@72Q;,34N-C4U(#$U+C8U-2 R-BXQ-3"
23306    "4@,C8N,34U(#$U+C8U-2!=+%LP+CDS,S,S,R P+C(P,SDR,B P+C$T,3$W-B!=*3L*<&%T8V@H6S$R+C$S-S4@-#@N,S$@,S<N.#$@,C<N,S$@,38N"
23307    ".#$@,2XV,S<U(#$R+C$S-S4@72Q;-2XQ-34@-2XQ-34@,34N-C4U(#4N,34U(#$U+C8U-2 Q-2XV-34@-2XQ-34@72Q;,\"XV.3@P,SD@,\"XP,S$S"
23308    "-S(U(# N,C$Y-C X(%TI.PIF<')I;G1F*\"<G+\"=#3TU-14Y4.B!E;F0@:6-O;B!G<F%P:&EC<R<I.PIF<')I;G1F*\"<G+\"=#3TU-14Y4.B!B96"
23309    "=I;B!I8V]N('1E>'0G*3L*9G!R:6YT9B@G)RPG0T]-345.5#H@96YD(&EC;VX@=&5X=\"<I.P .    ,     8    (    !          %    \" "
23310    "               0         0          X    P    !@    @    $          4    (               !         !          #@  "
23311    " #     &    \"     0         !0    @               $         $          .    ,     8    (    !          %    \"   "
23312    "  $    #     0         0  , ;V9F  X    P    !@    @    $          4    (     0    0    !         !  ! !62$1,#@   $"
23313    "     &    \"     0         !0    @    !    #0    $         $     T   !84U0@1&5F875L=',J    #@   $     &    \"     "
23314    "0         !0    @    !    #0    $         $     T   !)4T4@1&5F875L=',J    #@   #@    &    \"     8         !0    @"
23315    "    !     0    $         \"0    @               X    X    !@    @    &          4    (     0    $    !          D "
23316    "   (               .    .     8    (    !          %    \"     $    &     0         0    !@   #DN,BXP,0  #@   $   "
23317    "  &    \"     0         !0    @    !    #0    $         $     T   !X;&5D:W-E='1I;F=S    #@   $     &    \"     0  "
23318    "       !0    @    !    #P    $         $     \\   !X;&5D:W!R96-O;7!I;&4 #@   $     &    \"     0         !0    @  "
23319    "  !    #0    $         $     T   !X;&5D:W5P9&%T969N    #@   )@$   &    \"     (         !0    @    !     0    $   "
23320    "      !0 $ !@    !    . $  &5X<&]R=                        &5X<&]R=&1I<@                   '-E;&5C=&EO;G1A9P      "
23321    "         &5X<&]R=&1I<G!A=&@              &UA:F]R                         &UI;F]R                         &AW7V-O;7"
23322    "!A=&EB:6QI='D          &UA:E]S;&ED97(                  &UI;F]R7W-L:61E<@               &AW7V-O;7!A=&EB:6QI='E?<VQI"
23323    "9&5R &ES1&5V96QO<&UE;G0              '5S94-U<W1O;4)U<TEN=&5R9F%C90   &-U<W1O;4)U<TEN=&5R9F%C959A;'5E  X    X    !@"
23324    "    @    &          4    (     0    $    !          D    (               .    ,     8    (    !          %    \"  "
23325    "              0         0          X   !     !@    @    $          4    (     0   !     !         !     0    =&%R9"
23326    "V5T7V1I<F5C=&]R>0X    P    !@    @    $          4    (               !         !          #@   #@    &    \"     "
23327    "8         !0    @    !     0    $         \"0    @           #P/PX    X    !@    @    &          4    (     0    $"
23328    "    !          D    (               .    ,     8    (    !          %    \"     $    !     0         0  $ 80    X "
23329    "   X    !@    @    &          4    (     0    $    !          D    (            \\#\\.    .     8    (    !@      "
23330    "   %    \"     $    !     0         )    \"    *Y'X7H4KN\\_#@   #@    &    \"     8         !0    @    !     0    "
23331    "$         \"0    @          $!80 X    X    !@    @    &          4    (     0    $    !          D    (           "
23332    "    .    .     8    (    !@         %    \"     $    !     0         )    \"               #@   #     &    \"     "
23333    "8         !0    @               $         \"0         .    6#$   8    (     @         %    \"     $    !     0    "
23334    "     %  0 #     $    8    <VAA<F5D        8V]M<&EL871I;VX #@   & $   &    \"     (         !0    @    !     0    $"
23335    "         !0 $ !,    !    F    &-O;7!I;&%T:6]N          !C;VUP:6QA=&EO;E]L=70     <VEM=6QI;FM?<&5R:6]D     &EN8W)?;"
23336    "F5T;&ES=         !T<FEM7W9B:71S            9&)L7V]V<F0              &1E<')E8V%T961?8V]N=')O; !B;&]C:U]I8V]N7V1I<W!"
23337    "L87D #@   #@    &    \"     0         !0    @    !    !P    $         $     <   !T87)G970R  X   #  0  !@    @    \""
23338    "          4    (     0    $    !          4 !  '     0    X   !K97ES    =F%L=65S    #@   ,     &    \"     $      "
23339    "   !0    @    !     @    $         #@   $     &    \"     0         !0    @    !    \"P    $         $     L   !(1"
23340    "$P@3F5T;&ES=       #@   $@    &    \"     0         !0    @    !    &     $         $    !@   !%>'!O<G0@87,@82!P8V"
23341    "]R92!T;R!%1$L.    J     8    (     0         %    \"     $    \"     0         .    .     8    (    !          %  "
23342    "  \"     $    '     0         0    !P   '1A<F=E=#$ #@   #@    &    \"     0         !0    @    !    !P    $       "
23343    "  $     <   !T87)G970R  X    P    !@    @    $          4    (     0    $    !         !   0 Q    #@   #     &    "
23344    "\"     0         !0    @    !     P    $         $  # &]F9@ .    2     8    (    !          %    \"     $    7    "
23345    " 0         0    %P   $5V97)Y=VAE<F4@:6X@4W5B4WES=&5M  X   !(    !@    @    $          4    (     0   !@    !      "
23346    "   !     8    06-C;W)D:6YG('1O($)L;V-K($UA<VMS#@   #     &    \"     0         !0    @    !     P    $         $  "
23347    "# &]F9@ .    .     8    (    !          %    \"     $    '     0         0    !P   $1E9F%U;'0 #@   )@L   &    \"  "
23348    "   (         !0    @    !     0    $         !0 $  @    !    $    '1A<F=E=#$ =&%R9V5T,@ .    ^!(   8    (     @   "
23349    "      %    \"     $    !     0         %  0 '@    $    H!0  :6YF;V5D:70                             >&EL:6YX9F%M:6"
23350    "QY                        <&%R=                                   <W!E960                                 <&%C:V%G"
23351    "90                              <WEN=&AE<VES7W1O;VQ?<V=A9'9A;F-E9       <WEN=&AE<VES7W1O;VP                     8V"
23352    "QO8VM?=W)A<'!E<E]S9V%D=F%N8V5D        8VQO8VM?=W)A<'!E<@                      9&ER96-T;W)Y                        "
23353    "    <')O:E]T>7!E7W-G861V86YC960             <')O:E]T>7!E                            4WEN=&A?9FEL95]S9V%D=F%N8V5D  "
23354    "          4WEN=&A?9FEL90                          26UP;%]F:6QE7W-G861V86YC960             26UP;%]F:6QE            "
23355    "                =&5S=&)E;F-H7W-G861V86YC960             =&5S=&)E;F-H                            <WES8VQK7W!E<FEO9 "
23356    "                      9&-M7VEN<'5T7V-L;V-K7W!E<FEO9           :6YC<E]N971L:7-T7W-G861V86YC960         =')I;5]V8FET"
23357    "<U]S9V%D=F%N8V5D            9&)L7V]V<F1?<V=A9'9A;F-E9               8V]R95]G96YE<F%T:6]N7W-G861V86YC960     8V]R95"
23358    "]G96YE<F%T:6]N                    <G5N7V-O<F5G96Y?<V=A9'9A;F-E9           <G5N7V-O<F5G96X                         "
23359    "9&5P<F5C871E9%]C;VYT<F]L7W-G861V86YC960 979A;%]F:65L9                           :&%S7V%D=F%N8V5D7V-O;G1R;VP       "
23360    "      <V=G=6E?<&]S                            8FQO8VM?='EP90                          8FQO8VM?=F5R<VEO;@          "
23361    "            <V=?:6-O;E]S=&%T                        <V=?;6%S:U]D:7-P;&%Y                    <V=?;&ES=%]C;VYT96YT<P"
23362    "                  <V=?8FQO8VMG=6E?>&UL                    8VQO8VM?;&]C                            8W)E871E7VEN=&5R"
23363    "9F%C95]D;V-U;65N=       <WEN=&AE<VES7VQA;F=U86=E                <WEN=&A?9FEL90                          :6UP;%]F:6"
23364    "QE                            8V5?8VQR                                <')E<V5R=F5?:&EE<F%R8VAY                #@  "
23365    " $@    &    \"     0         !0    @    !    $0    $         $    !$    @4WES=&5M($=E;F5R871O<@         .    .    "
23366    " 8    (    !          %    \"     $    '     0         0    !P   '9I<G1E>#8 #@   $     &    \"     0         !0   "
23367    " @    !    \"@    $         $     H   !X8S9V;'@Q,S!T        #@   #     &    \"     0         !0    @    !     @   "
23368    " $         $  \" \"TQ   .    .     8    (    !          %    \"     $    &     0         0    !@   &9F,3$U-@  #@  "
23369    " #     &    \"     0         !0    @               $         $          .    ,     8    (    !          %    \"   "
23370    "  $    #     0         0  , 6%-4  X    P    !@    @    $          4    (               !         !          #@   $"
23371    "     &    \"     0         !0    @    !    #0    $         $     T   !#;&]C:R!%;F%B;&5S    #@   $     &    \"     "
23372    "0         !0    @    !    \"0    $         $     D    N+VYE=&QI<W0         #@   #     &    \"     0         !0    "
23373    "@               $         $          .    2     8    (    !          %    \"     $    1     0         0    $0   %!"
23374    "R;VIE8W0@3F%V:6=A=&]R          X    P    !@    @    $          4    (               !         !          #@   $   "
23375    "  &    \"     0         !0    @    !    #     $         $     P   !84U0@1&5F875L=',     #@   #     &    \"     0  "
23376    "       !0    @               $         $          .    0     8    (    !          %    \"     $    ,     0        "
23377    " 0    #    $E312!$969A=6QT<P     .    ,     8    (    !          %    \"                0         0          X    "
23378    "P    !@    @    $          4    (     0    ,    !         !   P!O9F8 #@   #     &    \"     0         !0    @    !"
23379    "     @    $         $  \" #$P   .    ,     8    (    !          %    \"     $    \"     0         0  ( ,3    X    "
23380    "P    !@    @    $          4    (               !         !          #@   #     &    \"     0         !0    @     "
23381    "          $         $          .    ,     8    (    !          %    \"                0         0          X    P "
23382    "   !@    @    $          4    (               !         !          #@   $@    &    \"     0         !0    @    !  "
23383    "  &     $         $    !@   !!8V-O<F1I;F<@=&\\@0FQO8VL@36%S:W,.    ,     8    (    !          %    \"             "
23384    "   0         0          X    P    !@    @    $          4    (     0    ,    !         !   P!O9F8 #@   #     &    "
23385    "\"     0         !0    @               $         $          .    ,     8    (    !          %    \"     $    !    "
23386    " 0         0  $ ,     X    P    !@    @    $          4    (     0    $    !         !   0 P    #@   $     &    \""
23387    "     0         !0    @    !    \"P    $         $     L    M,2PM,2PM,2PM,0      #@   #@    &    \"     0         !"
23388    "0    @    !    !@    $         $     8   !S>7-G96X   X    P    !@    @    $          4    (               !       "
23389    "  !          #@   &     &    \"     0         !0    @    !    +@    $         $    \"X    U,\"PU,\"PM,2PM,2QT;VME;"
23390    "BQW:&ET92PP+# W-S,T+')I9VAT+\"Q;(%TL6R!=   .    \" ,   8    (    !          %    \"     $   #7 @   0         0    "
23391    "UP(  &9P<FEN=&8H)R<L)T-/34U%3E0Z(&)E9VEN(&EC;VX@9W)A<&AI8W,G*3L*<&%T8V@H6S @-3 @-3 @,\" P(%TL6S @,\" U,\" U,\" P(%"
23392    "TL6S$@,2 Q(%TI.PIP871C:\"A;,2XV,S<U(#$V+C@Q(#(W+C,Q(#,W+C@Q(#0X+C,Q(#(W+C,Q(#$R+C$S-S4@,2XV,S<U(%TL6S,V+C8U-2 S-BX"
23393    "V-34@-#<N,34U(#,V+C8U-2 T-RXQ-34@-#<N,34U(#0W+C$U-2 S-BXV-34@72Q;,\"XY,S,S,S,@,\"XR,#,Y,C(@,\"XQ-#$Q-S8@72D[\"G!A="
23394    "&-H*%LQ,BXQ,S<U(#(W+C,Q(#$V+C@Q(#$N-C,W-2 Q,BXQ,S<U(%TL6S(V+C$U-2 R-BXQ-34@,S8N-C4U(#,V+C8U-2 R-BXQ-34@72Q;,\"XV.3"
23395    "@P,SD@,\"XP,S$S-S(U(# N,C$Y-C X(%TI.PIP871C:\"A;,2XV,S<U(#$V+C@Q(#(W+C,Q(#$R+C$S-S4@,2XV,S<U(%TL6S$U+C8U-2 Q-2XV-3"
23396    "4@,C8N,34U(#(V+C$U-2 Q-2XV-34@72Q;,\"XY,S,S,S,@,\"XR,#,Y,C(@,\"XQ-#$Q-S8@72D[\"G!A=&-H*%LQ,BXQ,S<U(#0X+C,Q(#,W+C@Q"
23397    "(#(W+C,Q(#$V+C@Q(#$N-C,W-2 Q,BXQ,S<U(%TL6S4N,34U(#4N,34U(#$U+C8U-2 U+C$U-2 Q-2XV-34@,34N-C4U(#4N,34U(%TL6S N-CDX,#"
23398    ",Y(# N,#,Q,S<R-2 P+C(Q.38P.\"!=*3L*9G!R:6YT9B@G)RPG0T]-345.5#H@96YD(&EC;VX@9W)A<&AI8W,G*3L*9G!R:6YT9B@G)RPG0T]-345"
23399    ".5#H@8F5G:6X@:6-O;B!T97AT)RD[\"F9P<FEN=&8H)R<L)T-/34U%3E0Z(&5N9\"!I8V]N('1E>'0G*3L #@   #     &    \"     0       "
23400    "  !0    @               $         $          .    ,     8    (    !          %    \"                0         0   "
23401    "       X    P    !@    @    $          4    (               !         !          #@   #     &    \"     0         "
23402    "!0    @    !     P    $         $  # &]F9@ .    ,     8    (    !          %    \"     $    $     0         0  0 5"
23403    "DA$3 X   !     !@    @    $          4    (     0    T    !         !     -    6%-4($1E9F%U;'1S*@    X   !     !@ "
23404    "   @    $          4    (     0    T    !         !     -    25-%($1E9F%U;'1S*@    X    X    !@    @    &         "
23405    " 4    (     0    $    !          D    (               .    .     8    (    !@         %    \"     $    !     0    "
23406    "     )    \"               #@   $@9   &    \"     (         !0    @    !     0    $         !0 $ !X    !    O@4  &"
23407    "EN9F]E9&ET                             'AI;&EN>&9A;6EL>0                       '!A<G0                             "
23408    "     '-P965D                                 '!A8VMA9V4                              '-Y;G1H97-I<U]T;V]L7W-G861V86"
23409    "YC960      '-Y;G1H97-I<U]T;V]L                     &-L;V-K7W=R87!P97)?<V=A9'9A;F-E9        &-L;V-K7W=R87!P97(     "
23410    "                 &1I<F5C=&]R>0                           '!R;VI?='EP95]S9V%D=F%N8V5D             '!R;VI?='EP90    "
23411    "                       %-Y;G1H7V9I;&5?<V=A9'9A;F-E9            %-Y;G1H7V9I;&4                          $EM<&Q?9FEL"
23412    "95]S9V%D=F%N8V5D             $EM<&Q?9FEL90                           '1E<W1B96YC:%]S9V%D=F%N8V5D             '1E<W"
23413    "1B96YC:                            '-Y<V-L:U]P97)I;V0                      &1C;5]I;G!U=%]C;&]C:U]P97)I;V0         "
23414    " &EN8W)?;F5T;&ES=%]S9V%D=F%N8V5D         '1R:6U?=F)I='-?<V=A9'9A;F-E9            &1B;%]O=G)D7W-G861V86YC960       "
23415    "       &-O<F5?9V5N97)A=&EO;E]S9V%D=F%N8V5D     &-O<F5?9V5N97)A=&EO;@                   ')U;E]C;W)E9V5N7W-G861V86YC"
23416    "960          ')U;E]C;W)E9V5N                         &1E<')E8V%T961?8V]N=')O;%]S9V%D=F%N8V5D &5V86Q?9FEE;&0       "
23417    "                   &AA<U]A9'9A;F-E9%]C;VYT<F]L             '-G9W5I7W!O<P                           &)L;V-K7W1Y<&4 "
23418    "                         &)L;V-K7W9E<G-I;VX                      '-G7VEC;VY?<W1A=                        '-G7VUA<V"
23419    "M?9&ES<&QA>0                   '-G7VQI<W1?8V]N=&5N=',                  '-G7V)L;V-K9W5I7WAM;                    &-L"
23420    ";V-K7VQO8P                           &-R96%T95]I;G1E<F9A8V5?9&]C=6UE;G0      '-Y;G1H97-I<U]L86YG=6%G90            "
23421    "   '-Y;G1H7V9I;&4                          &EM<&Q?9FEL90                           &-E7V-L<@                      "
23422    "         '!R97-E<G9E7VAI97)A<F-H>0               '9E<G-I;VX                              '-E='1I;F=S7V9C;@        "
23423    "               '!R96-O;7!I;&5?9F-N                     '5P9&%T95]F8VX                          'AL961K<V5T=&EN9W-D"
23424    "871A                    #@   $@    &    \"     0         !0    @    !    $0    $         $    !$    @4WES=&5M($=E;"
23425    "F5R871O<@         .    .     8    (    !          %    \"     $    '     0         0    !P   '9I<G1E>#8 #@   $    "
23426    " &    \"     0         !0    @    !    \"@    $         $     H   !X8S9V;'@Q,S!T        #@   #     &    \"     0  "
23427    "       !0    @    !     @    $         $  \" \"TQ   .    .     8    (    !          %    \"     $    &     0      "
23428    "   0    !@   &9F,3$U-@  #@   #     &    \"     0         !0    @               $         $          .    ,     8  "
23429    "  (    !          %    \"     $    #     0         0  , 6%-4  X    P    !@    @    $          4    (              "
23430    " !         !          #@   $     &    \"     0         !0    @    !    #0    $         $     T   !#;&]C:R!%;F%B;&5"
23431    "S    #@   $     &    \"     0         !0    @    !    #     $         $     P    N+W<S7VYE=&QI<W0     #@   #     &"
23432    "    \"     0         !0    @               $         $          .    2     8    (    !          %    \"     $    1"
23433    "     0         0    $0   %!R;VIE8W0@3F%V:6=A=&]R          X    P    !@    @    $          4    (               !  "
23434    "       !          #@   $     &    \"     0         !0    @    !    #     $         $     P   !84U0@1&5F875L=',    "
23435    " #@   #     &    \"     0         !0    @               $         $          .    0     8    (    !          %    "
23436    "\"     $    ,     0         0    #    $E312!$969A=6QT<P     .    ,     8    (    !          %    \"               "
23437    " 0         0          X    P    !@    @    $          4    (     0    ,    !         !   P!O9F8 #@   #     &    \""
23438    "     0         !0    @    !     @    $         $  \" #$P   .    ,     8    (    !          %    \"     $    \"    "
23439    " 0         0  ( ,3    X    P    !@    @    $          4    (               !         !          #@   #     &    \""
23440    "     0         !0    @               $         $          .    ,     8    (    !          %    \"                0"
23441    "         0          X    P    !@    @    $          4    (               !         !          #@   $@    &    \"  "
23442    "   0         !0    @    !    &     $         $    !@   !!8V-O<F1I;F<@=&\\@0FQO8VL@36%S:W,.    ,     8    (    !   "
23443    "       %    \"                0         0          X    P    !@    @    $          4    (     0    ,    !         "
23444    "!   P!O9F8 #@   #     &    \"     0         !0    @               $         $          .    ,     8    (    !     "
23445    "     %    \"     $    !     0         0  $ ,     X    P    !@    @    $          4    (     0    $    !         ! "
23446    "  0 P    #@   $     &    \"     0         !0    @    !    \"P    $         $     L    M,2PM,2PM,2PM,0      #@   #@"
23447    "    &    \"     0         !0    @    !    !@    $         $     8   !S>7-G96X   X    P    !@    @    $          4 "
23448    "   (               !         !          #@   &     &    \"     0         !0    @    !    +@    $         $    \"X "
23449    "   U,\"PU,\"PM,2PM,2QT;VME;BQW:&ET92PP+# W-S,T+')I9VAT+\"Q;(%TL6R!=   .    \" ,   8    (    !          %    \"    "
23450    " $   #7 @   0         0    UP(  &9P<FEN=&8H)R<L)T-/34U%3E0Z(&)E9VEN(&EC;VX@9W)A<&AI8W,G*3L*<&%T8V@H6S @-3 @-3 @,\""
23451    " P(%TL6S @,\" U,\" U,\" P(%TL6S$@,2 Q(%TI.PIP871C:\"A;,2XV,S<U(#$V+C@Q(#(W+C,Q(#,W+C@Q(#0X+C,Q(#(W+C,Q(#$R+C$S-S4@"
23452    ",2XV,S<U(%TL6S,V+C8U-2 S-BXV-34@-#<N,34U(#,V+C8U-2 T-RXQ-34@-#<N,34U(#0W+C$U-2 S-BXV-34@72Q;,\"XY,S,S,S,@,\"XR,#,Y"
23453    ",C(@,\"XQ-#$Q-S8@72D[\"G!A=&-H*%LQ,BXQ,S<U(#(W+C,Q(#$V+C@Q(#$N-C,W-2 Q,BXQ,S<U(%TL6S(V+C$U-2 R-BXQ-34@,S8N-C4U(#,V"
23454    "+C8U-2 R-BXQ-34@72Q;,\"XV.3@P,SD@,\"XP,S$S-S(U(# N,C$Y-C X(%TI.PIP871C:\"A;,2XV,S<U(#$V+C@Q(#(W+C,Q(#$R+C$S-S4@,2X"
23455    "V,S<U(%TL6S$U+C8U-2 Q-2XV-34@,C8N,34U(#(V+C$U-2 Q-2XV-34@72Q;,\"XY,S,S,S,@,\"XR,#,Y,C(@,\"XQ-#$Q-S8@72D[\"G!A=&-H*"
23456    "%LQ,BXQ,S<U(#0X+C,Q(#,W+C@Q(#(W+C,Q(#$V+C@Q(#$N-C,W-2 Q,BXQ,S<U(%TL6S4N,34U(#4N,34U(#$U+C8U-2 U+C$U-2 Q-2XV-34@,34"
23457    "N-C4U(#4N,34U(%TL6S N-CDX,#,Y(# N,#,Q,S<R-2 P+C(Q.38P.\"!=*3L*9G!R:6YT9B@G)RPG0T]-345.5#H@96YD(&EC;VX@9W)A<&AI8W,G"
23458    "*3L*9G!R:6YT9B@G)RPG0T]-345.5#H@8F5G:6X@:6-O;B!T97AT)RD[\"F9P<FEN=&8H)R<L)T-/34U%3E0Z(&5N9\"!I8V]N('1E>'0G*3L #@  "
23459    " #     &    \"     0         !0    @               $         $          .    ,     8    (    !          %    \"   "
23460    "             0         0          X    P    !@    @    $          4    (               !         !          #@   #"
23461    "     &    \"     0         !0    @    !     P    $         $  # &]F9@ .    ,     8    (    !          %    \"     "
23462    "$    $     0         0  0 5DA$3 X   !     !@    @    $          4    (     0    T    !         !     -    6%-4($1E"
23463    "9F%U;'1S*@    X   !     !@    @    $          4    (     0    T    !         !     -    25-%($1E9F%U;'1S*@    X   "
23464    " X    !@    @    &          4    (     0    $    !          D    (               .    .     8    (    !@         %"
23465    "    \"     $    !     0         )    \"               #@   #@    &    \"     0         !0    @    !    !@    $    "
23466    "     $     8    Y+C(N,#$   X   !     !@    @    $          4    (     0    T    !         !     -    >&QE9&MS971T:"
23467    "6YG<P    X   !     !@    @    $          4    (     0    \\    !         !     /    >&QE9&MP<F5C;VUP:6QE  X   !   "
23468    "  !@    @    $          4    (     0    T    !         !     -    >&QE9&MU<&1A=&5F;@    X   \"8!   !@    @    \"  "
23469    "        4    (     0    $    !          4 !  8     0   #@!  !E>'!O<G0                       !E>'!O<G1D:7(         "
23470    "          !S96QE8W1I;VYT86<               !E>'!O<G1D:7)P871H              !M86IO<@                        !M:6YO<@"
23471    "                        !H=U]C;VUP871I8FEL:71Y          !M86I?<VQI9&5R                  !M:6YO<E]S;&ED97(         "
23472    "      !H=U]C;VUP871I8FEL:71Y7W-L:61E<@!I<T1E=F5L;W!M96YT              !U<V5#=7-T;VU\"=7-);G1E<F9A8V4   !C=7-T;VU\""
23473    "=7-);G1E<F9A8V5686QU90 .    .     8    (    !@         %    \"     $    !     0         )    \"               #@  "
23474    " #     &    \"     0         !0    @               $         $          .    0     8    (    !          %    \"   "
23475    "  $    0     0         0    $    '1A<F=E=%]D:7)E8W1O<GD.    ,     8    (    !          %    \"                0   "
23476    "      0          X    X    !@    @    &          4    (     0    $    !          D    (            \\#\\.    .    "
23477    " 8    (    !@         %    \"     $    !     0         )    \"               #@   #     &    \"     0         !0  "
23478    "  @    !     0    $         $  ! &$    .    .     8    (    !@         %    \"     $    !     0         )    \"   "
23479    "         / _#@   #@    &    \"     8         !0    @    !     0    $         \"0    @   \"N1^%Z%*[O/PX    X    !@ "
23480    "   @    &          4    (     0    $    !          D    (          ! 6$ .    .     8    (    !@         %    \"   "
23481    "  $    !     0         )    \"               #@   #@    &    \"     8         !0    @    !     0    $         \"0 "
23482    "   @               X    P    !@    @    &          4    (               !          D         "
23483  }
23484}
Note: See TracBrowser for help on using the repository browser.