Model { Name "user_io_board_controller_unconverted_backup" Version 6.3 MdlSubVersion 0 GraphicalInterface { NumRootInports 0 NumRootOutports 0 ParameterArgumentNames "" ComputedModelVersion "1.149" NumModelReferences 0 NumTestPointedSignals 0 } SavedCharacterEncoding "windows-1252" SaveDefaultBlockParams on SampleTimeColors on LibraryLinkDisplay "none" WideLines off ShowLineDimensions off ShowPortDataTypes on ShowLoopsOnError on IgnoreBidirectionalLines off ShowStorageClass off ShowTestPointIcons on ShowViewerIcons on SortedOrder off ExecutionContextIcon off ShowLinearizationAnnotations on RecordCoverage off CovPath "/" CovSaveName "covdata" CovMetricSettings "dw" CovNameIncrementing off CovHtmlReporting on covSaveCumulativeToWorkspaceVar on CovSaveSingleToWorkspaceVar on CovCumulativeVarName "covCumulativeData" CovCumulativeReport off CovReportOnPause on ScopeRefreshTime 0.035000 OverrideScopeRefreshTime on DisableAllScopes off DataTypeOverride "UseLocalSettings" MinMaxOverflowLogging "UseLocalSettings" MinMaxOverflowArchiveMode "Overwrite" BlockNameDataTip off BlockParametersDataTip off BlockDescriptionStringDataTip off ToolBar on StatusBar on BrowserShowLibraryLinks off BrowserLookUnderMasks off InitFcn "init_lcd" StartFcn "init_lcd" Created "Thu Aug 09 22:27:48 2007" UpdateHistory "UpdateHistoryNever" ModifiedByFormat "%" LastModifiedBy "sgupta" ModifiedDateFormat "%" LastModifiedDate "Fri Aug 31 00:37:35 2007" ModelVersionFormat "1.%" ConfigurationManager "None" LinearizationMsg "none" Profile off ParamWorkspaceSource "MATLABWorkspace" AccelSystemTargetFile "accel.tlc" AccelTemplateMakefile "accel_default_tmf" AccelMakeCommand "make_rtw" TryForcingSFcnDF off ExtModeBatchMode off ExtModeEnableFloating on ExtModeTrigType "manual" ExtModeTrigMode "normal" ExtModeTrigPort "1" ExtModeTrigElement "any" ExtModeTrigDuration 1000 ExtModeTrigDurationFloating "auto" ExtModeTrigHoldOff 0 ExtModeTrigDelay 0 ExtModeTrigDirection "rising" ExtModeTrigLevel 0 ExtModeArchiveMode "off" ExtModeAutoIncOneShot off ExtModeIncDirWhenArm off ExtModeAddSuffixToVar off ExtModeWriteAllDataToWs off ExtModeArmWhenConnect on ExtModeSkipDownloadWhenConnect off ExtModeLogAll on ExtModeAutoUpdateStatusClock on BufferReuse on StrictBusMsg "Warning" ProdHWDeviceType "32-bit Generic" ShowModelReferenceBlockVersion off ShowModelReferenceBlockIO off Array { Type "Handle" Dimension 1 Simulink.ConfigSet { $ObjectID 1 Version "1.1.0" Array { Type "Handle" Dimension 7 Simulink.SolverCC { $ObjectID 2 Version "1.1.0" StartTime "0.0" StopTime "20000" AbsTol "auto" FixedStep "auto" InitialStep "auto" MaxNumMinSteps "-1" MaxOrder 5 ExtrapolationOrder 4 NumberNewtonIterations 1 MaxStep "auto" MinStep "auto" RelTol "1e-3" SolverMode "Auto" Solver "ode45" SolverName "ode45" ZeroCrossControl "UseLocalSettings" AlgebraicLoopSolver "TrustRegion" SolverResetMethod "Fast" PositivePriorityOrder off AutoInsertRateTranBlk off SampleTimeConstraint "Unconstrained" RateTranMode "Deterministic" } Simulink.DataIOCC { $ObjectID 3 Version "1.1.0" Decimation "1" ExternalInput "[t, u]" FinalStateName "xFinal" InitialState "xInitial" LimitDataPoints on MaxDataPoints "1000" LoadExternalInput off LoadInitialState off SaveFinalState off SaveFormat "Array" SaveOutput on SaveState off SignalLogging on InspectSignalLogs off SaveTime on StateSaveName "xout" TimeSaveName "tout" OutputSaveName "yout" SignalLoggingName "logsout" OutputOption "RefineOutputTimes" OutputTimes "[]" Refine "1" } Simulink.OptimizationCC { $ObjectID 4 Array { Type "Cell" Dimension 5 Cell "ZeroExternalMemoryAtStartup" Cell "ZeroInternalMemoryAtStartup" Cell "InitFltsAndDblsToZero" Cell "OptimizeModelRefInitCode" Cell "NoFixptDivByZeroProtection" PropName "DisabledProps" } Version "1.1.0" BlockReduction on BooleanDataType on ConditionallyExecuteInputs on InlineParams off InlineInvariantSignals off OptimizeBlockIOStorage on BufferReuse on EnforceIntegerDowncast on ExpressionFolding on FoldNonRolledExpr on LocalBlockOutputs on ParameterPooling on RollThreshold 5 SystemCodeInlineAuto off StateBitsets off DataBitsets off UseTempVars off ZeroExternalMemoryAtStartup on ZeroInternalMemoryAtStartup on InitFltsAndDblsToZero on NoFixptDivByZeroProtection off EfficientFloat2IntCast off OptimizeModelRefInitCode off LifeSpan "inf" BufferReusableBoundary on } Simulink.DebuggingCC { $ObjectID 5 Version "1.1.0" RTPrefix "error" ConsistencyChecking "none" ArrayBoundsChecking "none" SignalInfNanChecking "none" ReadBeforeWriteMsg "UseLocalSettings" WriteAfterWriteMsg "UseLocalSettings" WriteAfterReadMsg "UseLocalSettings" AlgebraicLoopMsg "warning" ArtificialAlgebraicLoopMsg "warning" CheckSSInitialOutputMsg on CheckExecutionContextPreStartOutputMsg off CheckExecutionContextRuntimeOutputMsg off SignalResolutionControl "TryResolveAllWithWarning" BlockPriorityViolationMsg "warning" MinStepSizeMsg "warning" SolverPrmCheckMsg "warning" InheritedTsInSrcMsg "warning" DiscreteInheritContinuousMsg "warning" MultiTaskDSMMsg "warning" MultiTaskRateTransMsg "error" SingleTaskRateTransMsg "none" TasksWithSamePriorityMsg "warning" SigSpecEnsureSampleTimeMsg "warning" CheckMatrixSingularityMsg "none" IntegerOverflowMsg "warning" Int32ToFloatConvMsg "warning" ParameterDowncastMsg "error" ParameterOverflowMsg "error" ParameterUnderflowMsg "none" ParameterPrecisionLossMsg "warning" UnderSpecifiedDataTypeMsg "none" UnnecessaryDatatypeConvMsg "none" VectorMatrixConversionMsg "none" InvalidFcnCallConnMsg "error" FcnCallInpInsideContextMsg "Use local settings" SignalLabelMismatchMsg "none" UnconnectedInputMsg "warning" UnconnectedOutputMsg "warning" UnconnectedLineMsg "warning" SFcnCompatibilityMsg "none" UniqueDataStoreMsg "none" BusObjectLabelMismatch "warning" RootOutportRequireBusObject "warning" AssertControl "UseLocalSettings" EnableOverflowDetection off ModelReferenceIOMsg "none" ModelReferenceVersionMismatchMessage "none" ModelReferenceIOMismatchMessage "none" ModelReferenceCSMismatchMessage "none" ModelReferenceSimTargetVerbose off UnknownTsInhSupMsg "warning" ModelReferenceDataLoggingMessage "warning" ModelReferenceSymbolNameMessage "warning" ModelReferenceExtraNoncontSigs "error" } Simulink.HardwareCC { $ObjectID 6 Version "1.1.0" ProdBitPerChar 8 ProdBitPerShort 16 ProdBitPerInt 32 ProdBitPerLong 32 ProdIntDivRoundTo "Undefined" ProdEndianess "Unspecified" ProdWordSize 32 ProdShiftRightIntArith on ProdHWDeviceType "32-bit Generic" TargetBitPerChar 8 TargetBitPerShort 16 TargetBitPerInt 32 TargetBitPerLong 32 TargetShiftRightIntArith on TargetIntDivRoundTo "Undefined" TargetEndianess "Unspecified" TargetWordSize 32 TargetTypeEmulationWarnSuppressLevel 0 TargetPreprocMaxBitsSint 32 TargetPreprocMaxBitsUint 32 TargetHWDeviceType "Specified" TargetUnknown off ProdEqTarget on } Simulink.ModelReferenceCC { $ObjectID 7 Version "1.1.0" UpdateModelReferenceTargets "IfOutOfDateOrStructuralChange" CheckModelReferenceTargetMessage "error" ModelReferenceNumInstancesAllowed "Multi" ModelReferencePassRootInputsByReference on ModelReferenceMinAlgLoopOccurrences off } Simulink.RTWCC { $BackupClass "Simulink.RTWCC" $ObjectID 8 Array { Type "Cell" Dimension 1 Cell "IncludeHyperlinkInReport" PropName "DisabledProps" } Version "1.1.0" SystemTargetFile "grt.tlc" GenCodeOnly off MakeCommand "make_rtw" TemplateMakefile "grt_default_tmf" GenerateReport off SaveLog off RTWVerbose on RetainRTWFile off ProfileTLC off TLCDebug off TLCCoverage off TLCAssert off ProcessScriptMode "Default" ConfigurationMode "Optimized" ConfigAtBuild off IncludeHyperlinkInReport off LaunchReport off TargetLang "C" Array { Type "Handle" Dimension 2 Simulink.CodeAppCC { $ObjectID 9 Array { Type "Cell" Dimension 9 Cell "IgnoreCustomStorageClasses" Cell "InsertBlockDesc" Cell "SFDataObjDesc" Cell "SimulinkDataObjDesc" Cell "DefineNamingRule" Cell "SignalNamingRule" Cell "ParamNamingRule" Cell "InlinedPrmAccess" Cell "CustomSymbolStr" PropName "DisabledProps" } Version "1.1.0" ForceParamTrailComments off GenerateComments on IgnoreCustomStorageClasses on IncHierarchyInIds off MaxIdLength 31 PreserveName off PreserveNameWithParent off ShowEliminatedStatement off IncAutoGenComments off SimulinkDataObjDesc off SFDataObjDesc off IncDataTypeInIds off PrefixModelToSubsysFcnNames on CustomSymbolStr "$R$N$M" MangleLength 1 DefineNamingRule "None" ParamNamingRule "None" SignalNamingRule "None" InsertBlockDesc off SimulinkBlockComments on EnableCustomComments off InlinedPrmAccess "Literals" ReqsInCode off } Simulink.GRTTargetCC { $BackupClass "Simulink.TargetCC" $ObjectID 10 Array { Type "Cell" Dimension 12 Cell "IncludeMdlTerminateFcn" Cell "CombineOutputUpdateFcns" Cell "SuppressErrorStatus" Cell "ERTCustomFileBanners" Cell "GenerateSampleERTMain" Cell "MultiInstanceERTCode" Cell "PurelyIntegerCode" Cell "SupportNonFinite" Cell "SupportComplex" Cell "SupportAbsoluteTime" Cell "SupportContinuousTime" Cell "SupportNonInlinedSFcns" PropName "DisabledProps" } Version "1.1.0" TargetFcnLib "ansi_tfl_tmw.mat" TargetLibSuffix "" TargetPreCompLibLocation "" GenFloatMathFcnCalls "ANSI_C" UtilityFuncGeneration "Auto" GenerateFullHeader on GenerateSampleERTMain off IsPILTarget off ModelReferenceCompliant on IncludeMdlTerminateFcn on CombineOutputUpdateFcns off SuppressErrorStatus off IncludeFileDelimiter "Auto" ERTCustomFileBanners off SupportAbsoluteTime on LogVarNameModifier "rt_" MatFileLogging on MultiInstanceERTCode off SupportNonFinite on SupportComplex on PurelyIntegerCode off SupportContinuousTime on SupportNonInlinedSFcns on ExtMode off ExtModeStaticAlloc off ExtModeTesting off ExtModeStaticAllocSize 1000000 ExtModeTransport 0 ExtModeMexFile "ext_comm" RTWCAPISignals off RTWCAPIParams off RTWCAPIStates off GenerateASAP2 off } PropName "Components" } } PropName "Components" } Name "Configuration" SimulationMode "normal" CurrentDlgPage "Solver" } PropName "ConfigurationSets" } Simulink.ConfigSet { $PropName "ActiveConfigurationSet" $ObjectID 1 } BlockDefaults { Orientation "right" ForegroundColor "black" BackgroundColor "white" DropShadow off NamePlacement "normal" FontName "arial" FontSize 10 FontWeight "normal" FontAngle "normal" ShowName on } BlockParameterDefaults { Block { BlockType DiscretePulseGenerator PulseType "Sample based" TimeSource "Use simulation time" Amplitude "1" Period "2" PulseWidth "1" PhaseDelay "0" SampleTime "1" VectorParams1D on } Block { BlockType Inport Port "1" UseBusObject off BusObject "BusObject" BusOutputAsStruct off PortDimensions "-1" SampleTime "-1" DataType "auto" OutDataType "sfix(16)" OutScaling "2^0" SignalType "auto" SamplingMode "auto" LatchByDelayingOutsideSignal off LatchByCopyingInsideSignal off Interpolate on } Block { BlockType InportShadow UseBusObject off BusObject "BusObject" BusOutputAsStruct off PortDimensions "-1" SampleTime "-1" DataType "auto" OutDataType "sfix(16)" OutScaling "2^0" SignalType "auto" SamplingMode "auto" Interpolate on } Block { BlockType Outport Port "1" UseBusObject off BusObject "BusObject" BusOutputAsStruct off PortDimensions "-1" SampleTime "-1" DataType "auto" OutDataType "sfix(16)" OutScaling "2^0" SignalType "auto" SamplingMode "auto" OutputWhenDisabled "held" InitialOutput "[]" } Block { BlockType Reference } Block { BlockType Scope ModelBased off TickLabels "OneTimeTick" ZoomMode "on" Grid "on" TimeRange "auto" YMin "-5" YMax "5" SaveToWorkspace off SaveName "ScopeData" LimitDataPoints on MaxDataPoints "5000" Decimation "1" SampleInput off SampleTime "-1" } Block { BlockType "S-Function" FunctionName "system" SFunctionModules "''" PortCounts "[]" } Block { BlockType Step Time "1" Before "0" After "1" SampleTime "-1" VectorParams1D on ZeroCross on } Block { BlockType SubSystem ShowPortLabels on Permissions "ReadWrite" PermitHierarchicalResolution "All" SystemSampleTime "-1" RTWFcnNameOpts "Auto" RTWFileNameOpts "Auto" SimViewingDevice off DataTypeOverride "UseLocalSettings" MinMaxOverflowLogging "UseLocalSettings" } Block { BlockType Terminator } } AnnotationDefaults { HorizontalAlignment "center" VerticalAlignment "middle" ForegroundColor "black" BackgroundColor "white" DropShadow off FontName "arial" FontSize 10 FontWeight "normal" FontAngle "normal" } LineDefaults { FontName "arial" FontSize 9 FontWeight "normal" FontAngle "normal" } System { Name "user_io_board_controller_unconverted_backup" Location [424, 78, 1868, 1079] Open on ModelBrowserVisibility off ModelBrowserWidth 200 ScreenColor "white" PaperOrientation "landscape" PaperPositionMode "auto" PaperType "usletter" PaperUnits "inches" ZoomFactor "100" ReportName "simulink-default.rpt" Block { BlockType Reference Name " System Generator" Tag "genX" Ports [] Position [249, 112, 300, 162] ShowName off AttributesFormatString "System\\nGenerator" UserDataPersistent on UserData "DataTag0" SourceBlock "xbsIndex_r4/ System Generator" SourceType "Xilinx System Generator Block" ShowPortLabels on infoedit " System Generator" xilinxfamily "Virtex4" part "xc4vsx35" speed "-10" package "ff668" synthesis_tool "XST" directory "./netlist" testbench off simulink_period "1" sysclk_period "10" incr_netlist off trim_vbits "Everywhere in SubSystem" dbl_ovrd "According to Block Masks" core_generation "According to Block Masks" run_coregen off deprecated_control off eval_field "0" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "sysgen" block_version "8.2.02" sg_icon_stat "51,50,-1,-1,red,beige,0,07734" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npa" "tch([0 51 51 0 ],[0 0 50 50 ],[0.93 0.92 0.86]);\npatch([12 4 16 4 12 25 29 3" "3 47 36 25 17 29 17 25 36 47 33 29 25 12 ],[5 13 25 37 45 45 41 45 45 34 45 3" "7 25 13 5 16 5 5 9 5 5 ],[0.6 0.2 0.25]);\nplot([0 0 51 51 0 ],[0 50 50 0 0 ]" ");\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin ico" "n text');\nfprintf('','COMMENT: end icon text');\n" sg_blockgui_xml "\n \n \n \n" " \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n " "\n \n \n \n \n \n " "\n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n\n" } Block { BlockType "S-Function" Name "CS" Ports [1, 1] Position [1525, 455, 1585, 475] CopyFcn "xlBlockMoveCallback(gcbh);" DeleteFcn "xlDestroyGui(gcbh);" LoadFcn "xlBlockLoadCallback(gcbh);" ModelCloseFcn "xlDestroyGui(gcbh);" PreSaveFcn "xlBlockPreSaveCallback(gcbh);" PostSaveFcn "xlBlockPostSaveCallback(gcbh);" DestroyFcn "xlDestroyGui(gcbh);" OpenFcn "xlOpenGui(gcbh, @gatewayoutenablement, -1)" CloseFcn "xlDestroyGui(gcbh);" MoveFcn "xlBlockMoveCallback(gcbh);" FunctionName "sysgen" Parameters "ptable_" MaskType "Xilinx Gateway Out Block" MaskDescription "Gateway out block. Converts Xilinx fixed point" " inputs into ouputs of type Simulink integer, double, or fixed point.\n\nHard" "ware notes: In hardware these blocks become top level output ports or are di" "scarded, depending on how they are configured." MaskHelp "eval('xlWeb(xlhtmldoclink(''Gateway Out''))');" MaskPromptString " | |Translate into output port| |IOB timing con" "straint| |Specify IOB location constraints|IOB pad locations (cell array {'MS" "B', ..., 'LSB'})| |Define FPGA area for resource estimation|FPGA area [slices" ", FFs, BRAMs, LUTs, IOBs, emb. mults, TBUFs]| | | | | | | | | " MaskStyleString "edit,edit,checkbox,edit,popup(None|Data Rate|Da" "ta Rate; Set 'FAST' Attribute),edit,checkbox,edit,edit,checkbox,edit,edit,ed" "it,edit,edit,edit,edit,edit,edit,edit" MaskTunableValueString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on" ",on,on,on,on" MaskCallbackString "|||||||||||||||||||" MaskEnableString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on" ",on,on,on,on" MaskVisibilityString "off,off,on,off,on,off,on,on,off,on,on,off,off,o" "ff,off,off,off,off,off,off" MaskToolTipString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on" ",on,on,on,on" MaskVarAliasString ",,,,,,,,,,,,,,,,,,," MaskVariables "infoedit=&1;hdl_port_sgadvanced=&2;hdl_port=@3;" "timing_constraint_sgadvanced=&4;timing_constraint=@5;locs_specified_sgadvance" "d=&6;locs_specified=@7;LOCs=@8;xl_use_area_sgadvanced=&9;xl_use_area=@10;xl_a" "rea=@11;sginterface=&12;has_advanced_control=@13;sggui_pos=&14;block_type=&15" ";block_version=&16;sg_icon_stat=&17;sg_mask_display=&18;sg_list_contents=&19;" "sg_blockgui_xml=&20;" MaskInitialization "try\n tmp_gcb = gcb;\n tmp_gcbh = gcbh;\n xl" "MungeMaskParams;\n block_config = 'Sysgen:gateway_out_config';\n serialized" "_declarations = '{''LOCs''=>''StringVector'',''hdl_port''=>''Int'',''locs_spe" "cified''=>''Int'',''sginterface''=>''String'',''timing_constraint''=>''Int''}" "';\n ptable_ = xlblockprep(get_param(tmp_gcb, 'MaskWSVariables'));\ncatch\n " " global xl_report_errors;\n if(~isempty(xl_report_errors) && xl_report_error" "s)\n e = regexprep(lasterr, '\\n', '\\nError: ');\n disp(['Error: While" " running MaskInit code on block ' tmp_gcb ': ' e]);\n error(e);\n end\nen" "d\n" MaskDisplay "fprintf('','COMMENT: begin icon graphics');\npa" "tch([0 60 60 0 ],[0 0 20 20 ],[0.95 0.93 0.65]);\npatch([24 21 26 21 24 29 30" " 31 37 33 29 26 31 26 29 33 37 31 30 29 24 ],[2 5 10 15 18 18 17 18 18 14 18 " "15 10 5 2 6 2 2 3 2 2 ],[0.98 0.96 0.92]);\nplot([0 0 60 60 0 ],[0 20 20 0 0 " "]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin ic" "on text');\ncolor('black');port_label('input',1,' ');\ncolor('black');port_la" "bel('output',1,'\\fontsize{11pt}\\bf Out ','texmode','on');\nfprintf('','COMM" "ENT: end icon text');\n" MaskSelfModifiable on MaskIconFrame off MaskIconOpaque on MaskIconRotate "none" MaskIconUnits "autoscale" MaskValueString "Gateway out block. Converts Xilinx fixed point" " inputs into ouputs of type Simulink integer, double, or fixed point.

Ha" "rdware notes: In hardware these blocks become top level output ports or are " "discarded, depending on how they are configured.||on||None||off|{}||off|[0,0," "0,0,0,0,0]||0|-1,-1,-1,-1|gatewayout|8.2.02|60,20,1,1,white,yellow,0,f0cec300" "|fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 ],[0 0 20 20 ]" ",[0.95 0.93 0.65]);\npatch([24 21 26 21 24 29 30 31 37 33 29 26 31 26 29 33 3" "7 31 30 29 24 ],[2 5 10 15 18 18 17 18 18 14 18 15 10 5 2 6 2 2 3 2 2 ],[0.98" " 0.96 0.92]);\nplot([0 0 60 60 0 ],[0 20 20 0 0 ]);\nfprintf('','COMMENT: end" " icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');po" "rt_label('input',1,' ');\ncolor('black');port_label('output',1,'\\fontsize{11" "pt}\\bf Out ','texmode','on');\nfprintf('','COMMENT: end icon text');\n||<" "sysgenblock block_type=\"gatewayout\" simulinkname=\"Gateway Out\" >\n \n \n \n \n " "\n \n \n \n \n <P>Hardware notes: In hardware thes" "e blocks become top level output ports or are discarded, depending on how the" "y are configured.\" />\n \n \n " "\n \n \n" " \n \n \n \n \n \n \n \n <" "/blockgui>\n\n" MaskTabNameString ",,,,,,,,,,,,,,,,,,," } Block { BlockType SubSystem Name "CommandROM" Ports [6, 3] Position [690, 320, 890, 550] TreatAsAtomicUnit off MinAlgLoopOccurrences off RTWSystemCode "Auto" MaskHideContents off System { Name "CommandROM" Location [558, 165, 923, 397] Open off ModelBrowserVisibility off ModelBrowserWidth 200 ScreenColor "white" PaperOrientation "landscape" PaperPositionMode "auto" PaperType "usletter" PaperUnits "inches" ZoomFactor "100" Block { BlockType Inport Name "ConfigLoc" Position [1015, 133, 1045, 147] IconDisplay "Port number" } Block { BlockType Inport Name "InvertRamAddr" Position [835, 143, 865, 157] Port "2" IconDisplay "Port number" } Block { BlockType Inport Name "SendCmds" Position [55, 243, 85, 257] Port "3" IconDisplay "Port number" } Block { BlockType Inport Name "TransferDone" Position [55, 273, 85, 287] Port "4" IconDisplay "Port number" } Block { BlockType Inport Name "TotalNoOfCmds" Position [435, 403, 465, 417] Port "5" IconDisplay "Port number" } Block { BlockType Inport Name "Reset" Position [370, 228, 400, 242] Port "6" IconDisplay "Port number" } Block { BlockType Reference Name "Accumulator" Ports [3, 1] Position [505, 316, 565, 374] SourceBlock "xbsIndex_r4/Accumulator" SourceType "Xilinx Accumulator Block" infoedit "Adder or subtractor-based accumulator. Ou" "tput type and binary point position match the input.

Hardware notes: Whe" "n \"Reinitialize with input 'b' on reset\" is selected, the accumulator is fo" "rced to run at the system rate even if the input 'b' is running at a slower r" "ate." operation "Add" n_bits "7" overflow "Wrap" scale "1" rst "on" hasbypass "off" en "on" dbl_ovrd "off" use_behavioral_HDL "on" xl_use_area "off" xl_area "[0,0,0,0,0,0,0]" has_advanced_control "0" sggui_pos "20,20,356,449" block_type "accum" block_version "8.2.02" sg_icon_stat "60,58,3,1,white,blue,0,93e94b4a" sg_mask_display "fprintf('','COMMENT: begin icon graphics');" "\npatch([0 60 60 0 ],[0 0 58 58 ],[0.77 0.82 0.91]);\npatch([15 5 19 5 15 30 " "34 38 54 41 29 20 34 20 29 41 54 38 34 30 15 ],[6 16 30 44 54 54 50 54 54 41 " "53 44 30 16 7 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 0 60 60 0 ],[0 58 5" "8 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: be" "gin icon text');\ncolor('black');port_label('input',1,'b');\ncolor('black');p" "ort_label('input',2,'rst');\ncolor('black');port_label('input',3,'en');\ncolo" "r('black');port_label('output',1,'q');\nfprintf('','COMMENT: end icon text');" "\n" } Block { BlockType Reference Name "Accumulator1" Ports [2, 1] Position [1265, 736, 1325, 794] SourceBlock "xbsIndex_r4/Accumulator" SourceType "Xilinx Accumulator Block" infoedit "Adder or subtractor-based accumulator. Ou" "tput type and binary point position match the input.

Hardware notes: Whe" "n \"Reinitialize with input 'b' on reset\" is selected, the accumulator is fo" "rced to run at the system rate even if the input 'b' is running at a slower r" "ate." operation "Add" n_bits "4" overflow "Wrap" scale "1" rst "on" hasbypass "off" en "off" dbl_ovrd "off" use_behavioral_HDL "on" xl_use_area "off" xl_area "[0,0,0,0,0,0,0]" has_advanced_control "0" sggui_pos "20,20,356,449" block_type "accum" block_version "8.2.02" sg_icon_stat "60,58,2,1,white,blue,0,985aace6" sg_mask_display "fprintf('','COMMENT: begin icon graphics');" "\npatch([0 60 60 0 ],[0 0 58 58 ],[0.77 0.82 0.91]);\npatch([15 5 19 5 15 30 " "34 38 54 41 29 20 34 20 29 41 54 38 34 30 15 ],[6 16 30 44 54 54 50 54 54 41 " "53 44 30 16 7 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 0 60 60 0 ],[0 58 5" "8 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: be" "gin icon text');\ncolor('black');port_label('input',1,'b');\ncolor('black');p" "ort_label('input',2,'rst');\ncolor('black');port_label('output',1,'q');\nfpri" "ntf('','COMMENT: end icon text');\n" } Block { BlockType Reference Name "AddSub" Ports [2, 1] Position [530, 396, 590, 454] SourceBlock "xbsIndex_r4/AddSub" SourceType "Xilinx Adder/Subtractor Block" mode "Subtraction" use_carryin "off" use_carryout "off" en "off" latency "0" precision "Full" arith_type "Unsigned" n_bits "16" bin_pt "14" quantization "Truncate" overflow "Wrap" dbl_ovrd "off" use_behavioral_HDL "off" pipelined "off" use_rpm "on" xl_use_area "off" xl_area "[0,0,0,0,0,0,0]" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "addsub" block_version "8.2.02" sg_icon_stat "60,58,2,1,white,blue,0,f28631c4" sg_mask_display "fprintf('','COMMENT: begin icon graphics');" "\npatch([0 60 60 0 ],[0 0 58 58 ],[0.77 0.82 0.91]);\npatch([15 5 19 5 15 30 " "34 38 54 41 29 20 34 20 29 41 54 38 34 30 15 ],[6 16 30 44 54 54 50 54 54 41 " "53 44 30 16 7 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 0 60 60 0 ],[0 58 5" "8 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: be" "gin icon text');\ncolor('black');port_label('input',1,'a');\ncolor('black');p" "ort_label('input',2,'b');\ncolor('black');port_label('output',1,'\\bf{a - b}'" ",'texmode','on');\nfprintf('','COMMENT: end icon text');\n" } Block { BlockType Reference Name "Concat" Ports [2, 1] Position [1110, 150, 1170, 210] SourceBlock "xbsIndex_r4/Concat" SourceType "Xilinx Bus Concatenator Block" infoedit "Concatenates two or more inputs. Output wi" "ll be cast to an unsigned value with the binary point at zero." num_inputs "2" dbl_ovrd "off" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "concat" block_version "8.2.02" sg_icon_stat "60,60,2,1,white,blue,0,59d62d82" sg_mask_display "fprintf('','COMMENT: begin icon graphics');" "\npatch([0 60 60 0 ],[0 0 60 60 ],[0.77 0.82 0.91]);\npatch([14 4 18 4 14 30 " "34 38 55 42 29 20 35 20 29 42 55 38 34 30 14 ],[6 16 30 44 54 54 50 54 54 41 " "54 45 30 15 6 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 0 60 60 0 ],[0 60 6" "0 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: be" "gin icon text');\ncolor('black');port_label('input',1,'hi');\ncolor('black');" "port_label('input',2,'lo');\nfprintf('','COMMENT: end icon text');\n" } Block { BlockType Reference Name "Concat1" Ports [2, 1] Position [805, 195, 865, 255] SourceBlock "xbsIndex_r4/Concat" SourceType "Xilinx Bus Concatenator Block" infoedit "Concatenates two or more inputs. Output wi" "ll be cast to an unsigned value with the binary point at zero." num_inputs "2" dbl_ovrd "off" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "concat" block_version "8.2.02" sg_icon_stat "60,60,2,1,white,blue,0,59d62d82" sg_mask_display "fprintf('','COMMENT: begin icon graphics');" "\npatch([0 60 60 0 ],[0 0 60 60 ],[0.77 0.82 0.91]);\npatch([14 4 18 4 14 30 " "34 38 55 42 29 20 35 20 29 42 55 38 34 30 14 ],[6 16 30 44 54 54 50 54 54 41 " "54 45 30 15 6 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 0 60 60 0 ],[0 60 6" "0 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: be" "gin icon text');\ncolor('black');port_label('input',1,'hi');\ncolor('black');" "port_label('input',2,'lo');\nfprintf('','COMMENT: end icon text');\n" } Block { BlockType Reference Name "Concat2" Ports [2, 1] Position [1900, 430, 1960, 490] SourceBlock "xbsIndex_r4/Concat" SourceType "Xilinx Bus Concatenator Block" infoedit "Concatenates two or more inputs. Output wi" "ll be cast to an unsigned value with the binary point at zero." num_inputs "2" dbl_ovrd "off" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "concat" block_version "8.2.02" sg_icon_stat "60,60,2,1,white,blue,0,59d62d82" sg_mask_display "fprintf('','COMMENT: begin icon graphics');" "\npatch([0 60 60 0 ],[0 0 60 60 ],[0.77 0.82 0.91]);\npatch([14 4 18 4 14 30 " "34 38 55 42 29 20 35 20 29 42 55 38 34 30 14 ],[6 16 30 44 54 54 50 54 54 41 " "54 45 30 15 6 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 0 60 60 0 ],[0 60 6" "0 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: be" "gin icon text');\ncolor('black');port_label('input',1,'hi');\ncolor('black');" "port_label('input',2,'lo');\nfprintf('','COMMENT: end icon text');\n" } Block { BlockType Reference Name "Constant" Ports [0, 1] Position [1145, 257, 1200, 283] SourceBlock "xbsIndex_r4/Constant" SourceType "Xilinx Constant Block Block" arith_type "Unsigned" const "0" n_bits "16" bin_pt "0" explicit_period "on" period "1" dsp48_infoedit "The use of this block for DSP48 instruction" "s is deprecated. Please use the Opmode block." equ "P=C" opselect "C" inp2 "PCIN>>17" opr "+" inp1 "P" carry "CIN" dbl_ovrd "off" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "constant" block_version "8.2.02" sg_icon_stat "55,26,0,1,white,blue,0,c7c04a0c" sg_mask_display "fprintf('','COMMENT: begin icon graphics');" "\npatch([0 55 55 0 ],[0 0 26 26 ],[0.77 0.82 0.91]);\npatch([20 16 22 16 20 2" "7 29 31 38 32 26 22 28 22 26 32 38 31 29 27 20 ],[3 7 13 19 23 23 21 23 23 17" " 23 19 13 7 3 9 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 0 55 55 0 ],[0 26 26 " "0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begi" "n icon text');\ncolor('black');port_label('output',1,'0');\nfprintf('','COMME" "NT: end icon text');\n" } Block { BlockType Reference Name "Constant1" Ports [0, 1] Position [1145, 302, 1200, 328] SourceBlock "xbsIndex_r4/Constant" SourceType "Xilinx Constant Block Block" arith_type "Boolean" const "0" n_bits "8" bin_pt "0" explicit_period "on" period "1" dsp48_infoedit "The use of this block for DSP48 instruction" "s is deprecated. Please use the Opmode block." equ "P=C" opselect "C" inp2 "PCIN>>17" opr "+" inp1 "P" carry "CIN" dbl_ovrd "off" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "constant" block_version "8.2.02" sg_icon_stat "55,26,0,1,white,blue,0,c7c04a0c" sg_mask_display "fprintf('','COMMENT: begin icon graphics');" "\npatch([0 55 55 0 ],[0 0 26 26 ],[0.77 0.82 0.91]);\npatch([20 16 22 16 20 2" "7 29 31 38 32 26 22 28 22 26 32 38 31 29 27 20 ],[3 7 13 19 23 23 21 23 23 17" " 23 19 13 7 3 9 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 0 55 55 0 ],[0 26 26 " "0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begi" "n icon text');\ncolor('black');port_label('output',1,'0');\nfprintf('','COMME" "NT: end icon text');\n" } Block { BlockType Reference Name "Constant11" Ports [0, 1] Position [1525, 627, 1580, 653] SourceBlock "xbsIndex_r4/Constant" SourceType "Xilinx Constant Block Block" arith_type "Unsigned" const "hex2dec('15C')" n_bits "9" bin_pt "0" explicit_period "on" period "1" dsp48_infoedit "The use of this block for DSP48 instruction" "s is deprecated. Please use the Opmode block." equ "P=C" opselect "C" inp2 "PCIN>>17" opr "+" inp1 "P" carry "CIN" dbl_ovrd "off" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "constant" block_version "8.2.02" sg_icon_stat "55,26,0,1,white,blue,0,939288d9" sg_mask_display "fprintf('','COMMENT: begin icon graphics');" "\npatch([0 55 55 0 ],[0 0 26 26 ],[0.77 0.82 0.91]);\npatch([20 16 22 16 20 2" "7 29 31 38 32 26 22 28 22 26 32 38 31 29 27 20 ],[3 7 13 19 23 23 21 23 23 17" " 23 19 13 7 3 9 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 0 55 55 0 ],[0 26 26 " "0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begi" "n icon text');\ncolor('black');port_label('output',1,'348');\nfprintf('','COM" "MENT: end icon text');\n" } Block { BlockType Reference Name "Constant2" Ports [0, 1] Position [665, 532, 720, 558] SourceBlock "xbsIndex_r4/Constant" SourceType "Xilinx Constant Block Block" arith_type "Boolean" const "0" n_bits "16" bin_pt "14" explicit_period "on" period "1" dsp48_infoedit "The use of this block for DSP48 instruction" "s is deprecated. Please use the Opmode block." equ "P=C" opselect "C" inp2 "PCIN>>17" opr "+" inp1 "P" carry "CIN" dbl_ovrd "off" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "constant" block_version "8.2.02" sg_icon_stat "55,26,0,1,white,blue,0,c7c04a0c" sg_mask_display "fprintf('','COMMENT: begin icon graphics');" "\npatch([0 55 55 0 ],[0 0 26 26 ],[0.77 0.82 0.91]);\npatch([20 16 22 16 20 2" "7 29 31 38 32 26 22 28 22 26 32 38 31 29 27 20 ],[3 7 13 19 23 23 21 23 23 17" " 23 19 13 7 3 9 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 0 55 55 0 ],[0 26 26 " "0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begi" "n icon text');\ncolor('black');port_label('output',1,'0');\nfprintf('','COMME" "NT: end icon text');\n" } Block { BlockType Reference Name "Constant3" Ports [0, 1] Position [445, 442, 500, 468] SourceBlock "xbsIndex_r4/Constant" SourceType "Xilinx Constant Block Block" arith_type "Unsigned" const "1" n_bits "1" bin_pt "0" explicit_period "on" period "1" dsp48_infoedit "The use of this block for DSP48 instruction" "s is deprecated. Please use the Opmode block." equ "P=C" opselect "C" inp2 "PCIN>>17" opr "+" inp1 "P" carry "CIN" dbl_ovrd "off" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "constant" block_version "8.2.02" sg_icon_stat "55,26,0,1,white,blue,0,85613821" sg_mask_display "fprintf('','COMMENT: begin icon graphics');" "\npatch([0 55 55 0 ],[0 0 26 26 ],[0.77 0.82 0.91]);\npatch([20 16 22 16 20 2" "7 29 31 38 32 26 22 28 22 26 32 38 31 29 27 20 ],[3 7 13 19 23 23 21 23 23 17" " 23 19 13 7 3 9 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 0 55 55 0 ],[0 26 26 " "0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begi" "n icon text');\ncolor('black');port_label('output',1,'1');\nfprintf('','COMME" "NT: end icon text');\n" } Block { BlockType Reference Name "Constant4" Ports [0, 1] Position [960, 702, 1015, 728] SourceBlock "xbsIndex_r4/Constant" SourceType "Xilinx Constant Block Block" arith_type "Unsigned" const "8" n_bits "4" bin_pt "0" explicit_period "on" period "1" dsp48_infoedit "The use of this block for DSP48 instruction" "s is deprecated. Please use the Opmode block." equ "P=C" opselect "C" inp2 "PCIN>>17" opr "+" inp1 "P" carry "CIN" dbl_ovrd "off" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "constant" block_version "8.2.02" sg_icon_stat "55,26,0,1,white,blue,0,56172e47" sg_mask_display "fprintf('','COMMENT: begin icon graphics');" "\npatch([0 55 55 0 ],[0 0 26 26 ],[0.77 0.82 0.91]);\npatch([20 16 22 16 20 2" "7 29 31 38 32 26 22 28 22 26 32 38 31 29 27 20 ],[3 7 13 19 23 23 21 23 23 17" " 23 19 13 7 3 9 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 0 55 55 0 ],[0 26 26 " "0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begi" "n icon text');\ncolor('black');port_label('output',1,'8');\nfprintf('','COMME" "NT: end icon text');\n" } Block { BlockType Reference Name "Constant5" Ports [0, 1] Position [1525, 327, 1580, 353] SourceBlock "xbsIndex_r4/Constant" SourceType "Xilinx Constant Block Block" arith_type "Unsigned" const "hex2dec('115')" n_bits "9" bin_pt "0" explicit_period "on" period "1" dsp48_infoedit "The use of this block for DSP48 instruction" "s is deprecated. Please use the Opmode block." equ "P=C" opselect "C" inp2 "PCIN>>17" opr "+" inp1 "P" carry "CIN" dbl_ovrd "off" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "constant" block_version "8.2.02" sg_icon_stat "55,26,0,1,white,blue,0,fc4c2594" sg_mask_display "fprintf('','COMMENT: begin icon graphics');" "\npatch([0 55 55 0 ],[0 0 26 26 ],[0.77 0.82 0.91]);\npatch([20 16 22 16 20 2" "7 29 31 38 32 26 22 28 22 26 32 38 31 29 27 20 ],[3 7 13 19 23 23 21 23 23 17" " 23 19 13 7 3 9 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 0 55 55 0 ],[0 26 26 " "0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begi" "n icon text');\ncolor('black');port_label('output',1,'277');\nfprintf('','COM" "MENT: end icon text');\n" } Block { BlockType Reference Name "Constant6" Ports [0, 1] Position [1525, 477, 1580, 503] SourceBlock "xbsIndex_r4/Constant" SourceType "Xilinx Constant Block Block" arith_type "Unsigned" const "hex2dec('175')" n_bits "9" bin_pt "0" explicit_period "on" period "1" dsp48_infoedit "The use of this block for DSP48 instruction" "s is deprecated. Please use the Opmode block." equ "P=C" opselect "C" inp2 "PCIN>>17" opr "+" inp1 "P" carry "CIN" dbl_ovrd "off" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "constant" block_version "8.2.02" sg_icon_stat "55,26,0,1,white,blue,0,e482f4d5" sg_mask_display "fprintf('','COMMENT: begin icon graphics');" "\npatch([0 55 55 0 ],[0 0 26 26 ],[0.77 0.82 0.91]);\npatch([20 16 22 16 20 2" "7 29 31 38 32 26 22 28 22 26 32 38 31 29 27 20 ],[3 7 13 19 23 23 21 23 23 17" " 23 19 13 7 3 9 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 0 55 55 0 ],[0 26 26 " "0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begi" "n icon text');\ncolor('black');port_label('output',1,'373');\nfprintf('','COM" "MENT: end icon text');\n" } Block { BlockType Reference Name "Convert" Ports [1, 1] Position [400, 310, 445, 340] SourceBlock "xbsIndex_r4/Convert" SourceType "Xilinx Type Converter Block" infoedit "Hardware notes: rounding and saturating req" "uire hardware resources; truncating and wrapping do not." arith_type "Unsigned" n_bits "1" bin_pt "0" quantization "Truncate" overflow "Wrap" latency "0" dbl_ovrd "off" pipeline "off" xl_use_area "off" xl_area "[0,0,0,0,0,0,0]" has_advanced_control "0" sggui_pos "20,20,374,375" block_type "convert" block_version "8.2.02" sg_icon_stat "45,30,1,1,white,blue,0,0eb6e735" sg_mask_display "fprintf('','COMMENT: begin icon graphics');" "\npatch([0 45 45 0 ],[0 0 30 30 ],[0.77 0.82 0.91]);\npatch([15 10 17 10 15 2" "3 25 27 35 28 22 17 23 17 22 28 35 27 25 23 15 ],[3 8 15 22 27 27 25 27 27 20" " 26 21 15 9 4 10 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 0 45 45 0 ],[0 30 30" " 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: beg" "in icon text');\ncolor('black');port_label('output',1,'cast');\nfprintf('','C" "OMMENT: end icon text');\n" } Block { BlockType Reference Name "Convert1" Ports [1, 1] Position [1200, 750, 1235, 780] SourceBlock "xbsIndex_r4/Convert" SourceType "Xilinx Type Converter Block" infoedit "Hardware notes: rounding and saturating req" "uire hardware resources; truncating and wrapping do not." arith_type "Unsigned" n_bits "1" bin_pt "0" quantization "Truncate" overflow "Wrap" latency "0" dbl_ovrd "off" pipeline "off" xl_use_area "off" xl_area "[0,0,0,0,0,0,0]" has_advanced_control "0" sggui_pos "20,20,374,375" block_type "convert" block_version "8.2.02" sg_icon_stat "35,30,1,1,white,blue,0,0eb6e735" sg_mask_display "fprintf('','COMMENT: begin icon graphics');" "\npatch([0 35 35 0 ],[0 0 30 30 ],[0.77 0.82 0.91]);\npatch([10 5 12 5 10 18 " "20 22 30 23 17 12 18 12 17 23 30 22 20 18 10 ],[3 8 15 22 27 27 25 27 27 20 2" "6 21 15 9 4 10 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 0 35 35 0 ],[0 30 30 0" " 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin" " icon text');\ncolor('black');port_label('output',1,'cast');\nfprintf('','COM" "MENT: end icon text');\n" } Block { BlockType Reference Name "Convert2" Ports [1, 1] Position [1500, 880, 1545, 910] SourceBlock "xbsIndex_r4/Convert" SourceType "Xilinx Type Converter Block" infoedit "Hardware notes: rounding and saturating req" "uire hardware resources; truncating and wrapping do not." arith_type "Boolean" n_bits "1" bin_pt "0" quantization "Truncate" overflow "Wrap" latency "5" dbl_ovrd "off" pipeline "off" xl_use_area "off" xl_area "[0,0,0,0,0,0,0]" has_advanced_control "0" sggui_pos "20,20,374,375" block_type "convert" block_version "8.2.02" sg_icon_stat "45,30,1,1,white,blue,0,aac82443" sg_mask_display "fprintf('','COMMENT: begin icon graphics');" "\npatch([0 45 45 0 ],[0 0 30 30 ],[0.77 0.82 0.91]);\npatch([15 10 17 10 15 2" "3 25 27 35 28 22 17 23 17 22 28 35 27 25 23 15 ],[3 8 15 22 27 27 25 27 27 20" " 26 21 15 9 4 10 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 0 45 45 0 ],[0 30 30" " 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: beg" "in icon text');\ncolor('black');port_label('output',1,'cast');\ncolor('black'" ");disp('\\newlinez^{-5}','texmode','on');\nfprintf('','COMMENT: end icon text" "');\n" } Block { BlockType Reference Name "Counter" Ports [2, 1] Position [435, 220, 495, 280] SourceBlock "xbsIndex_r4/Counter" SourceType "Xilinx Counter Block" infoedit "Hardware notes: Free running counters are t" "he least expensive in hardware. A count limited counter is implemented by co" "mbining a counter with a comparator." cnt_type "Free Running" cnt_to "Inf" operation "Up" start_count "127" cnt_by_val "1" arith_type "Unsigned" n_bits "7" bin_pt "0" load_pin "off" rst "on" en "on" explicit_period "on" period "1" dbl_ovrd "off" use_behavioral_HDL "off" use_rpm "off" xl_use_area "off" xl_area "[0,0,0,0,0,0,0]" has_advanced_control "0" sggui_pos "20,20,356,630" block_type "counter" block_version "8.2.02" sg_icon_stat "60,60,2,1,white,blue,0,eceade92" sg_mask_display "fprintf('','COMMENT: begin icon graphics');" "\npatch([0 60 60 0 ],[0 0 60 60 ],[0.77 0.82 0.91]);\npatch([14 4 18 4 14 30 " "34 38 55 42 29 20 35 20 29 42 55 38 34 30 14 ],[6 16 30 44 54 54 50 54 54 41 " "54 45 30 15 6 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 0 60 60 0 ],[0 60 6" "0 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: be" "gin icon text');\ncolor('black');port_label('input',1,'rst');\ncolor('black')" ";port_label('input',2,'en');\ncolor('black');port_label('output',1,'out');\nf" "printf('','COMMENT: end icon text');\n" } Block { BlockType Reference Name "Counter1" Ports [2, 1] Position [1135, 865, 1195, 925] SourceBlock "xbsIndex_r4/Counter" SourceType "Xilinx Counter Block" infoedit "Hardware notes: Free running counters are t" "he least expensive in hardware. A count limited counter is implemented by co" "mbining a counter with a comparator." cnt_type "Count Limited" cnt_to "17435" operation "Up" start_count "0" cnt_by_val "1" arith_type "Unsigned" n_bits "15" bin_pt "0" load_pin "off" rst "on" en "on" explicit_period "on" period "1" dbl_ovrd "off" use_behavioral_HDL "off" use_rpm "off" xl_use_area "off" xl_area "[0,0,0,0,0,0,0]" has_advanced_control "0" sggui_pos "20,20,356,630" block_type "counter" block_version "8.2.02" sg_icon_stat "60,60,2,1,white,blue,0,eceade92" sg_mask_display "fprintf('','COMMENT: begin icon graphics');" "\npatch([0 60 60 0 ],[0 0 60 60 ],[0.77 0.82 0.91]);\npatch([14 4 18 4 14 30 " "34 38 55 42 29 20 35 20 29 42 55 38 34 30 14 ],[6 16 30 44 54 54 50 54 54 41 " "54 45 30 15 6 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 0 60 60 0 ],[0 60 6" "0 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: be" "gin icon text');\ncolor('black');port_label('input',1,'rst');\ncolor('black')" ";port_label('input',2,'en');\ncolor('black');port_label('output',1,'out');\nf" "printf('','COMMENT: end icon text');\n" } Block { BlockType Reference Name "Delay" Ports [1, 1] Position [245, 277, 305, 333] SourceBlock "xbsIndex_r4/Delay" SourceType "Xilinx Delay Block" infoedit "Hardware notes: A delay line is a chain, ea" "ch link of which is an SRL16 followed by a flip-flop. If register retiming is" " enabled, the delay line is a chain of flip-flops." en "off" latency "4" dbl_ovrd "off" reg_retiming "off" xl_use_area "off" xl_area "[0,0,0,0,0,0,0]" has_advanced_control "0" sggui_pos "20,20,356,256" block_type "delay" block_version "8.2.02" sg_icon_stat "60,56,1,1,white,blue,0,c2217bc4" sg_mask_display "fprintf('','COMMENT: begin icon graphics');" "\npatch([0 60 60 0 ],[0 0 56 56 ],[0.77 0.82 0.91]);\npatch([15 6 19 6 15 30 " "34 38 54 41 29 20 32 20 29 41 54 38 34 30 15 ],[6 15 28 41 50 50 46 50 50 37 " "49 40 28 16 7 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 0 60 60 0 ],[0 56 5" "6 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: be" "gin icon text');\ncolor('black');disp('z^{-4}','texmode','on');\nfprintf('','" "COMMENT: end icon text');\n" } Block { BlockType Reference Name "From Register1" Ports [0, 1] Position [1440, 365, 1485, 415] ShowName off AttributesFormatString "From Register\\n<< % >>" SourceBlock "xbsIndex_r4/From Register" SourceType "Xilinx Shared Memory Based From Register Bl" "ock" infoedit "Register block that reads data to a shared " "memory register. Delay of one sample period." shared_memory_name "'LCD_FirstStart'" init "0" period "1" ownership "Locally owned and initialized" arith_type "Unsigned" n_bits "9" bin_pt "0" dbl_ovrd "off" xl_use_area "off" xl_area "[0,0,0,0,0,0,0]" has_advanced_control "0" sggui_pos "20,20,379,246" block_type "fromreg" block_version "8.2.02" sg_icon_stat "45,50,0,1,white,blue,0,137b37a7" sg_mask_display "fprintf('','COMMENT: begin icon graphics');" "\npatch([0 45 45 0 ],[0 0 50 50 ],[0.77 0.82 0.91]);\npatch([10 3 14 3 10 22 " "25 28 41 31 21 14 25 14 21 31 41 28 25 22 10 ],[7 14 25 36 43 43 40 43 43 33 " "43 36 25 14 7 17 7 7 10 7 7 ],[0.98 0.96 0.92]);\nplot([0 0 45 45 0 ],[0 50 5" "0 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: be" "gin icon text');\ncolor('black');port_label('output',1,'dout');\nfprintf('','" "COMMENT: end icon text');\n" } Block { BlockType Reference Name "From Register2" Ports [0, 1] Position [1525, 400, 1570, 450] ShowName off AttributesFormatString "From Register\\n<< % >>" SourceBlock "xbsIndex_r4/From Register" SourceType "Xilinx Shared Memory Based From Register Bl" "ock" infoedit "Register block that reads data to a shared " "memory register. Delay of one sample period." shared_memory_name "'LCD_FirstEnd'" init "131" period "1" ownership "Locally owned and initialized" arith_type "Unsigned" n_bits "9" bin_pt "0" dbl_ovrd "off" xl_use_area "off" xl_area "[0,0,0,0,0,0,0]" has_advanced_control "0" sggui_pos "20,20,379,246" block_type "fromreg" block_version "8.2.02" sg_icon_stat "45,50,0,1,white,blue,0,137b37a7" sg_mask_display "fprintf('','COMMENT: begin icon graphics');" "\npatch([0 45 45 0 ],[0 0 50 50 ],[0.77 0.82 0.91]);\npatch([10 3 14 3 10 22 " "25 28 41 31 21 14 25 14 21 31 41 28 25 22 10 ],[7 14 25 36 43 43 40 43 43 33 " "43 36 25 14 7 17 7 7 10 7 7 ],[0.98 0.96 0.92]);\nplot([0 0 45 45 0 ],[0 50 5" "0 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: be" "gin icon text');\ncolor('black');port_label('output',1,'dout');\nfprintf('','" "COMMENT: end icon text');\n" } Block { BlockType Reference Name "From Register3" Ports [0, 1] Position [1535, 665, 1580, 715] ShowName off AttributesFormatString "From Register\\n<< % >>" SourceBlock "xbsIndex_r4/From Register" SourceType "Xilinx Shared Memory Based From Register Bl" "ock" infoedit "Register block that reads data to a shared " "memory register. Delay of one sample period." shared_memory_name "'LCD_BackgroundColor'" init "0" period "1" ownership "Locally owned and initialized" arith_type "Unsigned" n_bits "9" bin_pt "0" dbl_ovrd "off" xl_use_area "off" xl_area "[0,0,0,0,0,0,0]" has_advanced_control "0" sggui_pos "20,20,379,246" block_type "fromreg" block_version "8.2.02" sg_icon_stat "45,50,0,1,white,blue,0,137b37a7" sg_mask_display "fprintf('','COMMENT: begin icon graphics');" "\npatch([0 45 45 0 ],[0 0 50 50 ],[0.77 0.82 0.91]);\npatch([10 3 14 3 10 22 " "25 28 41 31 21 14 25 14 21 31 41 28 25 22 10 ],[7 14 25 36 43 43 40 43 43 33 " "43 36 25 14 7 17 7 7 10 7 7 ],[0.98 0.96 0.92]);\nplot([0 0 45 45 0 ],[0 50 5" "0 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: be" "gin icon text');\ncolor('black');port_label('output',1,'dout');\nfprintf('','" "COMMENT: end icon text');\n" } Block { BlockType Reference Name "From Register4" Ports [0, 1] Position [1440, 515, 1485, 565] ShowName off AttributesFormatString "From Register\\n<< % >>" SourceBlock "xbsIndex_r4/From Register" SourceType "Xilinx Shared Memory Based From Register Bl" "ock" infoedit "Register block that reads data to a shared " "memory register. Delay of one sample period." shared_memory_name "'LCD_SecondStart'" init "0" period "1" ownership "Locally owned and initialized" arith_type "Unsigned" n_bits "9" bin_pt "0" dbl_ovrd "off" xl_use_area "off" xl_area "[0,0,0,0,0,0,0]" has_advanced_control "0" sggui_pos "20,20,379,246" block_type "fromreg" block_version "8.2.02" sg_icon_stat "45,50,0,1,white,blue,0,137b37a7" sg_mask_display "fprintf('','COMMENT: begin icon graphics');" "\npatch([0 45 45 0 ],[0 0 50 50 ],[0.77 0.82 0.91]);\npatch([10 3 14 3 10 22 " "25 28 41 31 21 14 25 14 21 31 41 28 25 22 10 ],[7 14 25 36 43 43 40 43 43 33 " "43 36 25 14 7 17 7 7 10 7 7 ],[0.98 0.96 0.92]);\nplot([0 0 45 45 0 ],[0 50 5" "0 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: be" "gin icon text');\ncolor('black');port_label('output',1,'dout');\nfprintf('','" "COMMENT: end icon text');\n" } Block { BlockType Reference Name "From Register5" Ports [0, 1] Position [1525, 545, 1570, 595] ShowName off AttributesFormatString "From Register\\n<< % >>" SourceBlock "xbsIndex_r4/From Register" SourceType "Xilinx Shared Memory Based From Register Bl" "ock" infoedit "Register block that reads data to a shared " "memory register. Delay of one sample period." shared_memory_name "'LCD_SecondEnd'" init "131" period "1" ownership "Locally owned and initialized" arith_type "Unsigned" n_bits "9" bin_pt "0" dbl_ovrd "off" xl_use_area "off" xl_area "[0,0,0,0,0,0,0]" has_advanced_control "0" sggui_pos "20,20,379,246" block_type "fromreg" block_version "8.2.02" sg_icon_stat "45,50,0,1,white,blue,0,137b37a7" sg_mask_display "fprintf('','COMMENT: begin icon graphics');" "\npatch([0 45 45 0 ],[0 0 50 50 ],[0.77 0.82 0.91]);\npatch([10 3 14 3 10 22 " "25 28 41 31 21 14 25 14 21 31 41 28 25 22 10 ],[7 14 25 36 43 43 40 43 43 33 " "43 36 25 14 7 17 7 7 10 7 7 ],[0.98 0.96 0.92]);\nplot([0 0 45 45 0 ],[0 50 5" "0 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: be" "gin icon text');\ncolor('black');port_label('output',1,'dout');\nfprintf('','" "COMMENT: end icon text');\n" } Block { BlockType Reference Name "Inverter" Ports [1, 1] Position [700, 221, 755, 279] SourceBlock "xbsIndex_r4/Inverter" SourceType "Xilinx Inverter Block" infoedit "Bitwise logical negation (one's complement)" " operator." en "off" latency "0" dbl_ovrd "off" xl_use_area "off" xl_area "[0,0,0,0,0,0,0]" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "inv" block_version "8.2.02" sg_icon_stat "55,58,1,1,white,blue,0,1ab4a85f" sg_mask_display "fprintf('','COMMENT: begin icon graphics');" "\npatch([0 55 55 0 ],[0 0 58 58 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13 28 " "32 36 52 40 28 19 32 19 28 40 52 36 32 28 13 ],[7 16 29 42 51 51 47 51 51 39 " "51 42 29 16 7 19 7 7 11 7 7 ],[0.98 0.96 0.92]);\nplot([0 0 55 55 0 ],[0 58 5" "8 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: be" "gin icon text');\ncolor('black');disp('not');\nfprintf('','COMMENT: end icon " "text');\n" } Block { BlockType Reference Name "Inverter1" Ports [1, 1] Position [1800, 406, 1855, 464] SourceBlock "xbsIndex_r4/Inverter" SourceType "Xilinx Inverter Block" infoedit "Bitwise logical negation (one's complement)" " operator." en "off" latency "0" dbl_ovrd "off" xl_use_area "off" xl_area "[0,0,0,0,0,0,0]" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "inv" block_version "8.2.02" sg_icon_stat "55,58,1,1,white,blue,0,1ab4a85f" sg_mask_display "fprintf('','COMMENT: begin icon graphics');" "\npatch([0 55 55 0 ],[0 0 58 58 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13 28 " "32 36 52 40 28 19 32 19 28 40 52 36 32 28 13 ],[7 16 29 42 51 51 47 51 51 39 " "51 42 29 16 7 19 7 7 11 7 7 ],[0.98 0.96 0.92]);\nplot([0 0 55 55 0 ],[0 58 5" "8 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: be" "gin icon text');\ncolor('black');disp('not');\nfprintf('','COMMENT: end icon " "text');\n" } Block { BlockType Reference Name "Inverter2" Ports [1, 1] Position [735, 401, 790, 459] SourceBlock "xbsIndex_r4/Inverter" SourceType "Xilinx Inverter Block" infoedit "Bitwise logical negation (one's complement)" " operator." en "off" latency "0" dbl_ovrd "off" xl_use_area "off" xl_area "[0,0,0,0,0,0,0]" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "inv" block_version "8.2.02" sg_icon_stat "55,58,1,1,white,blue,0,1ab4a85f" sg_mask_display "fprintf('','COMMENT: begin icon graphics');" "\npatch([0 55 55 0 ],[0 0 58 58 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13 28 " "32 36 52 40 28 19 32 19 28 40 52 36 32 28 13 ],[7 16 29 42 51 51 47 51 51 39 " "51 42 29 16 7 19 7 7 11 7 7 ],[0.98 0.96 0.92]);\nplot([0 0 55 55 0 ],[0 58 5" "8 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: be" "gin icon text');\ncolor('black');disp('not');\nfprintf('','COMMENT: end icon " "text');\n" } Block { BlockType Reference Name "Logical" Ports [2, 1] Position [130, 235, 185, 295] SourceBlock "xbsIndex_r4/Logical" SourceType "Xilinx Logical Block Block" logical_function "OR" inputs "2" en "off" latency "0" precision "Full" arith_type "Unsigned" n_bits "16" bin_pt "0" align_bp "on" dbl_ovrd "off" xl_use_area "off" xl_area "[0,0,0,0,0,0,0]" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "logical" block_version "8.2.02" sg_icon_stat "55,60,2,1,white,blue,0,f4a65842" sg_mask_display "fprintf('','COMMENT: begin icon graphics');" "\npatch([0 55 55 0 ],[0 0 60 60 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13 28 " "32 36 52 40 28 19 32 19 28 40 52 36 32 28 13 ],[8 17 30 43 52 52 48 52 52 40 " "52 43 30 17 8 20 8 8 12 8 8 ],[0.98 0.96 0.92]);\nplot([0 0 55 55 0 ],[0 60 6" "0 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: be" "gin icon text');\ncolor('black');disp('\\newlineor\\newlinez^{-0}','texmode'," "'on');\nfprintf('','COMMENT: end icon text');\n" } Block { BlockType Reference Name "Logical1" Ports [2, 1] Position [370, 360, 425, 420] SourceBlock "xbsIndex_r4/Logical" SourceType "Xilinx Logical Block Block" logical_function "AND" inputs "2" en "off" latency "0" precision "Full" arith_type "Unsigned" n_bits "16" bin_pt "0" align_bp "on" dbl_ovrd "off" xl_use_area "off" xl_area "[0,0,0,0,0,0,0]" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "logical" block_version "8.2.02" sg_icon_stat "55,60,2,1,white,blue,0,087b5522" sg_mask_display "fprintf('','COMMENT: begin icon graphics');" "\npatch([0 55 55 0 ],[0 0 60 60 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13 28 " "32 36 52 40 28 19 32 19 28 40 52 36 32 28 13 ],[8 17 30 43 52 52 48 52 52 40 " "52 43 30 17 8 20 8 8 12 8 8 ],[0.98 0.96 0.92]);\nplot([0 0 55 55 0 ],[0 60 6" "0 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: be" "gin icon text');\ncolor('black');disp('\\newlineand\\newlinez^{-0}','texmode'" ",'on');\nfprintf('','COMMENT: end icon text');\n" } Block { BlockType Reference Name "Logical2" Ports [2, 1] Position [1005, 750, 1060, 810] SourceBlock "xbsIndex_r4/Logical" SourceType "Xilinx Logical Block Block" logical_function "AND" inputs "2" en "off" latency "0" precision "Full" arith_type "Unsigned" n_bits "16" bin_pt "0" align_bp "on" dbl_ovrd "off" xl_use_area "off" xl_area "[0,0,0,0,0,0,0]" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "logical" block_version "8.2.02" sg_icon_stat "55,60,2,1,white,blue,0,087b5522" sg_mask_display "fprintf('','COMMENT: begin icon graphics');" "\npatch([0 55 55 0 ],[0 0 60 60 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13 28 " "32 36 52 40 28 19 32 19 28 40 52 36 32 28 13 ],[8 17 30 43 52 52 48 52 52 40 " "52 43 30 17 8 20 8 8 12 8 8 ],[0.98 0.96 0.92]);\nplot([0 0 55 55 0 ],[0 60 6" "0 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: be" "gin icon text');\ncolor('black');disp('\\newlineand\\newlinez^{-0}','texmode'" ",'on');\nfprintf('','COMMENT: end icon text');\n" } Block { BlockType Reference Name "Logical3" Ports [2, 1] Position [1120, 735, 1175, 795] SourceBlock "xbsIndex_r4/Logical" SourceType "Xilinx Logical Block Block" logical_function "AND" inputs "2" en "off" latency "0" precision "Full" arith_type "Unsigned" n_bits "16" bin_pt "0" align_bp "on" dbl_ovrd "off" xl_use_area "off" xl_area "[0,0,0,0,0,0,0]" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "logical" block_version "8.2.02" sg_icon_stat "55,60,2,1,white,blue,0,087b5522" sg_mask_display "fprintf('','COMMENT: begin icon graphics');" "\npatch([0 55 55 0 ],[0 0 60 60 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13 28 " "32 36 52 40 28 19 32 19 28 40 52 36 32 28 13 ],[8 17 30 43 52 52 48 52 52 40 " "52 43 30 17 8 20 8 8 12 8 8 ],[0.98 0.96 0.92]);\nplot([0 0 55 55 0 ],[0 60 6" "0 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: be" "gin icon text');\ncolor('black');disp('\\newlineand\\newlinez^{-0}','texmode'" ",'on');\nfprintf('','COMMENT: end icon text');\n" } Block { BlockType Reference Name "Mux" Ports [3, 1] Position [935, 173, 980, 277] SourceBlock "xbsIndex_r4/Mux" SourceType "Xilinx Bus Multiplexer Block" inputs "2" en "off" latency "0" precision "Full" arith_type "Unsigned" n_bits "16" bin_pt "14" quantization "Truncate" overflow "Wrap" dbl_ovrd "off" xl_use_area "off" xl_area "[0,0,0,0,0,0,0]" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "mux" block_version "8.2.02" sg_icon_stat "45,104,3,1,white,blue,3,613f58e1" sg_mask_display "fprintf('','COMMENT: begin icon graphics');" "\npatch([0 45 45 0 ],[0 14.8571 89.1429 104 ],[0.77 0.82 0.91]);\npatch([10 3" " 14 3 10 22 25 28 41 31 21 14 25 14 21 31 41 28 25 22 10 ],[34 41 52 63 70 70" " 67 70 70 60 70 63 52 41 34 44 34 34 37 34 34 ],[0.98 0.96 0.92]);\nplot([0 4" "5 45 0 0 ],[0 14.8571 89.1429 104 0 ]);\nfprintf('','COMMENT: end icon graphi" "cs');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('in" "put',1,'sel');\ncolor('black');port_label('input',2,'d0');\ncolor('black');po" "rt_label('input',3,'d1');\ncolor('black');disp('\\bf{}','texmode','on');\nfpr" "intf('','COMMENT: end icon text');\n" } Block { BlockType Reference Name "Mux1" Ports [3, 1] Position [750, 493, 795, 597] SourceBlock "xbsIndex_r4/Mux" SourceType "Xilinx Bus Multiplexer Block" inputs "2" en "off" latency "0" precision "Full" arith_type "Unsigned" n_bits "16" bin_pt "14" quantization "Truncate" overflow "Wrap" dbl_ovrd "off" xl_use_area "off" xl_area "[0,0,0,0,0,0,0]" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "mux" block_version "8.2.02" sg_icon_stat "45,104,3,1,white,blue,3,613f58e1" sg_mask_display "fprintf('','COMMENT: begin icon graphics');" "\npatch([0 45 45 0 ],[0 14.8571 89.1429 104 ],[0.77 0.82 0.91]);\npatch([10 3" " 14 3 10 22 25 28 41 31 21 14 25 14 21 31 41 28 25 22 10 ],[34 41 52 63 70 70" " 67 70 70 60 70 63 52 41 34 44 34 34 37 34 34 ],[0.98 0.96 0.92]);\nplot([0 4" "5 45 0 0 ],[0 14.8571 89.1429 104 0 ]);\nfprintf('','COMMENT: end icon graphi" "cs');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('in" "put',1,'sel');\ncolor('black');port_label('input',2,'d0');\ncolor('black');po" "rt_label('input',3,'d1');\ncolor('black');disp('\\bf{}','texmode','on');\nfpr" "intf('','COMMENT: end icon text');\n" } Block { BlockType Reference Name "Mux2" Ports [3, 1] Position [1070, 538, 1115, 642] SourceBlock "xbsIndex_r4/Mux" SourceType "Xilinx Bus Multiplexer Block" inputs "2" en "off" latency "0" precision "Full" arith_type "Unsigned" n_bits "16" bin_pt "14" quantization "Truncate" overflow "Wrap" dbl_ovrd "off" xl_use_area "off" xl_area "[0,0,0,0,0,0,0]" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "mux" block_version "8.2.02" sg_icon_stat "45,104,3,1,white,blue,3,613f58e1" sg_mask_display "fprintf('','COMMENT: begin icon graphics');" "\npatch([0 45 45 0 ],[0 14.8571 89.1429 104 ],[0.77 0.82 0.91]);\npatch([10 3" " 14 3 10 22 25 28 41 31 21 14 25 14 21 31 41 28 25 22 10 ],[34 41 52 63 70 70" " 67 70 70 60 70 63 52 41 34 44 34 34 37 34 34 ],[0.98 0.96 0.92]);\nplot([0 4" "5 45 0 0 ],[0 14.8571 89.1429 104 0 ]);\nfprintf('','COMMENT: end icon graphi" "cs');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('in" "put',1,'sel');\ncolor('black');port_label('input',2,'d0');\ncolor('black');po" "rt_label('input',3,'d1');\ncolor('black');disp('\\bf{}','texmode','on');\nfpr" "intf('','COMMENT: end icon text');\n" } Block { BlockType Reference Name "Mux3" Ports [10, 1] Position [1610, 218, 1655, 712] SourceBlock "xbsIndex_r4/Mux" SourceType "Xilinx Bus Multiplexer Block" inputs "9" en "off" latency "0" precision "Full" arith_type "Unsigned" n_bits "16" bin_pt "14" quantization "Truncate" overflow "Wrap" dbl_ovrd "off" xl_use_area "off" xl_area "[0,0,0,0,0,0,0]" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "mux" block_version "8.2.02" sg_icon_stat "45,494,10,1,white,blue,3,62ea264b" sg_mask_display "fprintf('','COMMENT: begin icon graphics');" "\npatch([0 45 45 0 ],[0 70.5714 423.429 494 ],[0.77 0.82 0.91]);\npatch([10 3" " 14 3 10 22 25 28 41 31 21 14 25 14 21 31 41 28 25 22 10 ],[229 236 247 258 2" "65 265 262 265 265 255 265 258 247 236 229 239 229 229 232 229 229 ],[0.98 0." "96 0.92]);\nplot([0 45 45 0 0 ],[0 70.5714 423.429 494 0 ]);\nfprintf('','COM" "MENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('b" "lack');port_label('input',1,'sel');\ncolor('black');port_label('input',2,'d0'" ");\ncolor('black');port_label('input',3,'d1');\ncolor('black');port_label('in" "put',4,'d2');\ncolor('black');port_label('input',5,'d3');\ncolor('black');por" "t_label('input',6,'d4');\ncolor('black');port_label('input',7,'d5');\ncolor('" "black');port_label('input',8,'d6');\ncolor('black');port_label('input',9,'d7'" ");\ncolor('black');port_label('input',10,'d8');\ncolor('black');disp('\\bf{}'" ",'texmode','on');\nfprintf('','COMMENT: end icon text');\n" } Block { BlockType SubSystem Name "Neg Edge Detector" Ports [1, 1] Position [1340, 864, 1465, 926] TreatAsAtomicUnit off MinAlgLoopOccurrences off RTWSystemCode "Auto" MaskHideContents off System { Name "Neg Edge Detector" Location [971, 353, 1386, 494] Open off ModelBrowserVisibility off ModelBrowserWidth 200 ScreenColor "white" PaperOrientation "landscape" PaperPositionMode "auto" PaperType "usletter" PaperUnits "inches" ZoomFactor "100" Block { BlockType Inport Name "Input Signal" Position [25, 33, 55, 47] IconDisplay "Port number" } Block { BlockType Reference Name "Delay" Ports [1, 1] Position [170, 57, 230, 113] SourceBlock "xbsIndex_r4/Delay" SourceType "Xilinx Delay Block" infoedit "Hardware notes: A delay line is a chain" ", each link of which is an SRL16 followed by a flip-flop. If register retimin" "g is enabled, the delay line is a chain of flip-flops." en "off" latency "1" dbl_ovrd "off" reg_retiming "off" xl_use_area "off" xl_area "[0,0,0,0,0,0,0]" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "delay" block_version "8.2.02" sg_icon_stat "60,56,1,1,white,blue,0,fc531c0e" sg_mask_display "fprintf('','COMMENT: begin icon graphic" "s');\npatch([0 60 60 0 ],[0 0 56 56 ],[0.77 0.82 0.91]);\npatch([15 6 19 6 15" " 30 34 38 54 41 29 20 32 20 29 41 54 38 34 30 15 ],[6 15 28 41 50 50 46 50 50" " 37 49 40 28 16 7 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 0 60 60 0 ],[0 " "56 56 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT" ": begin icon text');\ncolor('black');disp('z^{-1}','texmode','on');\nfprintf(" "'','COMMENT: end icon text');\n" } Block { BlockType Reference Name "Inverter" Ports [1, 1] Position [100, 56, 155, 114] SourceBlock "xbsIndex_r4/Inverter" SourceType "Xilinx Inverter Block" infoedit "Bitwise logical negation (one's complem" "ent) operator." en "off" latency "0" dbl_ovrd "off" xl_use_area "off" xl_area "[0,0,0,0,0,0,0]" has_advanced_control "0" sggui_pos "20,20,356,237" block_type "inv" block_version "8.2.02" sg_icon_stat "55,58,1,1,white,blue,0,1ab4a85f" sg_mask_display "fprintf('','COMMENT: begin icon graphic" "s');\npatch([0 55 55 0 ],[0 0 58 58 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13" " 28 32 36 52 40 28 19 32 19 28 40 52 36 32 28 13 ],[7 16 29 42 51 51 47 51 51" " 39 51 42 29 16 7 19 7 7 11 7 7 ],[0.98 0.96 0.92]);\nplot([0 0 55 55 0 ],[0 " "58 58 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT" ": begin icon text');\ncolor('black');disp('not');\nfprintf('','COMMENT: end i" "con text');\n" } Block { BlockType Reference Name "Logical" Ports [2, 1] Position [280, 25, 335, 85] SourceBlock "xbsIndex_r4/Logical" SourceType "Xilinx Logical Block Block" logical_function "NOR" inputs "2" en "off" latency "0" precision "Full" arith_type "Unsigned" n_bits "16" bin_pt "0" align_bp "on" dbl_ovrd "off" xl_use_area "off" xl_area "[0,0,0,0,0,0,0]" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "logical" block_version "8.2.02" sg_icon_stat "55,60,2,1,white,blue,0,0f2a8a4d" sg_mask_display "fprintf('','COMMENT: begin icon graphic" "s');\npatch([0 55 55 0 ],[0 0 60 60 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13" " 28 32 36 52 40 28 19 32 19 28 40 52 36 32 28 13 ],[8 17 30 43 52 52 48 52 52" " 40 52 43 30 17 8 20 8 8 12 8 8 ],[0.98 0.96 0.92]);\nplot([0 0 55 55 0 ],[0 " "60 60 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT" ": begin icon text');\ncolor('black');disp('\\newlinenor\\newlinez^{-0}','texm" "ode','on');\nfprintf('','COMMENT: end icon text');\n" } Block { BlockType Outport Name "Rising Edge" Position [385, 48, 415, 62] IconDisplay "Port number" BusOutputAsStruct off } Line { SrcBlock "Input Signal" SrcPort 1 Points [0, 0; 15, 0] Branch { DstBlock "Logical" DstPort 1 } Branch { Points [0, 45] DstBlock "Inverter" DstPort 1 } } Line { SrcBlock "Delay" SrcPort 1 Points [15, 0; 0, -15] DstBlock "Logical" DstPort 2 } Line { SrcBlock "Inverter" SrcPort 1 DstBlock "Delay" DstPort 1 } Line { SrcBlock "Logical" SrcPort 1 DstBlock "Rising Edge" DstPort 1 } } } Block { BlockType Reference Name "Register" Ports [3, 1] Position [1585, 887, 1645, 943] SourceBlock "xbsIndex_r4/Register" SourceType "Xilinx Register Block" init "0" rst "on" en "on" dbl_ovrd "off" xl_use_area "off" xl_area "[0,0,0,0,0,0,0]" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "register" block_version "8.2.02" sg_icon_stat "60,56,3,1,white,blue,0,923c1847" sg_mask_display "fprintf('','COMMENT: begin icon graphics');" "\npatch([0 60 60 0 ],[0 0 56 56 ],[0.77 0.82 0.91]);\npatch([15 6 19 6 15 30 " "34 38 54 41 29 20 32 20 29 41 54 38 34 30 15 ],[6 15 28 41 50 50 46 50 50 37 " "49 40 28 16 7 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 0 60 60 0 ],[0 56 5" "6 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: be" "gin icon text');\ncolor('black');port_label('input',1,'d');\ncolor('black');p" "ort_label('input',2,'rst');\ncolor('black');port_label('input',3,'en');\ncolo" "r('black');port_label('output',1,'q');\ncolor('black');disp('\\bf{z^{-1}}','t" "exmode','on');\nfprintf('','COMMENT: end icon text');\n" } Block { BlockType Reference Name "Relational" Ports [2, 1] Position [630, 362, 685, 418] SourceBlock "xbsIndex_r4/Relational" SourceType "Xilinx Arithmetic Relational Operator Block" mode "a<=b" en "off" latency "1" dbl_ovrd "off" xl_use_area "off" xl_area "[0,0,0,0,0,0,0]" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "relational" block_version "8.2.02" sg_icon_stat "55,56,2,1,white,blue,0,8e8b0a36" sg_mask_display "fprintf('','COMMENT: begin icon graphics');" "\npatch([0 55 55 0 ],[0 0 56 56 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13 28 " "32 36 52 40 28 19 32 19 28 40 52 36 32 28 13 ],[6 15 28 41 50 50 46 50 50 38 " "50 41 28 15 6 18 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 0 55 55 0 ],[0 56 5" "6 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: be" "gin icon text');\ncolor('black');port_label('input',1,'a');\ncolor('black');p" "ort_label('input',2,'b');\ncolor('black');disp('\\newline\\bf{a<=b}\\newlinez" "^{-1}','texmode','on');\nfprintf('','COMMENT: end icon text');\n" } Block { BlockType Reference Name "Relational1" Ports [2, 1] Position [1035, 672, 1090, 728] SourceBlock "xbsIndex_r4/Relational" SourceType "Xilinx Arithmetic Relational Operator Block" mode "a

Ha" "rdware notes: In hardware these blocks become top level input ports." arith_type "Unsigned" n_bits "8" bin_pt "0" quantization "Truncate" overflow "Wrap" period "1" dbl_ovrd "off" timing_constraint "None" locs_specified "off" LOCs "{}" xl_use_area "off" xl_area "[0,0,0,0,0,0,0]" has_advanced_control "0" sggui_pos "20,20,356,432" block_type "gatewayin" block_version "8.2.02" sg_icon_stat "65,20,1,1,white,yellow,0,4bb76ffd" sg_mask_display "fprintf('','COMMENT: begin icon graphics');" "\npatch([0 65 65 0 ],[0 0 20 20 ],[0.95 0.93 0.65]);\npatch([27 24 29 24 27 3" "2 33 34 40 36 32 29 34 29 32 36 40 34 33 32 27 ],[2 5 10 15 18 18 17 18 18 14" " 18 15 10 5 2 6 2 2 3 2 2 ],[0.98 0.96 0.92]);\nplot([0 0 65 65 0 ],[0 20 20 " "0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begi" "n icon text');\ncolor('black');port_label('input',1,'\\fontsize{11pt}\\bf In " "','texmode','on');\ncolor('black');port_label('output',1,' ');\nfprintf('','C" "OMMENT: end icon text');\n" } Block { BlockType Reference Name "SMWODataI_gwin" Ports [1, 1] Position [1115, 405, 1180, 425] SourceBlock "xbsIndex_r4/Gateway In" SourceType "Xilinx Gateway In Block" infoedit "Gateway in block. Converts inputs of type " "Simulink integer, double and fixed point to Xilinx fixed point type.

Ha" "rdware notes: In hardware these blocks become top level input ports." arith_type "Unsigned" n_bits "32" bin_pt "0" quantization "Truncate" overflow "Wrap" period "1" dbl_ovrd "off" timing_constraint "None" locs_specified "off" LOCs "{}" xl_use_area "off" xl_area "[0,0,0,0,0,0,0]" has_advanced_control "0" sggui_pos "20,20,356,432" block_type "gatewayin" block_version "8.2.02" sg_icon_stat "65,20,1,1,white,yellow,0,4bb76ffd" sg_mask_display "fprintf('','COMMENT: begin icon graphics');" "\npatch([0 65 65 0 ],[0 0 20 20 ],[0.95 0.93 0.65]);\npatch([27 24 29 24 27 3" "2 33 34 40 36 32 29 34 29 32 36 40 34 33 32 27 ],[2 5 10 15 18 18 17 18 18 14" " 18 15 10 5 2 6 2 2 3 2 2 ],[0.98 0.96 0.92]);\nplot([0 0 65 65 0 ],[0 20 20 " "0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begi" "n icon text');\ncolor('black');port_label('input',1,'\\fontsize{11pt}\\bf In " "','texmode','on');\ncolor('black');port_label('output',1,' ');\nfprintf('','C" "OMMENT: end icon text');\n" } Block { BlockType Reference Name "SMWOWE_gwin" Ports [1, 1] Position [1115, 445, 1180, 465] SourceBlock "xbsIndex_r4/Gateway In" SourceType "Xilinx Gateway In Block" infoedit "Gateway in block. Converts inputs of type " "Simulink integer, double and fixed point to Xilinx fixed point type.

Ha" "rdware notes: In hardware these blocks become top level input ports." arith_type "Boolean" n_bits "16" bin_pt "14" quantization "Round (unbiased: +/- Inf)" overflow "Saturate" period "1" dbl_ovrd "off" timing_constraint "None" locs_specified "off" LOCs "{}" xl_use_area "off" xl_area "[0,0,0,0,0,0,0]" has_advanced_control "0" sggui_pos "20,20,356,432" block_type "gatewayin" block_version "8.2.02" sg_icon_stat "65,20,1,1,white,yellow,0,4bb76ffd" sg_mask_display "fprintf('','COMMENT: begin icon graphics');" "\npatch([0 65 65 0 ],[0 0 20 20 ],[0.95 0.93 0.65]);\npatch([27 24 29 24 27 3" "2 33 34 40 36 32 29 34 29 32 36 40 34 33 32 27 ],[2 5 10 15 18 18 17 18 18 14" " 18 15 10 5 2 6 2 2 3 2 2 ],[0.98 0.96 0.92]);\nplot([0 0 65 65 0 ],[0 20 20 " "0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begi" "n icon text');\ncolor('black');port_label('input',1,'\\fontsize{11pt}\\bf In " "','texmode','on');\ncolor('black');port_label('output',1,' ');\nfprintf('','C" "OMMENT: end icon text');\n" } Block { BlockType Reference Name "SMWO_LCD_Commands" Ports [6, 2] Position [1290, 245, 1365, 410] SourceBlock "xbsIndex_r4/Dual Port RAM" SourceType "Xilinx Dual Port Random Access Memory Block" depth "2^9" initVector "hex2dec('125')*ones(2^9,1)" distributed_mem "Block RAM" init_a "0" init_b "0" rst_a "off" rst_b "off" en_a "off" en_b "off" latency "1" write_mode_A "Read After Write" write_mode_B "Read After Write" dbl_ovrd "off" optimize "Area" use_rpm "on" xl_use_area "off" xl_area "[0,0,0,0,0,0,0]" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "dpram" block_version "8.2.02" sg_icon_stat "75,165,6,2,white,blue,0,239f35c0" sg_mask_display "fprintf('','COMMENT: begin icon graphics');" "\npatch([0 75 75 0 ],[0 0 165 165 ],[0.77 0.82 0.91]);\npatch([17 5 23 5 17 3" "7 42 47 68 51 35 23 40 23 35 51 68 47 42 37 17 ],[53 65 83 101 113 113 108 11" "3 113 96 112 100 83 66 54 70 53 53 58 53 53 ],[0.98 0.96 0.92]);\nplot([0 0 7" "5 75 0 ],[0 165 165 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprin" "tf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1,'addr" "a');\ncolor('black');port_label('input',2,'dina');\ncolor('black');port_label" "('input',3,'wea');\ncolor('black');port_label('input',4,'addrb');\ncolor('bla" "ck');port_label('input',5,'dinb');\ncolor('black');port_label('input',6,'web'" ");\ncolor('black');port_label('output',1,'A');\ncolor('black');port_label('ou" "tput',2,'B');\nfprintf('','COMMENT: end icon text');\n" } Block { BlockType Reference Name "Slice" Ports [1, 1] Position [605, 185, 665, 215] SourceBlock "xbsIndex_r4/Slice" SourceType "Xilinx Bit Slice Extractor Block" infoedit "Extracts a given range of bits from each in" "put sample and presents it at the output. The output type is ordinarily unsi" "gned with binary point at zero, but can be Boolean when the slice is one bit " "wide.

Hardware notes: In hardware this block costs nothing." nbits "6" boolean_output "off" mode "Lower Bit Location + Width" bit1 "0" base1 "MSB of Input" bit0 "1" base0 "LSB of Input" dbl_ovrd "off" has_advanced_control "0" sggui_pos "20,20,442,407" block_type "slice" block_version "8.2.02" sg_icon_stat "60,30,1,1,white,blue,0,fe24a11e" sg_mask_display "fprintf('','COMMENT: begin icon graphics');" "\npatch([0 60 60 0 ],[0 0 30 30 ],[0.77 0.82 0.91]);\npatch([22 17 24 17 22 3" "0 32 34 42 35 29 24 30 24 29 35 42 34 32 30 22 ],[3 8 15 22 27 27 25 27 27 20" " 26 21 15 9 4 10 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 0 60 60 0 ],[0 30 30" " 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: beg" "in icon text');\ncolor('black');port_label('output',1,'[a:b]');\nfprintf('','" "COMMENT: end icon text');\n" } Block { BlockType Reference Name "Slice1" Ports [1, 1] Position [605, 235, 665, 265] SourceBlock "xbsIndex_r4/Slice" SourceType "Xilinx Bit Slice Extractor Block" infoedit "Extracts a given range of bits from each in" "put sample and presents it at the output. The output type is ordinarily unsi" "gned with binary point at zero, but can be Boolean when the slice is one bit " "wide.

Hardware notes: In hardware this block costs nothing." nbits "1" boolean_output "off" mode "Lower Bit Location + Width" bit1 "0" base1 "MSB of Input" bit0 "0" base0 "LSB of Input" dbl_ovrd "off" has_advanced_control "0" sggui_pos "20,20,442,407" block_type "slice" block_version "8.2.02" sg_icon_stat "60,30,1,1,white,blue,0,fe24a11e" sg_mask_display "fprintf('','COMMENT: begin icon graphics');" "\npatch([0 60 60 0 ],[0 0 30 30 ],[0.77 0.82 0.91]);\npatch([22 17 24 17 22 3" "0 32 34 42 35 29 24 30 24 29 35 42 34 32 30 22 ],[3 8 15 22 27 27 25 27 27 20" " 26 21 15 9 4 10 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 0 60 60 0 ],[0 30 30" " 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: beg" "in icon text');\ncolor('black');port_label('output',1,'[a:b]');\nfprintf('','" "COMMENT: end icon text');\n" } Block { BlockType Reference Name "Slice2" Ports [1, 1] Position [1715, 470, 1775, 500] SourceBlock "xbsIndex_r4/Slice" SourceType "Xilinx Bit Slice Extractor Block" infoedit "Extracts a given range of bits from each in" "put sample and presents it at the output. The output type is ordinarily unsi" "gned with binary point at zero, but can be Boolean when the slice is one bit " "wide.

Hardware notes: In hardware this block costs nothing." nbits "8" boolean_output "off" mode "Lower Bit Location + Width" bit1 "0" base1 "MSB of Input" bit0 "0" base0 "LSB of Input" dbl_ovrd "off" has_advanced_control "0" sggui_pos "20,20,442,407" block_type "slice" block_version "8.2.02" sg_icon_stat "60,30,1,1,white,blue,0,fe24a11e" sg_mask_display "fprintf('','COMMENT: begin icon graphics');" "\npatch([0 60 60 0 ],[0 0 30 30 ],[0.77 0.82 0.91]);\npatch([22 17 24 17 22 3" "0 32 34 42 35 29 24 30 24 29 35 42 34 32 30 22 ],[3 8 15 22 27 27 25 27 27 20" " 26 21 15 9 4 10 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 0 60 60 0 ],[0 30 30" " 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: beg" "in icon text');\ncolor('black');port_label('output',1,'[a:b]');\nfprintf('','" "COMMENT: end icon text');\n" } Block { BlockType Reference Name "Slice3" Ports [1, 1] Position [1715, 420, 1775, 450] SourceBlock "xbsIndex_r4/Slice" SourceType "Xilinx Bit Slice Extractor Block" infoedit "Extracts a given range of bits from each in" "put sample and presents it at the output. The output type is ordinarily unsi" "gned with binary point at zero, but can be Boolean when the slice is one bit " "wide.

Hardware notes: In hardware this block costs nothing." nbits "1" boolean_output "off" mode "Lower Bit Location + Width" bit1 "0" base1 "MSB of Input" bit0 "8" base0 "LSB of Input" dbl_ovrd "off" has_advanced_control "0" sggui_pos "20,20,442,407" block_type "slice" block_version "8.2.02" sg_icon_stat "60,30,1,1,white,blue,0,fe24a11e" sg_mask_display "fprintf('','COMMENT: begin icon graphics');" "\npatch([0 60 60 0 ],[0 0 30 30 ],[0.77 0.82 0.91]);\npatch([22 17 24 17 22 3" "0 32 34 42 35 29 24 30 24 29 35 42 34 32 30 22 ],[3 8 15 22 27 27 25 27 27 20" " 26 21 15 9 4 10 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 0 60 60 0 ],[0 30 30" " 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: beg" "in icon text');\ncolor('black');port_label('output',1,'[a:b]');\nfprintf('','" "COMMENT: end icon text');\n" } Block { BlockType Reference Name "Slice4" Ports [1, 1] Position [1235, 880, 1295, 910] SourceBlock "xbsIndex_r4/Slice" SourceType "Xilinx Bit Slice Extractor Block" infoedit "Extracts a given range of bits from each in" "put sample and presents it at the output. The output type is ordinarily unsi" "gned with binary point at zero, but can be Boolean when the slice is one bit " "wide.

Hardware notes: In hardware this block costs nothing." nbits "1" boolean_output "off" mode "Upper Bit Location + Width" bit1 "0" base1 "MSB of Input" bit0 "1" base0 "LSB of Input" dbl_ovrd "off" has_advanced_control "0" sggui_pos "20,20,442,407" block_type "slice" block_version "8.2.02" sg_icon_stat "60,30,1,1,white,blue,0,fe24a11e" sg_mask_display "fprintf('','COMMENT: begin icon graphics');" "\npatch([0 60 60 0 ],[0 0 30 30 ],[0.77 0.82 0.91]);\npatch([22 17 24 17 22 3" "0 32 34 42 35 29 24 30 24 29 35 42 34 32 30 22 ],[3 8 15 22 27 27 25 27 27 20" " 26 21 15 9 4 10 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 0 60 60 0 ],[0 30 30" " 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: beg" "in icon text');\ncolor('black');port_label('output',1,'[a:b]');\nfprintf('','" "COMMENT: end icon text');\n" } Block { BlockType Reference Name "Slice5" Ports [1, 1] Position [1430, 275, 1490, 305] SourceBlock "xbsIndex_r4/Slice" SourceType "Xilinx Bit Slice Extractor Block" infoedit "Extracts a given range of bits from each in" "put sample and presents it at the output. The output type is ordinarily unsi" "gned with binary point at zero, but can be Boolean when the slice is one bit " "wide.

Hardware notes: In hardware this block costs nothing." nbits "9" boolean_output "off" mode "Lower Bit Location + Width" bit1 "0" base1 "MSB of Input" bit0 "0" base0 "LSB of Input" dbl_ovrd "off" has_advanced_control "0" sggui_pos "20,20,442,407" block_type "slice" block_version "8.2.02" sg_icon_stat "60,30,1,1,white,blue,0,fe24a11e" sg_mask_display "fprintf('','COMMENT: begin icon graphics');" "\npatch([0 60 60 0 ],[0 0 30 30 ],[0.77 0.82 0.91]);\npatch([22 17 24 17 22 3" "0 32 34 42 35 29 24 30 24 29 35 42 34 32 30 22 ],[3 8 15 22 27 27 25 27 27 20" " 26 21 15 9 4 10 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 0 60 60 0 ],[0 30 30" " 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: beg" "in icon text');\ncolor('black');port_label('output',1,'[a:b]');\nfprintf('','" "COMMENT: end icon text');\n" } Block { BlockType Outport Name "DataToSend" Position [2005, 453, 2035, 467] IconDisplay "Port number" BusOutputAsStruct off } Block { BlockType Outport Name "StartTransfer" Position [1165, 583, 1195, 597] Port "2" IconDisplay "Port number" BusOutputAsStruct off } Block { BlockType Outport Name "CmdsDone" Position [1695, 908, 1725, 922] Port "3" IconDisplay "Port number" BusOutputAsStruct off } Line { SrcBlock "SMWOAddr_gwin" SrcPort 1 Points [40, 0; 0, -40] DstBlock "SMWO_LCD_Commands" DstPort 4 } Line { SrcBlock "SMWODataI_gwin" SrcPort 1 Points [50, 0; 0, -50] DstBlock "SMWO_LCD_Commands" DstPort 5 } Line { SrcBlock "SMWOWE_gwin" SrcPort 1 Points [65, 0; 0, -65] DstBlock "SMWO_LCD_Commands" DstPort 6 } Line { SrcBlock "Constant1" SrcPort 1 Points [0, 0] DstBlock "SMWO_LCD_Commands" DstPort 3 } Line { SrcBlock "Constant" SrcPort 1 Points [35, 0; 0, 20] DstBlock "SMWO_LCD_Commands" DstPort 2 } Line { SrcBlock "ConfigLoc" SrcPort 1 Points [20, 0; 0, 25] DstBlock "Concat" DstPort 1 } Line { SrcBlock "Mux" SrcPort 1 Points [75, 0; 0, -30] DstBlock "Concat" DstPort 2 } Line { SrcBlock "Counter" SrcPort 1 Points [50, 0] Branch { Points [0, -50] DstBlock "Slice" DstPort 1 } Branch { Points [0, 0] Branch { DstBlock "Slice1" DstPort 1 } Branch { Points [0, 50; 345, 0; 0, -40] DstBlock "Mux" DstPort 3 } } } Line { SrcBlock "Slice1" SrcPort 1 DstBlock "Inverter" DstPort 1 } Line { SrcBlock "Slice" SrcPort 1 Points [65, 0; 0, 10] DstBlock "Concat1" DstPort 1 } Line { SrcBlock "Inverter" SrcPort 1 Points [20, 0; 0, -10] DstBlock "Concat1" DstPort 2 } Line { SrcBlock "Concat1" SrcPort 1 Points [0, 0] DstBlock "Mux" DstPort 2 } Line { SrcBlock "InvertRamAddr" SrcPort 1 Points [25, 0; 0, 40] DstBlock "Mux" DstPort 1 } Line { SrcBlock "Concat" SrcPort 1 Points [85, 0; 0, 85] DstBlock "SMWO_LCD_Commands" DstPort 1 } Line { SrcBlock "Slice3" SrcPort 1 DstBlock "Inverter1" DstPort 1 } Line { SrcBlock "Inverter1" SrcPort 1 Points [5, 0; 0, 10] DstBlock "Concat2" DstPort 1 } Line { SrcBlock "Slice2" SrcPort 1 Points [90, 0; 0, -10] DstBlock "Concat2" DstPort 2 } Line { SrcBlock "SendCmds" SrcPort 1 Points [15, 0] Branch { DstBlock "Logical" DstPort 1 } Branch { Points [0, 95] DstBlock "Accumulator" DstPort 2 } } Line { SrcBlock "TransferDone" SrcPort 1 Points [5, 0] Branch { DstBlock "Logical" DstPort 2 } Branch { Points [0, 515] DstBlock "Logical2" DstPort 2 } } Line { SrcBlock "Logical" SrcPort 1 Points [40, 0] Branch { DstBlock "Delay" DstPort 1 } Branch { DstBlock "Counter" DstPort 2 } } Line { SrcBlock "Reset" SrcPort 1 Points [0, 0] DstBlock "Counter" DstPort 1 } Line { SrcBlock "Delay" SrcPort 1 Points [25, 0; 0, 20] Branch { DstBlock "Convert" DstPort 1 } Branch { Points [0, 50] Branch { DstBlock "Logical1" DstPort 1 } Branch { Points [0, 205; 365, 0] Branch { DstBlock "Mux1" DstPort 3 } Branch { Points [0, 45] DstBlock "Mux2" DstPort 3 } } } } Line { SrcBlock "Accumulator" SrcPort 1 Points [20, 0; 0, 30] DstBlock "Relational" DstPort 1 } Line { SrcBlock "Relational" SrcPort 1 Points [15, 0; 0, 40] Branch { DstBlock "Inverter2" DstPort 1 } Branch { Points [0, 55] Branch { Points [0, 25] DstBlock "Mux1" DstPort 1 } Branch { Points [-360, 0; 0, -80] DstBlock "Logical1" DstPort 2 } } } Line { SrcBlock "Convert" SrcPort 1 DstBlock "Accumulator" DstPort 1 } Line { SrcBlock "Constant2" SrcPort 1 DstBlock "Mux1" DstPort 2 } Line { SrcBlock "AddSub" SrcPort 1 Points [10, 0; 0, -20] DstBlock "Relational" DstPort 2 } Line { SrcBlock "TotalNoOfCmds" SrcPort 1 DstBlock "AddSub" DstPort 1 } Line { SrcBlock "Constant3" SrcPort 1 Points [5, 0; 0, -15] DstBlock "AddSub" DstPort 2 } Line { SrcBlock "Inverter2" SrcPort 1 Points [110, 0; 0, 125] Branch { Points [0, 210] DstBlock "Logical2" DstPort 1 } Branch { DstBlock "Mux2" DstPort 1 } } Line { SrcBlock "Logical1" SrcPort 1 Points [30, 0; 0, -25] DstBlock "Accumulator" DstPort 3 } Line { SrcBlock "Logical2" SrcPort 1 Points [15, 0] Branch { DstBlock "Logical3" DstPort 2 } Branch { Points [0, 130] DstBlock "Counter1" DstPort 2 } } Line { SrcBlock "Accumulator1" SrcPort 1 Points [25, 0] Branch { Points [0, -110; -340, 0; 0, 30] DstBlock "Relational1" DstPort 1 } Branch { Points [150, 0; 0, -525] DstBlock "Mux3" DstPort 1 } } Line { SrcBlock "Relational1" SrcPort 1 Points [5, 0; 0, 50] DstBlock "Logical3" DstPort 1 } Line { SrcBlock "Logical3" SrcPort 1 DstBlock "Convert1" DstPort 1 } Line { SrcBlock "Constant4" SrcPort 1 DstBlock "Relational1" DstPort 2 } Line { SrcBlock "Convert1" SrcPort 1 Points [10, 0] DstBlock "Accumulator1" DstPort 1 } Line { SrcBlock "Mux2" SrcPort 1 DstBlock "StartTransfer" DstPort 1 } Line { SrcBlock "Mux1" SrcPort 1 Points [70, 0; 0, 45] DstBlock "Mux2" DstPort 2 } Line { SrcBlock "Counter1" SrcPort 1 DstBlock "Slice4" DstPort 1 } Line { SrcBlock "Neg Edge Detector" SrcPort 1 DstBlock "Convert2" DstPort 1 } Line { SrcBlock "Register" SrcPort 1 DstBlock "CmdsDone" DstPort 1 } Line { SrcBlock "Slice4" SrcPort 1 DstBlock "Neg Edge Detector" DstPort 1 } Line { SrcBlock "Convert2" SrcPort 1 Points [5, 0] Branch { DstBlock "Register" DstPort 1 } Branch { Points [0, 40] DstBlock "Register" DstPort 3 } } Line { SrcBlock "Reset1" SrcPort 1 Points [25, 0; 0, -50] DstBlock "Register" DstPort 2 } Line { SrcBlock "Reset2" SrcPort 1 DstBlock "Counter1" DstPort 1 } Line { SrcBlock "Reset3" SrcPort 1 Points [0, -30] DstBlock "Accumulator1" DstPort 2 } Line { SrcBlock "Constant5" SrcPort 1 DstBlock "Mux3" DstPort 3 } Line { SrcBlock "From Register1" SrcPort 1 DstBlock "Mux3" DstPort 4 } Line { SrcBlock "From Register2" SrcPort 1 Points [0, 15] DstBlock "Mux3" DstPort 5 } Line { SrcBlock "Constant6" SrcPort 1 DstBlock "Mux3" DstPort 6 } Line { SrcBlock "From Register4" SrcPort 1 DstBlock "Mux3" DstPort 7 } Line { SrcBlock "From Register5" SrcPort 1 Points [10, 0; 0, 20] DstBlock "Mux3" DstPort 8 } Line { SrcBlock "SMWO_LCD_Commands" SrcPort 1 DstBlock "Slice5" DstPort 1 } Line { SrcBlock "Slice5" SrcPort 1 DstBlock "Mux3" DstPort 2 } Line { SrcBlock "Mux3" SrcPort 1 Points [0, -10; 20, 0] Branch { Points [0, -20] DstBlock "Slice3" DstPort 1 } Branch { Points [0, 30] DstBlock "Slice2" DstPort 1 } } Line { SrcBlock "Concat2" SrcPort 1 DstBlock "DataToSend" DstPort 1 } Line { SrcBlock "Constant11" SrcPort 1 DstBlock "Mux3" DstPort 9 } Line { SrcBlock "From Register3" SrcPort 1 DstBlock "Mux3" DstPort 10 } Annotation { Name "Address the RAM as 1 0 3 2 5 4 ..." Position [661, 165] UseDisplayTextAsClickCallback off } Annotation { Name "We invert the first bit because 0 means com" "mand and 1 is data\nThe user inputs the opposite in the PowerPC" Position [1532, 208] UseDisplayTextAsClickCallback off } } } Block { BlockType Reference Name "Convert" Ports [1, 1] Position [1250, 450, 1295, 480] SourceBlock "xbsIndex_r4/Convert" SourceType "Xilinx Type Converter Block" infoedit "Hardware notes: rounding and saturating require" " hardware resources; truncating and wrapping do not." arith_type "Boolean" n_bits "16" bin_pt "14" quantization "Truncate" overflow "Wrap" latency "0" dbl_ovrd off pipeline off xl_use_area off xl_area "[0,0,0,0,0,0,0]" has_advanced_control "0" sggui_pos "20,20,374,375" block_type "convert" block_version "8.2.02" sg_icon_stat "45,30,1,1,white,blue,0,0eb6e735" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npa" "tch([0 45 45 0 ],[0 0 30 30 ],[0.77 0.82 0.91]);\npatch([15 10 17 10 15 23 25" " 27 35 28 22 17 23 17 22 28 35 27 25 23 15 ],[3 8 15 22 27 27 25 27 27 20 26 " "21 15 9 4 10 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 0 45 45 0 ],[0 30 30 0 0" " ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin i" "con text');\ncolor('black');port_label('output',1,'cast');\nfprintf('','COMME" "NT: end icon text');\n" } Block { BlockType Reference Name "Convert1" Ports [1, 1] Position [365, 870, 405, 900] SourceBlock "xbsIndex_r4/Convert" SourceType "Xilinx Type Converter Block" infoedit "Hardware notes: rounding and saturating require" " hardware resources; truncating and wrapping do not." arith_type "Boolean" n_bits "16" bin_pt "14" quantization "Truncate" overflow "Wrap" latency "0" dbl_ovrd off pipeline off xl_use_area off xl_area "[0,0,0,0,0,0,0]" has_advanced_control "0" sggui_pos "20,20,374,375" block_type "convert" block_version "8.2.02" sg_icon_stat "40,30,1,1,white,blue,0,0eb6e735" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npa" "tch([0 40 40 0 ],[0 0 30 30 ],[0.77 0.82 0.91]);\npatch([12 7 14 7 12 20 22 2" "4 32 25 19 14 20 14 19 25 32 24 22 20 12 ],[3 8 15 22 27 27 25 27 27 20 26 21" " 15 9 4 10 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 0 40 40 0 ],[0 30 30 0 0 ]" ");\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin ico" "n text');\ncolor('black');port_label('output',1,'cast');\nfprintf('','COMMENT" ": end icon text');\n" } Block { BlockType Reference Name "Convert2" Ports [1, 1] Position [320, 420, 365, 450] SourceBlock "xbsIndex_r4/Convert" SourceType "Xilinx Type Converter Block" infoedit "Hardware notes: rounding and saturating require" " hardware resources; truncating and wrapping do not." arith_type "Boolean" n_bits "16" bin_pt "14" quantization "Truncate" overflow "Wrap" latency "0" dbl_ovrd off pipeline off xl_use_area off xl_area "[0,0,0,0,0,0,0]" has_advanced_control "0" sggui_pos "20,20,374,375" block_type "convert" block_version "8.2.02" sg_icon_stat "45,30,1,1,white,blue,0,0eb6e735" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npa" "tch([0 45 45 0 ],[0 0 30 30 ],[0.77 0.82 0.91]);\npatch([15 10 17 10 15 23 25" " 27 35 28 22 17 23 17 22 28 35 27 25 23 15 ],[3 8 15 22 27 27 25 27 27 20 26 " "21 15 9 4 10 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 0 45 45 0 ],[0 30 30 0 0" " ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin i" "con text');\ncolor('black');port_label('output',1,'cast');\nfprintf('','COMME" "NT: end icon text');\n" } Block { BlockType SubSystem Name "DataROM" Ports [4, 2] Position [690, 677, 890, 913] TreatAsAtomicUnit off MinAlgLoopOccurrences off RTWSystemCode "Auto" MaskHideContents off System { Name "DataROM" Location [412, 77, 1856, 1062] Open off ModelBrowserVisibility off ModelBrowserWidth 200 ScreenColor "white" PaperOrientation "landscape" PaperPositionMode "auto" PaperType "usletter" PaperUnits "inches" ZoomFactor "100" Block { BlockType Inport Name "InvertRamAddr" Position [1090, 383, 1120, 397] IconDisplay "Port number" } Block { BlockType Inport Name "TransferDone" Position [790, 798, 820, 812] Port "2" IconDisplay "Port number" } Block { BlockType Inport Name "CmdsDone" Position [790, 828, 820, 842] Port "3" IconDisplay "Port number" } Block { BlockType Inport Name "Reset" Position [250, 183, 280, 197] Port "4" IconDisplay "Port number" } Block { BlockType Reference Name "Concat" Ports [2, 1] Position [1365, 390, 1425, 450] SourceBlock "xbsIndex_r4/Concat" SourceType "Xilinx Bus Concatenator Block" infoedit "Concatenates two or more inputs. Output wi" "ll be cast to an unsigned value with the binary point at zero." num_inputs "2" dbl_ovrd "off" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "concat" block_version "8.2.02" sg_icon_stat "60,60,2,1,white,blue,0,59d62d82" sg_mask_display "fprintf('','COMMENT: begin icon graphics');" "\npatch([0 60 60 0 ],[0 0 60 60 ],[0.77 0.82 0.91]);\npatch([14 4 18 4 14 30 " "34 38 55 42 29 20 35 20 29 42 55 38 34 30 14 ],[6 16 30 44 54 54 50 54 54 41 " "54 45 30 15 6 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 0 60 60 0 ],[0 60 6" "0 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: be" "gin icon text');\ncolor('black');port_label('input',1,'hi');\ncolor('black');" "port_label('input',2,'lo');\nfprintf('','COMMENT: end icon text');\n" } Block { BlockType Reference Name "Concat1" Ports [2, 1] Position [1060, 435, 1120, 495] SourceBlock "xbsIndex_r4/Concat" SourceType "Xilinx Bus Concatenator Block" infoedit "Concatenates two or more inputs. Output wi" "ll be cast to an unsigned value with the binary point at zero." num_inputs "2" dbl_ovrd "off" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "concat" block_version "8.2.02" sg_icon_stat "60,60,2,1,white,blue,0,59d62d82" sg_mask_display "fprintf('','COMMENT: begin icon graphics');" "\npatch([0 60 60 0 ],[0 0 60 60 ],[0.77 0.82 0.91]);\npatch([14 4 18 4 14 30 " "34 38 55 42 29 20 35 20 29 42 55 38 34 30 14 ],[6 16 30 44 54 54 50 54 54 41 " "54 45 30 15 6 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 0 60 60 0 ],[0 60 6" "0 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: be" "gin icon text');\ncolor('black');port_label('input',1,'hi');\ncolor('black');" "port_label('input',2,'lo');\nfprintf('','COMMENT: end icon text');\n" } Block { BlockType Reference Name "Concat2" Ports [2, 1] Position [750, 150, 810, 210] SourceBlock "xbsIndex_r4/Concat" SourceType "Xilinx Bus Concatenator Block" infoedit "Concatenates two or more inputs. Output wi" "ll be cast to an unsigned value with the binary point at zero." num_inputs "2" dbl_ovrd "off" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "concat" block_version "8.2.02" sg_icon_stat "60,60,2,1,white,blue,0,59d62d82" sg_mask_display "fprintf('','COMMENT: begin icon graphics');" "\npatch([0 60 60 0 ],[0 0 60 60 ],[0.77 0.82 0.91]);\npatch([14 4 18 4 14 30 " "34 38 55 42 29 20 35 20 29 42 55 38 34 30 14 ],[6 16 30 44 54 54 50 54 54 41 " "54 45 30 15 6 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 0 60 60 0 ],[0 60 6" "0 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: be" "gin icon text');\ncolor('black');port_label('input',1,'hi');\ncolor('black');" "port_label('input',2,'lo');\nfprintf('','COMMENT: end icon text');\n" } Block { BlockType Reference Name "Concat3" Ports [2, 1] Position [685, 605, 745, 665] SourceBlock "xbsIndex_r4/Concat" SourceType "Xilinx Bus Concatenator Block" infoedit "Concatenates two or more inputs. Output wi" "ll be cast to an unsigned value with the binary point at zero." num_inputs "2" dbl_ovrd "off" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "concat" block_version "8.2.02" sg_icon_stat "60,60,2,1,white,blue,0,59d62d82" sg_mask_display "fprintf('','COMMENT: begin icon graphics');" "\npatch([0 60 60 0 ],[0 0 60 60 ],[0.77 0.82 0.91]);\npatch([14 4 18 4 14 30 " "34 38 55 42 29 20 35 20 29 42 55 38 34 30 14 ],[6 16 30 44 54 54 50 54 54 41 " "54 45 30 15 6 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 0 60 60 0 ],[0 60 6" "0 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: be" "gin icon text');\ncolor('black');port_label('input',1,'hi');\ncolor('black');" "port_label('input',2,'lo');\nfprintf('','COMMENT: end icon text');\n" } Block { BlockType Reference Name "Concat4" Ports [2, 1] Position [2420, 540, 2480, 600] SourceBlock "xbsIndex_r4/Concat" SourceType "Xilinx Bus Concatenator Block" infoedit "Concatenates two or more inputs. Output wi" "ll be cast to an unsigned value with the binary point at zero." num_inputs "2" dbl_ovrd "off" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "concat" block_version "8.2.02" sg_icon_stat "60,60,2,1,white,blue,0,59d62d82" sg_mask_display "fprintf('','COMMENT: begin icon graphics');" "\npatch([0 60 60 0 ],[0 0 60 60 ],[0.77 0.82 0.91]);\npatch([14 4 18 4 14 30 " "34 38 55 42 29 20 35 20 29 42 55 38 34 30 14 ],[6 16 30 44 54 54 50 54 54 41 " "54 45 30 15 6 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 0 60 60 0 ],[0 60 6" "0 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: be" "gin icon text');\ncolor('black');port_label('input',1,'hi');\ncolor('black');" "port_label('input',2,'lo');\nfprintf('','COMMENT: end icon text');\n" } Block { BlockType Reference Name "Concat5" Ports [2, 1] Position [1745, 470, 1805, 530] SourceBlock "xbsIndex_r4/Concat" SourceType "Xilinx Bus Concatenator Block" infoedit "Concatenates two or more inputs. Output wi" "ll be cast to an unsigned value with the binary point at zero." num_inputs "2" dbl_ovrd "off" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "concat" block_version "8.2.02" sg_icon_stat "60,60,2,1,white,blue,0,59d62d82" sg_mask_display "fprintf('','COMMENT: begin icon graphics');" "\npatch([0 60 60 0 ],[0 0 60 60 ],[0.77 0.82 0.91]);\npatch([14 4 18 4 14 30 " "34 38 55 42 29 20 35 20 29 42 55 38 34 30 14 ],[6 16 30 44 54 54 50 54 54 41 " "54 45 30 15 6 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 0 60 60 0 ],[0 60 6" "0 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: be" "gin icon text');\ncolor('black');port_label('input',1,'hi');\ncolor('black');" "port_label('input',2,'lo');\nfprintf('','COMMENT: end icon text');\n" } Block { BlockType Reference Name "Concat6" Ports [2, 1] Position [1045, 70, 1105, 130] SourceBlock "xbsIndex_r4/Concat" SourceType "Xilinx Bus Concatenator Block" infoedit "Concatenates two or more inputs. Output wi" "ll be cast to an unsigned value with the binary point at zero." num_inputs "2" dbl_ovrd "off" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "concat" block_version "8.2.02" sg_icon_stat "60,60,2,1,white,blue,0,59d62d82" sg_mask_display "fprintf('','COMMENT: begin icon graphics');" "\npatch([0 60 60 0 ],[0 0 60 60 ],[0.77 0.82 0.91]);\npatch([14 4 18 4 14 30 " "34 38 55 42 29 20 35 20 29 42 55 38 34 30 14 ],[6 16 30 44 54 54 50 54 54 41 " "54 45 30 15 6 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 0 60 60 0 ],[0 60 6" "0 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: be" "gin icon text');\ncolor('black');port_label('input',1,'hi');\ncolor('black');" "port_label('input',2,'lo');\nfprintf('','COMMENT: end icon text');\n" } Block { BlockType Reference Name "Constant" Ports [0, 1] Position [1400, 467, 1455, 493] SourceBlock "xbsIndex_r4/Constant" SourceType "Xilinx Constant Block Block" arith_type "Unsigned" const "0" n_bits "8" bin_pt "0" explicit_period "on" period "1" dsp48_infoedit "The use of this block for DSP48 instruction" "s is deprecated. Please use the Opmode block." equ "P=C" opselect "C" inp2 "PCIN>>17" opr "+" inp1 "P" carry "CIN" dbl_ovrd "off" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "constant" block_version "8.2.02" sg_icon_stat "55,26,0,1,white,blue,0,c7c04a0c" sg_mask_display "fprintf('','COMMENT: begin icon graphics');" "\npatch([0 55 55 0 ],[0 0 26 26 ],[0.77 0.82 0.91]);\npatch([20 16 22 16 20 2" "7 29 31 38 32 26 22 28 22 26 32 38 31 29 27 20 ],[3 7 13 19 23 23 21 23 23 17" " 23 19 13 7 3 9 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 0 55 55 0 ],[0 26 26 " "0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begi" "n icon text');\ncolor('black');port_label('output',1,'0');\nfprintf('','COMME" "NT: end icon text');\n" } Block { BlockType Reference Name "Constant1" Ports [0, 1] Position [1400, 512, 1455, 538] SourceBlock "xbsIndex_r4/Constant" SourceType "Xilinx Constant Block Block" arith_type "Boolean" const "0" n_bits "8" bin_pt "0" explicit_period "on" period "1" dsp48_infoedit "The use of this block for DSP48 instruction" "s is deprecated. Please use the Opmode block." equ "P=C" opselect "C" inp2 "PCIN>>17" opr "+" inp1 "P" carry "CIN" dbl_ovrd "off" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "constant" block_version "8.2.02" sg_icon_stat "55,26,0,1,white,blue,0,c7c04a0c" sg_mask_display "fprintf('','COMMENT: begin icon graphics');" "\npatch([0 55 55 0 ],[0 0 26 26 ],[0.77 0.82 0.91]);\npatch([20 16 22 16 20 2" "7 29 31 38 32 26 22 28 22 26 32 38 31 29 27 20 ],[3 7 13 19 23 23 21 23 23 17" " 23 19 13 7 3 9 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 0 55 55 0 ],[0 26 26 " "0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begi" "n icon text');\ncolor('black');port_label('output',1,'0');\nfprintf('','COMME" "NT: end icon text');\n" } Block { BlockType Reference Name "Constant10" Ports [0, 1] Position [1660, 447, 1715, 473] SourceBlock "xbsIndex_r4/Constant" SourceType "Xilinx Constant Block Block" arith_type "Unsigned" const "0" n_bits "1" bin_pt "0" explicit_period "off" period "1" dsp48_infoedit "The use of this block for DSP48 instruction" "s is deprecated. Please use the Opmode block." equ "P=C" opselect "C" inp2 "PCIN>>17" opr "+" inp1 "P" carry "CIN" dbl_ovrd "off" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "constant" block_version "8.2.02" sg_icon_stat "55,26,0,1,white,blue,0,c7c04a0c" sg_mask_display "fprintf('','COMMENT: begin icon graphics');" "\npatch([0 55 55 0 ],[0 0 26 26 ],[0.77 0.82 0.91]);\npatch([20 16 22 16 20 2" "7 29 31 38 32 26 22 28 22 26 32 38 31 29 27 20 ],[3 7 13 19 23 23 21 23 23 17" " 23 19 13 7 3 9 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 0 55 55 0 ],[0 26 26 " "0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begi" "n icon text');\ncolor('black');port_label('output',1,'0');\nfprintf('','COMME" "NT: end icon text');\n" } Block { BlockType Reference Name "Constant2" Ports [0, 1] Position [1030, 147, 1085, 173] SourceBlock "xbsIndex_r4/Constant" SourceType "Xilinx Constant Block Block" arith_type "Unsigned" const "0" n_bits "8" bin_pt "0" explicit_period "on" period "1" dsp48_infoedit "The use of this block for DSP48 instruction" "s is deprecated. Please use the Opmode block." equ "P=C" opselect "C" inp2 "PCIN>>17" opr "+" inp1 "P" carry "CIN" dbl_ovrd "off" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "constant" block_version "8.2.02" sg_icon_stat "55,26,0,1,white,blue,0,c7c04a0c" sg_mask_display "fprintf('','COMMENT: begin icon graphics');" "\npatch([0 55 55 0 ],[0 0 26 26 ],[0.77 0.82 0.91]);\npatch([20 16 22 16 20 2" "7 29 31 38 32 26 22 28 22 26 32 38 31 29 27 20 ],[3 7 13 19 23 23 21 23 23 17" " 23 19 13 7 3 9 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 0 55 55 0 ],[0 26 26 " "0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begi" "n icon text');\ncolor('black');port_label('output',1,'0');\nfprintf('','COMME" "NT: end icon text');\n" } Block { BlockType Reference Name "Constant3" Ports [0, 1] Position [1030, 192, 1085, 218] SourceBlock "xbsIndex_r4/Constant" SourceType "Xilinx Constant Block Block" arith_type "Boolean" const "0" n_bits "8" bin_pt "0" explicit_period "on" period "1" dsp48_infoedit "The use of this block for DSP48 instruction" "s is deprecated. Please use the Opmode block." equ "P=C" opselect "C" inp2 "PCIN>>17" opr "+" inp1 "P" carry "CIN" dbl_ovrd "off" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "constant" block_version "8.2.02" sg_icon_stat "55,26,0,1,white,blue,0,c7c04a0c" sg_mask_display "fprintf('','COMMENT: begin icon graphics');" "\npatch([0 55 55 0 ],[0 0 26 26 ],[0.77 0.82 0.91]);\npatch([20 16 22 16 20 2" "7 29 31 38 32 26 22 28 22 26 32 38 31 29 27 20 ],[3 7 13 19 23 23 21 23 23 17" " 23 19 13 7 3 9 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 0 55 55 0 ],[0 26 26 " "0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begi" "n icon text');\ncolor('black');port_label('output',1,'0');\nfprintf('','COMME" "NT: end icon text');\n" } Block { BlockType Reference Name "Constant4" Ports [0, 1] Position [415, 592, 470, 618] SourceBlock "xbsIndex_r4/Constant" SourceType "Xilinx Constant Block Block" arith_type "Unsigned" const "0" n_bits "1" bin_pt "0" explicit_period "on" period "1" dsp48_infoedit "The use of this block for DSP48 instruction" "s is deprecated. Please use the Opmode block." equ "P=C" opselect "C" inp2 "PCIN>>17" opr "+" inp1 "P" carry "CIN" dbl_ovrd "off" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "constant" block_version "8.2.02" sg_icon_stat "55,26,0,1,white,blue,0,c7c04a0c" sg_mask_display "fprintf('','COMMENT: begin icon graphics');" "\npatch([0 55 55 0 ],[0 0 26 26 ],[0.77 0.82 0.91]);\npatch([20 16 22 16 20 2" "7 29 31 38 32 26 22 28 22 26 32 38 31 29 27 20 ],[3 7 13 19 23 23 21 23 23 17" " 23 19 13 7 3 9 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 0 55 55 0 ],[0 26 26 " "0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begi" "n icon text');\ncolor('black');port_label('output',1,'0');\nfprintf('','COMME" "NT: end icon text');\n" } Block { BlockType Reference Name "Constant5" Ports [0, 1] Position [415, 722, 470, 748] SourceBlock "xbsIndex_r4/Constant" SourceType "Xilinx Constant Block Block" arith_type "Unsigned" const "0" n_bits "1" bin_pt "0" explicit_period "on" period "1" dsp48_infoedit "The use of this block for DSP48 instruction" "s is deprecated. Please use the Opmode block." equ "P=C" opselect "C" inp2 "PCIN>>17" opr "+" inp1 "P" carry "CIN" dbl_ovrd "off" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "constant" block_version "8.2.02" sg_icon_stat "55,26,0,1,white,blue,0,c7c04a0c" sg_mask_display "fprintf('','COMMENT: begin icon graphics');" "\npatch([0 55 55 0 ],[0 0 26 26 ],[0.77 0.82 0.91]);\npatch([20 16 22 16 20 2" "7 29 31 38 32 26 22 28 22 26 32 38 31 29 27 20 ],[3 7 13 19 23 23 21 23 23 17" " 23 19 13 7 3 9 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 0 55 55 0 ],[0 26 26 " "0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begi" "n icon text');\ncolor('black');port_label('output',1,'0');\nfprintf('','COMME" "NT: end icon text');\n" } Block { BlockType Reference Name "Constant6" Ports [0, 1] Position [770, 642, 825, 668] SourceBlock "xbsIndex_r4/Constant" SourceType "Xilinx Constant Block Block" arith_type "Unsigned" const "0" n_bits "3" bin_pt "0" explicit_period "on" period "1" dsp48_infoedit "The use of this block for DSP48 instruction" "s is deprecated. Please use the Opmode block." equ "P=C" opselect "C" inp2 "PCIN>>17" opr "+" inp1 "P" carry "CIN" dbl_ovrd "off" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "constant" block_version "8.2.02" sg_icon_stat "55,26,0,1,white,blue,0,c7c04a0c" sg_mask_display "fprintf('','COMMENT: begin icon graphics');" "\npatch([0 55 55 0 ],[0 0 26 26 ],[0.77 0.82 0.91]);\npatch([20 16 22 16 20 2" "7 29 31 38 32 26 22 28 22 26 32 38 31 29 27 20 ],[3 7 13 19 23 23 21 23 23 17" " 23 19 13 7 3 9 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 0 55 55 0 ],[0 26 26 " "0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begi" "n icon text');\ncolor('black');port_label('output',1,'0');\nfprintf('','COMME" "NT: end icon text');\n" } Block { BlockType Reference Name "Constant7" Ports [0, 1] Position [770, 682, 825, 708] SourceBlock "xbsIndex_r4/Constant" SourceType "Xilinx Constant Block Block" arith_type "Unsigned" const "4" n_bits "3" bin_pt "0" explicit_period "on" period "1" dsp48_infoedit "The use of this block for DSP48 instruction" "s is deprecated. Please use the Opmode block." equ "P=C" opselect "C" inp2 "PCIN>>17" opr "+" inp1 "P" carry "CIN" dbl_ovrd "off" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "constant" block_version "8.2.02" sg_icon_stat "55,26,0,1,white,blue,0,36b2cd90" sg_mask_display "fprintf('','COMMENT: begin icon graphics');" "\npatch([0 55 55 0 ],[0 0 26 26 ],[0.77 0.82 0.91]);\npatch([20 16 22 16 20 2" "7 29 31 38 32 26 22 28 22 26 32 38 31 29 27 20 ],[3 7 13 19 23 23 21 23 23 17" " 23 19 13 7 3 9 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 0 55 55 0 ],[0 26 26 " "0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begi" "n icon text');\ncolor('black');port_label('output',1,'4');\nfprintf('','COMME" "NT: end icon text');\n" } Block { BlockType Reference Name "Constant8" Ports [0, 1] Position [770, 722, 825, 748] SourceBlock "xbsIndex_r4/Constant" SourceType "Xilinx Constant Block Block" arith_type "Unsigned" const "1" n_bits "3" bin_pt "0" explicit_period "on" period "1" dsp48_infoedit "The use of this block for DSP48 instruction" "s is deprecated. Please use the Opmode block." equ "P=C" opselect "C" inp2 "PCIN>>17" opr "+" inp1 "P" carry "CIN" dbl_ovrd "off" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "constant" block_version "8.2.02" sg_icon_stat "55,26,0,1,white,blue,0,85613821" sg_mask_display "fprintf('','COMMENT: begin icon graphics');" "\npatch([0 55 55 0 ],[0 0 26 26 ],[0.77 0.82 0.91]);\npatch([20 16 22 16 20 2" "7 29 31 38 32 26 22 28 22 26 32 38 31 29 27 20 ],[3 7 13 19 23 23 21 23 23 17" " 23 19 13 7 3 9 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 0 55 55 0 ],[0 26 26 " "0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begi" "n icon text');\ncolor('black');port_label('output',1,'1');\nfprintf('','COMME" "NT: end icon text');\n" } Block { BlockType Reference Name "Constant9" Ports [0, 1] Position [1150, 767, 1205, 793] SourceBlock "xbsIndex_r4/Constant" SourceType "Xilinx Constant Block Block" arith_type "Unsigned" const "0" n_bits "1" bin_pt "0" explicit_period "on" period "1" dsp48_infoedit "The use of this block for DSP48 instruction" "s is deprecated. Please use the Opmode block." equ "P=C" opselect "C" inp2 "PCIN>>17" opr "+" inp1 "P" carry "CIN" dbl_ovrd "off" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "constant" block_version "8.2.02" sg_icon_stat "55,26,0,1,white,blue,0,c7c04a0c" sg_mask_display "fprintf('','COMMENT: begin icon graphics');" "\npatch([0 55 55 0 ],[0 0 26 26 ],[0.77 0.82 0.91]);\npatch([20 16 22 16 20 2" "7 29 31 38 32 26 22 28 22 26 32 38 31 29 27 20 ],[3 7 13 19 23 23 21 23 23 17" " 23 19 13 7 3 9 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 0 55 55 0 ],[0 26 26 " "0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begi" "n icon text');\ncolor('black');port_label('output',1,'0');\nfprintf('','COMME" "NT: end icon text');\n" } Block { BlockType Reference Name "Convert" Ports [1, 1] Position [595, 605, 640, 635] SourceBlock "xbsIndex_r4/Convert" SourceType "Xilinx Type Converter Block" infoedit "Hardware notes: rounding and saturating req" "uire hardware resources; truncating and wrapping do not." arith_type "Unsigned" n_bits "1" bin_pt "0" quantization "Truncate" overflow "Wrap" latency "0" dbl_ovrd "off" pipeline "off" xl_use_area "off" xl_area "[0,0,0,0,0,0,0]" has_advanced_control "0" sggui_pos "20,20,374,375" block_type "convert" block_version "8.2.02" sg_icon_stat "45,30,1,1,white,blue,0,0eb6e735" sg_mask_display "fprintf('','COMMENT: begin icon graphics');" "\npatch([0 45 45 0 ],[0 0 30 30 ],[0.77 0.82 0.91]);\npatch([15 10 17 10 15 2" "3 25 27 35 28 22 17 23 17 22 28 35 27 25 23 15 ],[3 8 15 22 27 27 25 27 27 20" " 26 21 15 9 4 10 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 0 45 45 0 ],[0 30 30" " 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: beg" "in icon text');\ncolor('black');port_label('output',1,'cast');\nfprintf('','C" "OMMENT: end icon text');\n" } Block { BlockType Reference Name "Convert1" Ports [1, 1] Position [585, 685, 630, 715] SourceBlock "xbsIndex_r4/Convert" SourceType "Xilinx Type Converter Block" infoedit "Hardware notes: rounding and saturating req" "uire hardware resources; truncating and wrapping do not." arith_type "Unsigned" n_bits "1" bin_pt "0" quantization "Truncate" overflow "Wrap" latency "0" dbl_ovrd "off" pipeline "off" xl_use_area "off" xl_area "[0,0,0,0,0,0,0]" has_advanced_control "0" sggui_pos "20,20,374,375" block_type "convert" block_version "8.2.02" sg_icon_stat "45,30,1,1,white,blue,0,0eb6e735" sg_mask_display "fprintf('','COMMENT: begin icon graphics');" "\npatch([0 45 45 0 ],[0 0 30 30 ],[0.77 0.82 0.91]);\npatch([15 10 17 10 15 2" "3 25 27 35 28 22 17 23 17 22 28 35 27 25 23 15 ],[3 8 15 22 27 27 25 27 27 20" " 26 21 15 9 4 10 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 0 45 45 0 ],[0 30 30" " 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: beg" "in icon text');\ncolor('black');port_label('output',1,'cast');\nfprintf('','C" "OMMENT: end icon text');\n" } Block { BlockType Reference Name "Counter" Ports [3, 1] Position [1135, 645, 1195, 705] SourceBlock "xbsIndex_r4/Counter" SourceType "Xilinx Counter Block" infoedit "Hardware notes: Free running counters are t" "he least expensive in hardware. A count limited counter is implemented by co" "mbining a counter with a comparator." cnt_type "Free Running" cnt_to "Inf" operation "Up" start_count "0" cnt_by_val "1" arith_type "Unsigned" n_bits "3" bin_pt "0" load_pin "on" rst "off" en "on" explicit_period "on" period "1" dbl_ovrd "off" use_behavioral_HDL "off" use_rpm "off" xl_use_area "off" xl_area "[0,0,0,0,0,0,0]" has_advanced_control "0" sggui_pos "20,20,356,630" block_type "counter" block_version "8.2.02" sg_icon_stat "60,60,3,1,white,blue,0,091ac8fc" sg_mask_display "fprintf('','COMMENT: begin icon graphics');" "\npatch([0 60 60 0 ],[0 0 60 60 ],[0.77 0.82 0.91]);\npatch([14 4 18 4 14 30 " "34 38 55 42 29 20 35 20 29 42 55 38 34 30 14 ],[6 16 30 44 54 54 50 54 54 41 " "54 45 30 15 6 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 0 60 60 0 ],[0 60 6" "0 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: be" "gin icon text');\ncolor('black');port_label('input',1,'load');\ncolor('black'" ");port_label('input',2,'din');\ncolor('black');port_label('input',3,'en');\nc" "olor('black');port_label('output',1,'out');\nfprintf('','COMMENT: end icon te" "xt');\n" } Block { BlockType Reference Name "Counter1" Ports [2, 1] Position [315, 175, 375, 235] SourceBlock "xbsIndex_r4/Counter" SourceType "Xilinx Counter Block" infoedit "Hardware notes: Free running counters are t" "he least expensive in hardware. A count limited counter is implemented by co" "mbining a counter with a comparator." cnt_type "Free Running" cnt_to "Inf" operation "Up" start_count "2^14-1" cnt_by_val "1" arith_type "Unsigned" n_bits "14" bin_pt "0" load_pin "off" rst "on" en "on" explicit_period "on" period "1" dbl_ovrd "off" use_behavioral_HDL "off" use_rpm "off" xl_use_area "off" xl_area "[0,0,0,0,0,0,0]" has_advanced_control "0" sggui_pos "20,20,356,630" block_type "counter" block_version "8.2.02" sg_icon_stat "60,60,2,1,white,blue,0,eceade92" sg_mask_display "fprintf('','COMMENT: begin icon graphics');" "\npatch([0 60 60 0 ],[0 0 60 60 ],[0.77 0.82 0.91]);\npatch([14 4 18 4 14 30 " "34 38 55 42 29 20 35 20 29 42 55 38 34 30 14 ],[6 16 30 44 54 54 50 54 54 41 " "54 45 30 15 6 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 0 60 60 0 ],[0 60 6" "0 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: be" "gin icon text');\ncolor('black');port_label('input',1,'rst');\ncolor('black')" ";port_label('input',2,'en');\ncolor('black');port_label('output',1,'out');\nf" "printf('','COMMENT: end icon text');\n" } Block { BlockType Reference Name "Delay" Ports [1, 1] Position [1205, 937, 1265, 993] SourceBlock "xbsIndex_r4/Delay" SourceType "Xilinx Delay Block" infoedit "Hardware notes: A delay line is a chain, ea" "ch link of which is an SRL16 followed by a flip-flop. If register retiming is" " enabled, the delay line is a chain of flip-flops." en "off" latency "4" dbl_ovrd "off" reg_retiming "off" xl_use_area "off" xl_area "[0,0,0,0,0,0,0]" has_advanced_control "0" sggui_pos "20,20,356,256" block_type "delay" block_version "8.2.02" sg_icon_stat "60,56,1,1,white,blue,0,c2217bc4" sg_mask_display "fprintf('','COMMENT: begin icon graphics');" "\npatch([0 60 60 0 ],[0 0 56 56 ],[0.77 0.82 0.91]);\npatch([15 6 19 6 15 30 " "34 38 54 41 29 20 32 20 29 41 54 38 34 30 15 ],[6 15 28 41 50 50 46 50 50 37 " "49 40 28 16 7 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 0 60 60 0 ],[0 56 5" "6 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: be" "gin icon text');\ncolor('black');disp('z^{-4}','texmode','on');\nfprintf('','" "COMMENT: end icon text');\n" } Block { BlockType Reference Name "From Register1" Ports [0, 1] Position [1865, 580, 1910, 630] ShowName off AttributesFormatString "From Register\\n<< % >>" SourceBlock "xbsIndex_r4/From Register" SourceType "Xilinx Shared Memory Based From Register Bl" "ock" infoedit "Register block that reads data to a shared " "memory register. Delay of one sample period." shared_memory_name "'LCD_ColSet'" init "hex2dec('175')" period "1" ownership "Locally owned and initialized" arith_type "Unsigned" n_bits "9" bin_pt "0" dbl_ovrd "off" xl_use_area "off" xl_area "[0,0,0,0,0,0,0]" has_advanced_control "0" sggui_pos "20,20,379,246" block_type "fromreg" block_version "8.2.02" sg_icon_stat "45,50,0,1,white,blue,0,137b37a7" sg_mask_display "fprintf('','COMMENT: begin icon graphics');" "\npatch([0 45 45 0 ],[0 0 50 50 ],[0.77 0.82 0.91]);\npatch([10 3 14 3 10 22 " "25 28 41 31 21 14 25 14 21 31 41 28 25 22 10 ],[7 14 25 36 43 43 40 43 43 33 " "43 36 25 14 7 17 7 7 10 7 7 ],[0.98 0.96 0.92]);\nplot([0 0 45 45 0 ],[0 50 5" "0 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: be" "gin icon text');\ncolor('black');port_label('output',1,'dout');\nfprintf('','" "COMMENT: end icon text');\n" } Block { BlockType Reference Name "From Register2" Ports [0, 1] Position [1865, 685, 1910, 735] ShowName off AttributesFormatString "From Register\\n<< % >>" SourceBlock "xbsIndex_r4/From Register" SourceType "Xilinx Shared Memory Based From Register Bl" "ock" infoedit "Register block that reads data to a shared " "memory register. Delay of one sample period." shared_memory_name "'LCD_RamWrite'" init "hex2dec('15C')" period "1" ownership "Locally owned and initialized" arith_type "Unsigned" n_bits "9" bin_pt "0" dbl_ovrd "off" xl_use_area "off" xl_area "[0,0,0,0,0,0,0]" has_advanced_control "0" sggui_pos "20,20,379,246" block_type "fromreg" block_version "8.2.02" sg_icon_stat "45,50,0,1,white,blue,0,137b37a7" sg_mask_display "fprintf('','COMMENT: begin icon graphics');" "\npatch([0 45 45 0 ],[0 0 50 50 ],[0.77 0.82 0.91]);\npatch([10 3 14 3 10 22 " "25 28 41 31 21 14 25 14 21 31 41 28 25 22 10 ],[7 14 25 36 43 43 40 43 43 33 " "43 36 25 14 7 17 7 7 10 7 7 ],[0.98 0.96 0.92]);\nplot([0 0 45 45 0 ],[0 50 5" "0 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: be" "gin icon text');\ncolor('black');port_label('output',1,'dout');\nfprintf('','" "COMMENT: end icon text');\n" } Block { BlockType Reference Name "From Register3" Ports [0, 1] Position [1860, 475, 1905, 525] ShowName off AttributesFormatString "From Register\\n<< % >>" SourceBlock "xbsIndex_r4/From Register" SourceType "Xilinx Shared Memory Based From Register Bl" "ock" infoedit "Register block that reads data to a shared " "memory register. Delay of one sample period." shared_memory_name "'LCD_RowSet'" init "hex2dec('115')" period "1" ownership "Locally owned and initialized" arith_type "Unsigned" n_bits "9" bin_pt "0" dbl_ovrd "off" xl_use_area "off" xl_area "[0,0,0,0,0,0,0]" has_advanced_control "0" sggui_pos "20,20,379,246" block_type "fromreg" block_version "8.2.02" sg_icon_stat "45,50,0,1,white,blue,0,137b37a7" sg_mask_display "fprintf('','COMMENT: begin icon graphics');" "\npatch([0 45 45 0 ],[0 0 50 50 ],[0.77 0.82 0.91]);\npatch([10 3 14 3 10 22 " "25 28 41 31 21 14 25 14 21 31 41 28 25 22 10 ],[7 14 25 36 43 43 40 43 43 33 " "43 36 25 14 7 17 7 7 10 7 7 ],[0.98 0.96 0.92]);\nplot([0 0 45 45 0 ],[0 50 5" "0 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: be" "gin icon text');\ncolor('black');port_label('output',1,'dout');\nfprintf('','" "COMMENT: end icon text');\n" } Block { BlockType Reference Name "From Register4" Ports [0, 1] Position [965, 30, 1010, 80] ShowName off AttributesFormatString "From Register\\n<< % >>" SourceBlock "xbsIndex_r4/From Register" SourceType "Xilinx Shared Memory Based From Register Bl" "ock" infoedit "Register block that reads data to a shared " "memory register. Delay of one sample period." shared_memory_name "'LCD_CharactersSelect'" init "0" period "1" ownership "Locally owned and initialized" arith_type "Unsigned" n_bits "3" bin_pt "0" dbl_ovrd "off" xl_use_area "off" xl_area "[0,0,0,0,0,0,0]" has_advanced_control "0" sggui_pos "20,20,379,246" block_type "fromreg" block_version "8.2.02" sg_icon_stat "45,50,0,1,white,blue,0,137b37a7" sg_mask_display "fprintf('','COMMENT: begin icon graphics');" "\npatch([0 45 45 0 ],[0 0 50 50 ],[0.77 0.82 0.91]);\npatch([10 3 14 3 10 22 " "25 28 41 31 21 14 25 14 21 31 41 28 25 22 10 ],[7 14 25 36 43 43 40 43 43 33 " "43 36 25 14 7 17 7 7 10 7 7 ],[0.98 0.96 0.92]);\nplot([0 0 45 45 0 ],[0 50 5" "0 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: be" "gin icon text');\ncolor('black');port_label('output',1,'dout');\nfprintf('','" "COMMENT: end icon text');\n" } Block { BlockType InportShadow Name "InvertRamAddr1" Position [775, 98, 805, 112] Port "1" IconDisplay "Port number" LatchByDelayingOutsideSignal off LatchByCopyingInsideSignal off } Block { BlockType Reference Name "Inverter" Ports [1, 1] Position [955, 461, 1010, 519] SourceBlock "xbsIndex_r4/Inverter" SourceType "Xilinx Inverter Block" infoedit "Bitwise logical negation (one's complement)" " operator." en "off" latency "0" dbl_ovrd "off" xl_use_area "off" xl_area "[0,0,0,0,0,0,0]" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "inv" block_version "8.2.02" sg_icon_stat "55,58,1,1,white,blue,0,1ab4a85f" sg_mask_display "fprintf('','COMMENT: begin icon graphics');" "\npatch([0 55 55 0 ],[0 0 58 58 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13 28 " "32 36 52 40 28 19 32 19 28 40 52 36 32 28 13 ],[7 16 29 42 51 51 47 51 51 39 " "51 42 29 16 7 19 7 7 11 7 7 ],[0.98 0.96 0.92]);\nplot([0 0 55 55 0 ],[0 58 5" "8 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: be" "gin icon text');\ncolor('black');disp('not');\nfprintf('','COMMENT: end icon " "text');\n" } Block { BlockType Reference Name "Inverter1" Ports [1, 1] Position [645, 176, 700, 234] SourceBlock "xbsIndex_r4/Inverter" SourceType "Xilinx Inverter Block" infoedit "Bitwise logical negation (one's complement)" " operator." en "off" latency "0" dbl_ovrd "off" xl_use_area "off" xl_area "[0,0,0,0,0,0,0]" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "inv" block_version "8.2.02" sg_icon_stat "55,58,1,1,white,blue,0,1ab4a85f" sg_mask_display "fprintf('','COMMENT: begin icon graphics');" "\npatch([0 55 55 0 ],[0 0 58 58 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13 28 " "32 36 52 40 28 19 32 19 28 40 52 36 32 28 13 ],[7 16 29 42 51 51 47 51 51 39 " "51 42 29 16 7 19 7 7 11 7 7 ],[0.98 0.96 0.92]);\nplot([0 0 55 55 0 ],[0 58 5" "8 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: be" "gin icon text');\ncolor('black');disp('not');\nfprintf('','COMMENT: end icon " "text');\n" } Block { BlockType Reference Name "Inverter2" Ports [1, 1] Position [1035, 789, 1080, 831] Orientation "left" SourceBlock "xbsIndex_r4/Inverter" SourceType "Xilinx Inverter Block" infoedit "Bitwise logical negation (one's complement)" " operator." en "off" latency "0" dbl_ovrd "off" xl_use_area "off" xl_area "[0,0,0,0,0,0,0]" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "inv" block_version "8.2.02" sg_icon_stat "45,42,1,1,white,blue,0,1ab4a85f" sg_mask_display "fprintf('','COMMENT: begin icon graphics');" "\npatch([0 45 45 0 ],[0 0 42 42 ],[0.77 0.82 0.91]);\npatch([11 4 14 4 11 22 " "25 28 40 31 22 15 25 15 22 31 40 28 25 22 11 ],[5 12 22 32 39 39 36 39 39 30 " "39 32 22 12 5 14 5 5 8 5 5 ],[0.98 0.96 0.92]);\nplot([0 0 45 45 0 ],[0 42 42" " 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: beg" "in icon text');\ncolor('black');disp('not');\nfprintf('','COMMENT: end icon t" "ext');\n" } Block { BlockType Reference Name "Inverter3" Ports [1, 1] Position [2320, 516, 2375, 574] SourceBlock "xbsIndex_r4/Inverter" SourceType "Xilinx Inverter Block" infoedit "Bitwise logical negation (one's complement)" " operator." en "off" latency "0" dbl_ovrd "off" xl_use_area "off" xl_area "[0,0,0,0,0,0,0]" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "inv" block_version "8.2.02" sg_icon_stat "55,58,1,1,white,blue,0,1ab4a85f" sg_mask_display "fprintf('','COMMENT: begin icon graphics');" "\npatch([0 55 55 0 ],[0 0 58 58 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13 28 " "32 36 52 40 28 19 32 19 28 40 52 36 32 28 13 ],[7 16 29 42 51 51 47 51 51 39 " "51 42 29 16 7 19 7 7 11 7 7 ],[0.98 0.96 0.92]);\nplot([0 0 55 55 0 ],[0 58 5" "8 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: be" "gin icon text');\ncolor('black');disp('not');\nfprintf('','COMMENT: end icon " "text');\n" } Block { BlockType Reference Name "Logical" Ports [2, 1] Position [860, 790, 915, 850] SourceBlock "xbsIndex_r4/Logical" SourceType "Xilinx Logical Block Block" logical_function "AND" inputs "2" en "off" latency "0" precision "Full" arith_type "Unsigned" n_bits "16" bin_pt "0" align_bp "on" dbl_ovrd "off" xl_use_area "off" xl_area "[0,0,0,0,0,0,0]" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "logical" block_version "8.2.02" sg_icon_stat "55,60,2,1,white,blue,0,087b5522" sg_mask_display "fprintf('','COMMENT: begin icon graphics');" "\npatch([0 55 55 0 ],[0 0 60 60 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13 28 " "32 36 52 40 28 19 32 19 28 40 52 36 32 28 13 ],[8 17 30 43 52 52 48 52 52 40 " "52 43 30 17 8 20 8 8 12 8 8 ],[0.98 0.96 0.92]);\nplot([0 0 55 55 0 ],[0 60 6" "0 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: be" "gin icon text');\ncolor('black');disp('\\newlineand\\newlinez^{-0}','texmode'" ",'on');\nfprintf('','COMMENT: end icon text');\n" } Block { BlockType Reference Name "Logical1" Ports [2, 1] Position [1325, 795, 1380, 855] SourceBlock "xbsIndex_r4/Logical" SourceType "Xilinx Logical Block Block" logical_function "AND" inputs "2" en "off" latency "0" precision "Full" arith_type "Unsigned" n_bits "16" bin_pt "0" align_bp "on" dbl_ovrd "off" xl_use_area "off" xl_area "[0,0,0,0,0,0,0]" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "logical" block_version "8.2.02" sg_icon_stat "55,60,2,1,white,blue,0,087b5522" sg_mask_display "fprintf('','COMMENT: begin icon graphics');" "\npatch([0 55 55 0 ],[0 0 60 60 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13 28 " "32 36 52 40 28 19 32 19 28 40 52 36 32 28 13 ],[8 17 30 43 52 52 48 52 52 40 " "52 43 30 17 8 20 8 8 12 8 8 ],[0.98 0.96 0.92]);\nplot([0 0 55 55 0 ],[0 60 6" "0 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: be" "gin icon text');\ncolor('black');disp('\\newlineand\\newlinez^{-0}','texmode'" ",'on');\nfprintf('','COMMENT: end icon text');\n" } Block { BlockType Reference Name "Mux" Ports [3, 1] Position [1190, 413, 1235, 517] SourceBlock "xbsIndex_r4/Mux" SourceType "Xilinx Bus Multiplexer Block" inputs "2" en "off" latency "1" precision "Full" arith_type "Unsigned" n_bits "16" bin_pt "14" quantization "Truncate" overflow "Wrap" dbl_ovrd "off" xl_use_area "off" xl_area "[0,0,0,0,0,0,0]" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "mux" block_version "8.2.02" sg_icon_stat "45,104,3,1,white,blue,3,03f9c9b9" sg_mask_display "fprintf('','COMMENT: begin icon graphics');" "\npatch([0 45 45 0 ],[0 14.8571 89.1429 104 ],[0.77 0.82 0.91]);\npatch([10 3" " 14 3 10 22 25 28 41 31 21 14 25 14 21 31 41 28 25 22 10 ],[34 41 52 63 70 70" " 67 70 70 60 70 63 52 41 34 44 34 34 37 34 34 ],[0.98 0.96 0.92]);\nplot([0 4" "5 45 0 0 ],[0 14.8571 89.1429 104 0 ]);\nfprintf('','COMMENT: end icon graphi" "cs');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('in" "put',1,'sel');\ncolor('black');port_label('input',2,'d0');\ncolor('black');po" "rt_label('input',3,'d1');\ncolor('black');disp('\\bf{ z^{-1}}','texmode','on" "');\nfprintf('','COMMENT: end icon text');\n" } Block { BlockType Reference Name "Mux1" Ports [3, 1] Position [880, 128, 925, 232] SourceBlock "xbsIndex_r4/Mux" SourceType "Xilinx Bus Multiplexer Block" inputs "2" en "off" latency "0" precision "Full" arith_type "Unsigned" n_bits "16" bin_pt "14" quantization "Truncate" overflow "Wrap" dbl_ovrd "off" xl_use_area "off" xl_area "[0,0,0,0,0,0,0]" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "mux" block_version "8.2.02" sg_icon_stat "45,104,3,1,white,blue,3,613f58e1" sg_mask_display "fprintf('','COMMENT: begin icon graphics');" "\npatch([0 45 45 0 ],[0 14.8571 89.1429 104 ],[0.77 0.82 0.91]);\npatch([10 3" " 14 3 10 22 25 28 41 31 21 14 25 14 21 31 41 28 25 22 10 ],[34 41 52 63 70 70" " 67 70 70 60 70 63 52 41 34 44 34 34 37 34 34 ],[0.98 0.96 0.92]);\nplot([0 4" "5 45 0 0 ],[0 14.8571 89.1429 104 0 ]);\nfprintf('','COMMENT: end icon graphi" "cs');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('in" "put',1,'sel');\ncolor('black');port_label('input',2,'d0');\ncolor('black');po" "rt_label('input',3,'d1');\ncolor('black');disp('\\bf{}','texmode','on');\nfpr" "intf('','COMMENT: end icon text');\n" } Block { BlockType Reference Name "Mux2" Ports [5, 1] Position [880, 623, 925, 727] SourceBlock "xbsIndex_r4/Mux" SourceType "Xilinx Bus Multiplexer Block" inputs "4" en "off" latency "0" precision "Full" arith_type "Unsigned" n_bits "16" bin_pt "14" quantization "Truncate" overflow "Wrap" dbl_ovrd "off" xl_use_area "off" xl_area "[0,0,0,0,0,0,0]" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "mux" block_version "8.2.02" sg_icon_stat "45,104,5,1,white,blue,3,27e96ab5" sg_mask_display "fprintf('','COMMENT: begin icon graphics');" "\npatch([0 45 45 0 ],[0 14.8571 89.1429 104 ],[0.77 0.82 0.91]);\npatch([10 3" " 14 3 10 22 25 28 41 31 21 14 25 14 21 31 41 28 25 22 10 ],[34 41 52 63 70 70" " 67 70 70 60 70 63 52 41 34 44 34 34 37 34 34 ],[0.98 0.96 0.92]);\nplot([0 4" "5 45 0 0 ],[0 14.8571 89.1429 104 0 ]);\nfprintf('','COMMENT: end icon graphi" "cs');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('in" "put',1,'sel');\ncolor('black');port_label('input',2,'d0');\ncolor('black');po" "rt_label('input',3,'d1');\ncolor('black');port_label('input',4,'d2');\ncolor(" "'black');port_label('input',5,'d3');\ncolor('black');disp('\\bf{}','texmode'," "'on');\nfprintf('','COMMENT: end icon text');\n" } Block { BlockType Reference Name "Mux3" Ports [9, 1] Position [2115, 414, 2165, 726] SourceBlock "xbsIndex_r4/Mux" SourceType "Xilinx Bus Multiplexer Block" inputs "8" en "off" latency "0" precision "Full" arith_type "Unsigned" n_bits "16" bin_pt "14" quantization "Truncate" overflow "Wrap" dbl_ovrd "off" xl_use_area "off" xl_area "[0,0,0,0,0,0,0]" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "mux" block_version "8.2.02" sg_icon_stat "50,312,9,1,white,blue,3,ee37f7b8" sg_mask_display "fprintf('','COMMENT: begin icon graphics');" "\npatch([0 50 50 0 ],[0 44.5714 267.429 312 ],[0.77 0.82 0.91]);\npatch([11 3" " 15 3 11 24 28 32 46 35 24 16 28 16 24 35 46 32 28 24 11 ],[136 144 156 168 1" "76 176 172 176 176 165 176 168 156 144 136 147 136 136 140 136 136 ],[0.98 0." "96 0.92]);\nplot([0 50 50 0 0 ],[0 44.5714 267.429 312 0 ]);\nfprintf('','COM" "MENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('b" "lack');port_label('input',1,'sel');\ncolor('black');port_label('input',2,'d0'" ");\ncolor('black');port_label('input',3,'d1');\ncolor('black');port_label('in" "put',4,'d2');\ncolor('black');port_label('input',5,'d3');\ncolor('black');por" "t_label('input',6,'d4');\ncolor('black');port_label('input',7,'d5');\ncolor('" "black');port_label('input',8,'d6');\ncolor('black');port_label('input',9,'d7'" ");\ncolor('black');disp('\\bf{}','texmode','on');\nfprintf('','COMMENT: end i" "con text');\n" } Block { BlockType SubSystem Name "Pos Edge Detector" Ports [1, 1] Position [960, 564, 1085, 626] TreatAsAtomicUnit off MinAlgLoopOccurrences off RTWSystemCode "Auto" MaskHideContents off System { Name "Pos Edge Detector" Location [971, 353, 1386, 494] Open off ModelBrowserVisibility off ModelBrowserWidth 200 ScreenColor "white" PaperOrientation "landscape" PaperPositionMode "auto" PaperType "usletter" PaperUnits "inches" ZoomFactor "100" Block { BlockType Inport Name "Input Signal" Position [25, 33, 55, 47] IconDisplay "Port number" } Block { BlockType Reference Name "Delay" Ports [1, 1] Position [170, 57, 230, 113] SourceBlock "xbsIndex_r4/Delay" SourceType "Xilinx Delay Block" infoedit "Hardware notes: A delay line is a chain" ", each link of which is an SRL16 followed by a flip-flop. If register retimin" "g is enabled, the delay line is a chain of flip-flops." en "off" latency "1" dbl_ovrd "off" reg_retiming "off" xl_use_area "off" xl_area "[0,0,0,0,0,0,0]" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "delay" block_version "8.2.02" sg_icon_stat "60,56,1,1,white,blue,0,fc531c0e" sg_mask_display "fprintf('','COMMENT: begin icon graphic" "s');\npatch([0 60 60 0 ],[0 0 56 56 ],[0.77 0.82 0.91]);\npatch([15 6 19 6 15" " 30 34 38 54 41 29 20 32 20 29 41 54 38 34 30 15 ],[6 15 28 41 50 50 46 50 50" " 37 49 40 28 16 7 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 0 60 60 0 ],[0 " "56 56 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT" ": begin icon text');\ncolor('black');disp('z^{-1}','texmode','on');\nfprintf(" "'','COMMENT: end icon text');\n" } Block { BlockType Reference Name "Inverter" Ports [1, 1] Position [100, 56, 155, 114] SourceBlock "xbsIndex_r4/Inverter" SourceType "Xilinx Inverter Block" infoedit "Bitwise logical negation (one's complem" "ent) operator." en "off" latency "0" dbl_ovrd "off" xl_use_area "off" xl_area "[0,0,0,0,0,0,0]" has_advanced_control "0" sggui_pos "20,20,356,237" block_type "inv" block_version "8.2.02" sg_icon_stat "55,58,1,1,white,blue,0,1ab4a85f" sg_mask_display "fprintf('','COMMENT: begin icon graphic" "s');\npatch([0 55 55 0 ],[0 0 58 58 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13" " 28 32 36 52 40 28 19 32 19 28 40 52 36 32 28 13 ],[7 16 29 42 51 51 47 51 51" " 39 51 42 29 16 7 19 7 7 11 7 7 ],[0.98 0.96 0.92]);\nplot([0 0 55 55 0 ],[0 " "58 58 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT" ": begin icon text');\ncolor('black');disp('not');\nfprintf('','COMMENT: end i" "con text');\n" } Block { BlockType Reference Name "Logical" Ports [2, 1] Position [280, 25, 335, 85] SourceBlock "xbsIndex_r4/Logical" SourceType "Xilinx Logical Block Block" logical_function "AND" inputs "2" en "off" latency "0" precision "Full" arith_type "Unsigned" n_bits "16" bin_pt "0" align_bp "on" dbl_ovrd "off" xl_use_area "off" xl_area "[0,0,0,0,0,0,0]" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "logical" block_version "8.2.02" sg_icon_stat "55,60,2,1,white,blue,0,087b5522" sg_mask_display "fprintf('','COMMENT: begin icon graphic" "s');\npatch([0 55 55 0 ],[0 0 60 60 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13" " 28 32 36 52 40 28 19 32 19 28 40 52 36 32 28 13 ],[8 17 30 43 52 52 48 52 52" " 40 52 43 30 17 8 20 8 8 12 8 8 ],[0.98 0.96 0.92]);\nplot([0 0 55 55 0 ],[0 " "60 60 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT" ": begin icon text');\ncolor('black');disp('\\newlineand\\newlinez^{-0}','texm" "ode','on');\nfprintf('','COMMENT: end icon text');\n" } Block { BlockType Outport Name "Rising Edge" Position [385, 48, 415, 62] IconDisplay "Port number" BusOutputAsStruct off } Line { SrcBlock "Input Signal" SrcPort 1 Points [0, 0; 15, 0] Branch { DstBlock "Logical" DstPort 1 } Branch { Points [0, 45] DstBlock "Inverter" DstPort 1 } } Line { SrcBlock "Delay" SrcPort 1 Points [15, 0; 0, -15] DstBlock "Logical" DstPort 2 } Line { SrcBlock "Inverter" SrcPort 1 DstBlock "Delay" DstPort 1 } Line { SrcBlock "Logical" SrcPort 1 DstBlock "Rising Edge" DstPort 1 } } } Block { BlockType Reference Name "Relational" Ports [2, 1] Position [505, 542, 560, 598] SourceBlock "xbsIndex_r4/Relational" SourceType "Xilinx Arithmetic Relational Operator Block" mode "a=b" en "off" latency "0" dbl_ovrd "off" xl_use_area "off" xl_area "[0,0,0,0,0,0,0]" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "relational" block_version "8.2.02" sg_icon_stat "55,56,2,1,white,blue,0,1b68ef8e" sg_mask_display "fprintf('','COMMENT: begin icon graphics');" "\npatch([0 55 55 0 ],[0 0 56 56 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13 28 " "32 36 52 40 28 19 32 19 28 40 52 36 32 28 13 ],[6 15 28 41 50 50 46 50 50 38 " "50 41 28 15 6 18 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 0 55 55 0 ],[0 56 5" "6 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: be" "gin icon text');\ncolor('black');port_label('input',1,'a');\ncolor('black');p" "ort_label('input',2,'b');\ncolor('black');disp('\\newline\\bf{a=b}\\newlinez^" "{-0}','texmode','on');\nfprintf('','COMMENT: end icon text');\n" } Block { BlockType Reference Name "Relational1" Ports [2, 1] Position [505, 672, 560, 728] SourceBlock "xbsIndex_r4/Relational" SourceType "Xilinx Arithmetic Relational Operator Block" mode "a=b" en "off" latency "0" dbl_ovrd "off" xl_use_area "off" xl_area "[0,0,0,0,0,0,0]" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "relational" block_version "8.2.02" sg_icon_stat "55,56,2,1,white,blue,0,1b68ef8e" sg_mask_display "fprintf('','COMMENT: begin icon graphics');" "\npatch([0 55 55 0 ],[0 0 56 56 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13 28 " "32 36 52 40 28 19 32 19 28 40 52 36 32 28 13 ],[6 15 28 41 50 50 46 50 50 38 " "50 41 28 15 6 18 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 0 55 55 0 ],[0 56 5" "6 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: be" "gin icon text');\ncolor('black');port_label('input',1,'a');\ncolor('black');p" "ort_label('input',2,'b');\ncolor('black');disp('\\newline\\bf{a=b}\\newlinez^" "{-0}','texmode','on');\nfprintf('','COMMENT: end icon text');\n" } Block { BlockType Reference Name "Relational2" Ports [2, 1] Position [1230, 737, 1285, 793] SourceBlock "xbsIndex_r4/Relational" SourceType "Xilinx Arithmetic Relational Operator Block" mode "a=b" en "off" latency "0" dbl_ovrd "off" xl_use_area "off" xl_area "[0,0,0,0,0,0,0]" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "relational" block_version "8.2.02" sg_icon_stat "55,56,2,1,white,blue,0,1b68ef8e" sg_mask_display "fprintf('','COMMENT: begin icon graphics');" "\npatch([0 55 55 0 ],[0 0 56 56 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13 28 " "32 36 52 40 28 19 32 19 28 40 52 36 32 28 13 ],[6 15 28 41 50 50 46 50 50 38 " "50 41 28 15 6 18 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 0 55 55 0 ],[0 56 5" "6 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: be" "gin icon text');\ncolor('black');port_label('input',1,'a');\ncolor('black');p" "ort_label('input',2,'b');\ncolor('black');disp('\\newline\\bf{a=b}\\newlinez^" "{-0}','texmode','on');\nfprintf('','COMMENT: end icon text');\n" } Block { BlockType Reference Name "SMWOAddr_gwin" Ports [1, 1] Position [1370, 580, 1435, 600] SourceBlock "xbsIndex_r4/Gateway In" SourceType "Xilinx Gateway In Block" infoedit "Gateway in block. Converts inputs of type " "Simulink integer, double and fixed point to Xilinx fixed point type.

Ha" "rdware notes: In hardware these blocks become top level input ports." arith_type "Unsigned" n_bits "12" bin_pt "0" quantization "Truncate" overflow "Wrap" period "1" dbl_ovrd "off" timing_constraint "None" locs_specified "off" LOCs "{}" xl_use_area "off" xl_area "[0,0,0,0,0,0,0]" has_advanced_control "0" sggui_pos "20,20,356,432" block_type "gatewayin" block_version "8.2.02" sg_icon_stat "65,20,1,1,white,yellow,0,4bb76ffd" sg_mask_display "fprintf('','COMMENT: begin icon graphics');" "\npatch([0 65 65 0 ],[0 0 20 20 ],[0.95 0.93 0.65]);\npatch([27 24 29 24 27 3" "2 33 34 40 36 32 29 34 29 32 36 40 34 33 32 27 ],[2 5 10 15 18 18 17 18 18 14" " 18 15 10 5 2 6 2 2 3 2 2 ],[0.98 0.96 0.92]);\nplot([0 0 65 65 0 ],[0 20 20 " "0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begi" "n icon text');\ncolor('black');port_label('input',1,'\\fontsize{11pt}\\bf In " "','texmode','on');\ncolor('black');port_label('output',1,' ');\nfprintf('','C" "OMMENT: end icon text');\n" } Block { BlockType Reference Name "SMWOAddr_gwin1" Ports [1, 1] Position [1000, 260, 1065, 280] SourceBlock "xbsIndex_r4/Gateway In" SourceType "Xilinx Gateway In Block" infoedit "Gateway in block. Converts inputs of type " "Simulink integer, double and fixed point to Xilinx fixed point type.

Ha" "rdware notes: In hardware these blocks become top level input ports." arith_type "Unsigned" n_bits "9" bin_pt "0" quantization "Truncate" overflow "Wrap" period "1" dbl_ovrd "off" timing_constraint "None" locs_specified "off" LOCs "{}" xl_use_area "off" xl_area "[0,0,0,0,0,0,0]" has_advanced_control "0" sggui_pos "20,20,356,432" block_type "gatewayin" block_version "8.2.02" sg_icon_stat "65,20,1,1,white,yellow,0,4bb76ffd" sg_mask_display "fprintf('','COMMENT: begin icon graphics');" "\npatch([0 65 65 0 ],[0 0 20 20 ],[0.95 0.93 0.65]);\npatch([27 24 29 24 27 3" "2 33 34 40 36 32 29 34 29 32 36 40 34 33 32 27 ],[2 5 10 15 18 18 17 18 18 14" " 18 15 10 5 2 6 2 2 3 2 2 ],[0.98 0.96 0.92]);\nplot([0 0 65 65 0 ],[0 20 20 " "0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begi" "n icon text');\ncolor('black');port_label('input',1,'\\fontsize{11pt}\\bf In " "','texmode','on');\ncolor('black');port_label('output',1,' ');\nfprintf('','C" "OMMENT: end icon text');\n" } Block { BlockType Reference Name "SMWODataI_gwin" Ports [1, 1] Position [1370, 615, 1435, 635] SourceBlock "xbsIndex_r4/Gateway In" SourceType "Xilinx Gateway In Block" infoedit "Gateway in block. Converts inputs of type " "Simulink integer, double and fixed point to Xilinx fixed point type.

Ha" "rdware notes: In hardware these blocks become top level input ports." arith_type "Unsigned" n_bits "32" bin_pt "0" quantization "Truncate" overflow "Wrap" period "1" dbl_ovrd "off" timing_constraint "None" locs_specified "off" LOCs "{}" xl_use_area "off" xl_area "[0,0,0,0,0,0,0]" has_advanced_control "0" sggui_pos "20,20,356,432" block_type "gatewayin" block_version "8.2.02" sg_icon_stat "65,20,1,1,white,yellow,0,4bb76ffd" sg_mask_display "fprintf('','COMMENT: begin icon graphics');" "\npatch([0 65 65 0 ],[0 0 20 20 ],[0.95 0.93 0.65]);\npatch([27 24 29 24 27 3" "2 33 34 40 36 32 29 34 29 32 36 40 34 33 32 27 ],[2 5 10 15 18 18 17 18 18 14" " 18 15 10 5 2 6 2 2 3 2 2 ],[0.98 0.96 0.92]);\nplot([0 0 65 65 0 ],[0 20 20 " "0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begi" "n icon text');\ncolor('black');port_label('input',1,'\\fontsize{11pt}\\bf In " "','texmode','on');\ncolor('black');port_label('output',1,' ');\nfprintf('','C" "OMMENT: end icon text');\n" } Block { BlockType Reference Name "SMWODataI_gwin1" Ports [1, 1] Position [1000, 295, 1065, 315] SourceBlock "xbsIndex_r4/Gateway In" SourceType "Xilinx Gateway In Block" infoedit "Gateway in block. Converts inputs of type " "Simulink integer, double and fixed point to Xilinx fixed point type.

Ha" "rdware notes: In hardware these blocks become top level input ports." arith_type "Unsigned" n_bits "32" bin_pt "0" quantization "Truncate" overflow "Wrap" period "1" dbl_ovrd "off" timing_constraint "None" locs_specified "off" LOCs "{}" xl_use_area "off" xl_area "[0,0,0,0,0,0,0]" has_advanced_control "0" sggui_pos "20,20,356,432" block_type "gatewayin" block_version "8.2.02" sg_icon_stat "65,20,1,1,white,yellow,0,4bb76ffd" sg_mask_display "fprintf('','COMMENT: begin icon graphics');" "\npatch([0 65 65 0 ],[0 0 20 20 ],[0.95 0.93 0.65]);\npatch([27 24 29 24 27 3" "2 33 34 40 36 32 29 34 29 32 36 40 34 33 32 27 ],[2 5 10 15 18 18 17 18 18 14" " 18 15 10 5 2 6 2 2 3 2 2 ],[0.98 0.96 0.92]);\nplot([0 0 65 65 0 ],[0 20 20 " "0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begi" "n icon text');\ncolor('black');port_label('input',1,'\\fontsize{11pt}\\bf In " "','texmode','on');\ncolor('black');port_label('output',1,' ');\nfprintf('','C" "OMMENT: end icon text');\n" } Block { BlockType Reference Name "SMWOWE_gwin" Ports [1, 1] Position [1370, 650, 1435, 670] SourceBlock "xbsIndex_r4/Gateway In" SourceType "Xilinx Gateway In Block" infoedit "Gateway in block. Converts inputs of type " "Simulink integer, double and fixed point to Xilinx fixed point type.

Ha" "rdware notes: In hardware these blocks become top level input ports." arith_type "Boolean" n_bits "16" bin_pt "14" quantization "Round (unbiased: +/- Inf)" overflow "Saturate" period "1" dbl_ovrd "off" timing_constraint "None" locs_specified "off" LOCs "{}" xl_use_area "off" xl_area "[0,0,0,0,0,0,0]" has_advanced_control "0" sggui_pos "20,20,356,432" block_type "gatewayin" block_version "8.2.02" sg_icon_stat "65,20,1,1,white,yellow,0,4bb76ffd" sg_mask_display "fprintf('','COMMENT: begin icon graphics');" "\npatch([0 65 65 0 ],[0 0 20 20 ],[0.95 0.93 0.65]);\npatch([27 24 29 24 27 3" "2 33 34 40 36 32 29 34 29 32 36 40 34 33 32 27 ],[2 5 10 15 18 18 17 18 18 14" " 18 15 10 5 2 6 2 2 3 2 2 ],[0.98 0.96 0.92]);\nplot([0 0 65 65 0 ],[0 20 20 " "0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begi" "n icon text');\ncolor('black');port_label('input',1,'\\fontsize{11pt}\\bf In " "','texmode','on');\ncolor('black');port_label('output',1,' ');\nfprintf('','C" "OMMENT: end icon text');\n" } Block { BlockType Reference Name "SMWOWE_gwin1" Ports [1, 1] Position [1000, 335, 1065, 355] SourceBlock "xbsIndex_r4/Gateway In" SourceType "Xilinx Gateway In Block" infoedit "Gateway in block. Converts inputs of type " "Simulink integer, double and fixed point to Xilinx fixed point type.

Ha" "rdware notes: In hardware these blocks become top level input ports." arith_type "Boolean" n_bits "16" bin_pt "14" quantization "Round (unbiased: +/- Inf)" overflow "Saturate" period "1" dbl_ovrd "off" timing_constraint "None" locs_specified "off" LOCs "{}" xl_use_area "off" xl_area "[0,0,0,0,0,0,0]" has_advanced_control "0" sggui_pos "20,20,356,432" block_type "gatewayin" block_version "8.2.02" sg_icon_stat "65,20,1,1,white,yellow,0,4bb76ffd" sg_mask_display "fprintf('','COMMENT: begin icon graphics');" "\npatch([0 65 65 0 ],[0 0 20 20 ],[0.95 0.93 0.65]);\npatch([27 24 29 24 27 3" "2 33 34 40 36 32 29 34 29 32 36 40 34 33 32 27 ],[2 5 10 15 18 18 17 18 18 14" " 18 15 10 5 2 6 2 2 3 2 2 ],[0.98 0.96 0.92]);\nplot([0 0 65 65 0 ],[0 20 20 " "0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begi" "n icon text');\ncolor('black');port_label('input',1,'\\fontsize{11pt}\\bf In " "','texmode','on');\ncolor('black');port_label('output',1,' ');\nfprintf('','C" "OMMENT: end icon text');\n" } Block { BlockType Reference Name "SMWO_LCD_CharacterMap" Ports [6, 2] Position [1545, 455, 1620, 620] SourceBlock "xbsIndex_r4/Dual Port RAM" SourceType "Xilinx Dual Port Random Access Memory Block" depth "2^14" initVector "charMap" distributed_mem "Block RAM" init_a "0" init_b "0" rst_a "off" rst_b "off" en_a "off" en_b "off" latency "1" write_mode_A "Read After Write" write_mode_B "Read After Write" dbl_ovrd "off" optimize "Area" use_rpm "on" xl_use_area "off" xl_area "[0,0,0,0,0,0,0]" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "dpram" block_version "8.2.02" sg_icon_stat "75,165,6,2,white,blue,0,239f35c0" sg_mask_display "fprintf('','COMMENT: begin icon graphics');" "\npatch([0 75 75 0 ],[0 0 165 165 ],[0.77 0.82 0.91]);\npatch([17 5 23 5 17 3" "7 42 47 68 51 35 23 40 23 35 51 68 47 42 37 17 ],[53 65 83 101 113 113 108 11" "3 113 96 112 100 83 66 54 70 53 53 58 53 53 ],[0.98 0.96 0.92]);\nplot([0 0 7" "5 75 0 ],[0 165 165 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprin" "tf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1,'addr" "a');\ncolor('black');port_label('input',2,'dina');\ncolor('black');port_label" "('input',3,'wea');\ncolor('black');port_label('input',4,'addrb');\ncolor('bla" "ck');port_label('input',5,'dinb');\ncolor('black');port_label('input',6,'web'" ");\ncolor('black');port_label('output',1,'A');\ncolor('black');port_label('ou" "tput',2,'B');\nfprintf('','COMMENT: end icon text');\n" } Block { BlockType Reference Name "SMWO_LCD_Characters" Ports [6, 2] Position [1175, 135, 1250, 300] SourceBlock "xbsIndex_r4/Dual Port RAM" SourceType "Xilinx Dual Port Random Access Memory Block" depth "2^11" initVector "0" distributed_mem "Block RAM" init_a "0" init_b "0" rst_a "off" rst_b "off" en_a "off" en_b "off" latency "1" write_mode_A "Read After Write" write_mode_B "Read After Write" dbl_ovrd "off" optimize "Area" use_rpm "on" xl_use_area "off" xl_area "[0,0,0,0,0,0,0]" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "dpram" block_version "8.2.02" sg_icon_stat "75,165,6,2,white,blue,0,239f35c0" sg_mask_display "fprintf('','COMMENT: begin icon graphics');" "\npatch([0 75 75 0 ],[0 0 165 165 ],[0.77 0.82 0.91]);\npatch([17 5 23 5 17 3" "7 42 47 68 51 35 23 40 23 35 51 68 47 42 37 17 ],[53 65 83 101 113 113 108 11" "3 113 96 112 100 83 66 54 70 53 53 58 53 53 ],[0.98 0.96 0.92]);\nplot([0 0 7" "5 75 0 ],[0 165 165 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprin" "tf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1,'addr" "a');\ncolor('black');port_label('input',2,'dina');\ncolor('black');port_label" "('input',3,'wea');\ncolor('black');port_label('input',4,'addrb');\ncolor('bla" "ck');port_label('input',5,'dinb');\ncolor('black');port_label('input',6,'web'" ");\ncolor('black');port_label('output',1,'A');\ncolor('black');port_label('ou" "tput',2,'B');\nfprintf('','COMMENT: end icon text');\n" } Block { BlockType Scope Name "Scope1" Ports [8] Position [1480, 108, 1545, 252] Floating off Location [1, 45, 1913, 1113] Open off NumInputPorts "8" ZoomMode "xonly" List { ListType AxesTitles axes1 "%" axes2 "%" axes3 "%" axes4 "%" axes5 "%" axes6 "%" axes7 "%" axes8 "%" } YMin "-5~-5~-5~-5~-5~-5~-5~0" YMax "5~5~5~5~5~5~5~1000" SaveName "ScopeData5" DataFormat "StructureWithTime" LimitDataPoints off SampleTime "0" } Block { BlockType Scope Name "Scope2" Ports [2] Position [2280, 808, 2345, 952] Floating off Location [1, 45, 1913, 1113] Open off NumInputPorts "2" ZoomMode "xonly" List { ListType AxesTitles axes1 "%" axes2 "%" } YMin "0~-5" YMax "500~5" SaveName "ScopeData2" DataFormat "StructureWithTime" LimitDataPoints off SampleTime "0" } Block { BlockType Reference Name "Slice" Ports [1, 1] Position [860, 425, 920, 455] SourceBlock "xbsIndex_r4/Slice" SourceType "Xilinx Bit Slice Extractor Block" infoedit "Extracts a given range of bits from each in" "put sample and presents it at the output. The output type is ordinarily unsi" "gned with binary point at zero, but can be Boolean when the slice is one bit " "wide.

Hardware notes: In hardware this block costs nothing." nbits "4" boolean_output "off" mode "Lower Bit Location + Width" bit1 "0" base1 "MSB of Input" bit0 "2" base0 "LSB of Input" dbl_ovrd "off" has_advanced_control "0" sggui_pos "20,20,442,407" block_type "slice" block_version "8.2.02" sg_icon_stat "60,30,1,1,white,blue,0,fe24a11e" sg_mask_display "fprintf('','COMMENT: begin icon graphics');" "\npatch([0 60 60 0 ],[0 0 30 30 ],[0.77 0.82 0.91]);\npatch([22 17 24 17 22 3" "0 32 34 42 35 29 24 30 24 29 35 42 34 32 30 22 ],[3 8 15 22 27 27 25 27 27 20" " 26 21 15 9 4 10 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 0 60 60 0 ],[0 30 30" " 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: beg" "in icon text');\ncolor('black');port_label('output',1,'[a:b]');\nfprintf('','" "COMMENT: end icon text');\n" } Block { BlockType Reference Name "Slice1" Ports [1, 1] Position [860, 475, 920, 505] SourceBlock "xbsIndex_r4/Slice" SourceType "Xilinx Bit Slice Extractor Block" infoedit "Extracts a given range of bits from each in" "put sample and presents it at the output. The output type is ordinarily unsi" "gned with binary point at zero, but can be Boolean when the slice is one bit " "wide.

Hardware notes: In hardware this block costs nothing." nbits "2" boolean_output "off" mode "Lower Bit Location + Width" bit1 "0" base1 "MSB of Input" bit0 "0" base0 "LSB of Input" dbl_ovrd "off" has_advanced_control "0" sggui_pos "20,20,442,407" block_type "slice" block_version "8.2.02" sg_icon_stat "60,30,1,1,white,blue,0,fe24a11e" sg_mask_display "fprintf('','COMMENT: begin icon graphics');" "\npatch([0 60 60 0 ],[0 0 30 30 ],[0.77 0.82 0.91]);\npatch([22 17 24 17 22 3" "0 32 34 42 35 29 24 30 24 29 35 42 34 32 30 22 ],[3 8 15 22 27 27 25 27 27 20" " 26 21 15 9 4 10 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 0 60 60 0 ],[0 30 30" " 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: beg" "in icon text');\ncolor('black');port_label('output',1,'[a:b]');\nfprintf('','" "COMMENT: end icon text');\n" } Block { BlockType Reference Name "Slice10" Ports [1, 1] Position [1925, 540, 1985, 570] SourceBlock "xbsIndex_r4/Slice" SourceType "Xilinx Bit Slice Extractor Block" infoedit "Extracts a given range of bits from each in" "put sample and presents it at the output. The output type is ordinarily unsi" "gned with binary point at zero, but can be Boolean when the slice is one bit " "wide.

Hardware notes: In hardware this block costs nothing." nbits "4" boolean_output "off" mode "Upper Bit Location + Width" bit1 "0" base1 "MSB of Input" bit0 "0" base0 "LSB of Input" dbl_ovrd "off" has_advanced_control "0" sggui_pos "20,20,442,407" block_type "slice" block_version "8.2.02" sg_icon_stat "60,30,1,1,white,blue,0,fe24a11e" sg_mask_display "fprintf('','COMMENT: begin icon graphics');" "\npatch([0 60 60 0 ],[0 0 30 30 ],[0.77 0.82 0.91]);\npatch([22 17 24 17 22 3" "0 32 34 42 35 29 24 30 24 29 35 42 34 32 30 22 ],[3 8 15 22 27 27 25 27 27 20" " 26 21 15 9 4 10 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 0 60 60 0 ],[0 30 30" " 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: beg" "in icon text');\ncolor('black');port_label('output',1,'[a:b]');\nfprintf('','" "COMMENT: end icon text');\n" } Block { BlockType Reference Name "Slice11" Ports [1, 1] Position [1925, 645, 1985, 675] SourceBlock "xbsIndex_r4/Slice" SourceType "Xilinx Bit Slice Extractor Block" infoedit "Extracts a given range of bits from each in" "put sample and presents it at the output. The output type is ordinarily unsi" "gned with binary point at zero, but can be Boolean when the slice is one bit " "wide.

Hardware notes: In hardware this block costs nothing." nbits "4" boolean_output "off" mode "Lower Bit Location + Width" bit1 "4" base1 "MSB of Input" bit0 "6" base0 "LSB of Input" dbl_ovrd "off" has_advanced_control "0" sggui_pos "20,20,442,407" block_type "slice" block_version "8.2.02" sg_icon_stat "60,30,1,1,white,blue,0,fe24a11e" sg_mask_display "fprintf('','COMMENT: begin icon graphics');" "\npatch([0 60 60 0 ],[0 0 30 30 ],[0.77 0.82 0.91]);\npatch([22 17 24 17 22 3" "0 32 34 42 35 29 24 30 24 29 35 42 34 32 30 22 ],[3 8 15 22 27 27 25 27 27 20" " 26 21 15 9 4 10 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 0 60 60 0 ],[0 30 30" " 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: beg" "in icon text');\ncolor('black');port_label('output',1,'[a:b]');\nfprintf('','" "COMMENT: end icon text');\n" } Block { BlockType Reference Name "Slice2" Ports [1, 1] Position [550, 140, 610, 170] SourceBlock "xbsIndex_r4/Slice" SourceType "Xilinx Bit Slice Extractor Block" infoedit "Extracts a given range of bits from each in" "put sample and presents it at the output. The output type is ordinarily unsi" "gned with binary point at zero, but can be Boolean when the slice is one bit " "wide.

Hardware notes: In hardware this block costs nothing." nbits "6" boolean_output "off" mode "Lower Bit Location + Width" bit1 "0" base1 "MSB of Input" bit0 "2" base0 "LSB of Input" dbl_ovrd "off" has_advanced_control "0" sggui_pos "20,20,442,407" block_type "slice" block_version "8.2.02" sg_icon_stat "60,30,1,1,white,blue,0,fe24a11e" sg_mask_display "fprintf('','COMMENT: begin icon graphics');" "\npatch([0 60 60 0 ],[0 0 30 30 ],[0.77 0.82 0.91]);\npatch([22 17 24 17 22 3" "0 32 34 42 35 29 24 30 24 29 35 42 34 32 30 22 ],[3 8 15 22 27 27 25 27 27 20" " 26 21 15 9 4 10 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 0 60 60 0 ],[0 30 30" " 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: beg" "in icon text');\ncolor('black');port_label('output',1,'[a:b]');\nfprintf('','" "COMMENT: end icon text');\n" } Block { BlockType Reference Name "Slice3" Ports [1, 1] Position [550, 190, 610, 220] SourceBlock "xbsIndex_r4/Slice" SourceType "Xilinx Bit Slice Extractor Block" infoedit "Extracts a given range of bits from each in" "put sample and presents it at the output. The output type is ordinarily unsi" "gned with binary point at zero, but can be Boolean when the slice is one bit " "wide.

Hardware notes: In hardware this block costs nothing." nbits "2" boolean_output "off" mode "Lower Bit Location + Width" bit1 "0" base1 "MSB of Input" bit0 "0" base0 "LSB of Input" dbl_ovrd "off" has_advanced_control "0" sggui_pos "20,20,442,407" block_type "slice" block_version "8.2.02" sg_icon_stat "60,30,1,1,white,blue,0,fe24a11e" sg_mask_display "fprintf('','COMMENT: begin icon graphics');" "\npatch([0 60 60 0 ],[0 0 30 30 ],[0.77 0.82 0.91]);\npatch([22 17 24 17 22 3" "0 32 34 42 35 29 24 30 24 29 35 42 34 32 30 22 ],[3 8 15 22 27 27 25 27 27 20" " 26 21 15 9 4 10 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 0 60 60 0 ],[0 30 30" " 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: beg" "in icon text');\ncolor('black');port_label('output',1,'[a:b]');\nfprintf('','" "COMMENT: end icon text');\n" } Block { BlockType Reference Name "Slice4" Ports [1, 1] Position [420, 190, 480, 220] SourceBlock "xbsIndex_r4/Slice" SourceType "Xilinx Bit Slice Extractor Block" infoedit "Extracts a given range of bits from each in" "put sample and presents it at the output. The output type is ordinarily unsi" "gned with binary point at zero, but can be Boolean when the slice is one bit " "wide.

Hardware notes: In hardware this block costs nothing." nbits "8" boolean_output "off" mode "Lower Bit Location + Width" bit1 "0" base1 "MSB of Input" bit0 "6" base0 "LSB of Input" dbl_ovrd "off" has_advanced_control "0" sggui_pos "20,20,442,407" block_type "slice" block_version "8.2.02" sg_icon_stat "60,30,1,1,white,blue,0,fe24a11e" sg_mask_display "fprintf('','COMMENT: begin icon graphics');" "\npatch([0 60 60 0 ],[0 0 30 30 ],[0.77 0.82 0.91]);\npatch([22 17 24 17 22 3" "0 32 34 42 35 29 24 30 24 29 35 42 34 32 30 22 ],[3 8 15 22 27 27 25 27 27 20" " 26 21 15 9 4 10 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 0 60 60 0 ],[0 30 30" " 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: beg" "in icon text');\ncolor('black');port_label('output',1,'[a:b]');\nfprintf('','" "COMMENT: end icon text');\n" } Block { BlockType Reference Name "Slice5" Ports [1, 1] Position [690, 475, 750, 505] SourceBlock "xbsIndex_r4/Slice" SourceType "Xilinx Bit Slice Extractor Block" infoedit "Extracts a given range of bits from each in" "put sample and presents it at the output. The output type is ordinarily unsi" "gned with binary point at zero, but can be Boolean when the slice is one bit " "wide.

Hardware notes: In hardware this block costs nothing." nbits "6" boolean_output "off" mode "Lower Bit Location + Width" bit1 "0" base1 "MSB of Input" bit0 "0" base0 "LSB of Input" dbl_ovrd "off" has_advanced_control "0" sggui_pos "20,20,442,407" block_type "slice" block_version "8.2.02" sg_icon_stat "60,30,1,1,white,blue,0,fe24a11e" sg_mask_display "fprintf('','COMMENT: begin icon graphics');" "\npatch([0 60 60 0 ],[0 0 30 30 ],[0.77 0.82 0.91]);\npatch([22 17 24 17 22 3" "0 32 34 42 35 29 24 30 24 29 35 42 34 32 30 22 ],[3 8 15 22 27 27 25 27 27 20" " 26 21 15 9 4 10 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 0 60 60 0 ],[0 30 30" " 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: beg" "in icon text');\ncolor('black');port_label('output',1,'[a:b]');\nfprintf('','" "COMMENT: end icon text');\n" } Block { BlockType Reference Name "Slice6" Ports [1, 1] Position [410, 540, 470, 570] SourceBlock "xbsIndex_r4/Slice" SourceType "Xilinx Bit Slice Extractor Block" infoedit "Extracts a given range of bits from each in" "put sample and presents it at the output. The output type is ordinarily unsi" "gned with binary point at zero, but can be Boolean when the slice is one bit " "wide.

Hardware notes: In hardware this block costs nothing." nbits "6" boolean_output "off" mode "Lower Bit Location + Width" bit1 "0" base1 "MSB of Input" bit0 "0" base0 "LSB of Input" dbl_ovrd "off" has_advanced_control "0" sggui_pos "20,20,442,407" block_type "slice" block_version "8.2.02" sg_icon_stat "60,30,1,1,white,blue,0,fe24a11e" sg_mask_display "fprintf('','COMMENT: begin icon graphics');" "\npatch([0 60 60 0 ],[0 0 30 30 ],[0.77 0.82 0.91]);\npatch([22 17 24 17 22 3" "0 32 34 42 35 29 24 30 24 29 35 42 34 32 30 22 ],[3 8 15 22 27 27 25 27 27 20" " 26 21 15 9 4 10 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 0 60 60 0 ],[0 30 30" " 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: beg" "in icon text');\ncolor('black');port_label('output',1,'[a:b]');\nfprintf('','" "COMMENT: end icon text');\n" } Block { BlockType Reference Name "Slice7" Ports [1, 1] Position [410, 670, 470, 700] SourceBlock "xbsIndex_r4/Slice" SourceType "Xilinx Bit Slice Extractor Block" infoedit "Extracts a given range of bits from each in" "put sample and presents it at the output. The output type is ordinarily unsi" "gned with binary point at zero, but can be Boolean when the slice is one bit " "wide.

Hardware notes: In hardware this block costs nothing." nbits "4" boolean_output "off" mode "Lower Bit Location + Width" bit1 "0" base1 "MSB of Input" bit0 "6" base0 "LSB of Input" dbl_ovrd "off" has_advanced_control "0" sggui_pos "20,20,442,407" block_type "slice" block_version "8.2.02" sg_icon_stat "60,30,1,1,white,blue,0,fe24a11e" sg_mask_display "fprintf('','COMMENT: begin icon graphics');" "\npatch([0 60 60 0 ],[0 0 30 30 ],[0.77 0.82 0.91]);\npatch([22 17 24 17 22 3" "0 32 34 42 35 29 24 30 24 29 35 42 34 32 30 22 ],[3 8 15 22 27 27 25 27 27 20" " 26 21 15 9 4 10 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 0 60 60 0 ],[0 30 30" " 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: beg" "in icon text');\ncolor('black');port_label('output',1,'[a:b]');\nfprintf('','" "COMMENT: end icon text');\n" } Block { BlockType Reference Name "Slice8" Ports [1, 1] Position [2235, 580, 2295, 610] SourceBlock "xbsIndex_r4/Slice" SourceType "Xilinx Bit Slice Extractor Block" infoedit "Extracts a given range of bits from each in" "put sample and presents it at the output. The output type is ordinarily unsi" "gned with binary point at zero, but can be Boolean when the slice is one bit " "wide.

Hardware notes: In hardware this block costs nothing." nbits "8" boolean_output "off" mode "Lower Bit Location + Width" bit1 "0" base1 "MSB of Input" bit0 "0" base0 "LSB of Input" dbl_ovrd "off" has_advanced_control "0" sggui_pos "20,20,442,407" block_type "slice" block_version "8.2.02" sg_icon_stat "60,30,1,1,white,blue,0,fe24a11e" sg_mask_display "fprintf('','COMMENT: begin icon graphics');" "\npatch([0 60 60 0 ],[0 0 30 30 ],[0.77 0.82 0.91]);\npatch([22 17 24 17 22 3" "0 32 34 42 35 29 24 30 24 29 35 42 34 32 30 22 ],[3 8 15 22 27 27 25 27 27 20" " 26 21 15 9 4 10 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 0 60 60 0 ],[0 30 30" " 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: beg" "in icon text');\ncolor('black');port_label('output',1,'[a:b]');\nfprintf('','" "COMMENT: end icon text');\n" } Block { BlockType Reference Name "Slice9" Ports [1, 1] Position [2235, 530, 2295, 560] SourceBlock "xbsIndex_r4/Slice" SourceType "Xilinx Bit Slice Extractor Block" infoedit "Extracts a given range of bits from each in" "put sample and presents it at the output. The output type is ordinarily unsi" "gned with binary point at zero, but can be Boolean when the slice is one bit " "wide.

Hardware notes: In hardware this block costs nothing." nbits "1" boolean_output "off" mode "Lower Bit Location + Width" bit1 "0" base1 "MSB of Input" bit0 "8" base0 "LSB of Input" dbl_ovrd "off" has_advanced_control "0" sggui_pos "20,20,442,407" block_type "slice" block_version "8.2.02" sg_icon_stat "60,30,1,1,white,blue,0,fe24a11e" sg_mask_display "fprintf('','COMMENT: begin icon graphics');" "\npatch([0 60 60 0 ],[0 0 30 30 ],[0.77 0.82 0.91]);\npatch([22 17 24 17 22 3" "0 32 34 42 35 29 24 30 24 29 35 42 34 32 30 22 ],[3 8 15 22 27 27 25 27 27 20" " 26 21 15 9 4 10 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 0 60 60 0 ],[0 30 30" " 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: beg" "in icon text');\ncolor('black');port_label('output',1,'[a:b]');\nfprintf('','" "COMMENT: end icon text');\n" } Block { BlockType SubSystem Name "Subsystem" Ports [3, 1] Position [1040, 688, 1090, 762] TreatAsAtomicUnit off MinAlgLoopOccurrences off RTWSystemCode "Auto" MaskHideContents off System { Name "Subsystem" Location [1049, 695, 1274, 807] Open off ModelBrowserVisibility off ModelBrowserWidth 200 ScreenColor "white" PaperOrientation "landscape" PaperPositionMode "auto" PaperType "usletter" PaperUnits "inches" ZoomFactor "100" Block { BlockType Inport Name "6bitval" Position [35, 148, 65, 162] IconDisplay "Port number" } Block { BlockType Inport Name "TransferDone" Position [35, 178, 65, 192] Port "2" IconDisplay "Port number" } Block { BlockType Inport Name "Not0" Position [35, 233, 65, 247] Port "3" IconDisplay "Port number" } Block { BlockType Reference Name "Delay" Ports [1, 1] Position [115, 166, 160, 204] SourceBlock "xbsIndex_r4/Delay" SourceType "Xilinx Delay Block" infoedit "Hardware notes: A delay line is a chain" ", each link of which is an SRL16 followed by a flip-flop. If register retimin" "g is enabled, the delay line is a chain of flip-flops." en "off" latency "1" dbl_ovrd "off" reg_retiming "off" xl_use_area "off" xl_area "[0,0,0,0,0,0,0]" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "delay" block_version "8.2.02" sg_icon_stat "45,38,1,1,white,blue,0,fc531c0e" sg_mask_display "fprintf('','COMMENT: begin icon graphic" "s');\npatch([0 45 45 0 ],[0 0 38 38 ],[0.77 0.82 0.91]);\npatch([12 6 15 6 12" " 22 25 28 39 31 23 17 26 17 23 31 39 28 25 22 12 ],[4 10 19 28 34 34 31 34 34" " 26 34 28 19 10 4 12 4 4 7 4 4 ],[0.98 0.96 0.92]);\nplot([0 0 45 45 0 ],[0 3" "8 38 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT:" " begin icon text');\ncolor('black');disp('z^{-1}','texmode','on');\nfprintf('" "','COMMENT: end icon text');\n" } Block { BlockType Reference Name "Logical1" Ports [2, 1] Position [220, 225, 275, 285] SourceBlock "xbsIndex_r4/Logical" SourceType "Xilinx Logical Block Block" logical_function "AND" inputs "2" en "off" latency "0" precision "Full" arith_type "Unsigned" n_bits "16" bin_pt "0" align_bp "on" dbl_ovrd "off" xl_use_area "off" xl_area "[0,0,0,0,0,0,0]" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "logical" block_version "8.2.02" sg_icon_stat "55,60,2,1,white,blue,0,087b5522" sg_mask_display "fprintf('','COMMENT: begin icon graphic" "s');\npatch([0 55 55 0 ],[0 0 60 60 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13" " 28 32 36 52 40 28 19 32 19 28 40 52 36 32 28 13 ],[8 17 30 43 52 52 48 52 52" " 40 52 43 30 17 8 20 8 8 12 8 8 ],[0.98 0.96 0.92]);\nplot([0 0 55 55 0 ],[0 " "60 60 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT" ": begin icon text');\ncolor('black');disp('\\newlineand\\newlinez^{-0}','texm" "ode','on');\nfprintf('','COMMENT: end icon text');\n" } Block { BlockType Reference Name "Logical2" Ports [2, 1] Position [220, 140, 275, 200] SourceBlock "xbsIndex_r4/Logical" SourceType "Xilinx Logical Block Block" logical_function "AND" inputs "2" en "off" latency "0" precision "Full" arith_type "Unsigned" n_bits "16" bin_pt "0" align_bp "on" dbl_ovrd "off" xl_use_area "off" xl_area "[0,0,0,0,0,0,0]" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "logical" block_version "8.2.02" sg_icon_stat "55,60,2,1,white,blue,0,087b5522" sg_mask_display "fprintf('','COMMENT: begin icon graphic" "s');\npatch([0 55 55 0 ],[0 0 60 60 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13" " 28 32 36 52 40 28 19 32 19 28 40 52 36 32 28 13 ],[8 17 30 43 52 52 48 52 52" " 40 52 43 30 17 8 20 8 8 12 8 8 ],[0.98 0.96 0.92]);\nplot([0 0 55 55 0 ],[0 " "60 60 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT" ": begin icon text');\ncolor('black');disp('\\newlineand\\newlinez^{-0}','texm" "ode','on');\nfprintf('','COMMENT: end icon text');\n" } Block { BlockType Reference Name "Logical3" Ports [2, 1] Position [320, 180, 375, 240] SourceBlock "xbsIndex_r4/Logical" SourceType "Xilinx Logical Block Block" logical_function "OR" inputs "2" en "off" latency "0" precision "Full" arith_type "Unsigned" n_bits "16" bin_pt "0" align_bp "on" dbl_ovrd "off" xl_use_area "off" xl_area "[0,0,0,0,0,0,0]" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "logical" block_version "8.2.02" sg_icon_stat "55,60,2,1,white,blue,0,f4a65842" sg_mask_display "fprintf('','COMMENT: begin icon graphic" "s');\npatch([0 55 55 0 ],[0 0 60 60 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13" " 28 32 36 52 40 28 19 32 19 28 40 52 36 32 28 13 ],[8 17 30 43 52 52 48 52 52" " 40 52 43 30 17 8 20 8 8 12 8 8 ],[0.98 0.96 0.92]);\nplot([0 0 55 55 0 ],[0 " "60 60 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT" ": begin icon text');\ncolor('black');disp('\\newlineor\\newlinez^{-0}','texmo" "de','on');\nfprintf('','COMMENT: end icon text');\n" } Block { BlockType Outport Name "Out1" Position [420, 203, 450, 217] IconDisplay "Port number" BusOutputAsStruct off } Line { SrcBlock "6bitval" SrcPort 1 DstBlock "Logical2" DstPort 1 } Line { SrcBlock "TransferDone" SrcPort 1 DstBlock "Delay" DstPort 1 } Line { SrcBlock "Logical3" SrcPort 1 Points [0, 0] DstBlock "Out1" DstPort 1 } Line { SrcBlock "Logical2" SrcPort 1 Points [15, 0; 0, 25] DstBlock "Logical3" DstPort 1 } Line { SrcBlock "Logical1" SrcPort 1 Points [15, 0; 0, -30] DstBlock "Logical3" DstPort 2 } Line { SrcBlock "Not0" SrcPort 1 DstBlock "Logical1" DstPort 1 } Line { SrcBlock "Delay" SrcPort 1 Points [20, 0] Branch { DstBlock "Logical2" DstPort 2 } Branch { Points [0, 85] DstBlock "Logical1" DstPort 2 } } } } Block { BlockType SubSystem Name "Subsystem1" Ports [1, 2] Position [2000, 516, 2080, 589] TreatAsAtomicUnit off MinAlgLoopOccurrences off RTWSystemCode "Auto" MaskHideContents off System { Name "Subsystem1" Location [1219, 835, 1479, 1042] Open off ModelBrowserVisibility off ModelBrowserWidth 200 ScreenColor "white" PaperOrientation "landscape" PaperPositionMode "auto" PaperType "usletter" PaperUnits "inches" ZoomFactor "100" Block { BlockType Inport Name "4bits" Position [35, 43, 65, 57] IconDisplay "Port number" } Block { BlockType Reference Name "AddSub" Ports [2, 1] Position [310, 51, 370, 109] SourceBlock "xbsIndex_r4/AddSub" SourceType "Xilinx Adder/Subtractor Block" mode "Addition" use_carryin "off" use_carryout "off" en "off" latency "0" precision "Full" arith_type "Unsigned" n_bits "16" bin_pt "14" quantization "Truncate" overflow "Wrap" dbl_ovrd "off" use_behavioral_HDL "off" pipelined "off" use_rpm "on" xl_use_area "off" xl_area "[0,0,0,0,0,0,0]" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "addsub" block_version "8.2.02" sg_icon_stat "60,58,2,1,white,blue,0,84d1e665" sg_mask_display "fprintf('','COMMENT: begin icon graphic" "s');\npatch([0 60 60 0 ],[0 0 58 58 ],[0.77 0.82 0.91]);\npatch([15 5 19 5 15" " 30 34 38 54 41 29 20 34 20 29 41 54 38 34 30 15 ],[6 16 30 44 54 54 50 54 54" " 41 53 44 30 16 7 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 0 60 60 0 ],[0 " "58 58 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT" ": begin icon text');\ncolor('black');port_label('input',1,'a');\ncolor('black" "');port_label('input',2,'b');\ncolor('black');port_label('output',1,'\\bf{a +" " b}','texmode','on');\nfprintf('','COMMENT: end icon text');\n" } Block { BlockType Reference Name "AddSub1" Ports [2, 1] Position [310, 151, 370, 209] SourceBlock "xbsIndex_r4/AddSub" SourceType "Xilinx Adder/Subtractor Block" mode "Addition" use_carryin "off" use_carryout "off" en "off" latency "0" precision "Full" arith_type "Unsigned" n_bits "16" bin_pt "14" quantization "Truncate" overflow "Wrap" dbl_ovrd "off" use_behavioral_HDL "off" pipelined "off" use_rpm "on" xl_use_area "off" xl_area "[0,0,0,0,0,0,0]" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "addsub" block_version "8.2.02" sg_icon_stat "60,58,2,1,white,blue,0,84d1e665" sg_mask_display "fprintf('','COMMENT: begin icon graphic" "s');\npatch([0 60 60 0 ],[0 0 58 58 ],[0.77 0.82 0.91]);\npatch([15 5 19 5 15" " 30 34 38 54 41 29 20 34 20 29 41 54 38 34 30 15 ],[6 16 30 44 54 54 50 54 54" " 41 53 44 30 16 7 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 0 60 60 0 ],[0 " "58 58 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT" ": begin icon text');\ncolor('black');port_label('input',1,'a');\ncolor('black" "');port_label('input',2,'b');\ncolor('black');port_label('output',1,'\\bf{a +" " b}','texmode','on');\nfprintf('','COMMENT: end icon text');\n" } Block { BlockType Reference Name "Concat6" Ports [2, 1] Position [190, 35, 250, 95] SourceBlock "xbsIndex_r4/Concat" SourceType "Xilinx Bus Concatenator Block" infoedit "Concatenates two or more inputs. Outpu" "t will be cast to an unsigned value with the binary point at zero." num_inputs "2" dbl_ovrd "off" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "concat" block_version "8.2.02" sg_icon_stat "60,60,2,1,white,blue,0,59d62d82" sg_mask_display "fprintf('','COMMENT: begin icon graphic" "s');\npatch([0 60 60 0 ],[0 0 60 60 ],[0.77 0.82 0.91]);\npatch([14 4 18 4 14" " 30 34 38 55 42 29 20 35 20 29 42 55 38 34 30 14 ],[6 16 30 44 54 54 50 54 54" " 41 54 45 30 15 6 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 0 60 60 0 ],[0 " "60 60 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT" ": begin icon text');\ncolor('black');port_label('input',1,'hi');\ncolor('blac" "k');port_label('input',2,'lo');\nfprintf('','COMMENT: end icon text');\n" } Block { BlockType Reference Name "Constant" Ports [0, 1] Position [75, 67, 130, 93] SourceBlock "xbsIndex_r4/Constant" SourceType "Xilinx Constant Block Block" arith_type "Unsigned" const "0" n_bits "3" bin_pt "0" explicit_period "on" period "1" dsp48_infoedit "The use of this block for DSP48 instruc" "tions is deprecated. Please use the Opmode block." equ "P=C" opselect "C" inp2 "PCIN>>17" opr "+" inp1 "P" carry "CIN" dbl_ovrd "off" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "constant" block_version "8.2.02" sg_icon_stat "55,26,0,1,white,blue,0,c7c04a0c" sg_mask_display "fprintf('','COMMENT: begin icon graphic" "s');\npatch([0 55 55 0 ],[0 0 26 26 ],[0.77 0.82 0.91]);\npatch([20 16 22 16 " "20 27 29 31 38 32 26 22 28 22 26 32 38 31 29 27 20 ],[3 7 13 19 23 23 21 23 2" "3 17 23 19 13 7 3 9 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 0 55 55 0 ],[0 26" " 26 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: " "begin icon text');\ncolor('black');port_label('output',1,'0');\nfprintf('','C" "OMMENT: end icon text');\n" } Block { BlockType Reference Name "Constant1" Ports [0, 1] Position [190, 127, 245, 153] SourceBlock "xbsIndex_r4/Constant" SourceType "Xilinx Constant Block Block" arith_type "Unsigned" const "3" n_bits "8" bin_pt "0" explicit_period "on" period "1" dsp48_infoedit "The use of this block for DSP48 instruc" "tions is deprecated. Please use the Opmode block." equ "P=C" opselect "C" inp2 "PCIN>>17" opr "+" inp1 "P" carry "CIN" dbl_ovrd "off" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "constant" block_version "8.2.02" sg_icon_stat "55,26,0,1,white,blue,0,22938a96" sg_mask_display "fprintf('','COMMENT: begin icon graphic" "s');\npatch([0 55 55 0 ],[0 0 26 26 ],[0.77 0.82 0.91]);\npatch([20 16 22 16 " "20 27 29 31 38 32 26 22 28 22 26 32 38 31 29 27 20 ],[3 7 13 19 23 23 21 23 2" "3 17 23 19 13 7 3 9 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 0 55 55 0 ],[0 26" " 26 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: " "begin icon text');\ncolor('black');port_label('output',1,'3');\nfprintf('','C" "OMMENT: end icon text');\n" } Block { BlockType Reference Name "Constant2" Ports [0, 1] Position [190, 182, 245, 208] SourceBlock "xbsIndex_r4/Constant" SourceType "Xilinx Constant Block Block" arith_type "Unsigned" const "10" n_bits "8" bin_pt "0" explicit_period "on" period "1" dsp48_infoedit "The use of this block for DSP48 instruc" "tions is deprecated. Please use the Opmode block." equ "P=C" opselect "C" inp2 "PCIN>>17" opr "+" inp1 "P" carry "CIN" dbl_ovrd "off" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "constant" block_version "8.2.02" sg_icon_stat "55,26,0,1,white,blue,0,55aae6ff" sg_mask_display "fprintf('','COMMENT: begin icon graphic" "s');\npatch([0 55 55 0 ],[0 0 26 26 ],[0.77 0.82 0.91]);\npatch([20 16 22 16 " "20 27 29 31 38 32 26 22 28 22 26 32 38 31 29 27 20 ],[3 7 13 19 23 23 21 23 2" "3 17 23 19 13 7 3 9 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 0 55 55 0 ],[0 26" " 26 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: " "begin icon text');\ncolor('black');port_label('output',1,'10');\nfprintf('','" "COMMENT: end icon text');\n" } Block { BlockType Outport Name "Start" Position [430, 73, 460, 87] IconDisplay "Port number" BusOutputAsStruct off } Block { BlockType Outport Name "End" Position [430, 173, 460, 187] Port "2" IconDisplay "Port number" BusOutputAsStruct off } Line { SrcBlock "4bits" SrcPort 1 DstBlock "Concat6" DstPort 1 } Line { SrcBlock "Constant" SrcPort 1 DstBlock "Concat6" DstPort 2 } Line { SrcBlock "Concat6" SrcPort 1 Points [25, 0] Branch { DstBlock "AddSub" DstPort 1 } Branch { Points [0, 100] DstBlock "AddSub1" DstPort 1 } } Line { SrcBlock "Constant1" SrcPort 1 Points [45, 0] DstBlock "AddSub" DstPort 2 } Line { SrcBlock "Constant2" SrcPort 1 DstBlock "AddSub1" DstPort 2 } Line { SrcBlock "AddSub" SrcPort 1 DstBlock "Start" DstPort 1 } Line { SrcBlock "AddSub1" SrcPort 1 DstBlock "End" DstPort 1 } } } Block { BlockType SubSystem Name "Subsystem2" Ports [1, 2] Position [2000, 621, 2080, 694] TreatAsAtomicUnit off MinAlgLoopOccurrences off RTWSystemCode "Auto" MaskHideContents off System { Name "Subsystem2" Location [431, 85, 1875, 1086] Open off ModelBrowserVisibility off ModelBrowserWidth 200 ScreenColor "white" PaperOrientation "landscape" PaperPositionMode "auto" PaperType "usletter" PaperUnits "inches" ZoomFactor "100" Block { BlockType Inport Name "4bits" Position [35, 43, 65, 57] IconDisplay "Port number" } Block { BlockType Reference Name "AddSub" Ports [2, 1] Position [310, 51, 370, 109] SourceBlock "xbsIndex_r4/AddSub" SourceType "Xilinx Adder/Subtractor Block" mode "Addition" use_carryin "off" use_carryout "off" en "off" latency "0" precision "Full" arith_type "Unsigned" n_bits "16" bin_pt "14" quantization "Truncate" overflow "Wrap" dbl_ovrd "off" use_behavioral_HDL "off" pipelined "off" use_rpm "on" xl_use_area "off" xl_area "[0,0,0,0,0,0,0]" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "addsub" block_version "8.2.02" sg_icon_stat "60,58,2,1,white,blue,0,84d1e665" sg_mask_display "fprintf('','COMMENT: begin icon graphic" "s');\npatch([0 60 60 0 ],[0 0 58 58 ],[0.77 0.82 0.91]);\npatch([15 5 19 5 15" " 30 34 38 54 41 29 20 34 20 29 41 54 38 34 30 15 ],[6 16 30 44 54 54 50 54 54" " 41 53 44 30 16 7 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 0 60 60 0 ],[0 " "58 58 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT" ": begin icon text');\ncolor('black');port_label('input',1,'a');\ncolor('black" "');port_label('input',2,'b');\ncolor('black');port_label('output',1,'\\bf{a +" " b}','texmode','on');\nfprintf('','COMMENT: end icon text');\n" } Block { BlockType Reference Name "AddSub1" Ports [2, 1] Position [310, 151, 370, 209] SourceBlock "xbsIndex_r4/AddSub" SourceType "Xilinx Adder/Subtractor Block" mode "Addition" use_carryin "off" use_carryout "off" en "off" latency "0" precision "Full" arith_type "Unsigned" n_bits "16" bin_pt "14" quantization "Truncate" overflow "Wrap" dbl_ovrd "off" use_behavioral_HDL "off" pipelined "off" use_rpm "on" xl_use_area "off" xl_area "[0,0,0,0,0,0,0]" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "addsub" block_version "8.2.02" sg_icon_stat "60,58,2,1,white,blue,0,84d1e665" sg_mask_display "fprintf('','COMMENT: begin icon graphic" "s');\npatch([0 60 60 0 ],[0 0 58 58 ],[0.77 0.82 0.91]);\npatch([15 5 19 5 15" " 30 34 38 54 41 29 20 34 20 29 41 54 38 34 30 15 ],[6 16 30 44 54 54 50 54 54" " 41 53 44 30 16 7 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 0 60 60 0 ],[0 " "58 58 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT" ": begin icon text');\ncolor('black');port_label('input',1,'a');\ncolor('black" "');port_label('input',2,'b');\ncolor('black');port_label('output',1,'\\bf{a +" " b}','texmode','on');\nfprintf('','COMMENT: end icon text');\n" } Block { BlockType Reference Name "Concat6" Ports [2, 1] Position [190, 35, 250, 95] SourceBlock "xbsIndex_r4/Concat" SourceType "Xilinx Bus Concatenator Block" infoedit "Concatenates two or more inputs. Outpu" "t will be cast to an unsigned value with the binary point at zero." num_inputs "2" dbl_ovrd "off" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "concat" block_version "8.2.02" sg_icon_stat "60,60,2,1,white,blue,0,59d62d82" sg_mask_display "fprintf('','COMMENT: begin icon graphic" "s');\npatch([0 60 60 0 ],[0 0 60 60 ],[0.77 0.82 0.91]);\npatch([14 4 18 4 14" " 30 34 38 55 42 29 20 35 20 29 42 55 38 34 30 14 ],[6 16 30 44 54 54 50 54 54" " 41 54 45 30 15 6 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 0 60 60 0 ],[0 " "60 60 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT" ": begin icon text');\ncolor('black');port_label('input',1,'hi');\ncolor('blac" "k');port_label('input',2,'lo');\nfprintf('','COMMENT: end icon text');\n" } Block { BlockType Reference Name "Constant" Ports [0, 1] Position [75, 67, 130, 93] SourceBlock "xbsIndex_r4/Constant" SourceType "Xilinx Constant Block Block" arith_type "Unsigned" const "0" n_bits "3" bin_pt "0" explicit_period "on" period "1" dsp48_infoedit "The use of this block for DSP48 instruc" "tions is deprecated. Please use the Opmode block." equ "P=C" opselect "C" inp2 "PCIN>>17" opr "+" inp1 "P" carry "CIN" dbl_ovrd "off" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "constant" block_version "8.2.02" sg_icon_stat "55,26,0,1,white,blue,0,c7c04a0c" sg_mask_display "fprintf('','COMMENT: begin icon graphic" "s');\npatch([0 55 55 0 ],[0 0 26 26 ],[0.77 0.82 0.91]);\npatch([20 16 22 16 " "20 27 29 31 38 32 26 22 28 22 26 32 38 31 29 27 20 ],[3 7 13 19 23 23 21 23 2" "3 17 23 19 13 7 3 9 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 0 55 55 0 ],[0 26" " 26 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: " "begin icon text');\ncolor('black');port_label('output',1,'0');\nfprintf('','C" "OMMENT: end icon text');\n" } Block { BlockType Reference Name "Constant1" Ports [0, 1] Position [190, 127, 245, 153] SourceBlock "xbsIndex_r4/Constant" SourceType "Xilinx Constant Block Block" arith_type "Unsigned" const "3" n_bits "8" bin_pt "0" explicit_period "on" period "1" dsp48_infoedit "The use of this block for DSP48 instruc" "tions is deprecated. Please use the Opmode block." equ "P=C" opselect "C" inp2 "PCIN>>17" opr "+" inp1 "P" carry "CIN" dbl_ovrd "off" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "constant" block_version "8.2.02" sg_icon_stat "55,26,0,1,white,blue,0,22938a96" sg_mask_display "fprintf('','COMMENT: begin icon graphic" "s');\npatch([0 55 55 0 ],[0 0 26 26 ],[0.77 0.82 0.91]);\npatch([20 16 22 16 " "20 27 29 31 38 32 26 22 28 22 26 32 38 31 29 27 20 ],[3 7 13 19 23 23 21 23 2" "3 17 23 19 13 7 3 9 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 0 55 55 0 ],[0 26" " 26 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: " "begin icon text');\ncolor('black');port_label('output',1,'3');\nfprintf('','C" "OMMENT: end icon text');\n" } Block { BlockType Reference Name "Constant2" Ports [0, 1] Position [190, 182, 245, 208] SourceBlock "xbsIndex_r4/Constant" SourceType "Xilinx Constant Block Block" arith_type "Unsigned" const "10" n_bits "8" bin_pt "0" explicit_period "on" period "1" dsp48_infoedit "The use of this block for DSP48 instruc" "tions is deprecated. Please use the Opmode block." equ "P=C" opselect "C" inp2 "PCIN>>17" opr "+" inp1 "P" carry "CIN" dbl_ovrd "off" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "constant" block_version "8.2.02" sg_icon_stat "55,26,0,1,white,blue,0,55aae6ff" sg_mask_display "fprintf('','COMMENT: begin icon graphic" "s');\npatch([0 55 55 0 ],[0 0 26 26 ],[0.77 0.82 0.91]);\npatch([20 16 22 16 " "20 27 29 31 38 32 26 22 28 22 26 32 38 31 29 27 20 ],[3 7 13 19 23 23 21 23 2" "3 17 23 19 13 7 3 9 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 0 55 55 0 ],[0 26" " 26 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: " "begin icon text');\ncolor('black');port_label('output',1,'10');\nfprintf('','" "COMMENT: end icon text');\n" } Block { BlockType Outport Name "Start" Position [430, 73, 460, 87] IconDisplay "Port number" BusOutputAsStruct off } Block { BlockType Outport Name "End" Position [430, 173, 460, 187] Port "2" IconDisplay "Port number" BusOutputAsStruct off } Line { SrcBlock "AddSub1" SrcPort 1 DstBlock "End" DstPort 1 } Line { SrcBlock "AddSub" SrcPort 1 DstBlock "Start" DstPort 1 } Line { SrcBlock "Constant2" SrcPort 1 DstBlock "AddSub1" DstPort 2 } Line { SrcBlock "Constant1" SrcPort 1 Points [45, 0] DstBlock "AddSub" DstPort 2 } Line { SrcBlock "Concat6" SrcPort 1 Points [25, 0] Branch { Points [0, 100] DstBlock "AddSub1" DstPort 1 } Branch { DstBlock "AddSub" DstPort 1 } } Line { SrcBlock "Constant" SrcPort 1 DstBlock "Concat6" DstPort 2 } Line { SrcBlock "4bits" SrcPort 1 DstBlock "Concat6" DstPort 1 } } } Block { BlockType Outport Name "Data" Position [2530, 563, 2560, 577] IconDisplay "Port number" BusOutputAsStruct off } Block { BlockType Outport Name "StartTransfer" Position [1335, 958, 1365, 972] Port "2" IconDisplay "Port number" BusOutputAsStruct off } Line { SrcBlock "Constant" SrcPort 1 Points [35, 0; 0, 20] DstBlock "SMWO_LCD_CharacterMap" DstPort 2 } Line { SrcBlock "Constant1" SrcPort 1 DstBlock "SMWO_LCD_CharacterMap" DstPort 3 } Line { SrcBlock "SMWOWE_gwin" SrcPort 1 Points [65, 0; 0, -60] DstBlock "SMWO_LCD_CharacterMap" DstPort 6 } Line { SrcBlock "SMWODataI_gwin" SrcPort 1 Points [50, 0; 0, -50] DstBlock "SMWO_LCD_CharacterMap" DstPort 5 } Line { SrcBlock "SMWOAddr_gwin" SrcPort 1 Points [40, 0; 0, -40] DstBlock "SMWO_LCD_CharacterMap" DstPort 4 } Line { SrcBlock "Mux" SrcPort 1 Points [75, 0; 0, -30] DstBlock "Concat" DstPort 2 } Line { SrcBlock "Slice5" SrcPort 1 Points [0, 0; 50, 0] Branch { DstBlock "Slice1" DstPort 1 } Branch { Points [0, 0] Branch { Points [0, 50; 345, 0; 0, -40] DstBlock "Mux" DstPort 3 } Branch { Points [0, -50] DstBlock "Slice" DstPort 1 } } } Line { SrcBlock "Slice1" SrcPort 1 DstBlock "Inverter" DstPort 1 } Line { SrcBlock "Slice" SrcPort 1 Points [65, 0; 0, 10] DstBlock "Concat1" DstPort 1 } Line { SrcBlock "Inverter" SrcPort 1 Points [20, 0; 0, -10] DstBlock "Concat1" DstPort 2 } Line { SrcBlock "Concat1" SrcPort 1 DstBlock "Mux" DstPort 2 } Line { SrcBlock "InvertRamAddr" SrcPort 1 Points [25, 0; 0, 40] DstBlock "Mux" DstPort 1 } Line { SrcBlock "Concat" SrcPort 1 Points [85, 0; 0, 55] DstBlock "SMWO_LCD_CharacterMap" DstPort 1 } Line { SrcBlock "Constant2" SrcPort 1 Points [35, 0; 0, 20] DstBlock "SMWO_LCD_Characters" DstPort 2 } Line { SrcBlock "Constant3" SrcPort 1 DstBlock "SMWO_LCD_Characters" DstPort 3 } Line { SrcBlock "SMWOWE_gwin1" SrcPort 1 Points [65, 0; 0, -65] DstBlock "SMWO_LCD_Characters" DstPort 6 } Line { SrcBlock "SMWODataI_gwin1" SrcPort 1 Points [50, 0; 0, -50] DstBlock "SMWO_LCD_Characters" DstPort 5 } Line { SrcBlock "SMWOAddr_gwin1" SrcPort 1 Points [40, 0; 0, -40] DstBlock "SMWO_LCD_Characters" DstPort 4 } Line { SrcBlock "SMWO_LCD_Characters" SrcPort 1 Points [50, 0; 0, 225] DstBlock "Concat" DstPort 1 } Line { SrcBlock "Counter1" SrcPort 1 Points [0, 0; 15, 0] Branch { DstBlock "Slice4" DstPort 1 } Branch { Points [0, 285] Branch { DstBlock "Slice5" DstPort 1 } Branch { Points [0, 65] Branch { DstBlock "Slice6" DstPort 1 } Branch { Points [0, 130] Branch { DstBlock "Slice7" DstPort 1 } Branch { Points [0, 330; 1445, 0; 0, -355] Branch { DstBlock "Slice11" DstPort 1 } Branch { Points [0, -105] DstBlock "Slice10" DstPort 1 } } } } } } Line { SrcBlock "Slice3" SrcPort 1 DstBlock "Inverter1" DstPort 1 } Line { SrcBlock "Slice2" SrcPort 1 Points [65, 0; 0, 10] DstBlock "Concat2" DstPort 1 } Line { SrcBlock "Inverter1" SrcPort 1 Points [20, 0; 0, -10] DstBlock "Concat2" DstPort 2 } Line { SrcBlock "Concat2" SrcPort 1 DstBlock "Mux1" DstPort 2 } Line { SrcBlock "InvertRamAddr1" SrcPort 1 Points [30, 0; 0, 40] DstBlock "Mux1" DstPort 1 } Line { SrcBlock "Slice4" SrcPort 1 Points [0, 0; 10, 0] Branch { Points [0, 0] Branch { Points [0, 50; 370, 0] DstBlock "Mux1" DstPort 3 } Branch { Points [0, -50] DstBlock "Slice2" DstPort 1 } } Branch { DstBlock "Slice3" DstPort 1 } } Line { SrcBlock "TransferDone" SrcPort 1 Points [0, 0] DstBlock "Logical" DstPort 1 } Line { SrcBlock "CmdsDone" SrcPort 1 Points [0, 0] DstBlock "Logical" DstPort 2 } Line { SrcBlock "Reset" SrcPort 1 Points [0, 0] DstBlock "Counter1" DstPort 1 } Line { SrcBlock "Delay" SrcPort 1 Points [0, 0; 35, 0] Branch { DstBlock "StartTransfer" DstPort 1 } Branch { Points [0, -50] DstBlock "Scope2" DstPort 2 } } Line { SrcBlock "Slice6" SrcPort 1 DstBlock "Relational" DstPort 1 } Line { SrcBlock "Constant4" SrcPort 1 Points [5, 0; 0, -20] DstBlock "Relational" DstPort 2 } Line { SrcBlock "Slice7" SrcPort 1 DstBlock "Relational1" DstPort 1 } Line { SrcBlock "Constant5" SrcPort 1 Points [5, 0; 0, -20] DstBlock "Relational1" DstPort 2 } Line { SrcBlock "Relational" SrcPort 1 Points [15, 0; 0, 25] Branch { DstBlock "Convert" DstPort 1 } Branch { Points [360, 0] Branch { DstBlock "Pos Edge Detector" DstPort 1 } Branch { Points [0, 105] DstBlock "Subsystem" DstPort 1 } } } Line { SrcBlock "Relational1" SrcPort 1 DstBlock "Convert1" DstPort 1 } Line { SrcBlock "Convert" SrcPort 1 DstBlock "Concat3" DstPort 1 } Line { SrcBlock "Convert1" SrcPort 1 Points [15, 0; 0, -50] DstBlock "Concat3" DstPort 2 } Line { SrcBlock "Mux2" SrcPort 1 DstBlock "Counter" DstPort 2 } Line { SrcBlock "Concat3" SrcPort 1 Points [0, 0] DstBlock "Mux2" DstPort 1 } Line { SrcBlock "Constant6" SrcPort 1 Points [0, 0; 15, 0] Branch { DstBlock "Mux2" DstPort 2 } Branch { Points [0, 20] DstBlock "Mux2" DstPort 3 } } Line { SrcBlock "Constant7" SrcPort 1 Points [0, 0] DstBlock "Mux2" DstPort 4 } Line { SrcBlock "Constant8" SrcPort 1 Points [20, 0; 0, -20] DstBlock "Mux2" DstPort 5 } Line { SrcBlock "Logical" SrcPort 1 Points [0, 0; 35, 0] Branch { Points [0, 20] Branch { Points [0, 125] DstBlock "Delay" DstPort 1 } Branch { DstBlock "Logical1" DstPort 2 } } Branch { Points [0, -95] DstBlock "Subsystem" DstPort 2 } } Line { SrcBlock "Counter" SrcPort 1 Points [5, 0; 0, 15] Branch { Points [0, 60] DstBlock "Relational2" DstPort 1 } Branch { Points [620, 0; 0, -260] DstBlock "Mux3" DstPort 1 } } Line { SrcBlock "Constant9" SrcPort 1 DstBlock "Relational2" DstPort 2 } Line { SrcBlock "Relational2" SrcPort 1 Points [10, 0; 0, 45] Branch { DstBlock "Logical1" DstPort 1 } Branch { DstBlock "Inverter2" DstPort 1 } } Line { SrcBlock "Logical1" SrcPort 1 Points [15, 0; 0, 65; -1130, 0; 0, -670] DstBlock "Counter1" DstPort 2 } Line { SrcBlock "Pos Edge Detector" SrcPort 1 Points [15, 0; 0, 60] DstBlock "Counter" DstPort 1 } Line { SrcBlock "Subsystem" SrcPort 1 Points [5, 0; 0, -30] DstBlock "Counter" DstPort 3 } Line { SrcBlock "Inverter2" SrcPort 1 Points [-30, 0; 0, -60] DstBlock "Subsystem" DstPort 3 } Line { SrcBlock "Mux3" SrcPort 1 Points [0, 0; 10, 0] Branch { Points [15, 0] Branch { Points [0, 25] DstBlock "Slice8" DstPort 1 } Branch { Points [0, -25] DstBlock "Slice9" DstPort 1 } } Branch { Points [0, 275] DstBlock "Scope2" DstPort 1 } } Line { SrcBlock "Slice9" SrcPort 1 DstBlock "Inverter3" DstPort 1 } Line { SrcBlock "Inverter3" SrcPort 1 Points [5, 0; 0, 10] DstBlock "Concat4" DstPort 1 } Line { SrcBlock "Slice8" SrcPort 1 Points [90, 0; 0, -10] DstBlock "Concat4" DstPort 2 } Line { SrcBlock "Concat4" SrcPort 1 Points [0, 0] DstBlock "Data" DstPort 1 } Line { SrcBlock "Concat5" SrcPort 1 Points [0, -35] DstBlock "Mux3" DstPort 2 } Line { SrcBlock "Constant10" SrcPort 1 Points [5, 0; 0, 25] DstBlock "Concat5" DstPort 1 } Line { SrcBlock "SMWO_LCD_CharacterMap" SrcPort 1 Points [50, 0; 0, 15] DstBlock "Concat5" DstPort 2 } Line { SrcBlock "From Register3" SrcPort 1 Points [0, 0] DstBlock "Mux3" DstPort 3 } Line { SrcBlock "From Register1" SrcPort 1 Points [0, 0] DstBlock "Mux3" DstPort 6 } Line { SrcBlock "From Register2" SrcPort 1 Points [0, 0] DstBlock "Mux3" DstPort 9 } Line { SrcBlock "Subsystem1" SrcPort 1 DstBlock "Mux3" DstPort 4 } Line { SrcBlock "Subsystem1" SrcPort 2 DstBlock "Mux3" DstPort 5 } Line { SrcBlock "Subsystem2" SrcPort 1 DstBlock "Mux3" DstPort 7 } Line { SrcBlock "Subsystem2" SrcPort 2 DstBlock "Mux3" DstPort 8 } Line { SrcBlock "Slice10" SrcPort 1 Points [0, 0] DstBlock "Subsystem1" DstPort 1 } Line { SrcBlock "Slice11" SrcPort 1 Points [0, 0] DstBlock "Subsystem2" DstPort 1 } Line { SrcBlock "Concat6" SrcPort 1 Points [25, 0; 0, 55] DstBlock "SMWO_LCD_Characters" DstPort 1 } Line { SrcBlock "From Register4" SrcPort 1 Points [5, 0; 0, 30] DstBlock "Concat6" DstPort 1 } Line { SrcBlock "Mux1" SrcPort 1 Points [50, 0; 0, -65] DstBlock "Concat6" DstPort 2 } Annotation { Name "Address the RAM as 3 2 1 0 7 6 5 4 ..." Position [916, 405] UseDisplayTextAsClickCallback off } Annotation { Name "We invert the first bit because 0 means com" "mand and 1 is data\nThe user inputs the opposite in the PowerPC" Position [2337, 488] UseDisplayTextAsClickCallback off } } } Block { BlockType "S-Function" Name "Delay" Ports [1, 1] Position [515, 42, 575, 98] CopyFcn "xlBlockMoveCallback(gcbh);" DeleteFcn "xlDestroyGui(gcbh);" LoadFcn "xlBlockLoadCallback(gcbh);" ModelCloseFcn "xlDestroyGui(gcbh);" PreSaveFcn "xlBlockPreSaveCallback(gcbh);" PostSaveFcn "xlBlockPostSaveCallback(gcbh);" DestroyFcn "xlDestroyGui(gcbh);" OpenFcn "xlOpenGui(gcbh, @xlmagicenablement, -1)" CloseFcn "xlDestroyGui(gcbh);" MoveFcn "xlBlockMoveCallback(gcbh);" FunctionName "sysgen" Parameters "ptable_" MaskType "Xilinx Delay Block" MaskDescription "Hardware notes: A delay line is a chain, each l" "ink of which is an SRL16 followed by a flip-flop. If register retiming is ena" "bled, the delay line is a chain of flip-flops." MaskHelp "eval('xlWeb(xlhtmldoclink(''Delay''))');" MaskPromptString " | |Provide enable port|Latency| |Override with" " doubles| |Enable register retiming| |Define FPGA area for resource estimatio" "n|FPGA area [slices, FFs, BRAMs, LUTs, IOBs, emb. mults, TBUFs]| | | | | | | " "| " MaskStyleString "edit,edit,checkbox,edit,edit,checkbox,edit,chec" "kbox,edit,checkbox,edit,edit,edit,edit,edit,edit,edit,edit,edit" MaskTunableValueString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on" ",on,on,on" MaskCallbackString "||||||||||||||||||" MaskEnableString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on" ",on,on,on" MaskVisibilityString "off,off,on,on,off,on,off,on,off,on,on,off,off,o" "ff,off,off,off,off,off" MaskToolTipString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on" ",on,on,on" MaskVarAliasString ",,,,,,,,,,,,,,,,,," MaskVariables "infoedit=&1;en_sgadvanced=&2;en=@3;latency=@4;d" "bl_ovrd_sgadvanced=&5;dbl_ovrd=@6;reg_retiming_sgadvanced=&7;reg_retiming=@8;" "xl_use_area_sgadvanced=&9;xl_use_area=@10;xl_area=@11;has_advanced_control=@1" "2;sggui_pos=&13;block_type=&14;block_version=&15;sg_icon_stat=&16;sg_mask_dis" "play=&17;sg_list_contents=&18;sg_blockgui_xml=&19;" MaskInitialization "try\n tmp_gcb = gcb;\n tmp_gcbh = gcbh;\n xl" "MungeMaskParams;\n block_config = 'Sysgen:Delay_config';\n serialized_decla" "rations = '{''dbl_ovrd''=>''Int'',''en''=>''Int'',''latency''=>''Int'',''reg_" "retiming''=>''Int''}';\n ptable_ = xlblockprep(get_param(tmp_gcb, 'MaskWSVar" "iables'));\ncatch\n global xl_report_errors;\n if(~isempty(xl_report_errors" ") && xl_report_errors)\n e = regexprep(lasterr, '\\n', '\\nError: ');\n " " disp(['Error: While running MaskInit code on block ' tmp_gcb ': ' e]);\n " "error(e);\n end\nend\n" MaskDisplay "fprintf('','COMMENT: begin icon graphics');\npa" "tch([0 60 60 0 ],[0 0 56 56 ],[0.77 0.82 0.91]);\npatch([15 6 19 6 15 30 34 3" "8 54 41 29 20 32 20 29 41 54 38 34 30 15 ],[6 15 28 41 50 50 46 50 50 37 49 4" "0 28 16 7 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 0 60 60 0 ],[0 56 56 0 " "0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin " "icon text');\ncolor('black');disp('z^{-4}','texmode','on');\nfprintf('','COMM" "ENT: end icon text');\n" MaskSelfModifiable on MaskIconFrame off MaskIconOpaque on MaskIconRotate "none" MaskIconUnits "autoscale" MaskValueString "Hardware notes: A delay line is a chain, each l" "ink of which is an SRL16 followed by a flip-flop. If register retiming is ena" "bled, the delay line is a chain of flip-flops.||off|4||off||off||off|[0,0,0,0" ",0,0,0]|0|20,20,356,256|delay|8.2.02|60,56,1,1,white,blue,0,c2217bc4|fprintf(" "'','COMMENT: begin icon graphics');\npatch([0 60 60 0 ],[0 0 56 56 ],[0.77 0." "82 0.91]);\npatch([15 6 19 6 15 30 34 38 54 41 29 20 32 20 29 41 54 38 34 30 " "15 ],[6 15 28 41 50 50 46 50 50 37 49 40 28 16 7 19 6 6 10 6 6 ],[0.98 0.96 0" ".92]);\nplot([0 0 60 60 0 ],[0 56 56 0 0 ]);\nfprintf('','COMMENT: end icon g" "raphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');disp('z^{" "-4}','texmode','on');\nfprintf('','COMMENT: end icon text');\n||\n \n \n \n" " \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n " "\n \n \n \n \n \n" "\n" MaskTabNameString ",,,,,,,,,,,,,,,,,," } Block { BlockType Reference Name "From Register" Ports [0, 1] Position [165, 900, 210, 950] ShowName off AttributesFormatString "From Register\\n<< % >>" SourceBlock "xbsIndex_r4/From Register" SourceType "Xilinx Shared Memory Based From Register Block" infoedit "Register block that reads data to a shared memo" "ry register. Delay of one sample period." shared_memory_name "'LCD_Reset'" init "0" period "1" ownership "Locally owned and initialized" arith_type "Unsigned" n_bits "1" bin_pt "0" dbl_ovrd off xl_use_area off xl_area "[0,0,0,0,0,0,0]" has_advanced_control "0" sggui_pos "20,20,379,246" block_type "fromreg" block_version "8.2.02" sg_icon_stat "45,50,0,1,white,blue,0,137b37a7" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npa" "tch([0 45 45 0 ],[0 0 50 50 ],[0.77 0.82 0.91]);\npatch([10 3 14 3 10 22 25 2" "8 41 31 21 14 25 14 21 31 41 28 25 22 10 ],[7 14 25 36 43 43 40 43 43 33 43 3" "6 25 14 7 17 7 7 10 7 7 ],[0.98 0.96 0.92]);\nplot([0 0 45 45 0 ],[0 50 50 0 " "0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin " "icon text');\ncolor('black');port_label('output',1,'dout');\nfprintf('','COMM" "ENT: end icon text');\n" } Block { BlockType Reference Name "From Register1" Ports [0, 1] Position [550, 235, 595, 285] ShowName off AttributesFormatString "From Register\\n<< % >>" SourceBlock "xbsIndex_r4/From Register" SourceType "Xilinx Shared Memory Based From Register Block" infoedit "Register block that reads data to a shared memo" "ry register. Delay of one sample period." shared_memory_name "'LCD_ConfigLocation'" init "0" period "1" ownership "Locally owned and initialized" arith_type "Unsigned" n_bits "2" bin_pt "0" dbl_ovrd off xl_use_area off xl_area "[0,0,0,0,0,0,0]" has_advanced_control "0" sggui_pos "20,20,379,246" block_type "fromreg" block_version "8.2.02" sg_icon_stat "45,50,0,1,white,blue,0,137b37a7" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npa" "tch([0 45 45 0 ],[0 0 50 50 ],[0.77 0.82 0.91]);\npatch([10 3 14 3 10 22 25 2" "8 41 31 21 14 25 14 21 31 41 28 25 22 10 ],[7 14 25 36 43 43 40 43 43 33 43 3" "6 25 14 7 17 7 7 10 7 7 ],[0.98 0.96 0.92]);\nplot([0 0 45 45 0 ],[0 50 50 0 " "0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin " "icon text');\ncolor('black');port_label('output',1,'dout');\nfprintf('','COMM" "ENT: end icon text');\n" } Block { BlockType Reference Name "From Register2" Ports [0, 1] Position [550, 325, 595, 375] ShowName off AttributesFormatString "From Register\\n<< % >>" SourceBlock "xbsIndex_r4/From Register" SourceType "Xilinx Shared Memory Based From Register Block" infoedit "Register block that reads data to a shared memo" "ry register. Delay of one sample period." shared_memory_name "'LCD_InvertRAMAddrCmd'" init "0" period "1" ownership "Locally owned and initialized" arith_type "Unsigned" n_bits "1" bin_pt "0" dbl_ovrd off xl_use_area off xl_area "[0,0,0,0,0,0,0]" has_advanced_control "0" sggui_pos "20,20,379,246" block_type "fromreg" block_version "8.2.02" sg_icon_stat "45,50,0,1,white,blue,0,137b37a7" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npa" "tch([0 45 45 0 ],[0 0 50 50 ],[0.77 0.82 0.91]);\npatch([10 3 14 3 10 22 25 2" "8 41 31 21 14 25 14 21 31 41 28 25 22 10 ],[7 14 25 36 43 43 40 43 43 33 43 3" "6 25 14 7 17 7 7 10 7 7 ],[0.98 0.96 0.92]);\nplot([0 0 45 45 0 ],[0 50 50 0 " "0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin " "icon text');\ncolor('black');port_label('output',1,'dout');\nfprintf('','COMM" "ENT: end icon text');\n" } Block { BlockType Reference Name "From Register3" Ports [0, 1] Position [585, 470, 630, 520] ShowName off AttributesFormatString "From Register\\n<< % >>" SourceBlock "xbsIndex_r4/From Register" SourceType "Xilinx Shared Memory Based From Register Block" infoedit "Register block that reads data to a shared memo" "ry register. Delay of one sample period." shared_memory_name "'LCD_TotalCmdTransfer'" init "10" period "1" ownership "Locally owned and initialized" arith_type "Unsigned" n_bits "8" bin_pt "0" dbl_ovrd off xl_use_area off xl_area "[0,0,0,0,0,0,0]" has_advanced_control "0" sggui_pos "20,20,379,246" block_type "fromreg" block_version "8.2.02" sg_icon_stat "45,50,0,1,white,blue,0,137b37a7" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npa" "tch([0 45 45 0 ],[0 0 50 50 ],[0.77 0.82 0.91]);\npatch([10 3 14 3 10 22 25 2" "8 41 31 21 14 25 14 21 31 41 28 25 22 10 ],[7 14 25 36 43 43 40 43 43 33 43 3" "6 25 14 7 17 7 7 10 7 7 ],[0.98 0.96 0.92]);\nplot([0 0 45 45 0 ],[0 50 50 0 " "0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin " "icon text');\ncolor('black');port_label('output',1,'dout');\nfprintf('','COMM" "ENT: end icon text');\n" } Block { BlockType Reference Name "From Register4" Ports [0, 1] Position [1175, 440, 1220, 490] ShowName off AttributesFormatString "From Register\\n<< % >>" SourceBlock "xbsIndex_r4/From Register" SourceType "Xilinx Shared Memory Based From Register Block" infoedit "Register block that reads data to a shared memo" "ry register. Delay of one sample period." shared_memory_name "'LCD_DividerSelect'" init "0" period "1" ownership "Locally owned and initialized" arith_type "Unsigned" n_bits "1" bin_pt "0" dbl_ovrd off xl_use_area off xl_area "[0,0,0,0,0,0,0]" has_advanced_control "0" sggui_pos "20,20,379,246" block_type "fromreg" block_version "8.2.02" sg_icon_stat "45,50,0,1,white,blue,0,137b37a7" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npa" "tch([0 45 45 0 ],[0 0 50 50 ],[0.77 0.82 0.91]);\npatch([10 3 14 3 10 22 25 2" "8 41 31 21 14 25 14 21 31 41 28 25 22 10 ],[7 14 25 36 43 43 40 43 43 33 43 3" "6 25 14 7 17 7 7 10 7 7 ],[0.98 0.96 0.92]);\nplot([0 0 45 45 0 ],[0 50 50 0 " "0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin " "icon text');\ncolor('black');port_label('output',1,'dout');\nfprintf('','COMM" "ENT: end icon text');\n" } Block { BlockType Reference Name "From Register5" Ports [0, 1] Position [245, 410, 290, 460] ShowName off AttributesFormatString "From Register\\n<< % >>" SourceBlock "xbsIndex_r4/From Register" SourceType "Xilinx Shared Memory Based From Register Block" infoedit "Register block that reads data to a shared memo" "ry register. Delay of one sample period." shared_memory_name "'LCD_Send'" init "0" period "1" ownership "Locally owned and initialized" arith_type "Unsigned" n_bits "1" bin_pt "0" dbl_ovrd off xl_use_area off xl_area "[0,0,0,0,0,0,0]" has_advanced_control "0" sggui_pos "20,20,379,246" block_type "fromreg" block_version "8.2.02" sg_icon_stat "45,50,0,1,white,blue,0,137b37a7" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npa" "tch([0 45 45 0 ],[0 0 50 50 ],[0.77 0.82 0.91]);\npatch([10 3 14 3 10 22 25 2" "8 41 31 21 14 25 14 21 31 41 28 25 22 10 ],[7 14 25 36 43 43 40 43 43 33 43 3" "6 25 14 7 17 7 7 10 7 7 ],[0.98 0.96 0.92]);\nplot([0 0 45 45 0 ],[0 50 50 0 " "0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin " "icon text');\ncolor('black');port_label('output',1,'dout');\nfprintf('','COMM" "ENT: end icon text');\n" } Block { BlockType "S-Function" Name "From Register6" Ports [0, 1] Position [425, 45, 470, 95] ShowName off AttributesFormatString "From Register\\n<< % >>" CopyFcn "xlBlockMoveCallback(gcbh);" DeleteFcn "xlDestroyGui(gcbh);" LoadFcn "xlBlockLoadCallback(gcbh);" ModelCloseFcn "xlDestroyGui(gcbh);" PreSaveFcn "xlBlockPreSaveCallback(gcbh);" PostSaveFcn "xlBlockPostSaveCallback(gcbh);" DestroyFcn "xlDestroyGui(gcbh);" OpenFcn "xlOpenGui(gcbh, @fromregenablement, -1)" CloseFcn "xlDestroyGui(gcbh);" MoveFcn "xlBlockMoveCallback(gcbh);" FunctionName "sysgen" Parameters "ptable_" MaskType "Xilinx Shared Memory Based From Register Block" MaskDescription "Register block that reads data to a shared memo" "ry register. Delay of one sample period." MaskHelp "eval('xlWeb(xlhtmldoclink(''From Register''))')" ";" MaskPromptString " |Shared memory name|Initial value|Sample perio" "d| |Ownership and initialization| |Output type|Number of bits|Binary point| |" "Override with doubles| |Define FPGA area for resource estimation|FPGA area [s" "lices, FFs, BRAMs, LUTs, IOBs, emb. mults, TBUFs]| | | | | | | | " MaskStyleString "edit,edit,edit,edit,edit,popup(Locally owned an" "d initialized|Owned and initialized elsewhere),edit,popup(Signed (2's comp)|" "Unsigned),edit,edit,edit,checkbox,edit,checkbox,edit,edit,edit,edit,edit,edit" ",edit,edit,edit" MaskTunableValueString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on" ",on,on,on,on,on,on,on" MaskCallbackString "||||||||||||||||||||||" MaskEnableString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on" ",on,on,on,on,on,on,on" MaskVisibilityString "off,on,on,on,off,on,off,on,on,on,off,on,off,on," "on,off,off,off,off,off,off,off,off" MaskToolTipString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on" ",on,on,on,on,on,on,on" MaskVarAliasString ",,,,,,,,,,,,,,,,,,,,,," MaskVariables "infoedit=&1;shared_memory_name=@2;init=@3;perio" "d=@4;ownership_sgadvanced=&5;ownership=@6;arith_type_sgadvanced=&7;arith_type" "=@8;n_bits=@9;bin_pt=@10;dbl_ovrd_sgadvanced=&11;dbl_ovrd=@12;xl_use_area_sga" "dvanced=&13;xl_use_area=@14;xl_area=@15;has_advanced_control=@16;sggui_pos=&1" "7;block_type=&18;block_version=&19;sg_icon_stat=&20;sg_mask_display=&21;sg_li" "st_contents=&22;sg_blockgui_xml=&23;" MaskInitialization "try\n tmp_gcb = gcb;\n tmp_gcbh = gcbh;\n xl" "MungeMaskParams;\n block_config = 'Sysgen:fromreg_config';\n serialized_dec" "larations = '{''arith_type''=>''Int'',''bin_pt''=>''Int'',''dbl_ovrd''=>''Int" "'',''init''=>''Double'',''n_bits''=>''Int'',''ownership''=>''Int'',''period''" "=>''Double'',''shared_memory_name''=>''String''}';\n xlfromreg_init();\n pt" "able_ = xlblockprep(get_param(tmp_gcb, 'MaskWSVariables'));\ncatch\n global " "xl_report_errors;\n if(~isempty(xl_report_errors) && xl_report_errors)\n " "e = regexprep(lasterr, '\\n', '\\nError: ');\n disp(['Error: While running" " MaskInit code on block ' tmp_gcb ': ' e]);\n error(e);\n end\nend\n" MaskDisplay "fprintf('','COMMENT: begin icon graphics');\npa" "tch([0 45 45 0 ],[0 0 50 50 ],[0.77 0.82 0.91]);\npatch([10 3 14 3 10 22 25 2" "8 41 31 21 14 25 14 21 31 41 28 25 22 10 ],[7 14 25 36 43 43 40 43 43 33 43 3" "6 25 14 7 17 7 7 10 7 7 ],[0.98 0.96 0.92]);\nplot([0 0 45 45 0 ],[0 50 50 0 " "0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin " "icon text');\ncolor('black');port_label('output',1,'dout');\nfprintf('','COMM" "ENT: end icon text');\n" MaskSelfModifiable on MaskIconFrame off MaskIconOpaque on MaskIconRotate "none" MaskIconUnits "autoscale" MaskValueString "Register block that reads data to a shared memo" "ry register. Delay of one sample period.|'LCD_ResetLCD'|1|1||Locally owned a" "nd initialized||Unsigned|1|0||off||off|[0,0,0,0,0,0,0]|0|20,20,379,246|fromre" "g|8.2.02|45,50,0,1,white,blue,0,137b37a7|fprintf('','COMMENT: begin icon grap" "hics');\npatch([0 45 45 0 ],[0 0 50 50 ],[0.77 0.82 0.91]);\npatch([10 3 14 3" " 10 22 25 28 41 31 21 14 25 14 21 31 41 28 25 22 10 ],[7 14 25 36 43 43 40 43" " 43 33 43 36 25 14 7 17 7 7 10 7 7 ],[0.98 0.96 0.92]);\nplot([0 0 45 45 0 ]," "[0 50 50 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMM" "ENT: begin icon text');\ncolor('black');port_label('output',1,'dout');\nfprin" "tf('','COMMENT: end icon text');\n||\n " "\n >>\" wmark_color=\"white\" />\n <" "dll entry_point=\"fromreg_config\" name=\"Sysgen\" />\n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n " " \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n\n" MaskTabNameString ",,,,,,,,,,,,,,,,,,,,,," } Block { BlockType Reference Name "From Register7" Ports [0, 1] Position [585, 680, 630, 730] ShowName off AttributesFormatString "From Register\\n<< % >>" SourceBlock "xbsIndex_r4/From Register" SourceType "Xilinx Shared Memory Based From Register Block" infoedit "Register block that reads data to a shared memo" "ry register. Delay of one sample period." shared_memory_name "'LCD_InvertRAMAddrData'" init "1" period "1" ownership "Locally owned and initialized" arith_type "Unsigned" n_bits "1" bin_pt "0" dbl_ovrd off xl_use_area off xl_area "[0,0,0,0,0,0,0]" has_advanced_control "0" sggui_pos "20,20,379,246" block_type "fromreg" block_version "8.2.02" sg_icon_stat "45,50,0,1,white,blue,0,137b37a7" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npa" "tch([0 45 45 0 ],[0 0 50 50 ],[0.77 0.82 0.91]);\npatch([10 3 14 3 10 22 25 2" "8 41 31 21 14 25 14 21 31 41 28 25 22 10 ],[7 14 25 36 43 43 40 43 43 33 43 3" "6 25 14 7 17 7 7 10 7 7 ],[0.98 0.96 0.92]);\nplot([0 0 45 45 0 ],[0 50 50 0 " "0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin " "icon text');\ncolor('black');port_label('output',1,'dout');\nfprintf('','COMM" "ENT: end icon text');\n" } Block { BlockType Reference Name "Logical1" Ports [2, 1] Position [255, 855, 310, 915] SourceBlock "xbsIndex_r4/Logical" SourceType "Xilinx Logical Block Block" logical_function "OR" inputs "2" en off latency "0" precision "Full" arith_type "Unsigned" n_bits "16" bin_pt "0" align_bp on dbl_ovrd off xl_use_area off xl_area "[0,0,0,0,0,0,0]" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "logical" block_version "8.2.02" sg_icon_stat "55,60,2,1,white,blue,0,f4a65842" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npa" "tch([0 55 55 0 ],[0 0 60 60 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13 28 32 3" "6 52 40 28 19 32 19 28 40 52 36 32 28 13 ],[8 17 30 43 52 52 48 52 52 40 52 4" "3 30 17 8 20 8 8 12 8 8 ],[0.98 0.96 0.92]);\nplot([0 0 55 55 0 ],[0 60 60 0 " "0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin " "icon text');\ncolor('black');disp('\\newlineor\\newlinez^{-0}','texmode','on'" ");\nfprintf('','COMMENT: end icon text');\n" } Block { BlockType Reference Name "Mux" Ports [3, 1] Position [1220, 293, 1265, 397] NamePlacement "alternate" SourceBlock "xbsIndex_r4/Mux" SourceType "Xilinx Bus Multiplexer Block" inputs "2" en off latency "0" precision "Full" arith_type "Unsigned" n_bits "16" bin_pt "14" quantization "Truncate" overflow "Wrap" dbl_ovrd off xl_use_area off xl_area "[0,0,0,0,0,0,0]" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "mux" block_version "8.2.02" sg_icon_stat "45,104,3,1,white,blue,3,613f58e1" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npa" "tch([0 45 45 0 ],[0 14.8571 89.1429 104 ],[0.77 0.82 0.91]);\npatch([10 3 14 " "3 10 22 25 28 41 31 21 14 25 14 21 31 41 28 25 22 10 ],[34 41 52 63 70 70 67 " "70 70 60 70 63 52 41 34 44 34 34 37 34 34 ],[0.98 0.96 0.92]);\nplot([0 45 45" " 0 0 ],[0 14.8571 89.1429 104 0 ]);\nfprintf('','COMMENT: end icon graphics')" ";\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input'" ",1,'sel');\ncolor('black');port_label('input',2,'d0');\ncolor('black');port_l" "abel('input',3,'d1');\ncolor('black');disp('\\bf{}','texmode','on');\nfprintf" "('','COMMENT: end icon text');\n" } Block { BlockType Reference Name "Mux1" Ports [3, 1] Position [1015, 353, 1060, 457] SourceBlock "xbsIndex_r4/Mux" SourceType "Xilinx Bus Multiplexer Block" inputs "2" en off latency "0" precision "Full" arith_type "Unsigned" n_bits "16" bin_pt "14" quantization "Truncate" overflow "Wrap" dbl_ovrd off xl_use_area off xl_area "[0,0,0,0,0,0,0]" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "mux" block_version "8.2.02" sg_icon_stat "45,104,3,1,white,blue,3,613f58e1" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npa" "tch([0 45 45 0 ],[0 14.8571 89.1429 104 ],[0.77 0.82 0.91]);\npatch([10 3 14 " "3 10 22 25 28 41 31 21 14 25 14 21 31 41 28 25 22 10 ],[34 41 52 63 70 70 67 " "70 70 60 70 63 52 41 34 44 34 34 37 34 34 ],[0.98 0.96 0.92]);\nplot([0 45 45" " 0 0 ],[0 14.8571 89.1429 104 0 ]);\nfprintf('','COMMENT: end icon graphics')" ";\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input'" ",1,'sel');\ncolor('black');port_label('input',2,'d0');\ncolor('black');port_l" "abel('input',3,'d1');\ncolor('black');disp('\\bf{}','texmode','on');\nfprintf" "('','COMMENT: end icon text');\n" } Block { BlockType SubSystem Name "Pos Edge Detector" Ports [1, 1] Position [410, 404, 535, 466] TreatAsAtomicUnit off MinAlgLoopOccurrences off RTWSystemCode "Auto" MaskHideContents off System { Name "Pos Edge Detector" Location [971, 353, 1386, 494] Open off ModelBrowserVisibility off ModelBrowserWidth 200 ScreenColor "white" PaperOrientation "landscape" PaperPositionMode "auto" PaperType "usletter" PaperUnits "inches" ZoomFactor "100" Block { BlockType Inport Name "Input Signal" Position [25, 33, 55, 47] IconDisplay "Port number" } Block { BlockType Reference Name "Delay" Ports [1, 1] Position [170, 57, 230, 113] SourceBlock "xbsIndex_r4/Delay" SourceType "Xilinx Delay Block" infoedit "Hardware notes: A delay line is a chain, ea" "ch link of which is an SRL16 followed by a flip-flop. If register retiming is" " enabled, the delay line is a chain of flip-flops." en "off" latency "1" dbl_ovrd "off" reg_retiming "off" xl_use_area "off" xl_area "[0,0,0,0,0,0,0]" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "delay" block_version "8.2.02" sg_icon_stat "60,56,1,1,white,blue,0,fc531c0e" sg_mask_display "fprintf('','COMMENT: begin icon graphics');" "\npatch([0 60 60 0 ],[0 0 56 56 ],[0.77 0.82 0.91]);\npatch([15 6 19 6 15 30 " "34 38 54 41 29 20 32 20 29 41 54 38 34 30 15 ],[6 15 28 41 50 50 46 50 50 37 " "49 40 28 16 7 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 0 60 60 0 ],[0 56 5" "6 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: be" "gin icon text');\ncolor('black');disp('z^{-1}','texmode','on');\nfprintf('','" "COMMENT: end icon text');\n" } Block { BlockType Reference Name "Inverter" Ports [1, 1] Position [100, 56, 155, 114] SourceBlock "xbsIndex_r4/Inverter" SourceType "Xilinx Inverter Block" infoedit "Bitwise logical negation (one's complement)" " operator." en "off" latency "0" dbl_ovrd "off" xl_use_area "off" xl_area "[0,0,0,0,0,0,0]" has_advanced_control "0" sggui_pos "20,20,356,237" block_type "inv" block_version "8.2.02" sg_icon_stat "55,58,1,1,white,blue,0,1ab4a85f" sg_mask_display "fprintf('','COMMENT: begin icon graphics');" "\npatch([0 55 55 0 ],[0 0 58 58 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13 28 " "32 36 52 40 28 19 32 19 28 40 52 36 32 28 13 ],[7 16 29 42 51 51 47 51 51 39 " "51 42 29 16 7 19 7 7 11 7 7 ],[0.98 0.96 0.92]);\nplot([0 0 55 55 0 ],[0 58 5" "8 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: be" "gin icon text');\ncolor('black');disp('not');\nfprintf('','COMMENT: end icon " "text');\n" } Block { BlockType Reference Name "Logical" Ports [2, 1] Position [280, 25, 335, 85] SourceBlock "xbsIndex_r4/Logical" SourceType "Xilinx Logical Block Block" logical_function "AND" inputs "2" en "off" latency "0" precision "Full" arith_type "Unsigned" n_bits "16" bin_pt "0" align_bp "on" dbl_ovrd "off" xl_use_area "off" xl_area "[0,0,0,0,0,0,0]" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "logical" block_version "8.2.02" sg_icon_stat "55,60,2,1,white,blue,0,087b5522" sg_mask_display "fprintf('','COMMENT: begin icon graphics');" "\npatch([0 55 55 0 ],[0 0 60 60 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13 28 " "32 36 52 40 28 19 32 19 28 40 52 36 32 28 13 ],[8 17 30 43 52 52 48 52 52 40 " "52 43 30 17 8 20 8 8 12 8 8 ],[0.98 0.96 0.92]);\nplot([0 0 55 55 0 ],[0 60 6" "0 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: be" "gin icon text');\ncolor('black');disp('\\newlineand\\newlinez^{-0}','texmode'" ",'on');\nfprintf('','COMMENT: end icon text');\n" } Block { BlockType Outport Name "Rising Edge" Position [385, 48, 415, 62] IconDisplay "Port number" BusOutputAsStruct off } Line { SrcBlock "Input Signal" SrcPort 1 Points [0, 0; 15, 0] Branch { DstBlock "Logical" DstPort 1 } Branch { Points [0, 45] DstBlock "Inverter" DstPort 1 } } Line { SrcBlock "Delay" SrcPort 1 Points [15, 0; 0, -15] DstBlock "Logical" DstPort 2 } Line { SrcBlock "Inverter" SrcPort 1 DstBlock "Delay" DstPort 1 } Line { SrcBlock "Logical" SrcPort 1 DstBlock "Rising Edge" DstPort 1 } } } Block { BlockType Reference Name "Reset" Ports [1, 1] Position [150, 835, 215, 855] SourceBlock "xbsIndex_r4/Gateway In" SourceType "Xilinx Gateway In Block" infoedit "Gateway in block. Converts inputs of type Simu" "link integer, double and fixed point to Xilinx fixed point type.

Hardwa" "re notes: In hardware these blocks become top level input ports." arith_type "Unsigned" n_bits "1" bin_pt "0" quantization "Truncate" overflow "Wrap" period "1" dbl_ovrd off timing_constraint "None" locs_specified off LOCs "{}" xl_use_area off xl_area "[0,0,0,0,0,0,0]" has_advanced_control "0" sggui_pos "20,20,356,432" block_type "gatewayin" block_version "8.2.02" sg_icon_stat "65,20,1,1,white,yellow,0,4bb76ffd" sg_mask_display "fprintf('','COMMENT: begin icon graphics');\npa" "tch([0 65 65 0 ],[0 0 20 20 ],[0.95 0.93 0.65]);\npatch([27 24 29 24 27 32 33" " 34 40 36 32 29 34 29 32 36 40 34 33 32 27 ],[2 5 10 15 18 18 17 18 18 14 18 " "15 10 5 2 6 2 2 3 2 2 ],[0.98 0.96 0.92]);\nplot([0 0 65 65 0 ],[0 20 20 0 0 " "]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin ic" "on text');\ncolor('black');port_label('input',1,'\\fontsize{11pt}\\bf In ','t" "exmode','on');\ncolor('black');port_label('output',1,' ');\nfprintf('','COMME" "NT: end icon text');\n" } Block { BlockType "S-Function" Name "ResetLCD" Ports [1, 1] Position [625, 60, 685, 80] CopyFcn "xlBlockMoveCallback(gcbh);" DeleteFcn "xlDestroyGui(gcbh);" LoadFcn "xlBlockLoadCallback(gcbh);" ModelCloseFcn "xlDestroyGui(gcbh);" PreSaveFcn "xlBlockPreSaveCallback(gcbh);" PostSaveFcn "xlBlockPostSaveCallback(gcbh);" DestroyFcn "xlDestroyGui(gcbh);" OpenFcn "xlOpenGui(gcbh, @gatewayoutenablement, -1)" CloseFcn "xlDestroyGui(gcbh);" MoveFcn "xlBlockMoveCallback(gcbh);" FunctionName "sysgen" Parameters "ptable_" MaskType "Xilinx Gateway Out Block" MaskDescription "Gateway out block. Converts Xilinx fixed point" " inputs into ouputs of type Simulink integer, double, or fixed point.\n\nHard" "ware notes: In hardware these blocks become top level output ports or are di" "scarded, depending on how they are configured." MaskHelp "eval('xlWeb(xlhtmldoclink(''Gateway Out''))');" MaskPromptString " | |Translate into output port| |IOB timing con" "straint| |Specify IOB location constraints|IOB pad locations (cell array {'MS" "B', ..., 'LSB'})| |Define FPGA area for resource estimation|FPGA area [slices" ", FFs, BRAMs, LUTs, IOBs, emb. mults, TBUFs]| | | | | | | | | " MaskStyleString "edit,edit,checkbox,edit,popup(None|Data Rate|Da" "ta Rate; Set 'FAST' Attribute),edit,checkbox,edit,edit,checkbox,edit,edit,ed" "it,edit,edit,edit,edit,edit,edit,edit" MaskTunableValueString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on" ",on,on,on,on" MaskCallbackString "|||||||||||||||||||" MaskEnableString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on" ",on,on,on,on" MaskVisibilityString "off,off,on,off,on,off,on,on,off,on,on,off,off,o" "ff,off,off,off,off,off,off" MaskToolTipString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on" ",on,on,on,on" MaskVarAliasString ",,,,,,,,,,,,,,,,,,," MaskVariables "infoedit=&1;hdl_port_sgadvanced=&2;hdl_port=@3;" "timing_constraint_sgadvanced=&4;timing_constraint=@5;locs_specified_sgadvance" "d=&6;locs_specified=@7;LOCs=@8;xl_use_area_sgadvanced=&9;xl_use_area=@10;xl_a" "rea=@11;sginterface=&12;has_advanced_control=@13;sggui_pos=&14;block_type=&15" ";block_version=&16;sg_icon_stat=&17;sg_mask_display=&18;sg_list_contents=&19;" "sg_blockgui_xml=&20;" MaskInitialization "try\n tmp_gcb = gcb;\n tmp_gcbh = gcbh;\n xl" "MungeMaskParams;\n block_config = 'Sysgen:gateway_out_config';\n serialized" "_declarations = '{''LOCs''=>''StringVector'',''hdl_port''=>''Int'',''locs_spe" "cified''=>''Int'',''sginterface''=>''String'',''timing_constraint''=>''Int''}" "';\n ptable_ = xlblockprep(get_param(tmp_gcb, 'MaskWSVariables'));\ncatch\n " " global xl_report_errors;\n if(~isempty(xl_report_errors) && xl_report_error" "s)\n e = regexprep(lasterr, '\\n', '\\nError: ');\n disp(['Error: While" " running MaskInit code on block ' tmp_gcb ': ' e]);\n error(e);\n end\nen" "d\n" MaskDisplay "fprintf('','COMMENT: begin icon graphics');\npa" "tch([0 60 60 0 ],[0 0 20 20 ],[0.95 0.93 0.65]);\npatch([24 21 26 21 24 29 30" " 31 37 33 29 26 31 26 29 33 37 31 30 29 24 ],[2 5 10 15 18 18 17 18 18 14 18 " "15 10 5 2 6 2 2 3 2 2 ],[0.98 0.96 0.92]);\nplot([0 0 60 60 0 ],[0 20 20 0 0 " "]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin ic" "on text');\ncolor('black');port_label('input',1,' ');\ncolor('black');port_la" "bel('output',1,'\\fontsize{11pt}\\bf Out ','texmode','on');\nfprintf('','COMM" "ENT: end icon text');\n" MaskSelfModifiable on MaskIconFrame off MaskIconOpaque on MaskIconRotate "none" MaskIconUnits "autoscale" MaskValueString "Gateway out block. Converts Xilinx fixed point" " inputs into ouputs of type Simulink integer, double, or fixed point.

Ha" "rdware notes: In hardware these blocks become top level output ports or are " "discarded, depending on how they are configured.||on||None||off|{}||off|[0,0," "0,0,0,0,0]||0|-1,-1,-1,-1|gatewayout|8.2.02|60,20,1,1,white,yellow,0,f0cec300" "|fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 ],[0 0 20 20 ]" ",[0.95 0.93 0.65]);\npatch([24 21 26 21 24 29 30 31 37 33 29 26 31 26 29 33 3" "7 31 30 29 24 ],[2 5 10 15 18 18 17 18 18 14 18 15 10 5 2 6 2 2 3 2 2 ],[0.98" " 0.96 0.92]);\nplot([0 0 60 60 0 ],[0 20 20 0 0 ]);\nfprintf('','COMMENT: end" " icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');po" "rt_label('input',1,' ');\ncolor('black');port_label('output',1,'\\fontsize{11" "pt}\\bf Out ','texmode','on');\nfprintf('','COMMENT: end icon text');\n||<" "sysgenblock block_type=\"gatewayout\" simulinkname=\"Gateway Out\" >\n \n \n \n \n " "\n \n \n \n \n <P>Hardware notes: In hardware thes" "e blocks become top level output ports or are discarded, depending on how the" "y are configured.\" />\n \n \n " "\n \n \n" " \n \n \n \n \n \n \n \n <" "/blockgui>\n\n" MaskTabNameString ",,,,,,,,,,,,,,,,,,," } Block { BlockType "S-Function" Name "SCL" Ports [1, 1] Position [1525, 395, 1585, 415] CopyFcn "xlBlockMoveCallback(gcbh);" DeleteFcn "xlDestroyGui(gcbh);" LoadFcn "xlBlockLoadCallback(gcbh);" ModelCloseFcn "xlDestroyGui(gcbh);" PreSaveFcn "xlBlockPreSaveCallback(gcbh);" PostSaveFcn "xlBlockPostSaveCallback(gcbh);" DestroyFcn "xlDestroyGui(gcbh);" OpenFcn "xlOpenGui(gcbh, @gatewayoutenablement, -1)" CloseFcn "xlDestroyGui(gcbh);" MoveFcn "xlBlockMoveCallback(gcbh);" FunctionName "sysgen" Parameters "ptable_" MaskType "Xilinx Gateway Out Block" MaskDescription "Gateway out block. Converts Xilinx fixed point" " inputs into ouputs of type Simulink integer, double, or fixed point.\n\nHard" "ware notes: In hardware these blocks become top level output ports or are di" "scarded, depending on how they are configured." MaskHelp "eval('xlWeb(xlhtmldoclink(''Gateway Out''))');" MaskPromptString " | |Translate into output port| |IOB timing con" "straint| |Specify IOB location constraints|IOB pad locations (cell array {'MS" "B', ..., 'LSB'})| |Define FPGA area for resource estimation|FPGA area [slices" ", FFs, BRAMs, LUTs, IOBs, emb. mults, TBUFs]| | | | | | | | | " MaskStyleString "edit,edit,checkbox,edit,popup(None|Data Rate|Da" "ta Rate; Set 'FAST' Attribute),edit,checkbox,edit,edit,checkbox,edit,edit,ed" "it,edit,edit,edit,edit,edit,edit,edit" MaskTunableValueString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on" ",on,on,on,on" MaskCallbackString "|||||||||||||||||||" MaskEnableString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on" ",on,on,on,on" MaskVisibilityString "off,off,on,off,on,off,on,on,off,on,on,off,off,o" "ff,off,off,off,off,off,off" MaskToolTipString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on" ",on,on,on,on" MaskVarAliasString ",,,,,,,,,,,,,,,,,,," MaskVariables "infoedit=&1;hdl_port_sgadvanced=&2;hdl_port=@3;" "timing_constraint_sgadvanced=&4;timing_constraint=@5;locs_specified_sgadvance" "d=&6;locs_specified=@7;LOCs=@8;xl_use_area_sgadvanced=&9;xl_use_area=@10;xl_a" "rea=@11;sginterface=&12;has_advanced_control=@13;sggui_pos=&14;block_type=&15" ";block_version=&16;sg_icon_stat=&17;sg_mask_display=&18;sg_list_contents=&19;" "sg_blockgui_xml=&20;" MaskInitialization "try\n tmp_gcb = gcb;\n tmp_gcbh = gcbh;\n xl" "MungeMaskParams;\n block_config = 'Sysgen:gateway_out_config';\n serialized" "_declarations = '{''LOCs''=>''StringVector'',''hdl_port''=>''Int'',''locs_spe" "cified''=>''Int'',''sginterface''=>''String'',''timing_constraint''=>''Int''}" "';\n ptable_ = xlblockprep(get_param(tmp_gcb, 'MaskWSVariables'));\ncatch\n " " global xl_report_errors;\n if(~isempty(xl_report_errors) && xl_report_error" "s)\n e = regexprep(lasterr, '\\n', '\\nError: ');\n disp(['Error: While" " running MaskInit code on block ' tmp_gcb ': ' e]);\n error(e);\n end\nen" "d\n" MaskDisplay "fprintf('','COMMENT: begin icon graphics');\npa" "tch([0 60 60 0 ],[0 0 20 20 ],[0.95 0.93 0.65]);\npatch([24 21 26 21 24 29 30" " 31 37 33 29 26 31 26 29 33 37 31 30 29 24 ],[2 5 10 15 18 18 17 18 18 14 18 " "15 10 5 2 6 2 2 3 2 2 ],[0.98 0.96 0.92]);\nplot([0 0 60 60 0 ],[0 20 20 0 0 " "]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin ic" "on text');\ncolor('black');port_label('input',1,' ');\ncolor('black');port_la" "bel('output',1,'\\fontsize{11pt}\\bf Out ','texmode','on');\nfprintf('','COMM" "ENT: end icon text');\n" MaskSelfModifiable on MaskIconFrame off MaskIconOpaque on MaskIconRotate "none" MaskIconUnits "autoscale" MaskValueString "Gateway out block. Converts Xilinx fixed point" " inputs into ouputs of type Simulink integer, double, or fixed point.

Ha" "rdware notes: In hardware these blocks become top level output ports or are " "discarded, depending on how they are configured.||on||None||off|{}||off|[0,0," "0,0,0,0,0]||0|-1,-1,-1,-1|gatewayout|8.2.02|60,20,1,1,white,yellow,0,f0cec300" "|fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 ],[0 0 20 20 ]" ",[0.95 0.93 0.65]);\npatch([24 21 26 21 24 29 30 31 37 33 29 26 31 26 29 33 3" "7 31 30 29 24 ],[2 5 10 15 18 18 17 18 18 14 18 15 10 5 2 6 2 2 3 2 2 ],[0.98" " 0.96 0.92]);\nplot([0 0 60 60 0 ],[0 20 20 0 0 ]);\nfprintf('','COMMENT: end" " icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');po" "rt_label('input',1,' ');\ncolor('black');port_label('output',1,'\\fontsize{11" "pt}\\bf Out ','texmode','on');\nfprintf('','COMMENT: end icon text');\n||<" "sysgenblock block_type=\"gatewayout\" simulinkname=\"Gateway Out\" >\n \n \n \n \n " "\n \n \n \n \n <P>Hardware notes: In hardware thes" "e blocks become top level output ports or are discarded, depending on how the" "y are configured.\" />\n \n \n " "\n \n \n" " \n \n \n \n \n \n \n \n <" "/blockgui>\n\n" MaskTabNameString ",,,,,,,,,,,,,,,,,,," } Block { BlockType "S-Function" Name "SDI" Ports [1, 1] Position [1525, 335, 1585, 355] CopyFcn "xlBlockMoveCallback(gcbh);" DeleteFcn "xlDestroyGui(gcbh);" LoadFcn "xlBlockLoadCallback(gcbh);" ModelCloseFcn "xlDestroyGui(gcbh);" PreSaveFcn "xlBlockPreSaveCallback(gcbh);" PostSaveFcn "xlBlockPostSaveCallback(gcbh);" DestroyFcn "xlDestroyGui(gcbh);" OpenFcn "xlOpenGui(gcbh, @gatewayoutenablement, -1)" CloseFcn "xlDestroyGui(gcbh);" MoveFcn "xlBlockMoveCallback(gcbh);" FunctionName "sysgen" Parameters "ptable_" MaskType "Xilinx Gateway Out Block" MaskDescription "Gateway out block. Converts Xilinx fixed point" " inputs into ouputs of type Simulink integer, double, or fixed point.\n\nHard" "ware notes: In hardware these blocks become top level output ports or are di" "scarded, depending on how they are configured." MaskHelp "eval('xlWeb(xlhtmldoclink(''Gateway Out''))');" MaskPromptString " | |Translate into output port| |IOB timing con" "straint| |Specify IOB location constraints|IOB pad locations (cell array {'MS" "B', ..., 'LSB'})| |Define FPGA area for resource estimation|FPGA area [slices" ", FFs, BRAMs, LUTs, IOBs, emb. mults, TBUFs]| | | | | | | | | " MaskStyleString "edit,edit,checkbox,edit,popup(None|Data Rate|Da" "ta Rate; Set 'FAST' Attribute),edit,checkbox,edit,edit,checkbox,edit,edit,ed" "it,edit,edit,edit,edit,edit,edit,edit" MaskTunableValueString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on" ",on,on,on,on" MaskCallbackString "|||||||||||||||||||" MaskEnableString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on" ",on,on,on,on" MaskVisibilityString "off,off,on,off,on,off,on,on,off,on,on,off,off,o" "ff,off,off,off,off,off,off" MaskToolTipString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on" ",on,on,on,on" MaskVarAliasString ",,,,,,,,,,,,,,,,,,," MaskVariables "infoedit=&1;hdl_port_sgadvanced=&2;hdl_port=@3;" "timing_constraint_sgadvanced=&4;timing_constraint=@5;locs_specified_sgadvance" "d=&6;locs_specified=@7;LOCs=@8;xl_use_area_sgadvanced=&9;xl_use_area=@10;xl_a" "rea=@11;sginterface=&12;has_advanced_control=@13;sggui_pos=&14;block_type=&15" ";block_version=&16;sg_icon_stat=&17;sg_mask_display=&18;sg_list_contents=&19;" "sg_blockgui_xml=&20;" MaskInitialization "try\n tmp_gcb = gcb;\n tmp_gcbh = gcbh;\n xl" "MungeMaskParams;\n block_config = 'Sysgen:gateway_out_config';\n serialized" "_declarations = '{''LOCs''=>''StringVector'',''hdl_port''=>''Int'',''locs_spe" "cified''=>''Int'',''sginterface''=>''String'',''timing_constraint''=>''Int''}" "';\n ptable_ = xlblockprep(get_param(tmp_gcb, 'MaskWSVariables'));\ncatch\n " " global xl_report_errors;\n if(~isempty(xl_report_errors) && xl_report_error" "s)\n e = regexprep(lasterr, '\\n', '\\nError: ');\n disp(['Error: While" " running MaskInit code on block ' tmp_gcb ': ' e]);\n error(e);\n end\nen" "d\n" MaskDisplay "fprintf('','COMMENT: begin icon graphics');\npa" "tch([0 60 60 0 ],[0 0 20 20 ],[0.95 0.93 0.65]);\npatch([24 21 26 21 24 29 30" " 31 37 33 29 26 31 26 29 33 37 31 30 29 24 ],[2 5 10 15 18 18 17 18 18 14 18 " "15 10 5 2 6 2 2 3 2 2 ],[0.98 0.96 0.92]);\nplot([0 0 60 60 0 ],[0 20 20 0 0 " "]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin ic" "on text');\ncolor('black');port_label('input',1,' ');\ncolor('black');port_la" "bel('output',1,'\\fontsize{11pt}\\bf Out ','texmode','on');\nfprintf('','COMM" "ENT: end icon text');\n" MaskSelfModifiable on MaskIconFrame off MaskIconOpaque on MaskIconRotate "none" MaskIconUnits "autoscale" MaskValueString "Gateway out block. Converts Xilinx fixed point" " inputs into ouputs of type Simulink integer, double, or fixed point.

Ha" "rdware notes: In hardware these blocks become top level output ports or are " "discarded, depending on how they are configured.||on||None||off|{}||off|[0,0," "0,0,0,0,0]||0|20,20,356,335|gatewayout|8.2.02|60,20,1,1,white,yellow,0,f0cec3" "00|fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 ],[0 0 20 20" " ],[0.95 0.93 0.65]);\npatch([24 21 26 21 24 29 30 31 37 33 29 26 31 26 29 33" " 37 31 30 29 24 ],[2 5 10 15 18 18 17 18 18 14 18 15 10 5 2 6 2 2 3 2 2 ],[0." "98 0.96 0.92]);\nplot([0 0 60 60 0 ],[0 20 20 0 0 ]);\nfprintf('','COMMENT: e" "nd icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');" "port_label('input',1,' ');\ncolor('black');port_label('output',1,'\\fontsize{" "11pt}\\bf Out ','texmode','on');\nfprintf('','COMMENT: end icon text');\n||<" "!-- * Reproduction or reuse, in any form, without the explicit written -->" "