Model { Name "user_io_board_controller" Version 6.3 MdlSubVersion 0 GraphicalInterface { NumRootInports 0 NumRootOutports 0 ParameterArgumentNames "" ComputedModelVersion "1.148" NumModelReferences 0 NumTestPointedSignals 0 } SavedCharacterEncoding "windows-1252" SaveDefaultBlockParams on SampleTimeColors on LibraryLinkDisplay "none" WideLines off ShowLineDimensions off ShowPortDataTypes on ShowLoopsOnError on IgnoreBidirectionalLines off ShowStorageClass off ShowTestPointIcons on ShowViewerIcons on SortedOrder off ExecutionContextIcon off ShowLinearizationAnnotations on RecordCoverage off CovPath "/" CovSaveName "covdata" CovMetricSettings "dw" CovNameIncrementing off CovHtmlReporting on covSaveCumulativeToWorkspaceVar on CovSaveSingleToWorkspaceVar on CovCumulativeVarName "covCumulativeData" CovCumulativeReport off CovReportOnPause on ScopeRefreshTime 0.035000 OverrideScopeRefreshTime on DisableAllScopes off DataTypeOverride "UseLocalSettings" MinMaxOverflowLogging "UseLocalSettings" MinMaxOverflowArchiveMode "Overwrite" BlockNameDataTip off BlockParametersDataTip off BlockDescriptionStringDataTip off ToolBar on StatusBar on BrowserShowLibraryLinks off BrowserLookUnderMasks off InitFcn "init_lcd" StartFcn "init_lcd" Created "Thu Aug 09 22:27:48 2007" UpdateHistory "UpdateHistoryNever" ModifiedByFormat "%" LastModifiedBy "sgupta" ModifiedDateFormat "%" LastModifiedDate "Thu Aug 30 23:25:23 2007" ModelVersionFormat "1.%" ConfigurationManager "None" LinearizationMsg "none" Profile off ParamWorkspaceSource "MATLABWorkspace" AccelSystemTargetFile "accel.tlc" AccelTemplateMakefile "accel_default_tmf" AccelMakeCommand "make_rtw" TryForcingSFcnDF off ExtModeBatchMode off ExtModeEnableFloating on ExtModeTrigType "manual" ExtModeTrigMode "normal" ExtModeTrigPort "1" ExtModeTrigElement "any" ExtModeTrigDuration 1000 ExtModeTrigDurationFloating "auto" ExtModeTrigHoldOff 0 ExtModeTrigDelay 0 ExtModeTrigDirection "rising" ExtModeTrigLevel 0 ExtModeArchiveMode "off" ExtModeAutoIncOneShot off ExtModeIncDirWhenArm off ExtModeAddSuffixToVar off ExtModeWriteAllDataToWs off ExtModeArmWhenConnect on ExtModeSkipDownloadWhenConnect off ExtModeLogAll on ExtModeAutoUpdateStatusClock on BufferReuse on StrictBusMsg "Warning" ProdHWDeviceType "32-bit Generic" ShowModelReferenceBlockVersion off ShowModelReferenceBlockIO off Array { Type "Handle" Dimension 1 Simulink.ConfigSet { $ObjectID 1 Version "1.1.0" Array { Type "Handle" Dimension 7 Simulink.SolverCC { $ObjectID 2 Version "1.1.0" StartTime "0.0" StopTime "20000" AbsTol "auto" FixedStep "auto" InitialStep "auto" MaxNumMinSteps "-1" MaxOrder 5 ExtrapolationOrder 4 NumberNewtonIterations 1 MaxStep "auto" MinStep "auto" RelTol "1e-3" SolverMode "Auto" Solver "ode45" SolverName "ode45" ZeroCrossControl "UseLocalSettings" AlgebraicLoopSolver "TrustRegion" SolverResetMethod "Fast" PositivePriorityOrder off AutoInsertRateTranBlk off SampleTimeConstraint "Unconstrained" RateTranMode "Deterministic" } Simulink.DataIOCC { $ObjectID 3 Version "1.1.0" Decimation "1" ExternalInput "[t, u]" FinalStateName "xFinal" InitialState "xInitial" LimitDataPoints on MaxDataPoints "1000" LoadExternalInput off LoadInitialState off SaveFinalState off SaveFormat "Array" SaveOutput on SaveState off SignalLogging on InspectSignalLogs off SaveTime on StateSaveName "xout" TimeSaveName "tout" OutputSaveName "yout" SignalLoggingName "logsout" OutputOption "RefineOutputTimes" OutputTimes "[]" Refine "1" } Simulink.OptimizationCC { $ObjectID 4 Array { Type "Cell" Dimension 5 Cell "ZeroExternalMemoryAtStartup" Cell "ZeroInternalMemoryAtStartup" Cell "InitFltsAndDblsToZero" Cell "OptimizeModelRefInitCode" Cell "NoFixptDivByZeroProtection" PropName "DisabledProps" } Version "1.1.0" BlockReduction on BooleanDataType on ConditionallyExecuteInputs on InlineParams off InlineInvariantSignals off OptimizeBlockIOStorage on BufferReuse on EnforceIntegerDowncast on ExpressionFolding on FoldNonRolledExpr on LocalBlockOutputs on ParameterPooling on RollThreshold 5 SystemCodeInlineAuto off StateBitsets off DataBitsets off UseTempVars off ZeroExternalMemoryAtStartup on ZeroInternalMemoryAtStartup on InitFltsAndDblsToZero on NoFixptDivByZeroProtection off EfficientFloat2IntCast off OptimizeModelRefInitCode off LifeSpan "inf" BufferReusableBoundary on } Simulink.DebuggingCC { $ObjectID 5 Version "1.1.0" RTPrefix "error" ConsistencyChecking "none" ArrayBoundsChecking "none" SignalInfNanChecking "none" ReadBeforeWriteMsg "UseLocalSettings" WriteAfterWriteMsg "UseLocalSettings" WriteAfterReadMsg "UseLocalSettings" AlgebraicLoopMsg "warning" ArtificialAlgebraicLoopMsg "warning" CheckSSInitialOutputMsg on CheckExecutionContextPreStartOutputMsg off CheckExecutionContextRuntimeOutputMsg off SignalResolutionControl "TryResolveAllWithWarning" BlockPriorityViolationMsg "warning" MinStepSizeMsg "warning" SolverPrmCheckMsg "warning" InheritedTsInSrcMsg "warning" DiscreteInheritContinuousMsg "warning" MultiTaskDSMMsg "warning" MultiTaskRateTransMsg "error" SingleTaskRateTransMsg "none" TasksWithSamePriorityMsg "warning" SigSpecEnsureSampleTimeMsg "warning" CheckMatrixSingularityMsg "none" IntegerOverflowMsg "warning" Int32ToFloatConvMsg "warning" ParameterDowncastMsg "error" ParameterOverflowMsg "error" ParameterUnderflowMsg "none" ParameterPrecisionLossMsg "warning" UnderSpecifiedDataTypeMsg "none" UnnecessaryDatatypeConvMsg "none" VectorMatrixConversionMsg "none" InvalidFcnCallConnMsg "error" FcnCallInpInsideContextMsg "Use local settings" SignalLabelMismatchMsg "none" UnconnectedInputMsg "warning" UnconnectedOutputMsg "warning" UnconnectedLineMsg "warning" SFcnCompatibilityMsg "none" UniqueDataStoreMsg "none" BusObjectLabelMismatch "warning" RootOutportRequireBusObject "warning" AssertControl "UseLocalSettings" EnableOverflowDetection off ModelReferenceIOMsg "none" ModelReferenceVersionMismatchMessage "none" ModelReferenceIOMismatchMessage "none" ModelReferenceCSMismatchMessage "none" ModelReferenceSimTargetVerbose off UnknownTsInhSupMsg "warning" ModelReferenceDataLoggingMessage "warning" ModelReferenceSymbolNameMessage "warning" ModelReferenceExtraNoncontSigs "error" } Simulink.HardwareCC { $ObjectID 6 Version "1.1.0" ProdBitPerChar 8 ProdBitPerShort 16 ProdBitPerInt 32 ProdBitPerLong 32 ProdIntDivRoundTo "Undefined" ProdEndianess "Unspecified" ProdWordSize 32 ProdShiftRightIntArith on ProdHWDeviceType "32-bit Generic" TargetBitPerChar 8 TargetBitPerShort 16 TargetBitPerInt 32 TargetBitPerLong 32 TargetShiftRightIntArith on TargetIntDivRoundTo "Undefined" TargetEndianess "Unspecified" TargetWordSize 32 TargetTypeEmulationWarnSuppressLevel 0 TargetPreprocMaxBitsSint 32 TargetPreprocMaxBitsUint 32 TargetHWDeviceType "Specified" TargetUnknown off ProdEqTarget on } Simulink.ModelReferenceCC { $ObjectID 7 Version "1.1.0" UpdateModelReferenceTargets "IfOutOfDateOrStructuralChange" CheckModelReferenceTargetMessage "error" ModelReferenceNumInstancesAllowed "Multi" ModelReferencePassRootInputsByReference on ModelReferenceMinAlgLoopOccurrences off } Simulink.RTWCC { $BackupClass "Simulink.RTWCC" $ObjectID 8 Array { Type "Cell" Dimension 1 Cell "IncludeHyperlinkInReport" PropName "DisabledProps" } Version "1.1.0" SystemTargetFile "grt.tlc" GenCodeOnly off MakeCommand "make_rtw" TemplateMakefile "grt_default_tmf" GenerateReport off SaveLog off RTWVerbose on RetainRTWFile off ProfileTLC off TLCDebug off TLCCoverage off TLCAssert off ProcessScriptMode "Default" ConfigurationMode "Optimized" ConfigAtBuild off IncludeHyperlinkInReport off LaunchReport off TargetLang "C" Array { Type "Handle" Dimension 2 Simulink.CodeAppCC { $ObjectID 9 Array { Type "Cell" Dimension 9 Cell "IgnoreCustomStorageClasses" Cell "InsertBlockDesc" Cell "SFDataObjDesc" Cell "SimulinkDataObjDesc" Cell "DefineNamingRule" Cell "SignalNamingRule" Cell "ParamNamingRule" Cell "InlinedPrmAccess" Cell "CustomSymbolStr" PropName "DisabledProps" } Version "1.1.0" ForceParamTrailComments off GenerateComments on IgnoreCustomStorageClasses on IncHierarchyInIds off MaxIdLength 31 PreserveName off PreserveNameWithParent off ShowEliminatedStatement off IncAutoGenComments off SimulinkDataObjDesc off SFDataObjDesc off IncDataTypeInIds off PrefixModelToSubsysFcnNames on CustomSymbolStr "$R$N$M" MangleLength 1 DefineNamingRule "None" ParamNamingRule "None" SignalNamingRule "None" InsertBlockDesc off SimulinkBlockComments on EnableCustomComments off InlinedPrmAccess "Literals" ReqsInCode off } Simulink.GRTTargetCC { $BackupClass "Simulink.TargetCC" $ObjectID 10 Array { Type "Cell" Dimension 12 Cell "IncludeMdlTerminateFcn" Cell "CombineOutputUpdateFcns" Cell "SuppressErrorStatus" Cell "ERTCustomFileBanners" Cell "GenerateSampleERTMain" Cell "MultiInstanceERTCode" Cell "PurelyIntegerCode" Cell "SupportNonFinite" Cell "SupportComplex" Cell "SupportAbsoluteTime" Cell "SupportContinuousTime" Cell "SupportNonInlinedSFcns" PropName "DisabledProps" } Version "1.1.0" TargetFcnLib "ansi_tfl_tmw.mat" TargetLibSuffix "" TargetPreCompLibLocation "" GenFloatMathFcnCalls "ANSI_C" UtilityFuncGeneration "Auto" GenerateFullHeader on GenerateSampleERTMain off IsPILTarget off ModelReferenceCompliant on IncludeMdlTerminateFcn on CombineOutputUpdateFcns off SuppressErrorStatus off IncludeFileDelimiter "Auto" ERTCustomFileBanners off SupportAbsoluteTime on LogVarNameModifier "rt_" MatFileLogging on MultiInstanceERTCode off SupportNonFinite on SupportComplex on PurelyIntegerCode off SupportContinuousTime on SupportNonInlinedSFcns on ExtMode off ExtModeStaticAlloc off ExtModeTesting off ExtModeStaticAllocSize 1000000 ExtModeTransport 0 ExtModeMexFile "ext_comm" RTWCAPISignals off RTWCAPIParams off RTWCAPIStates off GenerateASAP2 off } PropName "Components" } } PropName "Components" } Name "Configuration" SimulationMode "normal" CurrentDlgPage "Solver" } PropName "ConfigurationSets" } Simulink.ConfigSet { $PropName "ActiveConfigurationSet" $ObjectID 1 } BlockDefaults { Orientation "right" ForegroundColor "black" BackgroundColor "white" DropShadow off NamePlacement "normal" FontName "arial" FontSize 10 FontWeight "normal" FontAngle "normal" ShowName on } BlockParameterDefaults { Block { BlockType Constant Value "1" VectorParams1D on OutDataTypeMode "Inherit from 'Constant value'" OutDataType "sfix(16)" ConRadixGroup "Use specified scaling" OutScaling "2^0" SampleTime "inf" } Block { BlockType DiscretePulseGenerator PulseType "Sample based" TimeSource "Use simulation time" Amplitude "1" Period "2" PulseWidth "1" PhaseDelay "0" SampleTime "1" VectorParams1D on } Block { BlockType From IconDisplay "Tag" } Block { BlockType Goto IconDisplay "Tag" } Block { BlockType Inport Port "1" UseBusObject off BusObject "BusObject" BusOutputAsStruct off PortDimensions "-1" SampleTime "-1" DataType "auto" OutDataType "sfix(16)" OutScaling "2^0" SignalType "auto" SamplingMode "auto" LatchByDelayingOutsideSignal off LatchByCopyingInsideSignal off Interpolate on } Block { BlockType InportShadow UseBusObject off BusObject "BusObject" BusOutputAsStruct off PortDimensions "-1" SampleTime "-1" DataType "auto" OutDataType "sfix(16)" OutScaling "2^0" SignalType "auto" SamplingMode "auto" Interpolate on } Block { BlockType Outport Port "1" UseBusObject off BusObject "BusObject" BusOutputAsStruct off PortDimensions "-1" SampleTime "-1" DataType "auto" OutDataType "sfix(16)" OutScaling "2^0" SignalType "auto" SamplingMode "auto" OutputWhenDisabled "held" InitialOutput "[]" } Block { BlockType Reference } Block { BlockType Scope ModelBased off TickLabels "OneTimeTick" ZoomMode "on" Grid "on" TimeRange "auto" YMin "-5" YMax "5" SaveToWorkspace off SaveName "ScopeData" LimitDataPoints on MaxDataPoints "5000" Decimation "1" SampleInput off SampleTime "-1" } Block { BlockType "S-Function" FunctionName "system" SFunctionModules "''" PortCounts "[]" } Block { BlockType Step Time "1" Before "0" After "1" SampleTime "-1" VectorParams1D on ZeroCross on } Block { BlockType SubSystem ShowPortLabels on Permissions "ReadWrite" PermitHierarchicalResolution "All" SystemSampleTime "-1" RTWFcnNameOpts "Auto" RTWFileNameOpts "Auto" SimViewingDevice off DataTypeOverride "UseLocalSettings" MinMaxOverflowLogging "UseLocalSettings" } Block { BlockType Terminator } } AnnotationDefaults { HorizontalAlignment "center" VerticalAlignment "middle" ForegroundColor "black" BackgroundColor "white" DropShadow off FontName "arial" FontSize 10 FontWeight "normal" FontAngle "normal" } LineDefaults { FontName "arial" FontSize 9 FontWeight "normal" FontAngle "normal" } System { Name "user_io_board_controller" Location [424, 78, 1868, 1079] Open off ModelBrowserVisibility off ModelBrowserWidth 200 ScreenColor "white" PaperOrientation "landscape" PaperPositionMode "auto" PaperType "usletter" PaperUnits "inches" ZoomFactor "100" ReportName "simulink-default.rpt" Block { BlockType SubSystem Name " System Generator" Tag "genX" Ports [] Position [249, 112, 300, 162] ShowName off AttributesFormatString "System\\nGenerator" UserDataPersistent on UserData "DataTag0" CopyFcn "xlBlockMoveCallback(gcbh);" DeleteFcn "xlSysgenGUI('delete', gcs, gcbh);" ModelCloseFcn "xlSysgenGUI('Close',gcs,gcbh)" PostSaveFcn "xlSysgenGUI('Save')" OpenFcn "xlSysgenGUI('startup',gcs,gcbh)" MoveFcn "xlBlockMoveCallback(gcbh);" TreatAsAtomicUnit off MinAlgLoopOccurrences off RTWSystemCode "Auto" MaskHideContents off MaskType "Xilinx System Generator Block" MaskDescription " System Generator" MaskHelp "eval('xlWeb(xlhtmldoclink('' System Generator''" "))');" MaskPromptString " |Xilinx family|Part|Speed|Package| |Synthesis " "tool|Target directory| |Testbench|Simulink period|System clock period| |Incre" "mental netlisting| |Trim valid bits| |Override with doubles| |Generate cores|" " |Run CoreGen| |Show deprecated controls| | | | | | | | | " MaskStyleString "edit,edit,edit,edit,edit,edit,popup(Spectrum|Sy" "nplify|Synplify Pro|XST|Precision),edit,edit,checkbox,edit,edit,edit,checkbox" ",edit,popup(According to Block Masks|Everywhere in SubSystem|No Where in SubS" "ystem),edit,popup(According to Block Masks|Everywhere in SubSystem|No Where i" "n SubSystem),edit,popup(According to Block Masks|Everywhere Available|Not Nee" "ded - Already Generated),edit,checkbox,edit,checkbox,edit,edit,edit,edit,edit" ",edit,edit,edit,edit" MaskTunableValueString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on" ",on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on" MaskCallbackString "||||||||||||||||||||||||||||||||" MaskEnableString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on" ",on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on" MaskVisibilityString "off,on,on,on,on,off,on,on,off,on,on,on,off,on,o" "ff,on,off,on,off,on,off,on,off,on,off,off,off,off,off,off,off,off,off" MaskToolTipString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on" ",on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on" MaskVarAliasString ",,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,," MaskVariables "infoedit=&1;xilinxfamily=&2;part=&3;speed=&4;pa" "ckage=&5;synthesis_tool_sgadvanced=&6;synthesis_tool=@7;directory=&8;testbenc" "h_sgadvanced=&9;testbench=@10;simulink_period=@11;sysclk_period=@12;incr_netl" "ist_sgadvanced=&13;incr_netlist=@14;trim_vbits_sgadvanced=&15;trim_vbits=@16;" "dbl_ovrd_sgadvanced=&17;dbl_ovrd=@18;core_generation_sgadvanced=&19;core_gene" "ration=@20;run_coregen_sgadvanced=&21;run_coregen=@22;deprecated_control_sgad" "vanced=&23;deprecated_control=@24;eval_field=@25;has_advanced_control=@26;sgg" "ui_pos=&27;block_type=&28;block_version=&29;sg_icon_stat=&30;sg_mask_display=" "&31;sg_list_contents=&32;sg_blockgui_xml=&33;" MaskInitialization "try\n tmp_gcb = gcb;\n tmp_gcbh = gcbh;\n xl" "MungeMaskParams;\n serialized_declarations = '{}';\n ptable_ = xlblockprep(" "get_param(tmp_gcb, 'MaskWSVariables'));\ncatch\n global xl_report_errors;\n " " if(~isempty(xl_report_errors) && xl_report_errors)\n e = regexprep(laster" "r, '\\n', '\\nError: ');\n disp(['Error: While running MaskInit code on bl" "ock ' tmp_gcb ': ' e]);\n error(e);\n end\nend\n" MaskDisplay "fprintf('','COMMENT: begin icon graphics');\npa" "tch([0 51 51 0 ],[0 0 50 50 ],[0.93 0.92 0.86]);\npatch([12 4 16 4 12 25 29 3" "3 47 36 25 17 29 17 25 36 47 33 29 25 12 ],[5 13 25 37 45 45 41 45 45 34 45 3" "7 25 13 5 16 5 5 9 5 5 ],[0.6 0.2 0.25]);\nplot([0 0 51 51 0 ],[0 50 50 0 0 ]" ");\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin ico" "n text');\nfprintf('','COMMENT: end icon text');\n" MaskSelfModifiable on MaskIconFrame off MaskIconOpaque on MaskIconRotate "none" MaskIconUnits "autoscale" MaskValueString " System Generator|Virtex4|xc4vsx35|-10|ff668||X" "ST|./netlist||off|1|10||off||Everywhere in SubSystem||According to Block Mask" "s||According to Block Masks||off||off|0|0|-1,-1,-1,-1|sysgen|8.2.02|51,50,-1," "-1,red,beige,0,07734|fprintf('','COMMENT: begin icon graphics');\npatch([0 51" " 51 0 ],[0 0 50 50 ],[0.93 0.92 0.86]);\npatch([12 4 16 4 12 25 29 33 47 36 2" "5 17 29 17 25 36 47 33 29 25 12 ],[5 13 25 37 45 45 41 45 45 34 45 37 25 13 5" " 16 5 5 9 5 5 ],[0.6 0.2 0.25]);\nplot([0 0 51 51 0 ],[0 50 50 0 0 ]);\nfprin" "tf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');" "\nfprintf('','COMMENT: end icon text');\n||\n" " \n \n" " \n \n \n \n \n \n \n \n \n <" "editbox evaluate=\"false\" name=\"part\" default=\"xc4vsx35\" label=\"Part\" " "/>\n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n " "\n \n \n \n \n \n\n" MaskTabNameString ",,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,," System { Name " System Generator" Location [78, 422, 297, 583] Open off ModelBrowserVisibility off ModelBrowserWidth 200 ScreenColor "white" PaperOrientation "landscape" PaperPositionMode "auto" PaperType "usletter" PaperUnits "inches" ZoomFactor "100" Block { BlockType DiscretePulseGenerator Name "2x System Clock" Ports [0, 1] Position [15, 15, 45, 45] SampleTime "simulink_period" } Block { BlockType DiscretePulseGenerator Name "Continuous\nSource" Ports [0, 1] Position [15, 72, 45, 98] PulseType "Time based" Period "2*simulink_period" PulseWidth "50" } Block { BlockType Terminator Name "Terminator" Position [115, 20, 135, 40] } Block { BlockType Terminator Name "Terminator1" Position [115, 75, 135, 95] } Line { SrcBlock "2x System Clock" SrcPort 1 DstBlock "Terminator" DstPort 1 } Line { SrcBlock "Continuous\nSource" SrcPort 1 DstBlock "Terminator1" DstPort 1 } } } Block { BlockType "S-Function" Name "CS" Ports [1, 1] Position [1525, 455, 1585, 475] CopyFcn "xlBlockMoveCallback(gcbh);" DeleteFcn "xlDestroyGui(gcbh);" LoadFcn "xlBlockLoadCallback(gcbh);" ModelCloseFcn "xlDestroyGui(gcbh);" PreSaveFcn "xlBlockPreSaveCallback(gcbh);" PostSaveFcn "xlBlockPostSaveCallback(gcbh);" DestroyFcn "xlDestroyGui(gcbh);" OpenFcn "xlOpenGui(gcbh, @gatewayoutenablement, -1)" CloseFcn "xlDestroyGui(gcbh);" MoveFcn "xlBlockMoveCallback(gcbh);" FunctionName "sysgen" Parameters "ptable_" MaskType "Xilinx Gateway Out Block" MaskDescription "Gateway out block. Converts Xilinx fixed point" " inputs into ouputs of type Simulink integer, double, or fixed point.\n\nHard" "ware notes: In hardware these blocks become top level output ports or are di" "scarded, depending on how they are configured." MaskHelp "eval('xlWeb(xlhtmldoclink(''Gateway Out''))');" MaskPromptString " | |Translate into output port| |IOB timing con" "straint| |Specify IOB location constraints|IOB pad locations (cell array {'MS" "B', ..., 'LSB'})| |Define FPGA area for resource estimation|FPGA area [slices" ", FFs, BRAMs, LUTs, IOBs, emb. mults, TBUFs]| | | | | | | | | " MaskStyleString "edit,edit,checkbox,edit,popup(None|Data Rate|Da" "ta Rate; Set 'FAST' Attribute),edit,checkbox,edit,edit,checkbox,edit,edit,ed" "it,edit,edit,edit,edit,edit,edit,edit" MaskTunableValueString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on" ",on,on,on,on" MaskCallbackString "|||||||||||||||||||" MaskEnableString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on" ",on,on,on,on" MaskVisibilityString "off,off,on,off,on,off,on,on,off,on,on,off,off,o" "ff,off,off,off,off,off,off" MaskToolTipString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on" ",on,on,on,on" MaskVarAliasString ",,,,,,,,,,,,,,,,,,," MaskVariables "infoedit=&1;hdl_port_sgadvanced=&2;hdl_port=@3;" "timing_constraint_sgadvanced=&4;timing_constraint=@5;locs_specified_sgadvance" "d=&6;locs_specified=@7;LOCs=@8;xl_use_area_sgadvanced=&9;xl_use_area=@10;xl_a" "rea=@11;sginterface=&12;has_advanced_control=@13;sggui_pos=&14;block_type=&15" ";block_version=&16;sg_icon_stat=&17;sg_mask_display=&18;sg_list_contents=&19;" "sg_blockgui_xml=&20;" MaskInitialization "try\n tmp_gcb = gcb;\n tmp_gcbh = gcbh;\n xl" "MungeMaskParams;\n block_config = 'Sysgen:gateway_out_config';\n serialized" "_declarations = '{''LOCs''=>''StringVector'',''hdl_port''=>''Int'',''locs_spe" "cified''=>''Int'',''sginterface''=>''String'',''timing_constraint''=>''Int''}" "';\n ptable_ = xlblockprep(get_param(tmp_gcb, 'MaskWSVariables'));\ncatch\n " " global xl_report_errors;\n if(~isempty(xl_report_errors) && xl_report_error" "s)\n e = regexprep(lasterr, '\\n', '\\nError: ');\n disp(['Error: While" " running MaskInit code on block ' tmp_gcb ': ' e]);\n error(e);\n end\nen" "d\n" MaskDisplay "fprintf('','COMMENT: begin icon graphics');\npa" "tch([0 60 60 0 ],[0 0 20 20 ],[0.95 0.93 0.65]);\npatch([24 21 26 21 24 29 30" " 31 37 33 29 26 31 26 29 33 37 31 30 29 24 ],[2 5 10 15 18 18 17 18 18 14 18 " "15 10 5 2 6 2 2 3 2 2 ],[0.98 0.96 0.92]);\nplot([0 0 60 60 0 ],[0 20 20 0 0 " "]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin ic" "on text');\ncolor('black');port_label('input',1,' ');\ncolor('black');port_la" "bel('output',1,'\\fontsize{11pt}\\bf Out ','texmode','on');\nfprintf('','COMM" "ENT: end icon text');\n" MaskSelfModifiable on MaskIconFrame off MaskIconOpaque on MaskIconRotate "none" MaskIconUnits "autoscale" MaskValueString "Gateway out block. Converts Xilinx fixed point" " inputs into ouputs of type Simulink integer, double, or fixed point.

Ha" "rdware notes: In hardware these blocks become top level output ports or are " "discarded, depending on how they are configured.||on||None||off|{}||off|[0,0," "0,0,0,0,0]||0|-1,-1,-1,-1|gatewayout|8.2.02|60,20,1,1,white,yellow,0,f0cec300" "|fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 ],[0 0 20 20 ]" ",[0.95 0.93 0.65]);\npatch([24 21 26 21 24 29 30 31 37 33 29 26 31 26 29 33 3" "7 31 30 29 24 ],[2 5 10 15 18 18 17 18 18 14 18 15 10 5 2 6 2 2 3 2 2 ],[0.98" " 0.96 0.92]);\nplot([0 0 60 60 0 ],[0 20 20 0 0 ]);\nfprintf('','COMMENT: end" " icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');po" "rt_label('input',1,' ');\ncolor('black');port_label('output',1,'\\fontsize{11" "pt}\\bf Out ','texmode','on');\nfprintf('','COMMENT: end icon text');\n||<" "sysgenblock block_type=\"gatewayout\" simulinkname=\"Gateway Out\" >\n \n \n \n \n " "\n \n \n \n \n <P>Hardware notes: In hardware thes" "e blocks become top level output ports or are discarded, depending on how the" "y are configured.\" />\n \n \n " "\n \n \n" " \n \n \n \n \n \n \n \n <" "/blockgui>\n\n" MaskTabNameString ",,,,,,,,,,,,,,,,,,," } Block { BlockType SubSystem Name "CommandROM" Ports [6, 3] Position [690, 320, 890, 550] TreatAsAtomicUnit off MinAlgLoopOccurrences off RTWSystemCode "Auto" MaskHideContents off System { Name "CommandROM" Location [558, 165, 923, 397] Open off ModelBrowserVisibility off ModelBrowserWidth 200 ScreenColor "white" PaperOrientation "landscape" PaperPositionMode "auto" PaperType "usletter" PaperUnits "inches" ZoomFactor "100" Block { BlockType Inport Name "ConfigLoc" Position [1015, 133, 1045, 147] IconDisplay "Port number" } Block { BlockType Inport Name "InvertRamAddr" Position [835, 143, 865, 157] Port "2" IconDisplay "Port number" } Block { BlockType Inport Name "SendCmds" Position [55, 243, 85, 257] Port "3" IconDisplay "Port number" } Block { BlockType Inport Name "TransferDone" Position [55, 273, 85, 287] Port "4" IconDisplay "Port number" } Block { BlockType Inport Name "TotalNoOfCmds" Position [435, 403, 465, 417] Port "5" IconDisplay "Port number" } Block { BlockType Inport Name "Reset" Position [370, 228, 400, 242] Port "6" IconDisplay "Port number" } Block { BlockType "S-Function" Name "Accumulator" Ports [3, 1] Position [505, 316, 565, 374] CopyFcn "xlBlockMoveCallback(gcbh);" DeleteFcn "xlDestroyGui(gcbh);" LoadFcn "xlBlockLoadCallback(gcbh);" ModelCloseFcn "xlDestroyGui(gcbh);" PreSaveFcn "xlBlockPreSaveCallback(gcbh);" PostSaveFcn "xlBlockPostSaveCallback(gcbh);" DestroyFcn "xlDestroyGui(gcbh);" OpenFcn "xlOpenGui(gcbh, @accumenablement, -1)" CloseFcn "xlDestroyGui(gcbh);" MoveFcn "xlBlockMoveCallback(gcbh);" FunctionName "sysgen" Parameters "ptable_" MaskType "Xilinx Accumulator Block" MaskDescription "Adder or subtractor-based accumulator. Ou" "tput type and binary point position match the input.\n\nHardware notes: When " "\"Reinitialize with input 'b' on reset\" is selected, the accumulator is forc" "ed to run at the system rate even if the input 'b' is running at a slower rat" "e." MaskHelp "eval('xlWeb(xlhtmldoclink(''Accumulator''))" "');" MaskPromptString " | |Operation|Number of bits| |Overflow| |F" "eedback scaling| |Provide synchronous reset port| |Reinitialize with input 'b" "' on reset| |Provide enable port| |Override with doubles| |Implement from beh" "avioral HDL description (otherwise use core)| |Define FPGA area for resource " "estimation|FPGA area [slices, FFs, BRAMs, LUTs, IOBs, emb. mults, TBUFs]| | |" " | | | | | " MaskStyleString "edit,edit,popup(Add|Subtract),edit,edit,pop" "up(Wrap|Saturate|Flag as error),edit,popup(1|1/2|1/4|1/8|1/16|1/32|1/64|1/128" "|1/256),edit,checkbox,edit,checkbox,edit,checkbox,edit,checkbox,edit,checkbox" ",edit,checkbox,edit,edit,edit,edit,edit,edit,edit,edit,edit" MaskTunableValueString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,o" "n,on,on,on,on,on,on,on,on,on,on,on,on,on,on" MaskCallbackString "||||||||||||||||||||||||||||" MaskEnableString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,o" "n,on,on,on,on,on,on,on,on,on,on,on,on,on,on" MaskVisibilityString "off,off,on,on,off,on,off,on,off,on,off,on,o" "ff,on,off,on,off,on,off,on,on,off,off,off,off,off,off,off,off" MaskToolTipString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,o" "n,on,on,on,on,on,on,on,on,on,on,on,on,on,on" MaskVarAliasString ",,,,,,,,,,,,,,,,,,,,,,,,,,,," MaskVariables "infoedit=&1;operation_sgadvanced=&2;operati" "on=@3;n_bits=@4;overflow_sgadvanced=&5;overflow=@6;scale_sgadvanced=&7;scale=" "@8;rst_sgadvanced=&9;rst=@10;hasbypass_sgadvanced=&11;hasbypass=@12;en_sgadva" "nced=&13;en=@14;dbl_ovrd_sgadvanced=&15;dbl_ovrd=@16;use_behavioral_HDL_sgadv" "anced=&17;use_behavioral_HDL=@18;xl_use_area_sgadvanced=&19;xl_use_area=@20;x" "l_area=@21;has_advanced_control=@22;sggui_pos=&23;block_type=&24;block_versio" "n=&25;sg_icon_stat=&26;sg_mask_display=&27;sg_list_contents=&28;sg_blockgui_x" "ml=&29;" MaskInitialization "try\n tmp_gcb = gcb;\n tmp_gcbh = gcbh;\n" " xlMungeMaskParams;\n block_config = 'Sysgen:Accum_config';\n serialized_d" "eclarations = '{''en''=>''Int'',''hasbypass''=>''Int'',''n_bits''=>''Int'',''" "operation''=>''Int'',''overflow''=>''Int'',''rst''=>''Int'',''scale''=>''Int'" "',''use_behavioral_HDL''=>''Int''}';\n ptable_ = xlblockprep(get_param(tmp_g" "cb, 'MaskWSVariables'));\ncatch\n global xl_report_errors;\n if(~isempty(xl" "_report_errors) && xl_report_errors)\n e = regexprep(lasterr, '\\n', '\\nE" "rror: ');\n disp(['Error: While running MaskInit code on block ' tmp_gcb '" ": ' e]);\n error(e);\n end\nend\n" MaskDisplay "fprintf('','COMMENT: begin icon graphics');" "\npatch([0 60 60 0 ],[0 0 58 58 ],[0.77 0.82 0.91]);\npatch([15 5 19 5 15 30 " "34 38 54 41 29 20 34 20 29 41 54 38 34 30 15 ],[6 16 30 44 54 54 50 54 54 41 " "53 44 30 16 7 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 0 60 60 0 ],[0 58 5" "8 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: be" "gin icon text');\ncolor('black');port_label('input',1,'b');\ncolor('black');p" "ort_label('input',2,'rst');\ncolor('black');port_label('input',3,'en');\ncolo" "r('black');port_label('output',1,'q');\nfprintf('','COMMENT: end icon text');" "\n" MaskSelfModifiable on MaskIconFrame off MaskIconOpaque on MaskIconRotate "none" MaskIconUnits "autoscale" MaskValueString "Adder or subtractor-based accumulator. Ou" "tput type and binary point position match the input.

Hardware notes: Whe" "n \"Reinitialize with input 'b' on reset\" is selected, the accumulator is fo" "rced to run at the system rate even if the input 'b' is running at a slower r" "ate.||Add|7||Wrap||1||on||off||on||off||on||off|[0,0,0,0,0,0,0]|0|20,20,356,4" "49|accum|8.2.02|60,58,3,1,white,blue,0,93e94b4a|fprintf('','COMMENT: begin ic" "on graphics');\npatch([0 60 60 0 ],[0 0 58 58 ],[0.77 0.82 0.91]);\npatch([15" " 5 19 5 15 30 34 38 54 41 29 20 34 20 29 41 54 38 34 30 15 ],[6 16 30 44 54 5" "4 50 54 54 41 53 44 30 16 7 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 0 60 " "60 0 ],[0 58 58 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('" "','COMMENT: begin icon text');\ncolor('black');port_label('input',1,'b');\nco" "lor('black');port_label('input',2,'rst');\ncolor('black');port_label('input'," "3,'en');\ncolor('black');port_label('output',1,'q');\nfprintf('','COMMENT: en" "d icon text');\n||\n \n \n \n \n \n \n \n \n <P>Hardware " "notes: When "Reinitialize with input 'b' on reset" is selected, the" " accumulator is forced to run at the system rate even if the input 'b' is run" "ning at a slower rate.\" />\n \n \n \n \n \n \n" " \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n\n" MaskTabNameString ",,,,,,,,,,,,,,,,,,,,,,,,,,,," } Block { BlockType "S-Function" Name "Accumulator1" Ports [2, 1] Position [1265, 736, 1325, 794] CopyFcn "xlBlockMoveCallback(gcbh);" DeleteFcn "xlDestroyGui(gcbh);" LoadFcn "xlBlockLoadCallback(gcbh);" ModelCloseFcn "xlDestroyGui(gcbh);" PreSaveFcn "xlBlockPreSaveCallback(gcbh);" PostSaveFcn "xlBlockPostSaveCallback(gcbh);" DestroyFcn "xlDestroyGui(gcbh);" OpenFcn "xlOpenGui(gcbh, @accumenablement, -1)" CloseFcn "xlDestroyGui(gcbh);" MoveFcn "xlBlockMoveCallback(gcbh);" FunctionName "sysgen" Parameters "ptable_" MaskType "Xilinx Accumulator Block" MaskDescription "Adder or subtractor-based accumulator. Ou" "tput type and binary point position match the input.\n\nHardware notes: When " "\"Reinitialize with input 'b' on reset\" is selected, the accumulator is forc" "ed to run at the system rate even if the input 'b' is running at a slower rat" "e." MaskHelp "eval('xlWeb(xlhtmldoclink(''Accumulator''))" "');" MaskPromptString " | |Operation|Number of bits| |Overflow| |F" "eedback scaling| |Provide synchronous reset port| |Reinitialize with input 'b" "' on reset| |Provide enable port| |Override with doubles| |Implement from beh" "avioral HDL description (otherwise use core)| |Define FPGA area for resource " "estimation|FPGA area [slices, FFs, BRAMs, LUTs, IOBs, emb. mults, TBUFs]| | |" " | | | | | " MaskStyleString "edit,edit,popup(Add|Subtract),edit,edit,pop" "up(Wrap|Saturate|Flag as error),edit,popup(1|1/2|1/4|1/8|1/16|1/32|1/64|1/128" "|1/256),edit,checkbox,edit,checkbox,edit,checkbox,edit,checkbox,edit,checkbox" ",edit,checkbox,edit,edit,edit,edit,edit,edit,edit,edit,edit" MaskTunableValueString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,o" "n,on,on,on,on,on,on,on,on,on,on,on,on,on,on" MaskCallbackString "||||||||||||||||||||||||||||" MaskEnableString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,o" "n,on,on,on,on,on,on,on,on,on,on,on,on,on,on" MaskVisibilityString "off,off,on,on,off,on,off,on,off,on,off,on,o" "ff,on,off,on,off,on,off,on,on,off,off,off,off,off,off,off,off" MaskToolTipString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,o" "n,on,on,on,on,on,on,on,on,on,on,on,on,on,on" MaskVarAliasString ",,,,,,,,,,,,,,,,,,,,,,,,,,,," MaskVariables "infoedit=&1;operation_sgadvanced=&2;operati" "on=@3;n_bits=@4;overflow_sgadvanced=&5;overflow=@6;scale_sgadvanced=&7;scale=" "@8;rst_sgadvanced=&9;rst=@10;hasbypass_sgadvanced=&11;hasbypass=@12;en_sgadva" "nced=&13;en=@14;dbl_ovrd_sgadvanced=&15;dbl_ovrd=@16;use_behavioral_HDL_sgadv" "anced=&17;use_behavioral_HDL=@18;xl_use_area_sgadvanced=&19;xl_use_area=@20;x" "l_area=@21;has_advanced_control=@22;sggui_pos=&23;block_type=&24;block_versio" "n=&25;sg_icon_stat=&26;sg_mask_display=&27;sg_list_contents=&28;sg_blockgui_x" "ml=&29;" MaskInitialization "try\n tmp_gcb = gcb;\n tmp_gcbh = gcbh;\n" " xlMungeMaskParams;\n block_config = 'Sysgen:Accum_config';\n serialized_d" "eclarations = '{''en''=>''Int'',''hasbypass''=>''Int'',''n_bits''=>''Int'',''" "operation''=>''Int'',''overflow''=>''Int'',''rst''=>''Int'',''scale''=>''Int'" "',''use_behavioral_HDL''=>''Int''}';\n ptable_ = xlblockprep(get_param(tmp_g" "cb, 'MaskWSVariables'));\ncatch\n global xl_report_errors;\n if(~isempty(xl" "_report_errors) && xl_report_errors)\n e = regexprep(lasterr, '\\n', '\\nE" "rror: ');\n disp(['Error: While running MaskInit code on block ' tmp_gcb '" ": ' e]);\n error(e);\n end\nend\n" MaskDisplay "fprintf('','COMMENT: begin icon graphics');" "\npatch([0 60 60 0 ],[0 0 58 58 ],[0.77 0.82 0.91]);\npatch([15 5 19 5 15 30 " "34 38 54 41 29 20 34 20 29 41 54 38 34 30 15 ],[6 16 30 44 54 54 50 54 54 41 " "53 44 30 16 7 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 0 60 60 0 ],[0 58 5" "8 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: be" "gin icon text');\ncolor('black');port_label('input',1,'b');\ncolor('black');p" "ort_label('input',2,'rst');\ncolor('black');port_label('output',1,'q');\nfpri" "ntf('','COMMENT: end icon text');\n" MaskSelfModifiable on MaskIconFrame off MaskIconOpaque on MaskIconRotate "none" MaskIconUnits "autoscale" MaskValueString "Adder or subtractor-based accumulator. Ou" "tput type and binary point position match the input.

Hardware notes: Whe" "n \"Reinitialize with input 'b' on reset\" is selected, the accumulator is fo" "rced to run at the system rate even if the input 'b' is running at a slower r" "ate.||Add|4||Wrap||1||on||off||off||off||on||off|[0,0,0,0,0,0,0]|0|20,20,356," "449|accum|8.2.02|60,58,2,1,white,blue,0,985aace6|fprintf('','COMMENT: begin i" "con graphics');\npatch([0 60 60 0 ],[0 0 58 58 ],[0.77 0.82 0.91]);\npatch([1" "5 5 19 5 15 30 34 38 54 41 29 20 34 20 29 41 54 38 34 30 15 ],[6 16 30 44 54 " "54 50 54 54 41 53 44 30 16 7 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 0 60" " 60 0 ],[0 58 58 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf(" "'','COMMENT: begin icon text');\ncolor('black');port_label('input',1,'b');\nc" "olor('black');port_label('input',2,'rst');\ncolor('black');port_label('output" "',1,'q');\nfprintf('','COMMENT: end icon text');\n||\n \n \n \n \n \n \n \n \n <P>Hardware notes: When "Reinitialize with input '" "b' on reset" is selected, the accumulator is forced to run at the system" " rate even if the input 'b' is running at a slower rate.\" />\n \n " " \n \n " " \n \n \n \n " " \n \n \n \n" " \n \n \n \n \n " " \n \n \n \n \n \n \n \n \n " "\n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n\n" MaskTabNameString ",,,,,,,,,,,,,,,,,,,,,,,,,,,," } Block { BlockType "S-Function" Name "AddSub" Ports [2, 1] Position [530, 396, 590, 454] CopyFcn "xlBlockMoveCallback(gcbh);" DeleteFcn "xlDestroyGui(gcbh);" LoadFcn "xlBlockLoadCallback(gcbh);" ModelCloseFcn "xlDestroyGui(gcbh);" PreSaveFcn "xlBlockPreSaveCallback(gcbh);" PostSaveFcn "xlBlockPostSaveCallback(gcbh);" DestroyFcn "xlDestroyGui(gcbh);" OpenFcn "xlOpenGui(gcbh, @addsubenablement, -1)" CloseFcn "xlDestroyGui(gcbh);" MoveFcn "xlBlockMoveCallback(gcbh);" FunctionName "sysgen" Parameters "ptable_" MaskType "Xilinx Adder/Subtractor Block" MaskDescription "Xilinx Adder/Subtractor" MaskHelp "eval('xlWeb(xlhtmldoclink(''AddSub''))');" MaskPromptString " |Operation| |Provide carry-in port| |Provi" "de carry-out port| |Provide enable port|Latency| |Precision| |Output type|Num" "ber of bits|Binary point| |Quantization| |Overflow| |Override with doubles| |" "Use behavioral HDL (otherwise use core)| |Pipeline for maximum performance| |" "Use core placement information| |Define FPGA area for resource estimation|FPG" "A area [slices, FFs, BRAMs, LUTs, IOBs, emb. mults, TBUFs]| | | | | | | | " MaskStyleString "edit,popup(Addition|Subtraction|Addition or" " Subtraction),edit,checkbox,edit,checkbox,edit,checkbox,edit,edit,popup(Full|" "User Defined),edit,popup(Signed (2's comp)|Unsigned),edit,edit,edit,popup(Tr" "uncate|Round (unbiased: +/- Inf)),edit,popup(Wrap|Saturate|Flag as error),ed" "it,checkbox,edit,checkbox,edit,checkbox,edit,checkbox,edit,checkbox,edit,edit" ",edit,edit,edit,edit,edit,edit,edit" MaskTunableValueString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,o" "n,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on" MaskCallbackString "|||||||||||||||||||||||||||||||||||||" MaskEnableString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,o" "n,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on" MaskVisibilityString "off,on,off,on,off,on,off,on,on,off,on,off,o" "n,on,on,off,on,off,on,off,on,off,on,off,on,off,on,off,on,on,off,off,off,off,o" "ff,off,off,off" MaskToolTipString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,o" "n,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on" MaskVarAliasString ",,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,," MaskVariables "mode_sgadvanced=&1;mode=@2;use_carryin_sgad" "vanced=&3;use_carryin=@4;use_carryout_sgadvanced=&5;use_carryout=@6;en_sgadva" "nced=&7;en=@8;latency=@9;precision_sgadvanced=&10;precision=@11;arith_type_sg" "advanced=&12;arith_type=@13;n_bits=@14;bin_pt=@15;quantization_sgadvanced=&16" ";quantization=@17;overflow_sgadvanced=&18;overflow=@19;dbl_ovrd_sgadvanced=&2" "0;dbl_ovrd=@21;use_behavioral_HDL_sgadvanced=&22;use_behavioral_HDL=@23;pipel" "ined_sgadvanced=&24;pipelined=@25;use_rpm_sgadvanced=&26;use_rpm=@27;xl_use_a" "rea_sgadvanced=&28;xl_use_area=@29;xl_area=@30;has_advanced_control=@31;sggui" "_pos=&32;block_type=&33;block_version=&34;sg_icon_stat=&35;sg_mask_display=&3" "6;sg_list_contents=&37;sg_blockgui_xml=&38;" MaskInitialization "try\n tmp_gcb = gcb;\n tmp_gcbh = gcbh;\n" " xlMungeMaskParams;\n block_config = 'Sysgen:Addsub_config';\n serialized_" "declarations = '{''arith_type''=>''Int'',''bin_pt''=>''Int'',''en''=>''Int''," "''latency''=>''Int'',''mode''=>''Int'',''n_bits''=>''Int'',''overflow''=>''In" "t'',''pipelined''=>''Int'',''precision''=>''Int'',''quantization''=>''Int'','" "'rst''=>''Int'',''use_behavioral_HDL''=>''Int'',''use_carryin''=>''Int'',''us" "e_carryout''=>''Int'',''use_rpm''=>''Int''}';\n ptable_ = xlblockprep(get_pa" "ram(tmp_gcb, 'MaskWSVariables'));\ncatch\n global xl_report_errors;\n if(~i" "sempty(xl_report_errors) && xl_report_errors)\n e = regexprep(lasterr, '\\" "n', '\\nError: ');\n disp(['Error: While running MaskInit code on block ' " "tmp_gcb ': ' e]);\n error(e);\n end\nend\n" MaskDisplay "fprintf('','COMMENT: begin icon graphics');" "\npatch([0 60 60 0 ],[0 0 58 58 ],[0.77 0.82 0.91]);\npatch([15 5 19 5 15 30 " "34 38 54 41 29 20 34 20 29 41 54 38 34 30 15 ],[6 16 30 44 54 54 50 54 54 41 " "53 44 30 16 7 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 0 60 60 0 ],[0 58 5" "8 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: be" "gin icon text');\ncolor('black');port_label('input',1,'a');\ncolor('black');p" "ort_label('input',2,'b');\ncolor('black');port_label('output',1,'\\bf{a - b}'" ",'texmode','on');\nfprintf('','COMMENT: end icon text');\n" MaskSelfModifiable on MaskIconFrame off MaskIconOpaque on MaskIconRotate "none" MaskIconUnits "autoscale" MaskValueString "|Subtraction||off||off||off|0||Full||Unsign" "ed|16|14||Truncate||Wrap||off||off||off||on||off|[0,0,0,0,0,0,0]|0|-1,-1,-1,-" "1|addsub|8.2.02|60,58,2,1,white,blue,0,f28631c4|fprintf('','COMMENT: begin ic" "on graphics');\npatch([0 60 60 0 ],[0 0 58 58 ],[0.77 0.82 0.91]);\npatch([15" " 5 19 5 15 30 34 38 54 41 29 20 34 20 29 41 54 38 34 30 15 ],[6 16 30 44 54 5" "4 50 54 54 41 53 44 30 16 7 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 0 60 " "60 0 ],[0 58 58 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('" "','COMMENT: begin icon text');\ncolor('black');port_label('input',1,'a');\nco" "lor('black');port_label('input',2,'b');\ncolor('black');port_label('output',1" ",'\\bf{a - b}','texmode','on');\nfprintf('','COMMENT: end icon text');\n||<" "!-- * consent of Xilinx, Inc., is strictly prohibited. -->" "\n \n \n \n \n \n \n \n \n" " \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n" " \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n " " \n \n \n \n \n \n \n " "\n \n \n \n \n \n " " \n \n \n \n \n \n " "\n \n \n \n" "\n" MaskTabNameString ",,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,," } Block { BlockType "S-Function" Name "Concat" Ports [2, 1] Position [1110, 150, 1170, 210] CopyFcn "xlBlockMoveCallback(gcbh);" DeleteFcn "xlDestroyGui(gcbh);" LoadFcn "xlBlockLoadCallback(gcbh);" ModelCloseFcn "xlDestroyGui(gcbh);" PreSaveFcn "xlBlockPreSaveCallback(gcbh);" PostSaveFcn "xlBlockPostSaveCallback(gcbh);" DestroyFcn "xlDestroyGui(gcbh);" OpenFcn "xlOpenGui(gcbh, @xlmagicenablement, -1)" CloseFcn "xlDestroyGui(gcbh);" MoveFcn "xlBlockMoveCallback(gcbh);" FunctionName "sysgen" Parameters "ptable_" MaskType "Xilinx Bus Concatenator Block" MaskDescription "Concatenates two or more inputs. Output wi" "ll be cast to an unsigned value with the binary point at zero." MaskHelp "eval('xlWeb(xlhtmldoclink(''Concat''))');" MaskPromptString " |Number of inputs| |Override with doubles|" " | | | | | | | " MaskStyleString "edit,edit,edit,checkbox,edit,edit,edit,edit" ",edit,edit,edit,edit" MaskTunableValueString "on,on,on,on,on,on,on,on,on,on,on,on" MaskCallbackString "|||||||||||" MaskEnableString "on,on,on,on,on,on,on,on,on,on,on,on" MaskVisibilityString "off,on,off,on,off,off,off,off,off,off,off,o" "ff" MaskToolTipString "on,on,on,on,on,on,on,on,on,on,on,on" MaskVarAliasString ",,,,,,,,,,," MaskVariables "infoedit=&1;num_inputs=@2;dbl_ovrd_sgadvanc" "ed=&3;dbl_ovrd=@4;has_advanced_control=@5;sggui_pos=&6;block_type=&7;block_ve" "rsion=&8;sg_icon_stat=&9;sg_mask_display=&10;sg_list_contents=&11;sg_blockgui" "_xml=&12;" MaskInitialization "try\n tmp_gcb = gcb;\n tmp_gcbh = gcbh;\n" " xlMungeMaskParams;\n block_config = 'Sysgen:Concat_config';\n serialized_" "declarations = '{''num_inputs''=>''Int'',''sim_engine''=>''Int'',''sysgen_roo" "t''=>''String''}';\n xlconcat_init();\n ptable_ = xlblockprep(get_param(tmp" "_gcb, 'MaskWSVariables'));\ncatch\n global xl_report_errors;\n if(~isempty(" "xl_report_errors) && xl_report_errors)\n e = regexprep(lasterr, '\\n', '\\" "nError: ');\n disp(['Error: While running MaskInit code on block ' tmp_gcb" " ': ' e]);\n error(e);\n end\nend\n" MaskDisplay "fprintf('','COMMENT: begin icon graphics');" "\npatch([0 60 60 0 ],[0 0 60 60 ],[0.77 0.82 0.91]);\npatch([14 4 18 4 14 30 " "34 38 55 42 29 20 35 20 29 42 55 38 34 30 14 ],[6 16 30 44 54 54 50 54 54 41 " "54 45 30 15 6 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 0 60 60 0 ],[0 60 6" "0 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: be" "gin icon text');\ncolor('black');port_label('input',1,'hi');\ncolor('black');" "port_label('input',2,'lo');\nfprintf('','COMMENT: end icon text');\n" MaskSelfModifiable on MaskIconFrame off MaskIconOpaque on MaskIconRotate "none" MaskIconUnits "autoscale" MaskValueString "Concatenates two or more inputs. Output wi" "ll be cast to an unsigned value with the binary point at zero.|2||off|0|-1,-1" ",-1,-1|concat|8.2.02|60,60,2,1,white,blue,0,59d62d82|fprintf('','COMMENT: beg" "in icon graphics');\npatch([0 60 60 0 ],[0 0 60 60 ],[0.77 0.82 0.91]);\npatc" "h([14 4 18 4 14 30 34 38 55 42 29 20 35 20 29 42 55 38 34 30 14 ],[6 16 30 44" " 54 54 50 54 54 41 54 45 30 15 6 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 " "0 60 60 0 ],[0 60 60 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfpri" "ntf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1,'hi'" ");\ncolor('black');port_label('input',2,'lo');\nfprintf('','COMMENT: end icon" " text');\n||\n" " \n \n \n \n \n \n \n" " \n \n \n \n <" "editbox ctype=\"Int\" evaluate=\"true\" name=\"num_inputs\" label=\"Number of" " inputs\" default=\"2\" />\n \n \n \n \n " " \n \n\n" MaskTabNameString ",,,,,,,,,,," } Block { BlockType "S-Function" Name "Concat1" Ports [2, 1] Position [805, 195, 865, 255] CopyFcn "xlBlockMoveCallback(gcbh);" DeleteFcn "xlDestroyGui(gcbh);" LoadFcn "xlBlockLoadCallback(gcbh);" ModelCloseFcn "xlDestroyGui(gcbh);" PreSaveFcn "xlBlockPreSaveCallback(gcbh);" PostSaveFcn "xlBlockPostSaveCallback(gcbh);" DestroyFcn "xlDestroyGui(gcbh);" OpenFcn "xlOpenGui(gcbh, @xlmagicenablement, -1)" CloseFcn "xlDestroyGui(gcbh);" MoveFcn "xlBlockMoveCallback(gcbh);" FunctionName "sysgen" Parameters "ptable_" MaskType "Xilinx Bus Concatenator Block" MaskDescription "Concatenates two or more inputs. Output wi" "ll be cast to an unsigned value with the binary point at zero." MaskHelp "eval('xlWeb(xlhtmldoclink(''Concat''))');" MaskPromptString " |Number of inputs| |Override with doubles|" " | | | | | | | " MaskStyleString "edit,edit,edit,checkbox,edit,edit,edit,edit" ",edit,edit,edit,edit" MaskTunableValueString "on,on,on,on,on,on,on,on,on,on,on,on" MaskCallbackString "|||||||||||" MaskEnableString "on,on,on,on,on,on,on,on,on,on,on,on" MaskVisibilityString "off,on,off,on,off,off,off,off,off,off,off,o" "ff" MaskToolTipString "on,on,on,on,on,on,on,on,on,on,on,on" MaskVarAliasString ",,,,,,,,,,," MaskVariables "infoedit=&1;num_inputs=@2;dbl_ovrd_sgadvanc" "ed=&3;dbl_ovrd=@4;has_advanced_control=@5;sggui_pos=&6;block_type=&7;block_ve" "rsion=&8;sg_icon_stat=&9;sg_mask_display=&10;sg_list_contents=&11;sg_blockgui" "_xml=&12;" MaskInitialization "try\n tmp_gcb = gcb;\n tmp_gcbh = gcbh;\n" " xlMungeMaskParams;\n block_config = 'Sysgen:Concat_config';\n serialized_" "declarations = '{''num_inputs''=>''Int'',''sim_engine''=>''Int'',''sysgen_roo" "t''=>''String''}';\n xlconcat_init();\n ptable_ = xlblockprep(get_param(tmp" "_gcb, 'MaskWSVariables'));\ncatch\n global xl_report_errors;\n if(~isempty(" "xl_report_errors) && xl_report_errors)\n e = regexprep(lasterr, '\\n', '\\" "nError: ');\n disp(['Error: While running MaskInit code on block ' tmp_gcb" " ': ' e]);\n error(e);\n end\nend\n" MaskDisplay "fprintf('','COMMENT: begin icon graphics');" "\npatch([0 60 60 0 ],[0 0 60 60 ],[0.77 0.82 0.91]);\npatch([14 4 18 4 14 30 " "34 38 55 42 29 20 35 20 29 42 55 38 34 30 14 ],[6 16 30 44 54 54 50 54 54 41 " "54 45 30 15 6 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 0 60 60 0 ],[0 60 6" "0 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: be" "gin icon text');\ncolor('black');port_label('input',1,'hi');\ncolor('black');" "port_label('input',2,'lo');\nfprintf('','COMMENT: end icon text');\n" MaskSelfModifiable on MaskIconFrame off MaskIconOpaque on MaskIconRotate "none" MaskIconUnits "autoscale" MaskValueString "Concatenates two or more inputs. Output wi" "ll be cast to an unsigned value with the binary point at zero.|2||off|0|-1,-1" ",-1,-1|concat|8.2.02|60,60,2,1,white,blue,0,59d62d82|fprintf('','COMMENT: beg" "in icon graphics');\npatch([0 60 60 0 ],[0 0 60 60 ],[0.77 0.82 0.91]);\npatc" "h([14 4 18 4 14 30 34 38 55 42 29 20 35 20 29 42 55 38 34 30 14 ],[6 16 30 44" " 54 54 50 54 54 41 54 45 30 15 6 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 " "0 60 60 0 ],[0 60 60 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfpri" "ntf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1,'hi'" ");\ncolor('black');port_label('input',2,'lo');\nfprintf('','COMMENT: end icon" " text');\n||\n" " \n \n \n \n \n \n \n" " \n \n \n \n <" "editbox ctype=\"Int\" evaluate=\"true\" name=\"num_inputs\" label=\"Number of" " inputs\" default=\"2\" />\n \n \n \n \n " " \n \n\n" MaskTabNameString ",,,,,,,,,,," } Block { BlockType "S-Function" Name "Concat2" Ports [2, 1] Position [1900, 430, 1960, 490] CopyFcn "xlBlockMoveCallback(gcbh);" DeleteFcn "xlDestroyGui(gcbh);" LoadFcn "xlBlockLoadCallback(gcbh);" ModelCloseFcn "xlDestroyGui(gcbh);" PreSaveFcn "xlBlockPreSaveCallback(gcbh);" PostSaveFcn "xlBlockPostSaveCallback(gcbh);" DestroyFcn "xlDestroyGui(gcbh);" OpenFcn "xlOpenGui(gcbh, @xlmagicenablement, -1)" CloseFcn "xlDestroyGui(gcbh);" MoveFcn "xlBlockMoveCallback(gcbh);" FunctionName "sysgen" Parameters "ptable_" MaskType "Xilinx Bus Concatenator Block" MaskDescription "Concatenates two or more inputs. Output wi" "ll be cast to an unsigned value with the binary point at zero." MaskHelp "eval('xlWeb(xlhtmldoclink(''Concat''))');" MaskPromptString " |Number of inputs| |Override with doubles|" " | | | | | | | " MaskStyleString "edit,edit,edit,checkbox,edit,edit,edit,edit" ",edit,edit,edit,edit" MaskTunableValueString "on,on,on,on,on,on,on,on,on,on,on,on" MaskCallbackString "|||||||||||" MaskEnableString "on,on,on,on,on,on,on,on,on,on,on,on" MaskVisibilityString "off,on,off,on,off,off,off,off,off,off,off,o" "ff" MaskToolTipString "on,on,on,on,on,on,on,on,on,on,on,on" MaskVarAliasString ",,,,,,,,,,," MaskVariables "infoedit=&1;num_inputs=@2;dbl_ovrd_sgadvanc" "ed=&3;dbl_ovrd=@4;has_advanced_control=@5;sggui_pos=&6;block_type=&7;block_ve" "rsion=&8;sg_icon_stat=&9;sg_mask_display=&10;sg_list_contents=&11;sg_blockgui" "_xml=&12;" MaskInitialization "try\n tmp_gcb = gcb;\n tmp_gcbh = gcbh;\n" " xlMungeMaskParams;\n block_config = 'Sysgen:Concat_config';\n serialized_" "declarations = '{''num_inputs''=>''Int'',''sim_engine''=>''Int'',''sysgen_roo" "t''=>''String''}';\n xlconcat_init();\n ptable_ = xlblockprep(get_param(tmp" "_gcb, 'MaskWSVariables'));\ncatch\n global xl_report_errors;\n if(~isempty(" "xl_report_errors) && xl_report_errors)\n e = regexprep(lasterr, '\\n', '\\" "nError: ');\n disp(['Error: While running MaskInit code on block ' tmp_gcb" " ': ' e]);\n error(e);\n end\nend\n" MaskDisplay "fprintf('','COMMENT: begin icon graphics');" "\npatch([0 60 60 0 ],[0 0 60 60 ],[0.77 0.82 0.91]);\npatch([14 4 18 4 14 30 " "34 38 55 42 29 20 35 20 29 42 55 38 34 30 14 ],[6 16 30 44 54 54 50 54 54 41 " "54 45 30 15 6 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 0 60 60 0 ],[0 60 6" "0 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: be" "gin icon text');\ncolor('black');port_label('input',1,'hi');\ncolor('black');" "port_label('input',2,'lo');\nfprintf('','COMMENT: end icon text');\n" MaskSelfModifiable on MaskIconFrame off MaskIconOpaque on MaskIconRotate "none" MaskIconUnits "autoscale" MaskValueString "Concatenates two or more inputs. Output wi" "ll be cast to an unsigned value with the binary point at zero.|2||off|0|-1,-1" ",-1,-1|concat|8.2.02|60,60,2,1,white,blue,0,59d62d82|fprintf('','COMMENT: beg" "in icon graphics');\npatch([0 60 60 0 ],[0 0 60 60 ],[0.77 0.82 0.91]);\npatc" "h([14 4 18 4 14 30 34 38 55 42 29 20 35 20 29 42 55 38 34 30 14 ],[6 16 30 44" " 54 54 50 54 54 41 54 45 30 15 6 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 " "0 60 60 0 ],[0 60 60 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfpri" "ntf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1,'hi'" ");\ncolor('black');port_label('input',2,'lo');\nfprintf('','COMMENT: end icon" " text');\n||\n" " \n \n \n \n \n \n \n" " \n \n \n \n <" "editbox ctype=\"Int\" evaluate=\"true\" name=\"num_inputs\" label=\"Number of" " inputs\" default=\"2\" />\n \n \n \n \n " " \n \n\n" MaskTabNameString ",,,,,,,,,,," } Block { BlockType "S-Function" Name "Constant" Ports [0, 1] Position [1145, 257, 1200, 283] CopyFcn "xlBlockMoveCallback(gcbh);" DeleteFcn "xlDestroyGui(gcbh);" LoadFcn "xlBlockLoadCallback(gcbh);" ModelCloseFcn "xlDestroyGui(gcbh);" PreSaveFcn "xlBlockPreSaveCallback(gcbh);" PostSaveFcn "xlBlockPostSaveCallback(gcbh);" DestroyFcn "xlDestroyGui(gcbh);" OpenFcn "xlOpenGui(gcbh, @constantenablement, @const" "antaction)" CloseFcn "xlDestroyGui(gcbh);" MoveFcn "xlBlockMoveCallback(gcbh);" FunctionName "sysgen" Parameters "ptable_" MaskType "Xilinx Constant Block Block" MaskDescription "Xilinx Constant Block" MaskHelp "eval('xlWeb(xlhtmldoclink(''Constant''))');" MaskPromptString " |Type|Constant value|Number of bits|Binary" " point| |Sampled constant|Sample period| |DSP48 operation| |Operation select|" " |Z mux| |Operand| |YX muxes| |Carry input| |Override with doubles| | | | | |" " | | " MaskStyleString "edit,popup(Boolean|Signed (2's comp)|Unsign" "ed|DSP48 Instruction),edit,edit,edit,edit,checkbox,edit,edit,edit,edit,popup(" "C + A*B|PCIN + A*B|P + A*B|A * B|C + A:B|C - A:B|C|Custom),edit,popup(0|PCIN|" "P|C|PCIN>>17|P>>17),edit,popup(+|-),edit,popup(0|P|A:B|A*B|C|P+C|A:B+C),edit," "popup(0|1|CIN|~SIGN(P or PCIN)|~SIGN(A*B or A:B)|~SIGND(A*B or A:B)),edit,che" "ckbox,edit,edit,edit,edit,edit,edit,edit,edit" MaskTunableValueString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,o" "n,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on" MaskCallbackString "|||||||||||||||||||||||||||||" MaskEnableString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,o" "n,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on" MaskVisibilityString "off,on,on,on,on,off,on,on,off,on,off,on,off" ",on,off,on,off,on,off,on,off,on,off,off,off,off,off,off,off,off" MaskToolTipString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,o" "n,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on" MaskVarAliasString ",,,,,,,,,,,,,,,,,,,,,,,,,,,,," MaskVariables "arith_type_sgadvanced=&1;arith_type=@2;cons" "t=@3;n_bits=@4;bin_pt=@5;explicit_period_sgadvanced=&6;explicit_period=@7;per" "iod=@8;dsp48_infoedit=&9;equ=&10;opselect_sgadvanced=&11;opselect=@12;inp2_sg" "advanced=&13;inp2=@14;opr_sgadvanced=&15;opr=@16;inp1_sgadvanced=&17;inp1=@18" ";carry_sgadvanced=&19;carry=@20;dbl_ovrd_sgadvanced=&21;dbl_ovrd=@22;has_adva" "nced_control=@23;sggui_pos=&24;block_type=&25;block_version=&26;sg_icon_stat=" "&27;sg_mask_display=&28;sg_list_contents=&29;sg_blockgui_xml=&30;" MaskInitialization "try\n tmp_gcb = gcb;\n tmp_gcbh = gcbh;\n" " xlMungeMaskParams;\n block_config = 'Sysgen:Constant_config';\n serialize" "d_declarations = '{''arith_type''=>''Int'',''bin_pt''=>''Int'',''carry''=>''I" "nt'',''const''=>''Double'',''dbl_ovrd''=>''Int'',''explicit_period''=>''Int''" ",''inp1''=>''Int'',''inp2''=>''Int'',''n_bits''=>''Int'',''opr''=>''Int'',''o" "pselect''=>''Int'',''period''=>''Double''}';\n xlconstant_init();\n ptable_" " = xlblockprep(get_param(tmp_gcb, 'MaskWSVariables'));\ncatch\n global xl_re" "port_errors;\n if(~isempty(xl_report_errors) && xl_report_errors)\n e = r" "egexprep(lasterr, '\\n', '\\nError: ');\n disp(['Error: While running Mask" "Init code on block ' tmp_gcb ': ' e]);\n error(e);\n end\nend\n" MaskDisplay "fprintf('','COMMENT: begin icon graphics');" "\npatch([0 55 55 0 ],[0 0 26 26 ],[0.77 0.82 0.91]);\npatch([20 16 22 16 20 2" "7 29 31 38 32 26 22 28 22 26 32 38 31 29 27 20 ],[3 7 13 19 23 23 21 23 23 17" " 23 19 13 7 3 9 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 0 55 55 0 ],[0 26 26 " "0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begi" "n icon text');\ncolor('black');port_label('output',1,'0');\nfprintf('','COMME" "NT: end icon text');\n" MaskSelfModifiable on MaskIconFrame off MaskIconOpaque on MaskIconRotate "none" MaskIconUnits "autoscale" MaskValueString "|Unsigned|0|16|0||on|1|The use of this bloc" "k for DSP48 instructions is deprecated. Please use the Opmode block.|P=C||C|" "|PCIN>>17||+||P||CIN||off|0|-1,-1,-1,-1|constant|8.2.02|55,26,0,1,white,blue," "0,c7c04a0c|fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 ],[0" " 0 26 26 ],[0.77 0.82 0.91]);\npatch([20 16 22 16 20 27 29 31 38 32 26 22 28 " "22 26 32 38 31 29 27 20 ],[3 7 13 19 23 23 21 23 23 17 23 19 13 7 3 9 3 3 5 3" " 3 ],[0.98 0.96 0.92]);\nplot([0 0 55 55 0 ],[0 26 26 0 0 ]);\nfprintf('','CO" "MMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('" "black');port_label('output',1,'0');\nfprintf('','COMMENT: end icon text');\n|" "|\n \n \n \n \n \n \n \n \n \n \n \n" " \n \n \n \n <" "item value=\"Signed (2's comp)\" label=\"Signed (2's comp)\" />\n \n \n \n \n \n \n \n \n \n \n " " \n \n \n \n \n \n \n \n \n " " \n \n \n \n \n \n \n " " \n \n >17\" >\n \n \n \n \n " " >17\" />\n >17\" />\n \n \n \n \n \n \n \n" " \n \n \n \n \n " " \n \n \n " " \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n\n" MaskTabNameString ",,,,,,,,,,,,,,,,,,,,,,,,,,,,," } Block { BlockType "S-Function" Name "Constant1" Ports [0, 1] Position [1145, 302, 1200, 328] CopyFcn "xlBlockMoveCallback(gcbh);" DeleteFcn "xlDestroyGui(gcbh);" LoadFcn "xlBlockLoadCallback(gcbh);" ModelCloseFcn "xlDestroyGui(gcbh);" PreSaveFcn "xlBlockPreSaveCallback(gcbh);" PostSaveFcn "xlBlockPostSaveCallback(gcbh);" DestroyFcn "xlDestroyGui(gcbh);" OpenFcn "xlOpenGui(gcbh, @constantenablement, @const" "antaction)" CloseFcn "xlDestroyGui(gcbh);" MoveFcn "xlBlockMoveCallback(gcbh);" FunctionName "sysgen" Parameters "ptable_" MaskType "Xilinx Constant Block Block" MaskDescription "Xilinx Constant Block" MaskHelp "eval('xlWeb(xlhtmldoclink(''Constant''))');" MaskPromptString " |Type|Constant value|Number of bits|Binary" " point| |Sampled constant|Sample period| |DSP48 operation| |Operation select|" " |Z mux| |Operand| |YX muxes| |Carry input| |Override with doubles| | | | | |" " | | " MaskStyleString "edit,popup(Boolean|Signed (2's comp)|Unsign" "ed|DSP48 Instruction),edit,edit,edit,edit,checkbox,edit,edit,edit,edit,popup(" "C + A*B|PCIN + A*B|P + A*B|A * B|C + A:B|C - A:B|C|Custom),edit,popup(0|PCIN|" "P|C|PCIN>>17|P>>17),edit,popup(+|-),edit,popup(0|P|A:B|A*B|C|P+C|A:B+C),edit," "popup(0|1|CIN|~SIGN(P or PCIN)|~SIGN(A*B or A:B)|~SIGND(A*B or A:B)),edit,che" "ckbox,edit,edit,edit,edit,edit,edit,edit,edit" MaskTunableValueString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,o" "n,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on" MaskCallbackString "|||||||||||||||||||||||||||||" MaskEnableString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,o" "n,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on" MaskVisibilityString "off,on,on,on,on,off,on,on,off,on,off,on,off" ",on,off,on,off,on,off,on,off,on,off,off,off,off,off,off,off,off" MaskToolTipString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,o" "n,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on" MaskVarAliasString ",,,,,,,,,,,,,,,,,,,,,,,,,,,,," MaskVariables "arith_type_sgadvanced=&1;arith_type=@2;cons" "t=@3;n_bits=@4;bin_pt=@5;explicit_period_sgadvanced=&6;explicit_period=@7;per" "iod=@8;dsp48_infoedit=&9;equ=&10;opselect_sgadvanced=&11;opselect=@12;inp2_sg" "advanced=&13;inp2=@14;opr_sgadvanced=&15;opr=@16;inp1_sgadvanced=&17;inp1=@18" ";carry_sgadvanced=&19;carry=@20;dbl_ovrd_sgadvanced=&21;dbl_ovrd=@22;has_adva" "nced_control=@23;sggui_pos=&24;block_type=&25;block_version=&26;sg_icon_stat=" "&27;sg_mask_display=&28;sg_list_contents=&29;sg_blockgui_xml=&30;" MaskInitialization "try\n tmp_gcb = gcb;\n tmp_gcbh = gcbh;\n" " xlMungeMaskParams;\n block_config = 'Sysgen:Constant_config';\n serialize" "d_declarations = '{''arith_type''=>''Int'',''bin_pt''=>''Int'',''carry''=>''I" "nt'',''const''=>''Double'',''dbl_ovrd''=>''Int'',''explicit_period''=>''Int''" ",''inp1''=>''Int'',''inp2''=>''Int'',''n_bits''=>''Int'',''opr''=>''Int'',''o" "pselect''=>''Int'',''period''=>''Double''}';\n xlconstant_init();\n ptable_" " = xlblockprep(get_param(tmp_gcb, 'MaskWSVariables'));\ncatch\n global xl_re" "port_errors;\n if(~isempty(xl_report_errors) && xl_report_errors)\n e = r" "egexprep(lasterr, '\\n', '\\nError: ');\n disp(['Error: While running Mask" "Init code on block ' tmp_gcb ': ' e]);\n error(e);\n end\nend\n" MaskDisplay "fprintf('','COMMENT: begin icon graphics');" "\npatch([0 55 55 0 ],[0 0 26 26 ],[0.77 0.82 0.91]);\npatch([20 16 22 16 20 2" "7 29 31 38 32 26 22 28 22 26 32 38 31 29 27 20 ],[3 7 13 19 23 23 21 23 23 17" " 23 19 13 7 3 9 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 0 55 55 0 ],[0 26 26 " "0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begi" "n icon text');\ncolor('black');port_label('output',1,'0');\nfprintf('','COMME" "NT: end icon text');\n" MaskSelfModifiable on MaskIconFrame off MaskIconOpaque on MaskIconRotate "none" MaskIconUnits "autoscale" MaskValueString "|Boolean|0|8|0||on|1|The use of this block " "for DSP48 instructions is deprecated. Please use the Opmode block.|P=C||C||P" "CIN>>17||+||P||CIN||off|0|-1,-1,-1,-1|constant|8.2.02|55,26,0,1,white,blue,0," "c7c04a0c|fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 ],[0 0" " 26 26 ],[0.77 0.82 0.91]);\npatch([20 16 22 16 20 27 29 31 38 32 26 22 28 22" " 26 32 38 31 29 27 20 ],[3 7 13 19 23 23 21 23 23 17 23 19 13 7 3 9 3 3 5 3 3" " ],[0.98 0.96 0.92]);\nplot([0 0 55 55 0 ],[0 26 26 0 0 ]);\nfprintf('','COMM" "ENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('bl" "ack');port_label('output',1,'0');\nfprintf('','COMMENT: end icon text');\n||<" "!-- * Copyright (c) 2005, Xilinx, Inc. All Rights Reserved. -->" "\n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n " "\n \n \n \n \n \n " " \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n " "\n \n \n \n \n >17\" >\n \n " " \n \n \n >17\" />\n >17\" />" "\n \n \n \n " "\n \n \n \n \n \n " " \n \n \n \n \n \n \n \n " "\n \n \n \n \n \n \n \n \n \n \n \n \n \n " "\n\n" MaskTabNameString ",,,,,,,,,,,,,,,,,,,,,,,,,,,,," } Block { BlockType "S-Function" Name "Constant2" Ports [0, 1] Position [665, 532, 720, 558] CopyFcn "xlBlockMoveCallback(gcbh);" DeleteFcn "xlDestroyGui(gcbh);" LoadFcn "xlBlockLoadCallback(gcbh);" ModelCloseFcn "xlDestroyGui(gcbh);" PreSaveFcn "xlBlockPreSaveCallback(gcbh);" PostSaveFcn "xlBlockPostSaveCallback(gcbh);" DestroyFcn "xlDestroyGui(gcbh);" OpenFcn "xlOpenGui(gcbh, @constantenablement, @const" "antaction)" CloseFcn "xlDestroyGui(gcbh);" MoveFcn "xlBlockMoveCallback(gcbh);" FunctionName "sysgen" Parameters "ptable_" MaskType "Xilinx Constant Block Block" MaskDescription "Xilinx Constant Block" MaskHelp "eval('xlWeb(xlhtmldoclink(''Constant''))');" MaskPromptString " |Type|Constant value|Number of bits|Binary" " point| |Sampled constant|Sample period| |DSP48 operation| |Operation select|" " |Z mux| |Operand| |YX muxes| |Carry input| |Override with doubles| | | | | |" " | | " MaskStyleString "edit,popup(Boolean|Signed (2's comp)|Unsign" "ed|DSP48 Instruction),edit,edit,edit,edit,checkbox,edit,edit,edit,edit,popup(" "C + A*B|PCIN + A*B|P + A*B|A * B|C + A:B|C - A:B|C|Custom),edit,popup(0|PCIN|" "P|C|PCIN>>17|P>>17),edit,popup(+|-),edit,popup(0|P|A:B|A*B|C|P+C|A:B+C),edit," "popup(0|1|CIN|~SIGN(P or PCIN)|~SIGN(A*B or A:B)|~SIGND(A*B or A:B)),edit,che" "ckbox,edit,edit,edit,edit,edit,edit,edit,edit" MaskTunableValueString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,o" "n,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on" MaskCallbackString "|||||||||||||||||||||||||||||" MaskEnableString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,o" "n,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on" MaskVisibilityString "off,on,on,on,on,off,on,on,off,on,off,on,off" ",on,off,on,off,on,off,on,off,on,off,off,off,off,off,off,off,off" MaskToolTipString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,o" "n,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on" MaskVarAliasString ",,,,,,,,,,,,,,,,,,,,,,,,,,,,," MaskVariables "arith_type_sgadvanced=&1;arith_type=@2;cons" "t=@3;n_bits=@4;bin_pt=@5;explicit_period_sgadvanced=&6;explicit_period=@7;per" "iod=@8;dsp48_infoedit=&9;equ=&10;opselect_sgadvanced=&11;opselect=@12;inp2_sg" "advanced=&13;inp2=@14;opr_sgadvanced=&15;opr=@16;inp1_sgadvanced=&17;inp1=@18" ";carry_sgadvanced=&19;carry=@20;dbl_ovrd_sgadvanced=&21;dbl_ovrd=@22;has_adva" "nced_control=@23;sggui_pos=&24;block_type=&25;block_version=&26;sg_icon_stat=" "&27;sg_mask_display=&28;sg_list_contents=&29;sg_blockgui_xml=&30;" MaskInitialization "try\n tmp_gcb = gcb;\n tmp_gcbh = gcbh;\n" " xlMungeMaskParams;\n block_config = 'Sysgen:Constant_config';\n serialize" "d_declarations = '{''arith_type''=>''Int'',''bin_pt''=>''Int'',''carry''=>''I" "nt'',''const''=>''Double'',''dbl_ovrd''=>''Int'',''explicit_period''=>''Int''" ",''inp1''=>''Int'',''inp2''=>''Int'',''n_bits''=>''Int'',''opr''=>''Int'',''o" "pselect''=>''Int'',''period''=>''Double''}';\n xlconstant_init();\n ptable_" " = xlblockprep(get_param(tmp_gcb, 'MaskWSVariables'));\ncatch\n global xl_re" "port_errors;\n if(~isempty(xl_report_errors) && xl_report_errors)\n e = r" "egexprep(lasterr, '\\n', '\\nError: ');\n disp(['Error: While running Mask" "Init code on block ' tmp_gcb ': ' e]);\n error(e);\n end\nend\n" MaskDisplay "fprintf('','COMMENT: begin icon graphics');" "\npatch([0 55 55 0 ],[0 0 26 26 ],[0.77 0.82 0.91]);\npatch([20 16 22 16 20 2" "7 29 31 38 32 26 22 28 22 26 32 38 31 29 27 20 ],[3 7 13 19 23 23 21 23 23 17" " 23 19 13 7 3 9 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 0 55 55 0 ],[0 26 26 " "0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begi" "n icon text');\ncolor('black');port_label('output',1,'0');\nfprintf('','COMME" "NT: end icon text');\n" MaskSelfModifiable on MaskIconFrame off MaskIconOpaque on MaskIconRotate "none" MaskIconUnits "autoscale" MaskValueString "|Boolean|0|16|14||on|1|The use of this bloc" "k for DSP48 instructions is deprecated. Please use the Opmode block.|P=C||C|" "|PCIN>>17||+||P||CIN||off|0|-1,-1,-1,-1|constant|8.2.02|55,26,0,1,white,blue," "0,c7c04a0c|fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 ],[0" " 0 26 26 ],[0.77 0.82 0.91]);\npatch([20 16 22 16 20 27 29 31 38 32 26 22 28 " "22 26 32 38 31 29 27 20 ],[3 7 13 19 23 23 21 23 23 17 23 19 13 7 3 9 3 3 5 3" " 3 ],[0.98 0.96 0.92]);\nplot([0 0 55 55 0 ],[0 26 26 0 0 ]);\nfprintf('','CO" "MMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('" "black');port_label('output',1,'0');\nfprintf('','COMMENT: end icon text');\n|" "|\n \n \n \n \n \n \n \n \n \n \n \n" " \n \n \n \n <" "item value=\"Signed (2's comp)\" label=\"Signed (2's comp)\" />\n \n \n \n \n \n \n \n \n \n \n " " \n \n \n \n \n \n \n \n \n " " \n \n \n \n \n \n \n " " \n \n >17\" >\n \n \n \n \n " " >17\" />\n >17\" />\n \n \n \n \n \n \n \n" " \n \n \n \n \n " " \n \n \n " " \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n\n" MaskTabNameString ",,,,,,,,,,,,,,,,,,,,,,,,,,,,," } Block { BlockType "S-Function" Name "Constant3" Ports [0, 1] Position [445, 442, 500, 468] CopyFcn "xlBlockMoveCallback(gcbh);" DeleteFcn "xlDestroyGui(gcbh);" LoadFcn "xlBlockLoadCallback(gcbh);" ModelCloseFcn "xlDestroyGui(gcbh);" PreSaveFcn "xlBlockPreSaveCallback(gcbh);" PostSaveFcn "xlBlockPostSaveCallback(gcbh);" DestroyFcn "xlDestroyGui(gcbh);" OpenFcn "xlOpenGui(gcbh, @constantenablement, @const" "antaction)" CloseFcn "xlDestroyGui(gcbh);" MoveFcn "xlBlockMoveCallback(gcbh);" FunctionName "sysgen" Parameters "ptable_" MaskType "Xilinx Constant Block Block" MaskDescription "Xilinx Constant Block" MaskHelp "eval('xlWeb(xlhtmldoclink(''Constant''))');" MaskPromptString " |Type|Constant value|Number of bits|Binary" " point| |Sampled constant|Sample period| |DSP48 operation| |Operation select|" " |Z mux| |Operand| |YX muxes| |Carry input| |Override with doubles| | | | | |" " | | " MaskStyleString "edit,popup(Boolean|Signed (2's comp)|Unsign" "ed|DSP48 Instruction),edit,edit,edit,edit,checkbox,edit,edit,edit,edit,popup(" "C + A*B|PCIN + A*B|P + A*B|A * B|C + A:B|C - A:B|C|Custom),edit,popup(0|PCIN|" "P|C|PCIN>>17|P>>17),edit,popup(+|-),edit,popup(0|P|A:B|A*B|C|P+C|A:B+C),edit," "popup(0|1|CIN|~SIGN(P or PCIN)|~SIGN(A*B or A:B)|~SIGND(A*B or A:B)),edit,che" "ckbox,edit,edit,edit,edit,edit,edit,edit,edit" MaskTunableValueString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,o" "n,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on" MaskCallbackString "|||||||||||||||||||||||||||||" MaskEnableString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,o" "n,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on" MaskVisibilityString "off,on,on,on,on,off,on,on,off,on,off,on,off" ",on,off,on,off,on,off,on,off,on,off,off,off,off,off,off,off,off" MaskToolTipString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,o" "n,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on" MaskVarAliasString ",,,,,,,,,,,,,,,,,,,,,,,,,,,,," MaskVariables "arith_type_sgadvanced=&1;arith_type=@2;cons" "t=@3;n_bits=@4;bin_pt=@5;explicit_period_sgadvanced=&6;explicit_period=@7;per" "iod=@8;dsp48_infoedit=&9;equ=&10;opselect_sgadvanced=&11;opselect=@12;inp2_sg" "advanced=&13;inp2=@14;opr_sgadvanced=&15;opr=@16;inp1_sgadvanced=&17;inp1=@18" ";carry_sgadvanced=&19;carry=@20;dbl_ovrd_sgadvanced=&21;dbl_ovrd=@22;has_adva" "nced_control=@23;sggui_pos=&24;block_type=&25;block_version=&26;sg_icon_stat=" "&27;sg_mask_display=&28;sg_list_contents=&29;sg_blockgui_xml=&30;" MaskInitialization "try\n tmp_gcb = gcb;\n tmp_gcbh = gcbh;\n" " xlMungeMaskParams;\n block_config = 'Sysgen:Constant_config';\n serialize" "d_declarations = '{''arith_type''=>''Int'',''bin_pt''=>''Int'',''carry''=>''I" "nt'',''const''=>''Double'',''dbl_ovrd''=>''Int'',''explicit_period''=>''Int''" ",''inp1''=>''Int'',''inp2''=>''Int'',''n_bits''=>''Int'',''opr''=>''Int'',''o" "pselect''=>''Int'',''period''=>''Double''}';\n xlconstant_init();\n ptable_" " = xlblockprep(get_param(tmp_gcb, 'MaskWSVariables'));\ncatch\n global xl_re" "port_errors;\n if(~isempty(xl_report_errors) && xl_report_errors)\n e = r" "egexprep(lasterr, '\\n', '\\nError: ');\n disp(['Error: While running Mask" "Init code on block ' tmp_gcb ': ' e]);\n error(e);\n end\nend\n" MaskDisplay "fprintf('','COMMENT: begin icon graphics');" "\npatch([0 55 55 0 ],[0 0 26 26 ],[0.77 0.82 0.91]);\npatch([20 16 22 16 20 2" "7 29 31 38 32 26 22 28 22 26 32 38 31 29 27 20 ],[3 7 13 19 23 23 21 23 23 17" " 23 19 13 7 3 9 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 0 55 55 0 ],[0 26 26 " "0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begi" "n icon text');\ncolor('black');port_label('output',1,'1');\nfprintf('','COMME" "NT: end icon text');\n" MaskSelfModifiable on MaskIconFrame off MaskIconOpaque on MaskIconRotate "none" MaskIconUnits "autoscale" MaskValueString "|Unsigned|1|1|0||on|1|The use of this block" " for DSP48 instructions is deprecated. Please use the Opmode block.|P=C||C||" "PCIN>>17||+||P||CIN||off|0|-1,-1,-1,-1|constant|8.2.02|55,26,0,1,white,blue,0" ",85613821|fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 ],[0 " "0 26 26 ],[0.77 0.82 0.91]);\npatch([20 16 22 16 20 27 29 31 38 32 26 22 28 2" "2 26 32 38 31 29 27 20 ],[3 7 13 19 23 23 21 23 23 17 23 19 13 7 3 9 3 3 5 3 " "3 ],[0.98 0.96 0.92]);\nplot([0 0 55 55 0 ],[0 26 26 0 0 ]);\nfprintf('','COM" "MENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('b" "lack');port_label('output',1,'1');\nfprintf('','COMMENT: end icon text');\n||" "\n \n \n \n \n \n \n \n \n \n \n \n " "\n \n \n \n \n \n \n \n \n \n \n \n \n \n \n " " \n \n \n \n " "\n \n \n \n \n " " \n \n \n \n \n \n \n " " \n \n >17\" >\n \n \n \n \n " " >17\" />\n >17\" />\n \n \n \n \n \n \n \n" " \n \n \n \n \n " " \n \n \n " " \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n\n" MaskTabNameString ",,,,,,,,,,,,,,,,,,,,,,,,,,,,," } Block { BlockType "S-Function" Name "Constant4" Ports [0, 1] Position [960, 702, 1015, 728] CopyFcn "xlBlockMoveCallback(gcbh);" DeleteFcn "xlDestroyGui(gcbh);" LoadFcn "xlBlockLoadCallback(gcbh);" ModelCloseFcn "xlDestroyGui(gcbh);" PreSaveFcn "xlBlockPreSaveCallback(gcbh);" PostSaveFcn "xlBlockPostSaveCallback(gcbh);" DestroyFcn "xlDestroyGui(gcbh);" OpenFcn "xlOpenGui(gcbh, @constantenablement, @const" "antaction)" CloseFcn "xlDestroyGui(gcbh);" MoveFcn "xlBlockMoveCallback(gcbh);" FunctionName "sysgen" Parameters "ptable_" MaskType "Xilinx Constant Block Block" MaskDescription "Xilinx Constant Block" MaskHelp "eval('xlWeb(xlhtmldoclink(''Constant''))');" MaskPromptString " |Type|Constant value|Number of bits|Binary" " point| |Sampled constant|Sample period| |DSP48 operation| |Operation select|" " |Z mux| |Operand| |YX muxes| |Carry input| |Override with doubles| | | | | |" " | | " MaskStyleString "edit,popup(Boolean|Signed (2's comp)|Unsign" "ed|DSP48 Instruction),edit,edit,edit,edit,checkbox,edit,edit,edit,edit,popup(" "C + A*B|PCIN + A*B|P + A*B|A * B|C + A:B|C - A:B|C|Custom),edit,popup(0|PCIN|" "P|C|PCIN>>17|P>>17),edit,popup(+|-),edit,popup(0|P|A:B|A*B|C|P+C|A:B+C),edit," "popup(0|1|CIN|~SIGN(P or PCIN)|~SIGN(A*B or A:B)|~SIGND(A*B or A:B)),edit,che" "ckbox,edit,edit,edit,edit,edit,edit,edit,edit" MaskTunableValueString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,o" "n,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on" MaskCallbackString "|||||||||||||||||||||||||||||" MaskEnableString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,o" "n,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on" MaskVisibilityString "off,on,on,on,on,off,on,on,off,on,off,on,off" ",on,off,on,off,on,off,on,off,on,off,off,off,off,off,off,off,off" MaskToolTipString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,o" "n,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on" MaskVarAliasString ",,,,,,,,,,,,,,,,,,,,,,,,,,,,," MaskVariables "arith_type_sgadvanced=&1;arith_type=@2;cons" "t=@3;n_bits=@4;bin_pt=@5;explicit_period_sgadvanced=&6;explicit_period=@7;per" "iod=@8;dsp48_infoedit=&9;equ=&10;opselect_sgadvanced=&11;opselect=@12;inp2_sg" "advanced=&13;inp2=@14;opr_sgadvanced=&15;opr=@16;inp1_sgadvanced=&17;inp1=@18" ";carry_sgadvanced=&19;carry=@20;dbl_ovrd_sgadvanced=&21;dbl_ovrd=@22;has_adva" "nced_control=@23;sggui_pos=&24;block_type=&25;block_version=&26;sg_icon_stat=" "&27;sg_mask_display=&28;sg_list_contents=&29;sg_blockgui_xml=&30;" MaskInitialization "try\n tmp_gcb = gcb;\n tmp_gcbh = gcbh;\n" " xlMungeMaskParams;\n block_config = 'Sysgen:Constant_config';\n serialize" "d_declarations = '{''arith_type''=>''Int'',''bin_pt''=>''Int'',''carry''=>''I" "nt'',''const''=>''Double'',''dbl_ovrd''=>''Int'',''explicit_period''=>''Int''" ",''inp1''=>''Int'',''inp2''=>''Int'',''n_bits''=>''Int'',''opr''=>''Int'',''o" "pselect''=>''Int'',''period''=>''Double''}';\n xlconstant_init();\n ptable_" " = xlblockprep(get_param(tmp_gcb, 'MaskWSVariables'));\ncatch\n global xl_re" "port_errors;\n if(~isempty(xl_report_errors) && xl_report_errors)\n e = r" "egexprep(lasterr, '\\n', '\\nError: ');\n disp(['Error: While running Mask" "Init code on block ' tmp_gcb ': ' e]);\n error(e);\n end\nend\n" MaskDisplay "fprintf('','COMMENT: begin icon graphics');" "\npatch([0 55 55 0 ],[0 0 26 26 ],[0.77 0.82 0.91]);\npatch([20 16 22 16 20 2" "7 29 31 38 32 26 22 28 22 26 32 38 31 29 27 20 ],[3 7 13 19 23 23 21 23 23 17" " 23 19 13 7 3 9 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 0 55 55 0 ],[0 26 26 " "0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begi" "n icon text');\ncolor('black');port_label('output',1,'8');\nfprintf('','COMME" "NT: end icon text');\n" MaskSelfModifiable on MaskIconFrame off MaskIconOpaque on MaskIconRotate "none" MaskIconUnits "autoscale" MaskValueString "|Unsigned|8|4|0||on|1|The use of this block" " for DSP48 instructions is deprecated. Please use the Opmode block.|P=C||C||" "PCIN>>17||+||P||CIN||off|0|-1,-1,-1,-1|constant|8.2.02|55,26,0,1,white,blue,0" ",56172e47|fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 ],[0 " "0 26 26 ],[0.77 0.82 0.91]);\npatch([20 16 22 16 20 27 29 31 38 32 26 22 28 2" "2 26 32 38 31 29 27 20 ],[3 7 13 19 23 23 21 23 23 17 23 19 13 7 3 9 3 3 5 3 " "3 ],[0.98 0.96 0.92]);\nplot([0 0 55 55 0 ],[0 26 26 0 0 ]);\nfprintf('','COM" "MENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('b" "lack');port_label('output',1,'8');\nfprintf('','COMMENT: end icon text');\n||" "\n \n \n \n \n \n \n \n \n \n \n \n " "\n \n \n \n \n \n \n \n \n \n \n \n \n \n \n " " \n \n \n \n " "\n \n \n \n \n " " \n \n \n \n \n \n \n " " \n \n >17\" >\n \n \n \n \n " " >17\" />\n >17\" />\n \n \n \n \n \n \n \n" " \n \n \n \n \n " " \n \n \n " " \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n\n" MaskTabNameString ",,,,,,,,,,,,,,,,,,,,,,,,,,,,," } Block { BlockType "S-Function" Name "Constant5" Ports [0, 1] Position [1525, 327, 1580, 353] CopyFcn "xlBlockMoveCallback(gcbh);" DeleteFcn "xlDestroyGui(gcbh);" LoadFcn "xlBlockLoadCallback(gcbh);" ModelCloseFcn "xlDestroyGui(gcbh);" PreSaveFcn "xlBlockPreSaveCallback(gcbh);" PostSaveFcn "xlBlockPostSaveCallback(gcbh);" DestroyFcn "xlDestroyGui(gcbh);" OpenFcn "xlOpenGui(gcbh, @constantenablement, @const" "antaction)" CloseFcn "xlDestroyGui(gcbh);" MoveFcn "xlBlockMoveCallback(gcbh);" FunctionName "sysgen" Parameters "ptable_" MaskType "Xilinx Constant Block Block" MaskDescription "Xilinx Constant Block" MaskHelp "eval('xlWeb(xlhtmldoclink(''Constant''))');" MaskPromptString " |Type|Constant value|Number of bits|Binary" " point| |Sampled constant|Sample period| |DSP48 operation| |Operation select|" " |Z mux| |Operand| |YX muxes| |Carry input| |Override with doubles| | | | | |" " | | " MaskStyleString "edit,popup(Boolean|Signed (2's comp)|Unsign" "ed|DSP48 Instruction),edit,edit,edit,edit,checkbox,edit,edit,edit,edit,popup(" "C + A*B|PCIN + A*B|P + A*B|A * B|C + A:B|C - A:B|C|Custom),edit,popup(0|PCIN|" "P|C|PCIN>>17|P>>17),edit,popup(+|-),edit,popup(0|P|A:B|A*B|C|P+C|A:B+C),edit," "popup(0|1|CIN|~SIGN(P or PCIN)|~SIGN(A*B or A:B)|~SIGND(A*B or A:B)),edit,che" "ckbox,edit,edit,edit,edit,edit,edit,edit,edit" MaskTunableValueString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,o" "n,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on" MaskCallbackString "|||||||||||||||||||||||||||||" MaskEnableString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,o" "n,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on" MaskVisibilityString "off,on,on,on,on,off,on,on,off,on,off,on,off" ",on,off,on,off,on,off,on,off,on,off,off,off,off,off,off,off,off" MaskToolTipString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,o" "n,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on" MaskVarAliasString ",,,,,,,,,,,,,,,,,,,,,,,,,,,,," MaskVariables "arith_type_sgadvanced=&1;arith_type=@2;cons" "t=@3;n_bits=@4;bin_pt=@5;explicit_period_sgadvanced=&6;explicit_period=@7;per" "iod=@8;dsp48_infoedit=&9;equ=&10;opselect_sgadvanced=&11;opselect=@12;inp2_sg" "advanced=&13;inp2=@14;opr_sgadvanced=&15;opr=@16;inp1_sgadvanced=&17;inp1=@18" ";carry_sgadvanced=&19;carry=@20;dbl_ovrd_sgadvanced=&21;dbl_ovrd=@22;has_adva" "nced_control=@23;sggui_pos=&24;block_type=&25;block_version=&26;sg_icon_stat=" "&27;sg_mask_display=&28;sg_list_contents=&29;sg_blockgui_xml=&30;" MaskInitialization "try\n tmp_gcb = gcb;\n tmp_gcbh = gcbh;\n" " xlMungeMaskParams;\n block_config = 'Sysgen:Constant_config';\n serialize" "d_declarations = '{''arith_type''=>''Int'',''bin_pt''=>''Int'',''carry''=>''I" "nt'',''const''=>''Double'',''dbl_ovrd''=>''Int'',''explicit_period''=>''Int''" ",''inp1''=>''Int'',''inp2''=>''Int'',''n_bits''=>''Int'',''opr''=>''Int'',''o" "pselect''=>''Int'',''period''=>''Double''}';\n xlconstant_init();\n ptable_" " = xlblockprep(get_param(tmp_gcb, 'MaskWSVariables'));\ncatch\n global xl_re" "port_errors;\n if(~isempty(xl_report_errors) && xl_report_errors)\n e = r" "egexprep(lasterr, '\\n', '\\nError: ');\n disp(['Error: While running Mask" "Init code on block ' tmp_gcb ': ' e]);\n error(e);\n end\nend\n" MaskDisplay "fprintf('','COMMENT: begin icon graphics');" "\npatch([0 55 55 0 ],[0 0 26 26 ],[0.77 0.82 0.91]);\npatch([20 16 22 16 20 2" "7 29 31 38 32 26 22 28 22 26 32 38 31 29 27 20 ],[3 7 13 19 23 23 21 23 23 17" " 23 19 13 7 3 9 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 0 55 55 0 ],[0 26 26 " "0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begi" "n icon text');\ncolor('black');port_label('output',1,'277');\nfprintf('','COM" "MENT: end icon text');\n" MaskSelfModifiable on MaskIconFrame off MaskIconOpaque on MaskIconRotate "none" MaskIconUnits "autoscale" MaskValueString "|Unsigned|hex2dec('115')|9|0||on|1|The use " "of this block for DSP48 instructions is deprecated. Please use the Opmode bl" "ock.|P=C||C||PCIN>>17||+||P||CIN||off|0|-1,-1,-1,-1|constant|8.2.02|55,26,0,1" ",white,blue,0,fc4c2594|fprintf('','COMMENT: begin icon graphics');\npatch([0 " "55 55 0 ],[0 0 26 26 ],[0.77 0.82 0.91]);\npatch([20 16 22 16 20 27 29 31 38 " "32 26 22 28 22 26 32 38 31 29 27 20 ],[3 7 13 19 23 23 21 23 23 17 23 19 13 7" " 3 9 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 0 55 55 0 ],[0 26 26 0 0 ]);\nfp" "rintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text" "');\ncolor('black');port_label('output',1,'277');\nfprintf('','COMMENT: end i" "con text');\n||\n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n " "\n \n \n \n \n \n " " \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n " "\n \n \n \n \n >17\" >\n \n " " \n \n \n >17\" />\n >17\" />" "\n \n \n \n " "\n \n \n \n \n \n " " \n \n \n \n \n \n \n \n " "\n \n \n \n \n \n \n \n \n \n \n \n \n \n " "\n\n" MaskTabNameString ",,,,,,,,,,,,,,,,,,,,,,,,,,,,," } Block { BlockType "S-Function" Name "Constant6" Ports [0, 1] Position [1525, 477, 1580, 503] CopyFcn "xlBlockMoveCallback(gcbh);" DeleteFcn "xlDestroyGui(gcbh);" LoadFcn "xlBlockLoadCallback(gcbh);" ModelCloseFcn "xlDestroyGui(gcbh);" PreSaveFcn "xlBlockPreSaveCallback(gcbh);" PostSaveFcn "xlBlockPostSaveCallback(gcbh);" DestroyFcn "xlDestroyGui(gcbh);" OpenFcn "xlOpenGui(gcbh, @constantenablement, @const" "antaction)" CloseFcn "xlDestroyGui(gcbh);" MoveFcn "xlBlockMoveCallback(gcbh);" FunctionName "sysgen" Parameters "ptable_" MaskType "Xilinx Constant Block Block" MaskDescription "Xilinx Constant Block" MaskHelp "eval('xlWeb(xlhtmldoclink(''Constant''))');" MaskPromptString " |Type|Constant value|Number of bits|Binary" " point| |Sampled constant|Sample period| |DSP48 operation| |Operation select|" " |Z mux| |Operand| |YX muxes| |Carry input| |Override with doubles| | | | | |" " | | " MaskStyleString "edit,popup(Boolean|Signed (2's comp)|Unsign" "ed|DSP48 Instruction),edit,edit,edit,edit,checkbox,edit,edit,edit,edit,popup(" "C + A*B|PCIN + A*B|P + A*B|A * B|C + A:B|C - A:B|C|Custom),edit,popup(0|PCIN|" "P|C|PCIN>>17|P>>17),edit,popup(+|-),edit,popup(0|P|A:B|A*B|C|P+C|A:B+C),edit," "popup(0|1|CIN|~SIGN(P or PCIN)|~SIGN(A*B or A:B)|~SIGND(A*B or A:B)),edit,che" "ckbox,edit,edit,edit,edit,edit,edit,edit,edit" MaskTunableValueString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,o" "n,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on" MaskCallbackString "|||||||||||||||||||||||||||||" MaskEnableString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,o" "n,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on" MaskVisibilityString "off,on,on,on,on,off,on,on,off,on,off,on,off" ",on,off,on,off,on,off,on,off,on,off,off,off,off,off,off,off,off" MaskToolTipString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,o" "n,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on" MaskVarAliasString ",,,,,,,,,,,,,,,,,,,,,,,,,,,,," MaskVariables "arith_type_sgadvanced=&1;arith_type=@2;cons" "t=@3;n_bits=@4;bin_pt=@5;explicit_period_sgadvanced=&6;explicit_period=@7;per" "iod=@8;dsp48_infoedit=&9;equ=&10;opselect_sgadvanced=&11;opselect=@12;inp2_sg" "advanced=&13;inp2=@14;opr_sgadvanced=&15;opr=@16;inp1_sgadvanced=&17;inp1=@18" ";carry_sgadvanced=&19;carry=@20;dbl_ovrd_sgadvanced=&21;dbl_ovrd=@22;has_adva" "nced_control=@23;sggui_pos=&24;block_type=&25;block_version=&26;sg_icon_stat=" "&27;sg_mask_display=&28;sg_list_contents=&29;sg_blockgui_xml=&30;" MaskInitialization "try\n tmp_gcb = gcb;\n tmp_gcbh = gcbh;\n" " xlMungeMaskParams;\n block_config = 'Sysgen:Constant_config';\n serialize" "d_declarations = '{''arith_type''=>''Int'',''bin_pt''=>''Int'',''carry''=>''I" "nt'',''const''=>''Double'',''dbl_ovrd''=>''Int'',''explicit_period''=>''Int''" ",''inp1''=>''Int'',''inp2''=>''Int'',''n_bits''=>''Int'',''opr''=>''Int'',''o" "pselect''=>''Int'',''period''=>''Double''}';\n xlconstant_init();\n ptable_" " = xlblockprep(get_param(tmp_gcb, 'MaskWSVariables'));\ncatch\n global xl_re" "port_errors;\n if(~isempty(xl_report_errors) && xl_report_errors)\n e = r" "egexprep(lasterr, '\\n', '\\nError: ');\n disp(['Error: While running Mask" "Init code on block ' tmp_gcb ': ' e]);\n error(e);\n end\nend\n" MaskDisplay "fprintf('','COMMENT: begin icon graphics');" "\npatch([0 55 55 0 ],[0 0 26 26 ],[0.77 0.82 0.91]);\npatch([20 16 22 16 20 2" "7 29 31 38 32 26 22 28 22 26 32 38 31 29 27 20 ],[3 7 13 19 23 23 21 23 23 17" " 23 19 13 7 3 9 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 0 55 55 0 ],[0 26 26 " "0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begi" "n icon text');\ncolor('black');port_label('output',1,'373');\nfprintf('','COM" "MENT: end icon text');\n" MaskSelfModifiable on MaskIconFrame off MaskIconOpaque on MaskIconRotate "none" MaskIconUnits "autoscale" MaskValueString "|Unsigned|hex2dec('175')|9|0||on|1|The use " "of this block for DSP48 instructions is deprecated. Please use the Opmode bl" "ock.|P=C||C||PCIN>>17||+||P||CIN||off|0|-1,-1,-1,-1|constant|8.2.02|55,26,0,1" ",white,blue,0,e482f4d5|fprintf('','COMMENT: begin icon graphics');\npatch([0 " "55 55 0 ],[0 0 26 26 ],[0.77 0.82 0.91]);\npatch([20 16 22 16 20 27 29 31 38 " "32 26 22 28 22 26 32 38 31 29 27 20 ],[3 7 13 19 23 23 21 23 23 17 23 19 13 7" " 3 9 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 0 55 55 0 ],[0 26 26 0 0 ]);\nfp" "rintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text" "');\ncolor('black');port_label('output',1,'373');\nfprintf('','COMMENT: end i" "con text');\n||\n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n " "\n \n \n \n \n \n " " \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n " "\n \n \n \n \n >17\" >\n \n " " \n \n \n >17\" />\n >17\" />" "\n \n \n \n " "\n \n \n \n \n \n " " \n \n \n \n \n \n \n \n " "\n \n \n \n \n \n \n \n \n \n \n \n \n \n " "\n\n" MaskTabNameString ",,,,,,,,,,,,,,,,,,,,,,,,,,,,," } Block { BlockType "S-Function" Name "Convert" Ports [1, 1] Position [400, 310, 445, 340] CopyFcn "xlBlockMoveCallback(gcbh);" DeleteFcn "xlDestroyGui(gcbh);" LoadFcn "xlBlockLoadCallback(gcbh);" ModelCloseFcn "xlDestroyGui(gcbh);" PreSaveFcn "xlBlockPreSaveCallback(gcbh);" PostSaveFcn "xlBlockPostSaveCallback(gcbh);" DestroyFcn "xlDestroyGui(gcbh);" OpenFcn "xlOpenGui(gcbh, @convertenablement, -1)" CloseFcn "xlDestroyGui(gcbh);" MoveFcn "xlBlockMoveCallback(gcbh);" FunctionName "sysgen" Parameters "ptable_" MaskType "Xilinx Type Converter Block" MaskDescription "Hardware notes: rounding and saturating req" "uire hardware resources; truncating and wrapping do not." MaskHelp "eval('xlWeb(xlhtmldoclink(''Convert''))');" MaskPromptString " | |Type|Number of bits|Binary point| |Quan" "tization| |Overflow|Latency| |Override with doubles| |Pipeline for maximum pe" "rformance| |Define FPGA area for resource estimation|FPGA area [slices, FFs, " "BRAMs, LUTs, IOBs, emb. mults, TBUFs]| | | | | | | | " MaskStyleString "edit,edit,popup(Boolean|Signed (2's comp)|" "Unsigned),edit,edit,edit,popup(Truncate|Round (unbiased: +/- Inf)|Round (un" "biased: Even Values)),edit,popup(Wrap|Saturate|Flag as error),edit,edit,check" "box,edit,checkbox,edit,checkbox,edit,edit,edit,edit,edit,edit,edit,edit,edit" MaskTunableValueString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,o" "n,on,on,on,on,on,on,on,on,on,on" MaskCallbackString "||||||||||||||||||||||||" MaskEnableString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,o" "n,on,on,on,on,on,on,on,on,on,on" MaskVisibilityString "off,off,on,on,on,off,on,off,on,on,off,on,of" "f,on,off,on,on,off,off,off,off,off,off,off,off" MaskToolTipString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,o" "n,on,on,on,on,on,on,on,on,on,on" MaskVarAliasString ",,,,,,,,,,,,,,,,,,,,,,,," MaskVariables "infoedit=&1;arith_type_sgadvanced=&2;arith_" "type=@3;n_bits=@4;bin_pt=@5;quantization_sgadvanced=&6;quantization=@7;overfl" "ow_sgadvanced=&8;overflow=@9;latency=@10;dbl_ovrd_sgadvanced=&11;dbl_ovrd=@12" ";pipeline_sgadvanced=&13;pipeline=@14;xl_use_area_sgadvanced=&15;xl_use_area=" "@16;xl_area=@17;has_advanced_control=@18;sggui_pos=&19;block_type=&20;block_v" "ersion=&21;sg_icon_stat=&22;sg_mask_display=&23;sg_list_contents=&24;sg_block" "gui_xml=&25;" MaskInitialization "try\n tmp_gcb = gcb;\n tmp_gcbh = gcbh;\n" " xlMungeMaskParams;\n block_config = 'Sysgen:Convert_config';\n serialized" "_declarations = '{''arith_type''=>''Int'',''bin_pt''=>''Int'',''latency''=>''" "Int'',''n_bits''=>''Int'',''overflow''=>''Int'',''pipeline''=>''Int'',''quant" "ization''=>''Int'',''sim_engine''=>''Int'',''sysgen_root''=>''String''}';\n " "xlconvert_init();\n ptable_ = xlblockprep(get_param(tmp_gcb, 'MaskWSVariable" "s'));\ncatch\n global xl_report_errors;\n if(~isempty(xl_report_errors) && " "xl_report_errors)\n e = regexprep(lasterr, '\\n', '\\nError: ');\n disp" "(['Error: While running MaskInit code on block ' tmp_gcb ': ' e]);\n error" "(e);\n end\nend\n" MaskDisplay "fprintf('','COMMENT: begin icon graphics');" "\npatch([0 45 45 0 ],[0 0 30 30 ],[0.77 0.82 0.91]);\npatch([15 10 17 10 15 2" "3 25 27 35 28 22 17 23 17 22 28 35 27 25 23 15 ],[3 8 15 22 27 27 25 27 27 20" " 26 21 15 9 4 10 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 0 45 45 0 ],[0 30 30" " 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: beg" "in icon text');\ncolor('black');port_label('output',1,'cast');\nfprintf('','C" "OMMENT: end icon text');\n" MaskSelfModifiable on MaskIconFrame off MaskIconOpaque on MaskIconRotate "none" MaskIconUnits "autoscale" MaskValueString "Hardware notes: rounding and saturating req" "uire hardware resources; truncating and wrapping do not.||Unsigned|1|0||Trunc" "ate||Wrap|0||off||off||off|[0,0,0,0,0,0,0]|0|20,20,374,375|convert|8.2.02|45," "30,1,1,white,blue,0,0eb6e735|fprintf('','COMMENT: begin icon graphics');\npat" "ch([0 45 45 0 ],[0 0 30 30 ],[0.77 0.82 0.91]);\npatch([15 10 17 10 15 23 25 " "27 35 28 22 17 23 17 22 28 35 27 25 23 15 ],[3 8 15 22 27 27 25 27 27 20 26 2" "1 15 9 4 10 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 0 45 45 0 ],[0 30 30 0 0 " "]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin ic" "on text');\ncolor('black');port_label('output',1,'cast');\nfprintf('','COMMEN" "T: end icon text');\n||\n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n" " \n \n \n \n \n \n \n \n \n " "(unbiased: +/- Inf)\" />\n (unbiased: even values)\" />\n \n " " \n \n " "\n \n \n \n \n \n \n " " \n \n \n \n \n \n \n" " \n \n \n \n \n \n \n \n \n \n\n" MaskTabNameString ",,,,,,,,,,,,,,,,,,,,,,,," } Block { BlockType "S-Function" Name "Convert1" Ports [1, 1] Position [1200, 750, 1235, 780] CopyFcn "xlBlockMoveCallback(gcbh);" DeleteFcn "xlDestroyGui(gcbh);" LoadFcn "xlBlockLoadCallback(gcbh);" ModelCloseFcn "xlDestroyGui(gcbh);" PreSaveFcn "xlBlockPreSaveCallback(gcbh);" PostSaveFcn "xlBlockPostSaveCallback(gcbh);" DestroyFcn "xlDestroyGui(gcbh);" OpenFcn "xlOpenGui(gcbh, @convertenablement, -1)" CloseFcn "xlDestroyGui(gcbh);" MoveFcn "xlBlockMoveCallback(gcbh);" FunctionName "sysgen" Parameters "ptable_" MaskType "Xilinx Type Converter Block" MaskDescription "Hardware notes: rounding and saturating req" "uire hardware resources; truncating and wrapping do not." MaskHelp "eval('xlWeb(xlhtmldoclink(''Convert''))');" MaskPromptString " | |Type|Number of bits|Binary point| |Quan" "tization| |Overflow|Latency| |Override with doubles| |Pipeline for maximum pe" "rformance| |Define FPGA area for resource estimation|FPGA area [slices, FFs, " "BRAMs, LUTs, IOBs, emb. mults, TBUFs]| | | | | | | | " MaskStyleString "edit,edit,popup(Boolean|Signed (2's comp)|" "Unsigned),edit,edit,edit,popup(Truncate|Round (unbiased: +/- Inf)|Round (un" "biased: Even Values)),edit,popup(Wrap|Saturate|Flag as error),edit,edit,check" "box,edit,checkbox,edit,checkbox,edit,edit,edit,edit,edit,edit,edit,edit,edit" MaskTunableValueString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,o" "n,on,on,on,on,on,on,on,on,on,on" MaskCallbackString "||||||||||||||||||||||||" MaskEnableString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,o" "n,on,on,on,on,on,on,on,on,on,on" MaskVisibilityString "off,off,on,on,on,off,on,off,on,on,off,on,of" "f,on,off,on,on,off,off,off,off,off,off,off,off" MaskToolTipString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,o" "n,on,on,on,on,on,on,on,on,on,on" MaskVarAliasString ",,,,,,,,,,,,,,,,,,,,,,,," MaskVariables "infoedit=&1;arith_type_sgadvanced=&2;arith_" "type=@3;n_bits=@4;bin_pt=@5;quantization_sgadvanced=&6;quantization=@7;overfl" "ow_sgadvanced=&8;overflow=@9;latency=@10;dbl_ovrd_sgadvanced=&11;dbl_ovrd=@12" ";pipeline_sgadvanced=&13;pipeline=@14;xl_use_area_sgadvanced=&15;xl_use_area=" "@16;xl_area=@17;has_advanced_control=@18;sggui_pos=&19;block_type=&20;block_v" "ersion=&21;sg_icon_stat=&22;sg_mask_display=&23;sg_list_contents=&24;sg_block" "gui_xml=&25;" MaskInitialization "try\n tmp_gcb = gcb;\n tmp_gcbh = gcbh;\n" " xlMungeMaskParams;\n block_config = 'Sysgen:Convert_config';\n serialized" "_declarations = '{''arith_type''=>''Int'',''bin_pt''=>''Int'',''latency''=>''" "Int'',''n_bits''=>''Int'',''overflow''=>''Int'',''pipeline''=>''Int'',''quant" "ization''=>''Int'',''sim_engine''=>''Int'',''sysgen_root''=>''String''}';\n " "xlconvert_init();\n ptable_ = xlblockprep(get_param(tmp_gcb, 'MaskWSVariable" "s'));\ncatch\n global xl_report_errors;\n if(~isempty(xl_report_errors) && " "xl_report_errors)\n e = regexprep(lasterr, '\\n', '\\nError: ');\n disp" "(['Error: While running MaskInit code on block ' tmp_gcb ': ' e]);\n error" "(e);\n end\nend\n" MaskDisplay "fprintf('','COMMENT: begin icon graphics');" "\npatch([0 35 35 0 ],[0 0 30 30 ],[0.77 0.82 0.91]);\npatch([10 5 12 5 10 18 " "20 22 30 23 17 12 18 12 17 23 30 22 20 18 10 ],[3 8 15 22 27 27 25 27 27 20 2" "6 21 15 9 4 10 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 0 35 35 0 ],[0 30 30 0" " 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin" " icon text');\ncolor('black');port_label('output',1,'cast');\nfprintf('','COM" "MENT: end icon text');\n" MaskSelfModifiable on MaskIconFrame off MaskIconOpaque on MaskIconRotate "none" MaskIconUnits "autoscale" MaskValueString "Hardware notes: rounding and saturating req" "uire hardware resources; truncating and wrapping do not.||Unsigned|1|0||Trunc" "ate||Wrap|0||off||off||off|[0,0,0,0,0,0,0]|0|20,20,374,375|convert|8.2.02|35," "30,1,1,white,blue,0,0eb6e735|fprintf('','COMMENT: begin icon graphics');\npat" "ch([0 35 35 0 ],[0 0 30 30 ],[0.77 0.82 0.91]);\npatch([10 5 12 5 10 18 20 22" " 30 23 17 12 18 12 17 23 30 22 20 18 10 ],[3 8 15 22 27 27 25 27 27 20 26 21 " "15 9 4 10 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 0 35 35 0 ],[0 30 30 0 0 ])" ";\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon" " text');\ncolor('black');port_label('output',1,'cast');\nfprintf('','COMMENT:" " end icon text');\n||\n \n \n \n \n \n \n \n \n " "\n \n \n \n \n \n \n " " \n \n \n \n \n \n \n \n \n " "(unbiased: +/- Inf)\" />\n (unbiased: even values)\" />\n \n " " \n \n " "\n \n \n \n \n \n \n " " \n \n \n \n \n \n \n" " \n \n \n \n \n \n \n \n \n \n\n" MaskTabNameString ",,,,,,,,,,,,,,,,,,,,,,,," } Block { BlockType "S-Function" Name "Convert2" Ports [1, 1] Position [1500, 880, 1545, 910] CopyFcn "xlBlockMoveCallback(gcbh);" DeleteFcn "xlDestroyGui(gcbh);" LoadFcn "xlBlockLoadCallback(gcbh);" ModelCloseFcn "xlDestroyGui(gcbh);" PreSaveFcn "xlBlockPreSaveCallback(gcbh);" PostSaveFcn "xlBlockPostSaveCallback(gcbh);" DestroyFcn "xlDestroyGui(gcbh);" OpenFcn "xlOpenGui(gcbh, @convertenablement, -1)" CloseFcn "xlDestroyGui(gcbh);" MoveFcn "xlBlockMoveCallback(gcbh);" FunctionName "sysgen" Parameters "ptable_" MaskType "Xilinx Type Converter Block" MaskDescription "Hardware notes: rounding and saturating req" "uire hardware resources; truncating and wrapping do not." MaskHelp "eval('xlWeb(xlhtmldoclink(''Convert''))');" MaskPromptString " | |Type|Number of bits|Binary point| |Quan" "tization| |Overflow|Latency| |Override with doubles| |Pipeline for maximum pe" "rformance| |Define FPGA area for resource estimation|FPGA area [slices, FFs, " "BRAMs, LUTs, IOBs, emb. mults, TBUFs]| | | | | | | | " MaskStyleString "edit,edit,popup(Boolean|Signed (2's comp)|" "Unsigned),edit,edit,edit,popup(Truncate|Round (unbiased: +/- Inf)|Round (un" "biased: Even Values)),edit,popup(Wrap|Saturate|Flag as error),edit,edit,check" "box,edit,checkbox,edit,checkbox,edit,edit,edit,edit,edit,edit,edit,edit,edit" MaskTunableValueString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,o" "n,on,on,on,on,on,on,on,on,on,on" MaskCallbackString "||||||||||||||||||||||||" MaskEnableString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,o" "n,on,on,on,on,on,on,on,on,on,on" MaskVisibilityString "off,off,on,on,on,off,on,off,on,on,off,on,of" "f,on,off,on,on,off,off,off,off,off,off,off,off" MaskToolTipString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,o" "n,on,on,on,on,on,on,on,on,on,on" MaskVarAliasString ",,,,,,,,,,,,,,,,,,,,,,,," MaskVariables "infoedit=&1;arith_type_sgadvanced=&2;arith_" "type=@3;n_bits=@4;bin_pt=@5;quantization_sgadvanced=&6;quantization=@7;overfl" "ow_sgadvanced=&8;overflow=@9;latency=@10;dbl_ovrd_sgadvanced=&11;dbl_ovrd=@12" ";pipeline_sgadvanced=&13;pipeline=@14;xl_use_area_sgadvanced=&15;xl_use_area=" "@16;xl_area=@17;has_advanced_control=@18;sggui_pos=&19;block_type=&20;block_v" "ersion=&21;sg_icon_stat=&22;sg_mask_display=&23;sg_list_contents=&24;sg_block" "gui_xml=&25;" MaskInitialization "try\n tmp_gcb = gcb;\n tmp_gcbh = gcbh;\n" " xlMungeMaskParams;\n block_config = 'Sysgen:Convert_config';\n serialized" "_declarations = '{''arith_type''=>''Int'',''bin_pt''=>''Int'',''latency''=>''" "Int'',''n_bits''=>''Int'',''overflow''=>''Int'',''pipeline''=>''Int'',''quant" "ization''=>''Int'',''sim_engine''=>''Int'',''sysgen_root''=>''String''}';\n " "xlconvert_init();\n ptable_ = xlblockprep(get_param(tmp_gcb, 'MaskWSVariable" "s'));\ncatch\n global xl_report_errors;\n if(~isempty(xl_report_errors) && " "xl_report_errors)\n e = regexprep(lasterr, '\\n', '\\nError: ');\n disp" "(['Error: While running MaskInit code on block ' tmp_gcb ': ' e]);\n error" "(e);\n end\nend\n" MaskDisplay "fprintf('','COMMENT: begin icon graphics');" "\npatch([0 45 45 0 ],[0 0 30 30 ],[0.77 0.82 0.91]);\npatch([15 10 17 10 15 2" "3 25 27 35 28 22 17 23 17 22 28 35 27 25 23 15 ],[3 8 15 22 27 27 25 27 27 20" " 26 21 15 9 4 10 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 0 45 45 0 ],[0 30 30" " 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: beg" "in icon text');\ncolor('black');port_label('output',1,'cast');\ncolor('black'" ");disp('\\newlinez^{-5}','texmode','on');\nfprintf('','COMMENT: end icon text" "');\n" MaskSelfModifiable on MaskIconFrame off MaskIconOpaque on MaskIconRotate "none" MaskIconUnits "autoscale" MaskValueString "Hardware notes: rounding and saturating req" "uire hardware resources; truncating and wrapping do not.||Boolean|1|0||Trunca" "te||Wrap|5||off||off||off|[0,0,0,0,0,0,0]|0|20,20,374,375|convert|8.2.02|45,3" "0,1,1,white,blue,0,aac82443|fprintf('','COMMENT: begin icon graphics');\npatc" "h([0 45 45 0 ],[0 0 30 30 ],[0.77 0.82 0.91]);\npatch([15 10 17 10 15 23 25 2" "7 35 28 22 17 23 17 22 28 35 27 25 23 15 ],[3 8 15 22 27 27 25 27 27 20 26 21" " 15 9 4 10 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 0 45 45 0 ],[0 30 30 0 0 ]" ");\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin ico" "n text');\ncolor('black');port_label('output',1,'cast');\ncolor('black');disp" "('\\newlinez^{-5}','texmode','on');\nfprintf('','COMMENT: end icon text');\n|" "|\n \n \n \n \n \n \n \n \n \n \n \n \n \n \n <" "etch name=\"outputetch\" label=\"Output Precision\" >\n \n \n " "\n \n \n \n \n \n \n (unbiased: +/- Inf)\" " "/>\n " "(unbiased: even values)\" />\n \n " "\n \n \n \n \n \n \n " "\n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n" "\n" MaskTabNameString ",,,,,,,,,,,,,,,,,,,,,,,," } Block { BlockType "S-Function" Name "Counter" Ports [2, 1] Position [435, 220, 495, 280] CopyFcn "xlBlockMoveCallback(gcbh);" DeleteFcn "xlDestroyGui(gcbh);" LoadFcn "xlBlockLoadCallback(gcbh);" ModelCloseFcn "xlDestroyGui(gcbh);" PreSaveFcn "xlBlockPreSaveCallback(gcbh);" PostSaveFcn "xlBlockPostSaveCallback(gcbh);" DestroyFcn "xlDestroyGui(gcbh);" OpenFcn "xlOpenGui(gcbh, @counterenablement, -1)" CloseFcn "xlDestroyGui(gcbh);" MoveFcn "xlBlockMoveCallback(gcbh);" FunctionName "sysgen" Parameters "ptable_" MaskType "Xilinx Counter Block" MaskDescription "Hardware notes: Free running counters are t" "he least expensive in hardware. A count limited counter is implemented by co" "mbining a counter with a comparator." MaskHelp "eval('xlWeb(xlhtmldoclink(''Counter''))');" MaskPromptString " | |Counter type|Count to value| |Count dir" "ection|Initial value|Step| |Output type|Number of bits|Binary point| |Provide" " load port| |Provide synchronous reset port| |Provide enable port| |Sample pe" "riod source|Explicit period| |Override with doubles| |Use behavioral HDL (oth" "erwise use core)| |Use pre-defined core placement information| |Define FPGA a" "rea for resource estimation|FPGA area [slices, FFs, BRAMs, LUTs, IOBs, emb. m" "ults, TBUFs]| | | | | | | | | " MaskStyleString "edit,edit,popup(Free Running|Count Limited)" ",edit,edit,popup(Up|Down|Up/Down),edit,edit,edit,popup(Signed (2's comp)|Uns" "igned),edit,edit,edit,checkbox,edit,checkbox,edit,checkbox,edit,popup(on|off)" ",edit,edit,checkbox,edit,checkbox,edit,checkbox,edit,checkbox,edit,edit,edit," "edit,edit,edit,edit,edit,edit,edit" MaskTunableValueString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,o" "n,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on" MaskCallbackString "||||||||||||||||||||||||||||||||||||||" MaskEnableString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,o" "n,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on" MaskVisibilityString "off,off,on,on,off,on,on,on,off,on,on,on,off" ",on,off,on,off,on,off,on,on,off,on,off,on,off,on,off,on,on,off,off,off,off,of" "f,off,off,off,off" MaskToolTipString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,o" "n,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on" MaskVarAliasString ",,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,," MaskVariables "infoedit=&1;cnt_type_sgadvanced=&2;cnt_type" "=@3;cnt_to=@4;operation_sgadvanced=&5;operation=@6;start_count=@7;cnt_by_val=" "@8;arith_type_sgadvanced=&9;arith_type=@10;n_bits=@11;bin_pt=@12;load_pin_sga" "dvanced=&13;load_pin=@14;rst_sgadvanced=&15;rst=@16;en_sgadvanced=&17;en=@18;" "explicit_period_sgadvanced=&19;explicit_period=@20;period=@21;dbl_ovrd_sgadva" "nced=&22;dbl_ovrd=@23;use_behavioral_HDL_sgadvanced=&24;use_behavioral_HDL=@2" "5;use_rpm_sgadvanced=&26;use_rpm=@27;xl_use_area_sgadvanced=&28;xl_use_area=@" "29;xl_area=@30;xlcconfig=&31;has_advanced_control=@32;sggui_pos=&33;block_typ" "e=&34;block_version=&35;sg_icon_stat=&36;sg_mask_display=&37;sg_list_contents" "=&38;sg_blockgui_xml=&39;" MaskInitialization "try\n tmp_gcb = gcb;\n tmp_gcbh = gcbh;\n" " xlMungeMaskParams;\n block_config = 'Sysgen:Counter_config';\n serialized" "_declarations = '{''arith_type''=>''Int'',''bin_pt''=>''Int'',''cnt_by_val''=" ">''Double'',''cnt_to''=>''Double'',''cnt_type''=>''Int'',''en''=>''Int'',''ex" "plicit_period''=>''Int'',''load_pin''=>''Int'',''n_bits''=>''Int'',''operatio" "n''=>''Int'',''period''=>''Double'',''rst''=>''Int'',''start_count''=>''Doubl" "e'',''use_behavioral_HDL''=>''Int'',''use_rpm''=>''Int'',''xlcconfig''=>''Str" "ing''}';\n ptable_ = xlblockprep(get_param(tmp_gcb, 'MaskWSVariables'));\nca" "tch\n global xl_report_errors;\n if(~isempty(xl_report_errors) && xl_report" "_errors)\n e = regexprep(lasterr, '\\n', '\\nError: ');\n disp(['Error:" " While running MaskInit code on block ' tmp_gcb ': ' e]);\n error(e);\n e" "nd\nend\n" MaskDisplay "fprintf('','COMMENT: begin icon graphics');" "\npatch([0 60 60 0 ],[0 0 60 60 ],[0.77 0.82 0.91]);\npatch([14 4 18 4 14 30 " "34 38 55 42 29 20 35 20 29 42 55 38 34 30 14 ],[6 16 30 44 54 54 50 54 54 41 " "54 45 30 15 6 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 0 60 60 0 ],[0 60 6" "0 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: be" "gin icon text');\ncolor('black');port_label('input',1,'rst');\ncolor('black')" ";port_label('input',2,'en');\ncolor('black');port_label('output',1,'out');\nf" "printf('','COMMENT: end icon text');\n" MaskSelfModifiable on MaskIconFrame off MaskIconOpaque on MaskIconRotate "none" MaskIconUnits "autoscale" MaskValueString "Hardware notes: Free running counters are t" "he least expensive in hardware. A count limited counter is implemented by co" "mbining a counter with a comparator.||Free Running|Inf||Up|127|1||Unsigned|7|" "0||off||on||on||on|1||off||off||off||off|[0,0,0,0,0,0,0]||0|20,20,356,630|cou" "nter|8.2.02|60,60,2,1,white,blue,0,eceade92|fprintf('','COMMENT: begin icon g" "raphics');\npatch([0 60 60 0 ],[0 0 60 60 ],[0.77 0.82 0.91]);\npatch([14 4 1" "8 4 14 30 34 38 55 42 29 20 35 20 29 42 55 38 34 30 14 ],[6 16 30 44 54 54 50" " 54 54 41 54 45 30 15 6 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 0 60 60 0" " ],[0 60 60 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','C" "OMMENT: begin icon text');\ncolor('black');port_label('input',1,'rst');\ncolo" "r('black');port_label('input',2,'en');\ncolor('black');port_label('output',1," "'out');\nfprintf('','COMMENT: end icon text');\n||\n \n \n \n \n \n \n \n \n \n" " \n \n \n \n \n \n " " \n " "\n \n \n \n \n" " \n \n \n \n \n \n " " \n \n \n \n \n \n \n \n " " \n \n <" "/etch>\n \n --> \n \n \n \n \n \n \n " "\n \n \n \n " " \n \n \n \n \n \n " "\n \n \n \n \n \n \n \n \n \n\n" MaskTabNameString ",,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,," } Block { BlockType "S-Function" Name "Counter1" Ports [2, 1] Position [1135, 865, 1195, 925] CopyFcn "xlBlockMoveCallback(gcbh);" DeleteFcn "xlDestroyGui(gcbh);" LoadFcn "xlBlockLoadCallback(gcbh);" ModelCloseFcn "xlDestroyGui(gcbh);" PreSaveFcn "xlBlockPreSaveCallback(gcbh);" PostSaveFcn "xlBlockPostSaveCallback(gcbh);" DestroyFcn "xlDestroyGui(gcbh);" OpenFcn "xlOpenGui(gcbh, @counterenablement, -1)" CloseFcn "xlDestroyGui(gcbh);" MoveFcn "xlBlockMoveCallback(gcbh);" FunctionName "sysgen" Parameters "ptable_" MaskType "Xilinx Counter Block" MaskDescription "Hardware notes: Free running counters are t" "he least expensive in hardware. A count limited counter is implemented by co" "mbining a counter with a comparator." MaskHelp "eval('xlWeb(xlhtmldoclink(''Counter''))');" MaskPromptString " | |Counter type|Count to value| |Count dir" "ection|Initial value|Step| |Output type|Number of bits|Binary point| |Provide" " load port| |Provide synchronous reset port| |Provide enable port| |Sample pe" "riod source|Explicit period| |Override with doubles| |Use behavioral HDL (oth" "erwise use core)| |Use pre-defined core placement information| |Define FPGA a" "rea for resource estimation|FPGA area [slices, FFs, BRAMs, LUTs, IOBs, emb. m" "ults, TBUFs]| | | | | | | | | " MaskStyleString "edit,edit,popup(Free Running|Count Limited)" ",edit,edit,popup(Up|Down|Up/Down),edit,edit,edit,popup(Signed (2's comp)|Uns" "igned),edit,edit,edit,checkbox,edit,checkbox,edit,checkbox,edit,popup(on|off)" ",edit,edit,checkbox,edit,checkbox,edit,checkbox,edit,checkbox,edit,edit,edit," "edit,edit,edit,edit,edit,edit,edit" MaskTunableValueString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,o" "n,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on" MaskCallbackString "||||||||||||||||||||||||||||||||||||||" MaskEnableString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,o" "n,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on" MaskVisibilityString "off,off,on,on,off,on,on,on,off,on,on,on,off" ",on,off,on,off,on,off,on,on,off,on,off,on,off,on,off,on,on,off,off,off,off,of" "f,off,off,off,off" MaskToolTipString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,o" "n,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on" MaskVarAliasString ",,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,," MaskVariables "infoedit=&1;cnt_type_sgadvanced=&2;cnt_type" "=@3;cnt_to=@4;operation_sgadvanced=&5;operation=@6;start_count=@7;cnt_by_val=" "@8;arith_type_sgadvanced=&9;arith_type=@10;n_bits=@11;bin_pt=@12;load_pin_sga" "dvanced=&13;load_pin=@14;rst_sgadvanced=&15;rst=@16;en_sgadvanced=&17;en=@18;" "explicit_period_sgadvanced=&19;explicit_period=@20;period=@21;dbl_ovrd_sgadva" "nced=&22;dbl_ovrd=@23;use_behavioral_HDL_sgadvanced=&24;use_behavioral_HDL=@2" "5;use_rpm_sgadvanced=&26;use_rpm=@27;xl_use_area_sgadvanced=&28;xl_use_area=@" "29;xl_area=@30;xlcconfig=&31;has_advanced_control=@32;sggui_pos=&33;block_typ" "e=&34;block_version=&35;sg_icon_stat=&36;sg_mask_display=&37;sg_list_contents" "=&38;sg_blockgui_xml=&39;" MaskInitialization "try\n tmp_gcb = gcb;\n tmp_gcbh = gcbh;\n" " xlMungeMaskParams;\n block_config = 'Sysgen:Counter_config';\n serialized" "_declarations = '{''arith_type''=>''Int'',''bin_pt''=>''Int'',''cnt_by_val''=" ">''Double'',''cnt_to''=>''Double'',''cnt_type''=>''Int'',''en''=>''Int'',''ex" "plicit_period''=>''Int'',''load_pin''=>''Int'',''n_bits''=>''Int'',''operatio" "n''=>''Int'',''period''=>''Double'',''rst''=>''Int'',''start_count''=>''Doubl" "e'',''use_behavioral_HDL''=>''Int'',''use_rpm''=>''Int'',''xlcconfig''=>''Str" "ing''}';\n ptable_ = xlblockprep(get_param(tmp_gcb, 'MaskWSVariables'));\nca" "tch\n global xl_report_errors;\n if(~isempty(xl_report_errors) && xl_report" "_errors)\n e = regexprep(lasterr, '\\n', '\\nError: ');\n disp(['Error:" " While running MaskInit code on block ' tmp_gcb ': ' e]);\n error(e);\n e" "nd\nend\n" MaskDisplay "fprintf('','COMMENT: begin icon graphics');" "\npatch([0 60 60 0 ],[0 0 60 60 ],[0.77 0.82 0.91]);\npatch([14 4 18 4 14 30 " "34 38 55 42 29 20 35 20 29 42 55 38 34 30 14 ],[6 16 30 44 54 54 50 54 54 41 " "54 45 30 15 6 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 0 60 60 0 ],[0 60 6" "0 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: be" "gin icon text');\ncolor('black');port_label('input',1,'rst');\ncolor('black')" ";port_label('input',2,'en');\ncolor('black');port_label('output',1,'out');\nf" "printf('','COMMENT: end icon text');\n" MaskSelfModifiable on MaskIconFrame off MaskIconOpaque on MaskIconRotate "none" MaskIconUnits "autoscale" MaskValueString "Hardware notes: Free running counters are t" "he least expensive in hardware. A count limited counter is implemented by co" "mbining a counter with a comparator.||Count Limited|17435||Up|0|1||Unsigned|1" "5|0||off||on||on||on|1||off||off||off||off|[0,0,0,0,0,0,0]||0|20,20,356,630|c" "ounter|8.2.02|60,60,2,1,white,blue,0,eceade92|fprintf('','COMMENT: begin icon" " graphics');\npatch([0 60 60 0 ],[0 0 60 60 ],[0.77 0.82 0.91]);\npatch([14 4" " 18 4 14 30 34 38 55 42 29 20 35 20 29 42 55 38 34 30 14 ],[6 16 30 44 54 54 " "50 54 54 41 54 45 30 15 6 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 0 60 60" " 0 ],[0 60 60 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf(''," "'COMMENT: begin icon text');\ncolor('black');port_label('input',1,'rst');\nco" "lor('black');port_label('input',2,'en');\ncolor('black');port_label('output'," "1,'out');\nfprintf('','COMMENT: end icon text');\n||\n \n \n \n \n \n \n <" "library name=\"xbsControl\" />\n \n " "\n \n \n \n \n \n \n " " \n \n \n \n \n " "\n \n \n <" "editbox ctype=\"Double\" evaluate=\"true\" name=\"start_count\" label=\"Initi" "al value\" default=\"0\" />\n \n \n \n " " \n " " \n \n \n \n \n \n \n " " \n \n " " \n \n" " \n \n \n \n \n \n \n \n \n \n " "\n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n" "\n" MaskTabNameString ",,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,," } Block { BlockType "S-Function" Name "Delay" Ports [1, 1] Position [245, 277, 305, 333] CopyFcn "xlBlockMoveCallback(gcbh);" DeleteFcn "xlDestroyGui(gcbh);" LoadFcn "xlBlockLoadCallback(gcbh);" ModelCloseFcn "xlDestroyGui(gcbh);" PreSaveFcn "xlBlockPreSaveCallback(gcbh);" PostSaveFcn "xlBlockPostSaveCallback(gcbh);" DestroyFcn "xlDestroyGui(gcbh);" OpenFcn "xlOpenGui(gcbh, @xlmagicenablement, -1)" CloseFcn "xlDestroyGui(gcbh);" MoveFcn "xlBlockMoveCallback(gcbh);" FunctionName "sysgen" Parameters "ptable_" MaskType "Xilinx Delay Block" MaskDescription "Hardware notes: A delay line is a chain, ea" "ch link of which is an SRL16 followed by a flip-flop. If register retiming is" " enabled, the delay line is a chain of flip-flops." MaskHelp "eval('xlWeb(xlhtmldoclink(''Delay''))');" MaskPromptString " | |Provide enable port|Latency| |Override " "with doubles| |Enable register retiming| |Define FPGA area for resource estim" "ation|FPGA area [slices, FFs, BRAMs, LUTs, IOBs, emb. mults, TBUFs]| | | | | " "| | | " MaskStyleString "edit,edit,checkbox,edit,edit,checkbox,edit," "checkbox,edit,checkbox,edit,edit,edit,edit,edit,edit,edit,edit,edit" MaskTunableValueString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,o" "n,on,on,on,on" MaskCallbackString "||||||||||||||||||" MaskEnableString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,o" "n,on,on,on,on" MaskVisibilityString "off,off,on,on,off,on,off,on,off,on,on,off,o" "ff,off,off,off,off,off,off" MaskToolTipString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,o" "n,on,on,on,on" MaskVarAliasString ",,,,,,,,,,,,,,,,,," MaskVariables "infoedit=&1;en_sgadvanced=&2;en=@3;latency=" "@4;dbl_ovrd_sgadvanced=&5;dbl_ovrd=@6;reg_retiming_sgadvanced=&7;reg_retiming" "=@8;xl_use_area_sgadvanced=&9;xl_use_area=@10;xl_area=@11;has_advanced_contro" "l=@12;sggui_pos=&13;block_type=&14;block_version=&15;sg_icon_stat=&16;sg_mask" "_display=&17;sg_list_contents=&18;sg_blockgui_xml=&19;" MaskInitialization "try\n tmp_gcb = gcb;\n tmp_gcbh = gcbh;\n" " xlMungeMaskParams;\n block_config = 'Sysgen:Delay_config';\n serialized_d" "eclarations = '{''dbl_ovrd''=>''Int'',''en''=>''Int'',''latency''=>''Int'',''" "reg_retiming''=>''Int''}';\n ptable_ = xlblockprep(get_param(tmp_gcb, 'MaskW" "SVariables'));\ncatch\n global xl_report_errors;\n if(~isempty(xl_report_er" "rors) && xl_report_errors)\n e = regexprep(lasterr, '\\n', '\\nError: ');" "\n disp(['Error: While running MaskInit code on block ' tmp_gcb ': ' e]);" "\n error(e);\n end\nend\n" MaskDisplay "fprintf('','COMMENT: begin icon graphics');" "\npatch([0 60 60 0 ],[0 0 56 56 ],[0.77 0.82 0.91]);\npatch([15 6 19 6 15 30 " "34 38 54 41 29 20 32 20 29 41 54 38 34 30 15 ],[6 15 28 41 50 50 46 50 50 37 " "49 40 28 16 7 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 0 60 60 0 ],[0 56 5" "6 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: be" "gin icon text');\ncolor('black');disp('z^{-4}','texmode','on');\nfprintf('','" "COMMENT: end icon text');\n" MaskSelfModifiable on MaskIconFrame off MaskIconOpaque on MaskIconRotate "none" MaskIconUnits "autoscale" MaskValueString "Hardware notes: A delay line is a chain, ea" "ch link of which is an SRL16 followed by a flip-flop. If register retiming is" " enabled, the delay line is a chain of flip-flops.||off|4||off||off||off|[0,0" ",0,0,0,0,0]|0|20,20,356,256|delay|8.2.02|60,56,1,1,white,blue,0,c2217bc4|fpri" "ntf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 ],[0 0 56 56 ],[0.7" "7 0.82 0.91]);\npatch([15 6 19 6 15 30 34 38 54 41 29 20 32 20 29 41 54 38 34" " 30 15 ],[6 15 28 41 50 50 46 50 50 37 49 40 28 16 7 19 6 6 10 6 6 ],[0.98 0." "96 0.92]);\nplot([0 0 60 60 0 ],[0 56 56 0 0 ]);\nfprintf('','COMMENT: end ic" "on graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');disp(" "'z^{-4}','texmode','on');\nfprintf('','COMMENT: end icon text');\n||\n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n " "\n \n \n \n " "\n \n \n \n \n \n \n\n" MaskTabNameString ",,,,,,,,,,,,,,,,,," } Block { BlockType From Name "From_Register1_opb0" Position [1440, 365, 1485, 415] GotoTag "in_0" } Block { BlockType From Name "From_Register2_opb1" Position [1525, 400, 1570, 450] GotoTag "in_1" } Block { BlockType From Name "From_Register3_opb2" Position [1535, 665, 1580, 715] GotoTag "in_2" } Block { BlockType From Name "From_Register4_opb3" Position [1440, 515, 1485, 565] GotoTag "in_3" } Block { BlockType From Name "From_Register5_opb4" Position [1525, 545, 1570, 595] GotoTag "in_4" } Block { BlockType "S-Function" Name "Inverter" Ports [1, 1] Position [700, 221, 755, 279] CopyFcn "xlBlockMoveCallback(gcbh);" DeleteFcn "xlDestroyGui(gcbh);" LoadFcn "xlBlockLoadCallback(gcbh);" ModelCloseFcn "xlDestroyGui(gcbh);" PreSaveFcn "xlBlockPreSaveCallback(gcbh);" PostSaveFcn "xlBlockPostSaveCallback(gcbh);" DestroyFcn "xlDestroyGui(gcbh);" OpenFcn "xlOpenGui(gcbh, @xlmagicenablement, -1)" CloseFcn "xlDestroyGui(gcbh);" MoveFcn "xlBlockMoveCallback(gcbh);" FunctionName "sysgen" Parameters "ptable_" MaskType "Xilinx Inverter Block" MaskDescription "Bitwise logical negation (one's complement)" " operator." MaskHelp "eval('xlWeb(xlhtmldoclink(''Inverter''))');" MaskPromptString " | |Provide enable port|Latency| |Override " "with doubles| |Define FPGA area for resource estimation|FPGA area [slices, FF" "s, BRAMs, LUTs, IOBs, emb. mults, TBUFs]| | | | | | | | " MaskStyleString "edit,edit,checkbox,edit,edit,checkbox,edit," "checkbox,edit,edit,edit,edit,edit,edit,edit,edit,edit" MaskTunableValueString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,o" "n,on,on" MaskCallbackString "||||||||||||||||" MaskEnableString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,o" "n,on,on" MaskVisibilityString "off,off,on,on,off,on,off,on,on,off,off,off," "off,off,off,off,off" MaskToolTipString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,o" "n,on,on" MaskVarAliasString ",,,,,,,,,,,,,,,," MaskVariables "infoedit=&1;en_sgadvanced=&2;en=@3;latency=" "@4;dbl_ovrd_sgadvanced=&5;dbl_ovrd=@6;xl_use_area_sgadvanced=&7;xl_use_area=@" "8;xl_area=@9;has_advanced_control=@10;sggui_pos=&11;block_type=&12;block_vers" "ion=&13;sg_icon_stat=&14;sg_mask_display=&15;sg_list_contents=&16;sg_blockgui" "_xml=&17;" MaskInitialization "try\n tmp_gcb = gcb;\n tmp_gcbh = gcbh;\n" " xlMungeMaskParams;\n block_config = 'Sysgen:Inverter_config';\n serialize" "d_declarations = '{''dbl_ovrd''=>''Int'',''en''=>''Int'',''latency''=>''Int''" "}';\n ptable_ = xlblockprep(get_param(tmp_gcb, 'MaskWSVariables'));\ncatch\n" " global xl_report_errors;\n if(~isempty(xl_report_errors) && xl_report_erro" "rs)\n e = regexprep(lasterr, '\\n', '\\nError: ');\n disp(['Error: Whil" "e running MaskInit code on block ' tmp_gcb ': ' e]);\n error(e);\n end\ne" "nd\n" MaskDisplay "fprintf('','COMMENT: begin icon graphics');" "\npatch([0 55 55 0 ],[0 0 58 58 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13 28 " "32 36 52 40 28 19 32 19 28 40 52 36 32 28 13 ],[7 16 29 42 51 51 47 51 51 39 " "51 42 29 16 7 19 7 7 11 7 7 ],[0.98 0.96 0.92]);\nplot([0 0 55 55 0 ],[0 58 5" "8 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: be" "gin icon text');\ncolor('black');disp('not');\nfprintf('','COMMENT: end icon " "text');\n" MaskSelfModifiable on MaskIconFrame off MaskIconOpaque on MaskIconRotate "none" MaskIconUnits "autoscale" MaskValueString "Bitwise logical negation (one's complement)" " operator.||off|0||off||off|[0,0,0,0,0,0,0]|0|-1,-1,-1,-1|inv|8.2.02|55,58,1," "1,white,blue,0,1ab4a85f|fprintf('','COMMENT: begin icon graphics');\npatch([0" " 55 55 0 ],[0 0 58 58 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13 28 32 36 52 4" "0 28 19 32 19 28 40 52 36 32 28 13 ],[7 16 29 42 51 51 47 51 51 39 51 42 29 1" "6 7 19 7 7 11 7 7 ],[0.98 0.96 0.92]);\nplot([0 0 55 55 0 ],[0 58 58 0 0 ]);" "\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon " "text');\ncolor('black');disp('not');\nfprintf('','COMMENT: end icon text');\n" "||\n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n " "\n \n \n " " \n \n \n " " \n \n " " \n " " \n \n \n \n\n" MaskTabNameString ",,,,,,,,,,,,,,,," } Block { BlockType "S-Function" Name "Inverter1" Ports [1, 1] Position [1800, 406, 1855, 464] CopyFcn "xlBlockMoveCallback(gcbh);" DeleteFcn "xlDestroyGui(gcbh);" LoadFcn "xlBlockLoadCallback(gcbh);" ModelCloseFcn "xlDestroyGui(gcbh);" PreSaveFcn "xlBlockPreSaveCallback(gcbh);" PostSaveFcn "xlBlockPostSaveCallback(gcbh);" DestroyFcn "xlDestroyGui(gcbh);" OpenFcn "xlOpenGui(gcbh, @xlmagicenablement, -1)" CloseFcn "xlDestroyGui(gcbh);" MoveFcn "xlBlockMoveCallback(gcbh);" FunctionName "sysgen" Parameters "ptable_" MaskType "Xilinx Inverter Block" MaskDescription "Bitwise logical negation (one's complement)" " operator." MaskHelp "eval('xlWeb(xlhtmldoclink(''Inverter''))');" MaskPromptString " | |Provide enable port|Latency| |Override " "with doubles| |Define FPGA area for resource estimation|FPGA area [slices, FF" "s, BRAMs, LUTs, IOBs, emb. mults, TBUFs]| | | | | | | | " MaskStyleString "edit,edit,checkbox,edit,edit,checkbox,edit," "checkbox,edit,edit,edit,edit,edit,edit,edit,edit,edit" MaskTunableValueString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,o" "n,on,on" MaskCallbackString "||||||||||||||||" MaskEnableString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,o" "n,on,on" MaskVisibilityString "off,off,on,on,off,on,off,on,on,off,off,off," "off,off,off,off,off" MaskToolTipString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,o" "n,on,on" MaskVarAliasString ",,,,,,,,,,,,,,,," MaskVariables "infoedit=&1;en_sgadvanced=&2;en=@3;latency=" "@4;dbl_ovrd_sgadvanced=&5;dbl_ovrd=@6;xl_use_area_sgadvanced=&7;xl_use_area=@" "8;xl_area=@9;has_advanced_control=@10;sggui_pos=&11;block_type=&12;block_vers" "ion=&13;sg_icon_stat=&14;sg_mask_display=&15;sg_list_contents=&16;sg_blockgui" "_xml=&17;" MaskInitialization "try\n tmp_gcb = gcb;\n tmp_gcbh = gcbh;\n" " xlMungeMaskParams;\n block_config = 'Sysgen:Inverter_config';\n serialize" "d_declarations = '{''dbl_ovrd''=>''Int'',''en''=>''Int'',''latency''=>''Int''" "}';\n ptable_ = xlblockprep(get_param(tmp_gcb, 'MaskWSVariables'));\ncatch\n" " global xl_report_errors;\n if(~isempty(xl_report_errors) && xl_report_erro" "rs)\n e = regexprep(lasterr, '\\n', '\\nError: ');\n disp(['Error: Whil" "e running MaskInit code on block ' tmp_gcb ': ' e]);\n error(e);\n end\ne" "nd\n" MaskDisplay "fprintf('','COMMENT: begin icon graphics');" "\npatch([0 55 55 0 ],[0 0 58 58 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13 28 " "32 36 52 40 28 19 32 19 28 40 52 36 32 28 13 ],[7 16 29 42 51 51 47 51 51 39 " "51 42 29 16 7 19 7 7 11 7 7 ],[0.98 0.96 0.92]);\nplot([0 0 55 55 0 ],[0 58 5" "8 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: be" "gin icon text');\ncolor('black');disp('not');\nfprintf('','COMMENT: end icon " "text');\n" MaskSelfModifiable on MaskIconFrame off MaskIconOpaque on MaskIconRotate "none" MaskIconUnits "autoscale" MaskValueString "Bitwise logical negation (one's complement)" " operator.||off|0||off||off|[0,0,0,0,0,0,0]|0|-1,-1,-1,-1|inv|8.2.02|55,58,1," "1,white,blue,0,1ab4a85f|fprintf('','COMMENT: begin icon graphics');\npatch([0" " 55 55 0 ],[0 0 58 58 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13 28 32 36 52 4" "0 28 19 32 19 28 40 52 36 32 28 13 ],[7 16 29 42 51 51 47 51 51 39 51 42 29 1" "6 7 19 7 7 11 7 7 ],[0.98 0.96 0.92]);\nplot([0 0 55 55 0 ],[0 58 58 0 0 ]);" "\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon " "text');\ncolor('black');disp('not');\nfprintf('','COMMENT: end icon text');\n" "||\n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n " "\n \n \n " " \n \n \n " " \n \n " " \n " " \n \n \n \n\n" MaskTabNameString ",,,,,,,,,,,,,,,," } Block { BlockType "S-Function" Name "Inverter2" Ports [1, 1] Position [735, 401, 790, 459] CopyFcn "xlBlockMoveCallback(gcbh);" DeleteFcn "xlDestroyGui(gcbh);" LoadFcn "xlBlockLoadCallback(gcbh);" ModelCloseFcn "xlDestroyGui(gcbh);" PreSaveFcn "xlBlockPreSaveCallback(gcbh);" PostSaveFcn "xlBlockPostSaveCallback(gcbh);" DestroyFcn "xlDestroyGui(gcbh);" OpenFcn "xlOpenGui(gcbh, @xlmagicenablement, -1)" CloseFcn "xlDestroyGui(gcbh);" MoveFcn "xlBlockMoveCallback(gcbh);" FunctionName "sysgen" Parameters "ptable_" MaskType "Xilinx Inverter Block" MaskDescription "Bitwise logical negation (one's complement)" " operator." MaskHelp "eval('xlWeb(xlhtmldoclink(''Inverter''))');" MaskPromptString " | |Provide enable port|Latency| |Override " "with doubles| |Define FPGA area for resource estimation|FPGA area [slices, FF" "s, BRAMs, LUTs, IOBs, emb. mults, TBUFs]| | | | | | | | " MaskStyleString "edit,edit,checkbox,edit,edit,checkbox,edit," "checkbox,edit,edit,edit,edit,edit,edit,edit,edit,edit" MaskTunableValueString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,o" "n,on,on" MaskCallbackString "||||||||||||||||" MaskEnableString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,o" "n,on,on" MaskVisibilityString "off,off,on,on,off,on,off,on,on,off,off,off," "off,off,off,off,off" MaskToolTipString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,o" "n,on,on" MaskVarAliasString ",,,,,,,,,,,,,,,," MaskVariables "infoedit=&1;en_sgadvanced=&2;en=@3;latency=" "@4;dbl_ovrd_sgadvanced=&5;dbl_ovrd=@6;xl_use_area_sgadvanced=&7;xl_use_area=@" "8;xl_area=@9;has_advanced_control=@10;sggui_pos=&11;block_type=&12;block_vers" "ion=&13;sg_icon_stat=&14;sg_mask_display=&15;sg_list_contents=&16;sg_blockgui" "_xml=&17;" MaskInitialization "try\n tmp_gcb = gcb;\n tmp_gcbh = gcbh;\n" " xlMungeMaskParams;\n block_config = 'Sysgen:Inverter_config';\n serialize" "d_declarations = '{''dbl_ovrd''=>''Int'',''en''=>''Int'',''latency''=>''Int''" "}';\n ptable_ = xlblockprep(get_param(tmp_gcb, 'MaskWSVariables'));\ncatch\n" " global xl_report_errors;\n if(~isempty(xl_report_errors) && xl_report_erro" "rs)\n e = regexprep(lasterr, '\\n', '\\nError: ');\n disp(['Error: Whil" "e running MaskInit code on block ' tmp_gcb ': ' e]);\n error(e);\n end\ne" "nd\n" MaskDisplay "fprintf('','COMMENT: begin icon graphics');" "\npatch([0 55 55 0 ],[0 0 58 58 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13 28 " "32 36 52 40 28 19 32 19 28 40 52 36 32 28 13 ],[7 16 29 42 51 51 47 51 51 39 " "51 42 29 16 7 19 7 7 11 7 7 ],[0.98 0.96 0.92]);\nplot([0 0 55 55 0 ],[0 58 5" "8 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: be" "gin icon text');\ncolor('black');disp('not');\nfprintf('','COMMENT: end icon " "text');\n" MaskSelfModifiable on MaskIconFrame off MaskIconOpaque on MaskIconRotate "none" MaskIconUnits "autoscale" MaskValueString "Bitwise logical negation (one's complement)" " operator.||off|0||off||off|[0,0,0,0,0,0,0]|0|-1,-1,-1,-1|inv|8.2.02|55,58,1," "1,white,blue,0,1ab4a85f|fprintf('','COMMENT: begin icon graphics');\npatch([0" " 55 55 0 ],[0 0 58 58 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13 28 32 36 52 4" "0 28 19 32 19 28 40 52 36 32 28 13 ],[7 16 29 42 51 51 47 51 51 39 51 42 29 1" "6 7 19 7 7 11 7 7 ],[0.98 0.96 0.92]);\nplot([0 0 55 55 0 ],[0 58 58 0 0 ]);" "\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon " "text');\ncolor('black');disp('not');\nfprintf('','COMMENT: end icon text');\n" "||\n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n " "\n \n \n " " \n \n \n " " \n \n " " \n " " \n \n \n \n\n" MaskTabNameString ",,,,,,,,,,,,,,,," } Block { BlockType "S-Function" Name "Logical" Ports [2, 1] Position [130, 235, 185, 295] CopyFcn "xlBlockMoveCallback(gcbh);" DeleteFcn "xlDestroyGui(gcbh);" LoadFcn "xlBlockLoadCallback(gcbh);" ModelCloseFcn "xlDestroyGui(gcbh);" PreSaveFcn "xlBlockPreSaveCallback(gcbh);" PostSaveFcn "xlBlockPostSaveCallback(gcbh);" DestroyFcn "xlDestroyGui(gcbh);" OpenFcn "xlOpenGui(gcbh, @logicalenablement, -1)" CloseFcn "xlDestroyGui(gcbh);" MoveFcn "xlBlockMoveCallback(gcbh);" FunctionName "sysgen" Parameters "ptable_" MaskType "Xilinx Logical Block Block" MaskDescription "Xilinx Logical Block" MaskHelp "eval('xlWeb(xlhtmldoclink(''Logical''))');" MaskPromptString " |Logical function |Number of inputs | |Pr" "ovide enable port|Latency| |Precision| |Output Type|Number of bits|Binary poi" "nt| |Align binary point| |Override with doubles| |Define FPGA area for resour" "ce estimation|FPGA area [slices, FFs, BRAMs, LUTs, IOBs, emb. mults, TBUFs]| " "| | | | | | | | | " MaskStyleString "edit,popup(AND|NAND|OR|NOR|XOR|XNOR),edit,e" "dit,checkbox,edit,edit,popup(Full|User Defined),edit,popup(Signed (2's comp)" "|Unsigned),edit,edit,edit,checkbox,edit,checkbox,edit,checkbox,edit,edit,edit" ",edit,edit,edit,edit,edit,edit,edit,edit" MaskTunableValueString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,o" "n,on,on,on,on,on,on,on,on,on,on,on,on,on,on" MaskCallbackString "||||||||||||||||||||||||||||" MaskEnableString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,o" "n,on,on,on,on,on,on,on,on,on,on,on,on,on,on" MaskVisibilityString "off,on,on,off,on,on,off,on,off,on,on,on,off" ",on,off,on,off,on,on,off,off,off,off,off,off,off,off,off,off" MaskToolTipString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,o" "n,on,on,on,on,on,on,on,on,on,on,on,on,on,on" MaskVarAliasString ",,,,,,,,,,,,,,,,,,,,,,,,,,,," MaskVariables "logical_function_sgadvanced=&1;logical_func" "tion=@2;inputs=@3;en_sgadvanced=&4;en=@5;latency=@6;precision_sgadvanced=&7;p" "recision=@8;arith_type_sgadvanced=&9;arith_type=@10;n_bits=@11;bin_pt=@12;ali" "gn_bp_sgadvanced=&13;align_bp=@14;dbl_ovrd_sgadvanced=&15;dbl_ovrd=@16;xl_use" "_area_sgadvanced=&17;xl_use_area=@18;xl_area=@19;overflow=@20;quantization=@2" "1;has_advanced_control=@22;sggui_pos=&23;block_type=&24;block_version=&25;sg_" "icon_stat=&26;sg_mask_display=&27;sg_list_contents=&28;sg_blockgui_xml=&29;" MaskInitialization "try\n tmp_gcb = gcb;\n tmp_gcbh = gcbh;\n" " xlMungeMaskParams;\n block_config = 'Sysgen:Logical_config';\n serialized" "_declarations = '{''arith_type''=>''Int'',''bin_pt''=>''Int'',''en''=>''Int''" ",''inputs''=>''Int'',''latency''=>''Int'',''logical_function''=>''Int'',''n_b" "its''=>''Int'',''precision''=>''Int'',''sim_engine''=>''Int'',''sysgen_root''" "=>''String''}';\n xllogical_init();\n ptable_ = xlblockprep(get_param(tmp_g" "cb, 'MaskWSVariables'));\ncatch\n global xl_report_errors;\n if(~isempty(xl" "_report_errors) && xl_report_errors)\n e = regexprep(lasterr, '\\n', '\\nE" "rror: ');\n disp(['Error: While running MaskInit code on block ' tmp_gcb '" ": ' e]);\n error(e);\n end\nend\n" MaskDisplay "fprintf('','COMMENT: begin icon graphics');" "\npatch([0 55 55 0 ],[0 0 60 60 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13 28 " "32 36 52 40 28 19 32 19 28 40 52 36 32 28 13 ],[8 17 30 43 52 52 48 52 52 40 " "52 43 30 17 8 20 8 8 12 8 8 ],[0.98 0.96 0.92]);\nplot([0 0 55 55 0 ],[0 60 6" "0 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: be" "gin icon text');\ncolor('black');disp('\\newlineor\\newlinez^{-0}','texmode'," "'on');\nfprintf('','COMMENT: end icon text');\n" MaskSelfModifiable on MaskIconFrame off MaskIconOpaque on MaskIconRotate "none" MaskIconUnits "autoscale" MaskValueString "|OR|2||off|0||Full||Unsigned|16|0||on||off|" "|off|[0,0,0,0,0,0,0]|||0|-1,-1,-1,-1|logical|8.2.02|55,60,2,1,white,blue,0,f4" "a65842|fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 ],[0 0 6" "0 60 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13 28 32 36 52 40 28 19 32 19 28 " "40 52 36 32 28 13 ],[8 17 30 43 52 52 48 52 52 40 52 43 30 17 8 20 8 8 12 8 8" " ],[0.98 0.96 0.92]);\nplot([0 0 55 55 0 ],[0 60 60 0 0 ]);\nfprintf('','COMM" "ENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('bl" "ack');disp('\\newlineor\\newlinez^{-0}','texmode','on');\nfprintf('','COMMENT" ": end icon text');\n||\n \n \n \n \n \n \n \n \n \n \n \n " "\n \n \n <" "item value=\"AND\" />\n \n \n \n \n \n \n \n \n \n " " \n \n \n \n \n \n " "\n \n " " \n <" "radiogroup ctype=\"Int\" name=\"arith_type\" label=\"Output Type\" default=\"" "Unsigned\" >\n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n <" "workspacevar ctype=\"String\" name=\"sysgen_root\" />\n \n \n \n \n\n" MaskTabNameString ",,,,,,,,,,,,,,,,,,,,,,,,,,,," } Block { BlockType "S-Function" Name "Logical1" Ports [2, 1] Position [370, 360, 425, 420] CopyFcn "xlBlockMoveCallback(gcbh);" DeleteFcn "xlDestroyGui(gcbh);" LoadFcn "xlBlockLoadCallback(gcbh);" ModelCloseFcn "xlDestroyGui(gcbh);" PreSaveFcn "xlBlockPreSaveCallback(gcbh);" PostSaveFcn "xlBlockPostSaveCallback(gcbh);" DestroyFcn "xlDestroyGui(gcbh);" OpenFcn "xlOpenGui(gcbh, @logicalenablement, -1)" CloseFcn "xlDestroyGui(gcbh);" MoveFcn "xlBlockMoveCallback(gcbh);" FunctionName "sysgen" Parameters "ptable_" MaskType "Xilinx Logical Block Block" MaskDescription "Xilinx Logical Block" MaskHelp "eval('xlWeb(xlhtmldoclink(''Logical''))');" MaskPromptString " |Logical function |Number of inputs | |Pr" "ovide enable port|Latency| |Precision| |Output Type|Number of bits|Binary poi" "nt| |Align binary point| |Override with doubles| |Define FPGA area for resour" "ce estimation|FPGA area [slices, FFs, BRAMs, LUTs, IOBs, emb. mults, TBUFs]| " "| | | | | | | | | " MaskStyleString "edit,popup(AND|NAND|OR|NOR|XOR|XNOR),edit,e" "dit,checkbox,edit,edit,popup(Full|User Defined),edit,popup(Signed (2's comp)" "|Unsigned),edit,edit,edit,checkbox,edit,checkbox,edit,checkbox,edit,edit,edit" ",edit,edit,edit,edit,edit,edit,edit,edit" MaskTunableValueString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,o" "n,on,on,on,on,on,on,on,on,on,on,on,on,on,on" MaskCallbackString "||||||||||||||||||||||||||||" MaskEnableString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,o" "n,on,on,on,on,on,on,on,on,on,on,on,on,on,on" MaskVisibilityString "off,on,on,off,on,on,off,on,off,on,on,on,off" ",on,off,on,off,on,on,off,off,off,off,off,off,off,off,off,off" MaskToolTipString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,o" "n,on,on,on,on,on,on,on,on,on,on,on,on,on,on" MaskVarAliasString ",,,,,,,,,,,,,,,,,,,,,,,,,,,," MaskVariables "logical_function_sgadvanced=&1;logical_func" "tion=@2;inputs=@3;en_sgadvanced=&4;en=@5;latency=@6;precision_sgadvanced=&7;p" "recision=@8;arith_type_sgadvanced=&9;arith_type=@10;n_bits=@11;bin_pt=@12;ali" "gn_bp_sgadvanced=&13;align_bp=@14;dbl_ovrd_sgadvanced=&15;dbl_ovrd=@16;xl_use" "_area_sgadvanced=&17;xl_use_area=@18;xl_area=@19;overflow=@20;quantization=@2" "1;has_advanced_control=@22;sggui_pos=&23;block_type=&24;block_version=&25;sg_" "icon_stat=&26;sg_mask_display=&27;sg_list_contents=&28;sg_blockgui_xml=&29;" MaskInitialization "try\n tmp_gcb = gcb;\n tmp_gcbh = gcbh;\n" " xlMungeMaskParams;\n block_config = 'Sysgen:Logical_config';\n serialized" "_declarations = '{''arith_type''=>''Int'',''bin_pt''=>''Int'',''en''=>''Int''" ",''inputs''=>''Int'',''latency''=>''Int'',''logical_function''=>''Int'',''n_b" "its''=>''Int'',''precision''=>''Int'',''sim_engine''=>''Int'',''sysgen_root''" "=>''String''}';\n xllogical_init();\n ptable_ = xlblockprep(get_param(tmp_g" "cb, 'MaskWSVariables'));\ncatch\n global xl_report_errors;\n if(~isempty(xl" "_report_errors) && xl_report_errors)\n e = regexprep(lasterr, '\\n', '\\nE" "rror: ');\n disp(['Error: While running MaskInit code on block ' tmp_gcb '" ": ' e]);\n error(e);\n end\nend\n" MaskDisplay "fprintf('','COMMENT: begin icon graphics');" "\npatch([0 55 55 0 ],[0 0 60 60 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13 28 " "32 36 52 40 28 19 32 19 28 40 52 36 32 28 13 ],[8 17 30 43 52 52 48 52 52 40 " "52 43 30 17 8 20 8 8 12 8 8 ],[0.98 0.96 0.92]);\nplot([0 0 55 55 0 ],[0 60 6" "0 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: be" "gin icon text');\ncolor('black');disp('\\newlineand\\newlinez^{-0}','texmode'" ",'on');\nfprintf('','COMMENT: end icon text');\n" MaskSelfModifiable on MaskIconFrame off MaskIconOpaque on MaskIconRotate "none" MaskIconUnits "autoscale" MaskValueString "|AND|2||off|0||Full||Unsigned|16|0||on||off" "||off|[0,0,0,0,0,0,0]|||0|-1,-1,-1,-1|logical|8.2.02|55,60,2,1,white,blue,0,0" "87b5522|fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 ],[0 0 " "60 60 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13 28 32 36 52 40 28 19 32 19 28" " 40 52 36 32 28 13 ],[8 17 30 43 52 52 48 52 52 40 52 43 30 17 8 20 8 8 12 8 " "8 ],[0.98 0.96 0.92]);\nplot([0 0 55 55 0 ],[0 60 60 0 0 ]);\nfprintf('','COM" "MENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('b" "lack');disp('\\newlineand\\newlinez^{-0}','texmode','on');\nfprintf('','COMME" "NT: end icon text');\n||\n \n \n \n \n \n \n \n \n \n \n \n \n \n \n " "\n \n \n \n \n \n \n \n \n \n " " \n \n \n \n \n \n " "\n \n " " \n <" "radiogroup ctype=\"Int\" name=\"arith_type\" label=\"Output Type\" default=\"" "Unsigned\" >\n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n <" "workspacevar ctype=\"String\" name=\"sysgen_root\" />\n \n \n \n \n\n" MaskTabNameString ",,,,,,,,,,,,,,,,,,,,,,,,,,,," } Block { BlockType "S-Function" Name "Logical2" Ports [2, 1] Position [1005, 750, 1060, 810] CopyFcn "xlBlockMoveCallback(gcbh);" DeleteFcn "xlDestroyGui(gcbh);" LoadFcn "xlBlockLoadCallback(gcbh);" ModelCloseFcn "xlDestroyGui(gcbh);" PreSaveFcn "xlBlockPreSaveCallback(gcbh);" PostSaveFcn "xlBlockPostSaveCallback(gcbh);" DestroyFcn "xlDestroyGui(gcbh);" OpenFcn "xlOpenGui(gcbh, @logicalenablement, -1)" CloseFcn "xlDestroyGui(gcbh);" MoveFcn "xlBlockMoveCallback(gcbh);" FunctionName "sysgen" Parameters "ptable_" MaskType "Xilinx Logical Block Block" MaskDescription "Xilinx Logical Block" MaskHelp "eval('xlWeb(xlhtmldoclink(''Logical''))');" MaskPromptString " |Logical function |Number of inputs | |Pr" "ovide enable port|Latency| |Precision| |Output Type|Number of bits|Binary poi" "nt| |Align binary point| |Override with doubles| |Define FPGA area for resour" "ce estimation|FPGA area [slices, FFs, BRAMs, LUTs, IOBs, emb. mults, TBUFs]| " "| | | | | | | | | " MaskStyleString "edit,popup(AND|NAND|OR|NOR|XOR|XNOR),edit,e" "dit,checkbox,edit,edit,popup(Full|User Defined),edit,popup(Signed (2's comp)" "|Unsigned),edit,edit,edit,checkbox,edit,checkbox,edit,checkbox,edit,edit,edit" ",edit,edit,edit,edit,edit,edit,edit,edit" MaskTunableValueString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,o" "n,on,on,on,on,on,on,on,on,on,on,on,on,on,on" MaskCallbackString "||||||||||||||||||||||||||||" MaskEnableString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,o" "n,on,on,on,on,on,on,on,on,on,on,on,on,on,on" MaskVisibilityString "off,on,on,off,on,on,off,on,off,on,on,on,off" ",on,off,on,off,on,on,off,off,off,off,off,off,off,off,off,off" MaskToolTipString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,o" "n,on,on,on,on,on,on,on,on,on,on,on,on,on,on" MaskVarAliasString ",,,,,,,,,,,,,,,,,,,,,,,,,,,," MaskVariables "logical_function_sgadvanced=&1;logical_func" "tion=@2;inputs=@3;en_sgadvanced=&4;en=@5;latency=@6;precision_sgadvanced=&7;p" "recision=@8;arith_type_sgadvanced=&9;arith_type=@10;n_bits=@11;bin_pt=@12;ali" "gn_bp_sgadvanced=&13;align_bp=@14;dbl_ovrd_sgadvanced=&15;dbl_ovrd=@16;xl_use" "_area_sgadvanced=&17;xl_use_area=@18;xl_area=@19;overflow=@20;quantization=@2" "1;has_advanced_control=@22;sggui_pos=&23;block_type=&24;block_version=&25;sg_" "icon_stat=&26;sg_mask_display=&27;sg_list_contents=&28;sg_blockgui_xml=&29;" MaskInitialization "try\n tmp_gcb = gcb;\n tmp_gcbh = gcbh;\n" " xlMungeMaskParams;\n block_config = 'Sysgen:Logical_config';\n serialized" "_declarations = '{''arith_type''=>''Int'',''bin_pt''=>''Int'',''en''=>''Int''" ",''inputs''=>''Int'',''latency''=>''Int'',''logical_function''=>''Int'',''n_b" "its''=>''Int'',''precision''=>''Int'',''sim_engine''=>''Int'',''sysgen_root''" "=>''String''}';\n xllogical_init();\n ptable_ = xlblockprep(get_param(tmp_g" "cb, 'MaskWSVariables'));\ncatch\n global xl_report_errors;\n if(~isempty(xl" "_report_errors) && xl_report_errors)\n e = regexprep(lasterr, '\\n', '\\nE" "rror: ');\n disp(['Error: While running MaskInit code on block ' tmp_gcb '" ": ' e]);\n error(e);\n end\nend\n" MaskDisplay "fprintf('','COMMENT: begin icon graphics');" "\npatch([0 55 55 0 ],[0 0 60 60 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13 28 " "32 36 52 40 28 19 32 19 28 40 52 36 32 28 13 ],[8 17 30 43 52 52 48 52 52 40 " "52 43 30 17 8 20 8 8 12 8 8 ],[0.98 0.96 0.92]);\nplot([0 0 55 55 0 ],[0 60 6" "0 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: be" "gin icon text');\ncolor('black');disp('\\newlineand\\newlinez^{-0}','texmode'" ",'on');\nfprintf('','COMMENT: end icon text');\n" MaskSelfModifiable on MaskIconFrame off MaskIconOpaque on MaskIconRotate "none" MaskIconUnits "autoscale" MaskValueString "|AND|2||off|0||Full||Unsigned|16|0||on||off" "||off|[0,0,0,0,0,0,0]|||0|-1,-1,-1,-1|logical|8.2.02|55,60,2,1,white,blue,0,0" "87b5522|fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 ],[0 0 " "60 60 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13 28 32 36 52 40 28 19 32 19 28" " 40 52 36 32 28 13 ],[8 17 30 43 52 52 48 52 52 40 52 43 30 17 8 20 8 8 12 8 " "8 ],[0.98 0.96 0.92]);\nplot([0 0 55 55 0 ],[0 60 60 0 0 ]);\nfprintf('','COM" "MENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('b" "lack');disp('\\newlineand\\newlinez^{-0}','texmode','on');\nfprintf('','COMME" "NT: end icon text');\n||\n \n \n \n \n \n \n \n \n \n \n \n \n \n \n " "\n \n \n \n \n \n \n \n \n \n " " \n \n \n \n \n \n " "\n \n " " \n <" "radiogroup ctype=\"Int\" name=\"arith_type\" label=\"Output Type\" default=\"" "Unsigned\" >\n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n <" "workspacevar ctype=\"String\" name=\"sysgen_root\" />\n \n \n \n \n\n" MaskTabNameString ",,,,,,,,,,,,,,,,,,,,,,,,,,,," } Block { BlockType "S-Function" Name "Logical3" Ports [2, 1] Position [1120, 735, 1175, 795] CopyFcn "xlBlockMoveCallback(gcbh);" DeleteFcn "xlDestroyGui(gcbh);" LoadFcn "xlBlockLoadCallback(gcbh);" ModelCloseFcn "xlDestroyGui(gcbh);" PreSaveFcn "xlBlockPreSaveCallback(gcbh);" PostSaveFcn "xlBlockPostSaveCallback(gcbh);" DestroyFcn "xlDestroyGui(gcbh);" OpenFcn "xlOpenGui(gcbh, @logicalenablement, -1)" CloseFcn "xlDestroyGui(gcbh);" MoveFcn "xlBlockMoveCallback(gcbh);" FunctionName "sysgen" Parameters "ptable_" MaskType "Xilinx Logical Block Block" MaskDescription "Xilinx Logical Block" MaskHelp "eval('xlWeb(xlhtmldoclink(''Logical''))');" MaskPromptString " |Logical function |Number of inputs | |Pr" "ovide enable port|Latency| |Precision| |Output Type|Number of bits|Binary poi" "nt| |Align binary point| |Override with doubles| |Define FPGA area for resour" "ce estimation|FPGA area [slices, FFs, BRAMs, LUTs, IOBs, emb. mults, TBUFs]| " "| | | | | | | | | " MaskStyleString "edit,popup(AND|NAND|OR|NOR|XOR|XNOR),edit,e" "dit,checkbox,edit,edit,popup(Full|User Defined),edit,popup(Signed (2's comp)" "|Unsigned),edit,edit,edit,checkbox,edit,checkbox,edit,checkbox,edit,edit,edit" ",edit,edit,edit,edit,edit,edit,edit,edit" MaskTunableValueString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,o" "n,on,on,on,on,on,on,on,on,on,on,on,on,on,on" MaskCallbackString "||||||||||||||||||||||||||||" MaskEnableString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,o" "n,on,on,on,on,on,on,on,on,on,on,on,on,on,on" MaskVisibilityString "off,on,on,off,on,on,off,on,off,on,on,on,off" ",on,off,on,off,on,on,off,off,off,off,off,off,off,off,off,off" MaskToolTipString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,o" "n,on,on,on,on,on,on,on,on,on,on,on,on,on,on" MaskVarAliasString ",,,,,,,,,,,,,,,,,,,,,,,,,,,," MaskVariables "logical_function_sgadvanced=&1;logical_func" "tion=@2;inputs=@3;en_sgadvanced=&4;en=@5;latency=@6;precision_sgadvanced=&7;p" "recision=@8;arith_type_sgadvanced=&9;arith_type=@10;n_bits=@11;bin_pt=@12;ali" "gn_bp_sgadvanced=&13;align_bp=@14;dbl_ovrd_sgadvanced=&15;dbl_ovrd=@16;xl_use" "_area_sgadvanced=&17;xl_use_area=@18;xl_area=@19;overflow=@20;quantization=@2" "1;has_advanced_control=@22;sggui_pos=&23;block_type=&24;block_version=&25;sg_" "icon_stat=&26;sg_mask_display=&27;sg_list_contents=&28;sg_blockgui_xml=&29;" MaskInitialization "try\n tmp_gcb = gcb;\n tmp_gcbh = gcbh;\n" " xlMungeMaskParams;\n block_config = 'Sysgen:Logical_config';\n serialized" "_declarations = '{''arith_type''=>''Int'',''bin_pt''=>''Int'',''en''=>''Int''" ",''inputs''=>''Int'',''latency''=>''Int'',''logical_function''=>''Int'',''n_b" "its''=>''Int'',''precision''=>''Int'',''sim_engine''=>''Int'',''sysgen_root''" "=>''String''}';\n xllogical_init();\n ptable_ = xlblockprep(get_param(tmp_g" "cb, 'MaskWSVariables'));\ncatch\n global xl_report_errors;\n if(~isempty(xl" "_report_errors) && xl_report_errors)\n e = regexprep(lasterr, '\\n', '\\nE" "rror: ');\n disp(['Error: While running MaskInit code on block ' tmp_gcb '" ": ' e]);\n error(e);\n end\nend\n" MaskDisplay "fprintf('','COMMENT: begin icon graphics');" "\npatch([0 55 55 0 ],[0 0 60 60 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13 28 " "32 36 52 40 28 19 32 19 28 40 52 36 32 28 13 ],[8 17 30 43 52 52 48 52 52 40 " "52 43 30 17 8 20 8 8 12 8 8 ],[0.98 0.96 0.92]);\nplot([0 0 55 55 0 ],[0 60 6" "0 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: be" "gin icon text');\ncolor('black');disp('\\newlineand\\newlinez^{-0}','texmode'" ",'on');\nfprintf('','COMMENT: end icon text');\n" MaskSelfModifiable on MaskIconFrame off MaskIconOpaque on MaskIconRotate "none" MaskIconUnits "autoscale" MaskValueString "|AND|2||off|0||Full||Unsigned|16|0||on||off" "||off|[0,0,0,0,0,0,0]|||0|-1,-1,-1,-1|logical|8.2.02|55,60,2,1,white,blue,0,0" "87b5522|fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 ],[0 0 " "60 60 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13 28 32 36 52 40 28 19 32 19 28" " 40 52 36 32 28 13 ],[8 17 30 43 52 52 48 52 52 40 52 43 30 17 8 20 8 8 12 8 " "8 ],[0.98 0.96 0.92]);\nplot([0 0 55 55 0 ],[0 60 60 0 0 ]);\nfprintf('','COM" "MENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('b" "lack');disp('\\newlineand\\newlinez^{-0}','texmode','on');\nfprintf('','COMME" "NT: end icon text');\n||\n \n \n \n \n \n \n \n \n \n \n \n \n \n \n " "\n \n \n \n \n \n \n \n \n \n " " \n \n \n \n \n \n " "\n \n " " \n <" "radiogroup ctype=\"Int\" name=\"arith_type\" label=\"Output Type\" default=\"" "Unsigned\" >\n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n <" "workspacevar ctype=\"String\" name=\"sysgen_root\" />\n \n \n \n \n\n" MaskTabNameString ",,,,,,,,,,,,,,,,,,,,,,,,,,,," } Block { BlockType "S-Function" Name "Mux" Ports [3, 1] Position [935, 173, 980, 277] CopyFcn "xlBlockMoveCallback(gcbh);" DeleteFcn "xlDestroyGui(gcbh);" LoadFcn "xlBlockLoadCallback(gcbh);" ModelCloseFcn "xlDestroyGui(gcbh);" PreSaveFcn "xlBlockPreSaveCallback(gcbh);" PostSaveFcn "xlBlockPostSaveCallback(gcbh);" DestroyFcn "xlDestroyGui(gcbh);" OpenFcn "xlOpenGui(gcbh, @muxenablement, -1)" CloseFcn "xlDestroyGui(gcbh);" MoveFcn "xlBlockMoveCallback(gcbh);" FunctionName "sysgen" Parameters "ptable_" MaskType "Xilinx Bus Multiplexer Block" MaskDescription "Xilinx Bus Multiplexer" MaskHelp "eval('xlWeb(xlhtmldoclink(''Mux''))');" MaskPromptString " |Number of inputs| |Provide enable port|La" "tency| |Precision| |Output type|Number of bits|Binary point| |Quantization| |" "Overflow| |Override with doubles| |Define FPGA area for resource estimation|F" "PGA area [slices, FFs, BRAMs, LUTs, IOBs, emb. mults, TBUFs]| | | | | | | | |" " " MaskStyleString "edit,popup(2|3|4|5|6|7|8|9|10|11|12|13|14|1" "5|16|17|18|19|20|21|22|23|24|25|26|27|28|29|30|31|32),edit,checkbox,edit,edit" ",popup(Full|User Defined),edit,popup(Signed (2's comp)|Unsigned),edit,edit,e" "dit,popup(Truncate|Round (unbiased: +/- Inf)),edit,popup(Wrap|Saturate|Flag " "as error),edit,checkbox,edit,checkbox,edit,edit,edit,edit,edit,edit,edit,edit" ",edit,edit" MaskTunableValueString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,o" "n,on,on,on,on,on,on,on,on,on,on,on,on,on,on" MaskCallbackString "||||||||||||||||||||||||||||" MaskEnableString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,o" "n,on,on,on,on,on,on,on,on,on,on,on,on,on,on" MaskVisibilityString "off,on,off,on,on,off,on,off,on,on,on,off,on" ",off,on,off,on,off,on,on,off,off,off,off,off,off,off,off,off" MaskToolTipString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,o" "n,on,on,on,on,on,on,on,on,on,on,on,on,on,on" MaskVarAliasString ",,,,,,,,,,,,,,,,,,,,,,,,,,,," MaskVariables "inputs_sgadvanced=&1;inputs=@2;en_sgadvance" "d=&3;en=@4;latency=@5;precision_sgadvanced=&6;precision=@7;arith_type_sgadvan" "ced=&8;arith_type=@9;n_bits=@10;bin_pt=@11;quantization_sgadvanced=&12;quanti" "zation=@13;overflow_sgadvanced=&14;overflow=@15;dbl_ovrd_sgadvanced=&16;dbl_o" "vrd=@17;xl_use_area_sgadvanced=&18;xl_use_area=@19;xl_area=@20;infoedit=@21;h" "as_advanced_control=@22;sggui_pos=&23;block_type=&24;block_version=&25;sg_ico" "n_stat=&26;sg_mask_display=&27;sg_list_contents=&28;sg_blockgui_xml=&29;" MaskInitialization "try\n tmp_gcb = gcb;\n tmp_gcbh = gcbh;\n" " xlMungeMaskParams;\n block_config = 'Sysgen:Mux_config';\n serialized_dec" "larations = '{''arith_type''=>''Int'',''bin_pt''=>''Int'',''dbl_ovrd''=>''Int" "'',''en''=>''Int'',''inputs''=>''Int'',''latency''=>''Int'',''n_bits''=>''Int" "'',''overflow''=>''Int'',''period''=>''Double'',''precision''=>''Int'',''quan" "tization''=>''Int'',''sim_engine''=>''Int'',''sysgen_root''=>''String''}';\n " " xlmux_init();\n ptable_ = xlblockprep(get_param(tmp_gcb, 'MaskWSVariables')" ");\ncatch\n global xl_report_errors;\n if(~isempty(xl_report_errors) && xl_" "report_errors)\n e = regexprep(lasterr, '\\n', '\\nError: ');\n disp(['" "Error: While running MaskInit code on block ' tmp_gcb ': ' e]);\n error(e)" ";\n end\nend\n" MaskDisplay "fprintf('','COMMENT: begin icon graphics');" "\npatch([0 45 45 0 ],[0 14.8571 89.1429 104 ],[0.77 0.82 0.91]);\npatch([10 3" " 14 3 10 22 25 28 41 31 21 14 25 14 21 31 41 28 25 22 10 ],[34 41 52 63 70 70" " 67 70 70 60 70 63 52 41 34 44 34 34 37 34 34 ],[0.98 0.96 0.92]);\nplot([0 4" "5 45 0 0 ],[0 14.8571 89.1429 104 0 ]);\nfprintf('','COMMENT: end icon graphi" "cs');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('in" "put',1,'sel');\ncolor('black');port_label('input',2,'d0');\ncolor('black');po" "rt_label('input',3,'d1');\ncolor('black');disp('\\bf{}','texmode','on');\nfpr" "intf('','COMMENT: end icon text');\n" MaskSelfModifiable on MaskIconFrame off MaskIconOpaque on MaskIconRotate "none" MaskIconUnits "autoscale" MaskValueString "|2||off|0||Full||Unsigned|16|14||Truncate||" "Wrap||off||off|[0,0,0,0,0,0,0]||0|-1,-1,-1,-1|mux|8.2.02|45,104,3,1,white,blu" "e,3,613f58e1|fprintf('','COMMENT: begin icon graphics');\npatch([0 45 45 0 ]," "[0 14.8571 89.1429 104 ],[0.77 0.82 0.91]);\npatch([10 3 14 3 10 22 25 28 41 " "31 21 14 25 14 21 31 41 28 25 22 10 ],[34 41 52 63 70 70 67 70 70 60 70 63 52" " 41 34 44 34 34 37 34 34 ],[0.98 0.96 0.92]);\nplot([0 45 45 0 0 ],[0 14.8571" " 89.1429 104 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','CO" "MMENT: begin icon text');\ncolor('black');port_label('input',1,'sel');\ncolor" "('black');port_label('input',2,'d0');\ncolor('black');port_label('input',3,'d" "1');\ncolor('black');disp('\\bf{}','texmode','on');\nfprintf('','COMMENT: end" " icon text');\n||\n " "\n \n \n " "\n \n \n \n \n \n \n \n \n \n \n \n \n \n \n " " \n \n " "\n \n \n \n \n \n \n \n \n " "\n \n " "\n \n \n \n \n \n \n \n \n " "\n \n " "\n \n \n \n \n \n \n \n \n " " \n \n \n \n \n \n " " \n " "\n \n " "\n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n" " \n \n \n \n \n \n\n" MaskTabNameString ",,,,,,,,,,,,,,,,,,,,,,,,,,,," } Block { BlockType "S-Function" Name "Mux1" Ports [3, 1] Position [750, 493, 795, 597] CopyFcn "xlBlockMoveCallback(gcbh);" DeleteFcn "xlDestroyGui(gcbh);" LoadFcn "xlBlockLoadCallback(gcbh);" ModelCloseFcn "xlDestroyGui(gcbh);" PreSaveFcn "xlBlockPreSaveCallback(gcbh);" PostSaveFcn "xlBlockPostSaveCallback(gcbh);" DestroyFcn "xlDestroyGui(gcbh);" OpenFcn "xlOpenGui(gcbh, @muxenablement, -1)" CloseFcn "xlDestroyGui(gcbh);" MoveFcn "xlBlockMoveCallback(gcbh);" FunctionName "sysgen" Parameters "ptable_" MaskType "Xilinx Bus Multiplexer Block" MaskDescription "Xilinx Bus Multiplexer" MaskHelp "eval('xlWeb(xlhtmldoclink(''Mux''))');" MaskPromptString " |Number of inputs| |Provide enable port|La" "tency| |Precision| |Output type|Number of bits|Binary point| |Quantization| |" "Overflow| |Override with doubles| |Define FPGA area for resource estimation|F" "PGA area [slices, FFs, BRAMs, LUTs, IOBs, emb. mults, TBUFs]| | | | | | | | |" " " MaskStyleString "edit,popup(2|3|4|5|6|7|8|9|10|11|12|13|14|1" "5|16|17|18|19|20|21|22|23|24|25|26|27|28|29|30|31|32),edit,checkbox,edit,edit" ",popup(Full|User Defined),edit,popup(Signed (2's comp)|Unsigned),edit,edit,e" "dit,popup(Truncate|Round (unbiased: +/- Inf)),edit,popup(Wrap|Saturate|Flag " "as error),edit,checkbox,edit,checkbox,edit,edit,edit,edit,edit,edit,edit,edit" ",edit,edit" MaskTunableValueString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,o" "n,on,on,on,on,on,on,on,on,on,on,on,on,on,on" MaskCallbackString "||||||||||||||||||||||||||||" MaskEnableString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,o" "n,on,on,on,on,on,on,on,on,on,on,on,on,on,on" MaskVisibilityString "off,on,off,on,on,off,on,off,on,on,on,off,on" ",off,on,off,on,off,on,on,off,off,off,off,off,off,off,off,off" MaskToolTipString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,o" "n,on,on,on,on,on,on,on,on,on,on,on,on,on,on" MaskVarAliasString ",,,,,,,,,,,,,,,,,,,,,,,,,,,," MaskVariables "inputs_sgadvanced=&1;inputs=@2;en_sgadvance" "d=&3;en=@4;latency=@5;precision_sgadvanced=&6;precision=@7;arith_type_sgadvan" "ced=&8;arith_type=@9;n_bits=@10;bin_pt=@11;quantization_sgadvanced=&12;quanti" "zation=@13;overflow_sgadvanced=&14;overflow=@15;dbl_ovrd_sgadvanced=&16;dbl_o" "vrd=@17;xl_use_area_sgadvanced=&18;xl_use_area=@19;xl_area=@20;infoedit=@21;h" "as_advanced_control=@22;sggui_pos=&23;block_type=&24;block_version=&25;sg_ico" "n_stat=&26;sg_mask_display=&27;sg_list_contents=&28;sg_blockgui_xml=&29;" MaskInitialization "try\n tmp_gcb = gcb;\n tmp_gcbh = gcbh;\n" " xlMungeMaskParams;\n block_config = 'Sysgen:Mux_config';\n serialized_dec" "larations = '{''arith_type''=>''Int'',''bin_pt''=>''Int'',''dbl_ovrd''=>''Int" "'',''en''=>''Int'',''inputs''=>''Int'',''latency''=>''Int'',''n_bits''=>''Int" "'',''overflow''=>''Int'',''period''=>''Double'',''precision''=>''Int'',''quan" "tization''=>''Int'',''sim_engine''=>''Int'',''sysgen_root''=>''String''}';\n " " xlmux_init();\n ptable_ = xlblockprep(get_param(tmp_gcb, 'MaskWSVariables')" ");\ncatch\n global xl_report_errors;\n if(~isempty(xl_report_errors) && xl_" "report_errors)\n e = regexprep(lasterr, '\\n', '\\nError: ');\n disp(['" "Error: While running MaskInit code on block ' tmp_gcb ': ' e]);\n error(e)" ";\n end\nend\n" MaskDisplay "fprintf('','COMMENT: begin icon graphics');" "\npatch([0 45 45 0 ],[0 14.8571 89.1429 104 ],[0.77 0.82 0.91]);\npatch([10 3" " 14 3 10 22 25 28 41 31 21 14 25 14 21 31 41 28 25 22 10 ],[34 41 52 63 70 70" " 67 70 70 60 70 63 52 41 34 44 34 34 37 34 34 ],[0.98 0.96 0.92]);\nplot([0 4" "5 45 0 0 ],[0 14.8571 89.1429 104 0 ]);\nfprintf('','COMMENT: end icon graphi" "cs');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('in" "put',1,'sel');\ncolor('black');port_label('input',2,'d0');\ncolor('black');po" "rt_label('input',3,'d1');\ncolor('black');disp('\\bf{}','texmode','on');\nfpr" "intf('','COMMENT: end icon text');\n" MaskSelfModifiable on MaskIconFrame off MaskIconOpaque on MaskIconRotate "none" MaskIconUnits "autoscale" MaskValueString "|2||off|0||Full||Unsigned|16|14||Truncate||" "Wrap||off||off|[0,0,0,0,0,0,0]||0|-1,-1,-1,-1|mux|8.2.02|45,104,3,1,white,blu" "e,3,613f58e1|fprintf('','COMMENT: begin icon graphics');\npatch([0 45 45 0 ]," "[0 14.8571 89.1429 104 ],[0.77 0.82 0.91]);\npatch([10 3 14 3 10 22 25 28 41 " "31 21 14 25 14 21 31 41 28 25 22 10 ],[34 41 52 63 70 70 67 70 70 60 70 63 52" " 41 34 44 34 34 37 34 34 ],[0.98 0.96 0.92]);\nplot([0 45 45 0 0 ],[0 14.8571" " 89.1429 104 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','CO" "MMENT: begin icon text');\ncolor('black');port_label('input',1,'sel');\ncolor" "('black');port_label('input',2,'d0');\ncolor('black');port_label('input',3,'d" "1');\ncolor('black');disp('\\bf{}','texmode','on');\nfprintf('','COMMENT: end" " icon text');\n||\n " "\n \n \n " "\n \n \n \n \n \n \n \n \n \n \n \n \n \n \n " " \n \n " "\n \n \n \n \n \n \n \n \n " "\n \n " "\n \n \n \n \n \n \n \n \n " "\n \n " "\n \n \n \n \n \n \n \n \n " " \n \n \n \n \n \n " " \n " "\n \n " "\n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n" " \n \n \n \n \n \n\n" MaskTabNameString ",,,,,,,,,,,,,,,,,,,,,,,,,,,," } Block { BlockType "S-Function" Name "Mux2" Ports [3, 1] Position [1070, 538, 1115, 642] CopyFcn "xlBlockMoveCallback(gcbh);" DeleteFcn "xlDestroyGui(gcbh);" LoadFcn "xlBlockLoadCallback(gcbh);" ModelCloseFcn "xlDestroyGui(gcbh);" PreSaveFcn "xlBlockPreSaveCallback(gcbh);" PostSaveFcn "xlBlockPostSaveCallback(gcbh);" DestroyFcn "xlDestroyGui(gcbh);" OpenFcn "xlOpenGui(gcbh, @muxenablement, -1)" CloseFcn "xlDestroyGui(gcbh);" MoveFcn "xlBlockMoveCallback(gcbh);" FunctionName "sysgen" Parameters "ptable_" MaskType "Xilinx Bus Multiplexer Block" MaskDescription "Xilinx Bus Multiplexer" MaskHelp "eval('xlWeb(xlhtmldoclink(''Mux''))');" MaskPromptString " |Number of inputs| |Provide enable port|La" "tency| |Precision| |Output type|Number of bits|Binary point| |Quantization| |" "Overflow| |Override with doubles| |Define FPGA area for resource estimation|F" "PGA area [slices, FFs, BRAMs, LUTs, IOBs, emb. mults, TBUFs]| | | | | | | | |" " " MaskStyleString "edit,popup(2|3|4|5|6|7|8|9|10|11|12|13|14|1" "5|16|17|18|19|20|21|22|23|24|25|26|27|28|29|30|31|32),edit,checkbox,edit,edit" ",popup(Full|User Defined),edit,popup(Signed (2's comp)|Unsigned),edit,edit,e" "dit,popup(Truncate|Round (unbiased: +/- Inf)),edit,popup(Wrap|Saturate|Flag " "as error),edit,checkbox,edit,checkbox,edit,edit,edit,edit,edit,edit,edit,edit" ",edit,edit" MaskTunableValueString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,o" "n,on,on,on,on,on,on,on,on,on,on,on,on,on,on" MaskCallbackString "||||||||||||||||||||||||||||" MaskEnableString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,o" "n,on,on,on,on,on,on,on,on,on,on,on,on,on,on" MaskVisibilityString "off,on,off,on,on,off,on,off,on,on,on,off,on" ",off,on,off,on,off,on,on,off,off,off,off,off,off,off,off,off" MaskToolTipString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,o" "n,on,on,on,on,on,on,on,on,on,on,on,on,on,on" MaskVarAliasString ",,,,,,,,,,,,,,,,,,,,,,,,,,,," MaskVariables "inputs_sgadvanced=&1;inputs=@2;en_sgadvance" "d=&3;en=@4;latency=@5;precision_sgadvanced=&6;precision=@7;arith_type_sgadvan" "ced=&8;arith_type=@9;n_bits=@10;bin_pt=@11;quantization_sgadvanced=&12;quanti" "zation=@13;overflow_sgadvanced=&14;overflow=@15;dbl_ovrd_sgadvanced=&16;dbl_o" "vrd=@17;xl_use_area_sgadvanced=&18;xl_use_area=@19;xl_area=@20;infoedit=@21;h" "as_advanced_control=@22;sggui_pos=&23;block_type=&24;block_version=&25;sg_ico" "n_stat=&26;sg_mask_display=&27;sg_list_contents=&28;sg_blockgui_xml=&29;" MaskInitialization "try\n tmp_gcb = gcb;\n tmp_gcbh = gcbh;\n" " xlMungeMaskParams;\n block_config = 'Sysgen:Mux_config';\n serialized_dec" "larations = '{''arith_type''=>''Int'',''bin_pt''=>''Int'',''dbl_ovrd''=>''Int" "'',''en''=>''Int'',''inputs''=>''Int'',''latency''=>''Int'',''n_bits''=>''Int" "'',''overflow''=>''Int'',''period''=>''Double'',''precision''=>''Int'',''quan" "tization''=>''Int'',''sim_engine''=>''Int'',''sysgen_root''=>''String''}';\n " " xlmux_init();\n ptable_ = xlblockprep(get_param(tmp_gcb, 'MaskWSVariables')" ");\ncatch\n global xl_report_errors;\n if(~isempty(xl_report_errors) && xl_" "report_errors)\n e = regexprep(lasterr, '\\n', '\\nError: ');\n disp(['" "Error: While running MaskInit code on block ' tmp_gcb ': ' e]);\n error(e)" ";\n end\nend\n" MaskDisplay "fprintf('','COMMENT: begin icon graphics');" "\npatch([0 45 45 0 ],[0 14.8571 89.1429 104 ],[0.77 0.82 0.91]);\npatch([10 3" " 14 3 10 22 25 28 41 31 21 14 25 14 21 31 41 28 25 22 10 ],[34 41 52 63 70 70" " 67 70 70 60 70 63 52 41 34 44 34 34 37 34 34 ],[0.98 0.96 0.92]);\nplot([0 4" "5 45 0 0 ],[0 14.8571 89.1429 104 0 ]);\nfprintf('','COMMENT: end icon graphi" "cs');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('in" "put',1,'sel');\ncolor('black');port_label('input',2,'d0');\ncolor('black');po" "rt_label('input',3,'d1');\ncolor('black');disp('\\bf{}','texmode','on');\nfpr" "intf('','COMMENT: end icon text');\n" MaskSelfModifiable on MaskIconFrame off MaskIconOpaque on MaskIconRotate "none" MaskIconUnits "autoscale" MaskValueString "|2||off|0||Full||Unsigned|16|14||Truncate||" "Wrap||off||off|[0,0,0,0,0,0,0]||0|-1,-1,-1,-1|mux|8.2.02|45,104,3,1,white,blu" "e,3,613f58e1|fprintf('','COMMENT: begin icon graphics');\npatch([0 45 45 0 ]," "[0 14.8571 89.1429 104 ],[0.77 0.82 0.91]);\npatch([10 3 14 3 10 22 25 28 41 " "31 21 14 25 14 21 31 41 28 25 22 10 ],[34 41 52 63 70 70 67 70 70 60 70 63 52" " 41 34 44 34 34 37 34 34 ],[0.98 0.96 0.92]);\nplot([0 45 45 0 0 ],[0 14.8571" " 89.1429 104 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','CO" "MMENT: begin icon text');\ncolor('black');port_label('input',1,'sel');\ncolor" "('black');port_label('input',2,'d0');\ncolor('black');port_label('input',3,'d" "1');\ncolor('black');disp('\\bf{}','texmode','on');\nfprintf('','COMMENT: end" " icon text');\n||\n " "\n \n \n " "\n \n \n \n \n \n \n \n \n \n \n \n \n \n \n " " \n \n " "\n \n \n \n \n \n \n \n \n " "\n \n " "\n \n \n \n \n \n \n \n \n " "\n \n " "\n \n \n \n \n \n \n \n \n " " \n \n \n \n \n \n " " \n " "\n \n " "\n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n" " \n \n \n \n \n \n\n" MaskTabNameString ",,,,,,,,,,,,,,,,,,,,,,,,,,,," } Block { BlockType "S-Function" Name "Mux3" Ports [10, 1] Position [1610, 218, 1655, 712] CopyFcn "xlBlockMoveCallback(gcbh);" DeleteFcn "xlDestroyGui(gcbh);" LoadFcn "xlBlockLoadCallback(gcbh);" ModelCloseFcn "xlDestroyGui(gcbh);" PreSaveFcn "xlBlockPreSaveCallback(gcbh);" PostSaveFcn "xlBlockPostSaveCallback(gcbh);" DestroyFcn "xlDestroyGui(gcbh);" OpenFcn "xlOpenGui(gcbh, @muxenablement, -1)" CloseFcn "xlDestroyGui(gcbh);" MoveFcn "xlBlockMoveCallback(gcbh);" FunctionName "sysgen" Parameters "ptable_" MaskType "Xilinx Bus Multiplexer Block" MaskDescription "Xilinx Bus Multiplexer" MaskHelp "eval('xlWeb(xlhtmldoclink(''Mux''))');" MaskPromptString " |Number of inputs| |Provide enable port|La" "tency| |Precision| |Output type|Number of bits|Binary point| |Quantization| |" "Overflow| |Override with doubles| |Define FPGA area for resource estimation|F" "PGA area [slices, FFs, BRAMs, LUTs, IOBs, emb. mults, TBUFs]| | | | | | | | |" " " MaskStyleString "edit,popup(2|3|4|5|6|7|8|9|10|11|12|13|14|1" "5|16|17|18|19|20|21|22|23|24|25|26|27|28|29|30|31|32),edit,checkbox,edit,edit" ",popup(Full|User Defined),edit,popup(Signed (2's comp)|Unsigned),edit,edit,e" "dit,popup(Truncate|Round (unbiased: +/- Inf)),edit,popup(Wrap|Saturate|Flag " "as error),edit,checkbox,edit,checkbox,edit,edit,edit,edit,edit,edit,edit,edit" ",edit,edit" MaskTunableValueString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,o" "n,on,on,on,on,on,on,on,on,on,on,on,on,on,on" MaskCallbackString "||||||||||||||||||||||||||||" MaskEnableString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,o" "n,on,on,on,on,on,on,on,on,on,on,on,on,on,on" MaskVisibilityString "off,on,off,on,on,off,on,off,on,on,on,off,on" ",off,on,off,on,off,on,on,off,off,off,off,off,off,off,off,off" MaskToolTipString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,o" "n,on,on,on,on,on,on,on,on,on,on,on,on,on,on" MaskVarAliasString ",,,,,,,,,,,,,,,,,,,,,,,,,,,," MaskVariables "inputs_sgadvanced=&1;inputs=@2;en_sgadvance" "d=&3;en=@4;latency=@5;precision_sgadvanced=&6;precision=@7;arith_type_sgadvan" "ced=&8;arith_type=@9;n_bits=@10;bin_pt=@11;quantization_sgadvanced=&12;quanti" "zation=@13;overflow_sgadvanced=&14;overflow=@15;dbl_ovrd_sgadvanced=&16;dbl_o" "vrd=@17;xl_use_area_sgadvanced=&18;xl_use_area=@19;xl_area=@20;infoedit=@21;h" "as_advanced_control=@22;sggui_pos=&23;block_type=&24;block_version=&25;sg_ico" "n_stat=&26;sg_mask_display=&27;sg_list_contents=&28;sg_blockgui_xml=&29;" MaskInitialization "try\n tmp_gcb = gcb;\n tmp_gcbh = gcbh;\n" " xlMungeMaskParams;\n block_config = 'Sysgen:Mux_config';\n serialized_dec" "larations = '{''arith_type''=>''Int'',''bin_pt''=>''Int'',''dbl_ovrd''=>''Int" "'',''en''=>''Int'',''inputs''=>''Int'',''latency''=>''Int'',''n_bits''=>''Int" "'',''overflow''=>''Int'',''period''=>''Double'',''precision''=>''Int'',''quan" "tization''=>''Int'',''sim_engine''=>''Int'',''sysgen_root''=>''String''}';\n " " xlmux_init();\n ptable_ = xlblockprep(get_param(tmp_gcb, 'MaskWSVariables')" ");\ncatch\n global xl_report_errors;\n if(~isempty(xl_report_errors) && xl_" "report_errors)\n e = regexprep(lasterr, '\\n', '\\nError: ');\n disp(['" "Error: While running MaskInit code on block ' tmp_gcb ': ' e]);\n error(e)" ";\n end\nend\n" MaskDisplay "fprintf('','COMMENT: begin icon graphics');" "\npatch([0 45 45 0 ],[0 70.5714 423.429 494 ],[0.77 0.82 0.91]);\npatch([10 3" " 14 3 10 22 25 28 41 31 21 14 25 14 21 31 41 28 25 22 10 ],[229 236 247 258 2" "65 265 262 265 265 255 265 258 247 236 229 239 229 229 232 229 229 ],[0.98 0." "96 0.92]);\nplot([0 45 45 0 0 ],[0 70.5714 423.429 494 0 ]);\nfprintf('','COM" "MENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('b" "lack');port_label('input',1,'sel');\ncolor('black');port_label('input',2,'d0'" ");\ncolor('black');port_label('input',3,'d1');\ncolor('black');port_label('in" "put',4,'d2');\ncolor('black');port_label('input',5,'d3');\ncolor('black');por" "t_label('input',6,'d4');\ncolor('black');port_label('input',7,'d5');\ncolor('" "black');port_label('input',8,'d6');\ncolor('black');port_label('input',9,'d7'" ");\ncolor('black');port_label('input',10,'d8');\ncolor('black');disp('\\bf{}'" ",'texmode','on');\nfprintf('','COMMENT: end icon text');\n" MaskSelfModifiable on MaskIconFrame off MaskIconOpaque on MaskIconRotate "none" MaskIconUnits "autoscale" MaskValueString "|9||off|0||Full||Unsigned|16|14||Truncate||" "Wrap||off||off|[0,0,0,0,0,0,0]||0|-1,-1,-1,-1|mux|8.2.02|45,494,10,1,white,bl" "ue,3,62ea264b|fprintf('','COMMENT: begin icon graphics');\npatch([0 45 45 0 ]" ",[0 70.5714 423.429 494 ],[0.77 0.82 0.91]);\npatch([10 3 14 3 10 22 25 28 41" " 31 21 14 25 14 21 31 41 28 25 22 10 ],[229 236 247 258 265 265 262 265 265 2" "55 265 258 247 236 229 239 229 229 232 229 229 ],[0.98 0.96 0.92]);\nplot([0 " "45 45 0 0 ],[0 70.5714 423.429 494 0 ]);\nfprintf('','COMMENT: end icon graph" "ics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('i" "nput',1,'sel');\ncolor('black');port_label('input',2,'d0');\ncolor('black');p" "ort_label('input',3,'d1');\ncolor('black');port_label('input',4,'d2');\ncolor" "('black');port_label('input',5,'d3');\ncolor('black');port_label('input',6,'d" "4');\ncolor('black');port_label('input',7,'d5');\ncolor('black');port_label('" "input',8,'d6');\ncolor('black');port_label('input',9,'d7');\ncolor('black');p" "ort_label('input',10,'d8');\ncolor('black');disp('\\bf{}','texmode','on');\nf" "printf('','COMMENT: end icon text');\n||\n \n \n \n \n \n \n \n \n \n" " \n \n \n \n \n \n \n \n \n \n \n " " \n \n " "\n \n \n \n \n \n \n \n \n " "\n \n " "\n \n \n \n \n \n \n \n \n " "\n \n \n \n " "\n \n \n \n \n \n \n " " \n " "\n \n " " \n \n \n \n \n \n \n " " \n \n \n \n \n \n \n \n " "\n \n \n \n " "\n \n \n " "\n \n \n " " \n " " \n \n \n \n \n \n \n \n\n" MaskTabNameString ",,,,,,,,,,,,,,,,,,,,,,,,,,,," } Block { BlockType SubSystem Name "Neg_Edge_Detector" Ports [1, 1] Position [1340, 864, 1465, 926] TreatAsAtomicUnit off MinAlgLoopOccurrences off RTWSystemCode "Auto" MaskHideContents off System { Name "Neg_Edge_Detector" Location [971, 353, 1386, 494] Open off ModelBrowserVisibility off ModelBrowserWidth 200 ScreenColor "white" PaperOrientation "landscape" PaperPositionMode "auto" PaperType "usletter" PaperUnits "inches" ZoomFactor "100" Block { BlockType Inport Name "Input Signal" Position [25, 33, 55, 47] IconDisplay "Port number" } Block { BlockType "S-Function" Name "Delay" Ports [1, 1] Position [170, 57, 230, 113] CopyFcn "xlBlockMoveCallback(gcbh);" DeleteFcn "xlDestroyGui(gcbh);" LoadFcn "xlBlockLoadCallback(gcbh);" ModelCloseFcn "xlDestroyGui(gcbh);" PreSaveFcn "xlBlockPreSaveCallback(gcbh);" PostSaveFcn "xlBlockPostSaveCallback(gcbh);" DestroyFcn "xlDestroyGui(gcbh);" OpenFcn "xlOpenGui(gcbh, @xlmagicenablement, -1)" CloseFcn "xlDestroyGui(gcbh);" MoveFcn "xlBlockMoveCallback(gcbh);" FunctionName "sysgen" Parameters "ptable_" MaskType "Xilinx Delay Block" MaskDescription "Hardware notes: A delay line is a chain" ", each link of which is an SRL16 followed by a flip-flop. If register retimin" "g is enabled, the delay line is a chain of flip-flops." MaskHelp "eval('xlWeb(xlhtmldoclink(''Delay''))')" ";" MaskPromptString " | |Provide enable port|Latency| |Overr" "ide with doubles| |Enable register retiming| |Define FPGA area for resource e" "stimation|FPGA area [slices, FFs, BRAMs, LUTs, IOBs, emb. mults, TBUFs]| | | " "| | | | | " MaskStyleString "edit,edit,checkbox,edit,edit,checkbox,e" "dit,checkbox,edit,checkbox,edit,edit,edit,edit,edit,edit,edit,edit,edit" MaskTunableValueString "on,on,on,on,on,on,on,on,on,on,on,on,on," "on,on,on,on,on,on" MaskCallbackString "||||||||||||||||||" MaskEnableString "on,on,on,on,on,on,on,on,on,on,on,on,on," "on,on,on,on,on,on" MaskVisibilityString "off,off,on,on,off,on,off,on,off,on,on,o" "ff,off,off,off,off,off,off,off" MaskToolTipString "on,on,on,on,on,on,on,on,on,on,on,on,on," "on,on,on,on,on,on" MaskVarAliasString ",,,,,,,,,,,,,,,,,," MaskVariables "infoedit=&1;en_sgadvanced=&2;en=@3;late" "ncy=@4;dbl_ovrd_sgadvanced=&5;dbl_ovrd=@6;reg_retiming_sgadvanced=&7;reg_reti" "ming=@8;xl_use_area_sgadvanced=&9;xl_use_area=@10;xl_area=@11;has_advanced_co" "ntrol=@12;sggui_pos=&13;block_type=&14;block_version=&15;sg_icon_stat=&16;sg_" "mask_display=&17;sg_list_contents=&18;sg_blockgui_xml=&19;" MaskInitialization "try\n tmp_gcb = gcb;\n tmp_gcbh = gcb" "h;\n xlMungeMaskParams;\n block_config = 'Sysgen:Delay_config';\n serializ" "ed_declarations = '{''dbl_ovrd''=>''Int'',''en''=>''Int'',''latency''=>''Int'" "',''reg_retiming''=>''Int''}';\n ptable_ = xlblockprep(get_param(tmp_gcb, 'M" "askWSVariables'));\ncatch\n global xl_report_errors;\n if(~isempty(xl_repor" "t_errors) && xl_report_errors)\n e = regexprep(lasterr, '\\n', '\\nError: " "');\n disp(['Error: While running MaskInit code on block ' tmp_gcb ': ' e]" ");\n error(e);\n end\nend\n" MaskDisplay "fprintf('','COMMENT: begin icon graphic" "s');\npatch([0 60 60 0 ],[0 0 56 56 ],[0.77 0.82 0.91]);\npatch([15 6 19 6 15" " 30 34 38 54 41 29 20 32 20 29 41 54 38 34 30 15 ],[6 15 28 41 50 50 46 50 50" " 37 49 40 28 16 7 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 0 60 60 0 ],[0 " "56 56 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT" ": begin icon text');\ncolor('black');disp('z^{-1}','texmode','on');\nfprintf(" "'','COMMENT: end icon text');\n" MaskSelfModifiable on MaskIconFrame off MaskIconOpaque on MaskIconRotate "none" MaskIconUnits "autoscale" MaskValueString "Hardware notes: A delay line is a chain" ", each link of which is an SRL16 followed by a flip-flop. If register retimin" "g is enabled, the delay line is a chain of flip-flops.||off|1||off||off||off|" "[0,0,0,0,0,0,0]|0|-1,-1,-1,-1|delay|8.2.02|60,56,1,1,white,blue,0,fc531c0e|fp" "rintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 ],[0 0 56 56 ],[0" ".77 0.82 0.91]);\npatch([15 6 19 6 15 30 34 38 54 41 29 20 32 20 29 41 54 38 " "34 30 15 ],[6 15 28 41 50 50 46 50 50 37 49 40 28 16 7 19 6 6 10 6 6 ],[0.98 " "0.96 0.92]);\nplot([0 0 60 60 0 ],[0 56 56 0 0 ]);\nfprintf('','COMMENT: end " "icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');dis" "p('z^{-1}','texmode','on');\nfprintf('','COMMENT: end icon text');\n||\n \n \n \n \n \n \n \n \n \n \n \n " " \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n\n" MaskTabNameString ",,,,,,,,,,,,,,,,,," } Block { BlockType "S-Function" Name "Inverter" Ports [1, 1] Position [100, 56, 155, 114] CopyFcn "xlBlockMoveCallback(gcbh);" DeleteFcn "xlDestroyGui(gcbh);" LoadFcn "xlBlockLoadCallback(gcbh);" ModelCloseFcn "xlDestroyGui(gcbh);" PreSaveFcn "xlBlockPreSaveCallback(gcbh);" PostSaveFcn "xlBlockPostSaveCallback(gcbh);" DestroyFcn "xlDestroyGui(gcbh);" OpenFcn "xlOpenGui(gcbh, @xlmagicenablement, -1)" CloseFcn "xlDestroyGui(gcbh);" MoveFcn "xlBlockMoveCallback(gcbh);" FunctionName "sysgen" Parameters "ptable_" MaskType "Xilinx Inverter Block" MaskDescription "Bitwise logical negation (one's complem" "ent) operator." MaskHelp "eval('xlWeb(xlhtmldoclink(''Inverter'')" ")');" MaskPromptString " | |Provide enable port|Latency| |Overr" "ide with doubles| |Define FPGA area for resource estimation|FPGA area [slices" ", FFs, BRAMs, LUTs, IOBs, emb. mults, TBUFs]| | | | | | | | " MaskStyleString "edit,edit,checkbox,edit,edit,checkbox,e" "dit,checkbox,edit,edit,edit,edit,edit,edit,edit,edit,edit" MaskTunableValueString "on,on,on,on,on,on,on,on,on,on,on,on,on," "on,on,on,on" MaskCallbackString "||||||||||||||||" MaskEnableString "on,on,on,on,on,on,on,on,on,on,on,on,on," "on,on,on,on" MaskVisibilityString "off,off,on,on,off,on,off,on,on,off,off," "off,off,off,off,off,off" MaskToolTipString "on,on,on,on,on,on,on,on,on,on,on,on,on," "on,on,on,on" MaskVarAliasString ",,,,,,,,,,,,,,,," MaskVariables "infoedit=&1;en_sgadvanced=&2;en=@3;late" "ncy=@4;dbl_ovrd_sgadvanced=&5;dbl_ovrd=@6;xl_use_area_sgadvanced=&7;xl_use_ar" "ea=@8;xl_area=@9;has_advanced_control=@10;sggui_pos=&11;block_type=&12;block_" "version=&13;sg_icon_stat=&14;sg_mask_display=&15;sg_list_contents=&16;sg_bloc" "kgui_xml=&17;" MaskInitialization "try\n tmp_gcb = gcb;\n tmp_gcbh = gcb" "h;\n xlMungeMaskParams;\n block_config = 'Sysgen:Inverter_config';\n seria" "lized_declarations = '{''dbl_ovrd''=>''Int'',''en''=>''Int'',''latency''=>''I" "nt''}';\n ptable_ = xlblockprep(get_param(tmp_gcb, 'MaskWSVariables'));\ncat" "ch\n global xl_report_errors;\n if(~isempty(xl_report_errors) && xl_report_" "errors)\n e = regexprep(lasterr, '\\n', '\\nError: ');\n disp(['Error: " "While running MaskInit code on block ' tmp_gcb ': ' e]);\n error(e);\n en" "d\nend\n" MaskDisplay "fprintf('','COMMENT: begin icon graphic" "s');\npatch([0 55 55 0 ],[0 0 58 58 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13" " 28 32 36 52 40 28 19 32 19 28 40 52 36 32 28 13 ],[7 16 29 42 51 51 47 51 51" " 39 51 42 29 16 7 19 7 7 11 7 7 ],[0.98 0.96 0.92]);\nplot([0 0 55 55 0 ],[0 " "58 58 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT" ": begin icon text');\ncolor('black');disp('not');\nfprintf('','COMMENT: end i" "con text');\n" MaskSelfModifiable on MaskIconFrame off MaskIconOpaque on MaskIconRotate "none" MaskIconUnits "autoscale" MaskValueString "Bitwise logical negation (one's complem" "ent) operator.||off|0||off||off|[0,0,0,0,0,0,0]|0|20,20,356,237|inv|8.2.02|55" ",58,1,1,white,blue,0,1ab4a85f|fprintf('','COMMENT: begin icon graphics');\npa" "tch([0 55 55 0 ],[0 0 58 58 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13 28 32 3" "6 52 40 28 19 32 19 28 40 52 36 32 28 13 ],[7 16 29 42 51 51 47 51 51 39 51 4" "2 29 16 7 19 7 7 11 7 7 ],[0.98 0.96 0.92]);\nplot([0 0 55 55 0 ],[0 58 58 0 " "0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin " "icon text');\ncolor('black');disp('not');\nfprintf('','COMMENT: end icon text" "');\n||\n \n \n \n \n \n \n \n \n \n \n \n " "\n \n \n \n \n \n \n \n \n \n \n \n " "\n " "\n \n \n \n \n\n" MaskTabNameString ",,,,,,,,,,,,,,,," } Block { BlockType "S-Function" Name "Logical" Ports [2, 1] Position [280, 25, 335, 85] CopyFcn "xlBlockMoveCallback(gcbh);" DeleteFcn "xlDestroyGui(gcbh);" LoadFcn "xlBlockLoadCallback(gcbh);" ModelCloseFcn "xlDestroyGui(gcbh);" PreSaveFcn "xlBlockPreSaveCallback(gcbh);" PostSaveFcn "xlBlockPostSaveCallback(gcbh);" DestroyFcn "xlDestroyGui(gcbh);" OpenFcn "xlOpenGui(gcbh, @logicalenablement, -1)" CloseFcn "xlDestroyGui(gcbh);" MoveFcn "xlBlockMoveCallback(gcbh);" FunctionName "sysgen" Parameters "ptable_" MaskType "Xilinx Logical Block Block" MaskDescription "Xilinx Logical Block" MaskHelp "eval('xlWeb(xlhtmldoclink(''Logical''))" "');" MaskPromptString " |Logical function |Number of inputs |" " |Provide enable port|Latency| |Precision| |Output Type|Number of bits|Binary" " point| |Align binary point| |Override with doubles| |Define FPGA area for re" "source estimation|FPGA area [slices, FFs, BRAMs, LUTs, IOBs, emb. mults, TBUF" "s]| | | | | | | | | | " MaskStyleString "edit,popup(AND|NAND|OR|NOR|XOR|XNOR),ed" "it,edit,checkbox,edit,edit,popup(Full|User Defined),edit,popup(Signed (2's c" "omp)|Unsigned),edit,edit,edit,checkbox,edit,checkbox,edit,checkbox,edit,edit," "edit,edit,edit,edit,edit,edit,edit,edit,edit" MaskTunableValueString "on,on,on,on,on,on,on,on,on,on,on,on,on," "on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on" MaskCallbackString "||||||||||||||||||||||||||||" MaskEnableString "on,on,on,on,on,on,on,on,on,on,on,on,on," "on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on" MaskVisibilityString "off,on,on,off,on,on,off,on,off,on,on,on" ",off,on,off,on,off,on,on,off,off,off,off,off,off,off,off,off,off" MaskToolTipString "on,on,on,on,on,on,on,on,on,on,on,on,on," "on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on" MaskVarAliasString ",,,,,,,,,,,,,,,,,,,,,,,,,,,," MaskVariables "logical_function_sgadvanced=&1;logical_" "function=@2;inputs=@3;en_sgadvanced=&4;en=@5;latency=@6;precision_sgadvanced=" "&7;precision=@8;arith_type_sgadvanced=&9;arith_type=@10;n_bits=@11;bin_pt=@12" ";align_bp_sgadvanced=&13;align_bp=@14;dbl_ovrd_sgadvanced=&15;dbl_ovrd=@16;xl" "_use_area_sgadvanced=&17;xl_use_area=@18;xl_area=@19;overflow=@20;quantizatio" "n=@21;has_advanced_control=@22;sggui_pos=&23;block_type=&24;block_version=&25" ";sg_icon_stat=&26;sg_mask_display=&27;sg_list_contents=&28;sg_blockgui_xml=&2" "9;" MaskInitialization "try\n tmp_gcb = gcb;\n tmp_gcbh = gcb" "h;\n xlMungeMaskParams;\n block_config = 'Sysgen:Logical_config';\n serial" "ized_declarations = '{''arith_type''=>''Int'',''bin_pt''=>''Int'',''en''=>''I" "nt'',''inputs''=>''Int'',''latency''=>''Int'',''logical_function''=>''Int'','" "'n_bits''=>''Int'',''precision''=>''Int'',''sim_engine''=>''Int'',''sysgen_ro" "ot''=>''String''}';\n xllogical_init();\n ptable_ = xlblockprep(get_param(t" "mp_gcb, 'MaskWSVariables'));\ncatch\n global xl_report_errors;\n if(~isempt" "y(xl_report_errors) && xl_report_errors)\n e = regexprep(lasterr, '\\n', '" "\\nError: ');\n disp(['Error: While running MaskInit code on block ' tmp_g" "cb ': ' e]);\n error(e);\n end\nend\n" MaskDisplay "fprintf('','COMMENT: begin icon graphic" "s');\npatch([0 55 55 0 ],[0 0 60 60 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13" " 28 32 36 52 40 28 19 32 19 28 40 52 36 32 28 13 ],[8 17 30 43 52 52 48 52 52" " 40 52 43 30 17 8 20 8 8 12 8 8 ],[0.98 0.96 0.92]);\nplot([0 0 55 55 0 ],[0 " "60 60 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT" ": begin icon text');\ncolor('black');disp('\\newlinenor\\newlinez^{-0}','texm" "ode','on');\nfprintf('','COMMENT: end icon text');\n" MaskSelfModifiable on MaskIconFrame off MaskIconOpaque on MaskIconRotate "none" MaskIconUnits "autoscale" MaskValueString "|NOR|2||off|0||Full||Unsigned|16|0||on|" "|off||off|[0,0,0,0,0,0,0]|||0|-1,-1,-1,-1|logical|8.2.02|55,60,2,1,white,blue" ",0,0f2a8a4d|fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 ],[" "0 0 60 60 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13 28 32 36 52 40 28 19 32 1" "9 28 40 52 36 32 28 13 ],[8 17 30 43 52 52 48 52 52 40 52 43 30 17 8 20 8 8 1" "2 8 8 ],[0.98 0.96 0.92]);\nplot([0 0 55 55 0 ],[0 60 60 0 0 ]);\nfprintf(''," "'COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolo" "r('black');disp('\\newlinenor\\newlinez^{-0}','texmode','on');\nfprintf('','C" "OMMENT: end icon text');\n||\n \n \n \n \n \n \n \n \n \n \n \n \n \n \n " " \n \n \n \n \n \n \n \n \n \n" " \n \n \n \n \n \n " " \n " "\n \n " " \n \n \n \n \n \n \n \n \n " " \n \n \n \n \n \n \n \n \n \n \n " "\n \n \n \n \n \n\n" MaskTabNameString ",,,,,,,,,,,,,,,,,,,,,,,,,,,," } Block { BlockType Outport Name "Rising Edge" Position [385, 48, 415, 62] IconDisplay "Port number" BusOutputAsStruct off } Line { SrcBlock "Input Signal" SrcPort 1 Points [0, 0; 15, 0] Branch { DstBlock "Logical" DstPort 1 } Branch { Points [0, 45] DstBlock "Inverter" DstPort 1 } } Line { SrcBlock "Delay" SrcPort 1 Points [15, 0; 0, -15] DstBlock "Logical" DstPort 2 } Line { SrcBlock "Inverter" SrcPort 1 DstBlock "Delay" DstPort 1 } Line { SrcBlock "Logical" SrcPort 1 DstBlock "Rising Edge" DstPort 1 } } } Block { BlockType "S-Function" Name "Register" Ports [3, 1] Position [1585, 887, 1645, 943] CopyFcn "xlBlockMoveCallback(gcbh);" DeleteFcn "xlDestroyGui(gcbh);" LoadFcn "xlBlockLoadCallback(gcbh);" ModelCloseFcn "xlDestroyGui(gcbh);" PreSaveFcn "xlBlockPreSaveCallback(gcbh);" PostSaveFcn "xlBlockPostSaveCallback(gcbh);" DestroyFcn "xlDestroyGui(gcbh);" OpenFcn "xlOpenGui(gcbh, @xlmagicenablement, -1)" CloseFcn "xlDestroyGui(gcbh);" MoveFcn "xlBlockMoveCallback(gcbh);" FunctionName "sysgen" Parameters "ptable_" MaskType "Xilinx Register Block" MaskDescription "Xilinx Register" MaskHelp "eval('xlWeb(xlhtmldoclink(''Register''))');" MaskPromptString "Initial value| |Provide synchronous reset p" "ort| |Provide enable port| |Override with doubles| |Define FPGA area for reso" "urce estimation|FPGA area [slices, FFs, BRAMs, LUTs, IOBs, emb. mults, TBUFs]" "| | | | | | | | " MaskStyleString "edit,edit,checkbox,edit,checkbox,edit,check" "box,edit,checkbox,edit,edit,edit,edit,edit,edit,edit,edit,edit" MaskTunableValueString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,o" "n,on,on,on" MaskCallbackString "|||||||||||||||||" MaskEnableString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,o" "n,on,on,on" MaskVisibilityString "on,off,on,off,on,off,on,off,on,on,off,off,o" "ff,off,off,off,off,off" MaskToolTipString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,o" "n,on,on,on" MaskVarAliasString ",,,,,,,,,,,,,,,,," MaskVariables "init=@1;rst_sgadvanced=&2;rst=@3;en_sgadvan" "ced=&4;en=@5;dbl_ovrd_sgadvanced=&6;dbl_ovrd=@7;xl_use_area_sgadvanced=&8;xl_" "use_area=@9;xl_area=@10;has_advanced_control=@11;sggui_pos=&12;block_type=&13" ";block_version=&14;sg_icon_stat=&15;sg_mask_display=&16;sg_list_contents=&17;" "sg_blockgui_xml=&18;" MaskInitialization "try\n tmp_gcb = gcb;\n tmp_gcbh = gcbh;\n" " xlMungeMaskParams;\n block_config = 'Sysgen:Register_config';\n serialize" "d_declarations = '{''dbl_ovrd''=>''Int'',''en''=>''Int'',''init''=>''Double''" ",''rst''=>''Int''}';\n xlregister_init();\n ptable_ = xlblockprep(get_param" "(tmp_gcb, 'MaskWSVariables'));\ncatch\n global xl_report_errors;\n if(~isem" "pty(xl_report_errors) && xl_report_errors)\n e = regexprep(lasterr, '\\n'," " '\\nError: ');\n disp(['Error: While running MaskInit code on block ' tmp" "_gcb ': ' e]);\n error(e);\n end\nend\n" MaskDisplay "fprintf('','COMMENT: begin icon graphics');" "\npatch([0 60 60 0 ],[0 0 56 56 ],[0.77 0.82 0.91]);\npatch([15 6 19 6 15 30 " "34 38 54 41 29 20 32 20 29 41 54 38 34 30 15 ],[6 15 28 41 50 50 46 50 50 37 " "49 40 28 16 7 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 0 60 60 0 ],[0 56 5" "6 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: be" "gin icon text');\ncolor('black');port_label('input',1,'d');\ncolor('black');p" "ort_label('input',2,'rst');\ncolor('black');port_label('input',3,'en');\ncolo" "r('black');port_label('output',1,'q');\ncolor('black');disp('\\bf{z^{-1}}','t" "exmode','on');\nfprintf('','COMMENT: end icon text');\n" MaskSelfModifiable on MaskIconFrame off MaskIconOpaque on MaskIconRotate "none" MaskIconUnits "autoscale" MaskValueString "0||on||on||off||off|[0,0,0,0,0,0,0]|0|-1,-1" ",-1,-1|register|8.2.02|60,56,3,1,white,blue,0,923c1847|fprintf('','COMMENT: b" "egin icon graphics');\npatch([0 60 60 0 ],[0 0 56 56 ],[0.77 0.82 0.91]);\npa" "tch([15 6 19 6 15 30 34 38 54 41 29 20 32 20 29 41 54 38 34 30 15 ],[6 15 28 " "41 50 50 46 50 50 37 49 40 28 16 7 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([" "0 0 60 60 0 ],[0 56 56 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfp" "rintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1,'d" "');\ncolor('black');port_label('input',2,'rst');\ncolor('black');port_label('" "input',3,'en');\ncolor('black');port_label('output',1,'q');\ncolor('black');d" "isp('\\bf{z^{-1}}','texmode','on');\nfprintf('','COMMENT: end icon text');\n|" "|\n \n \n \n \n \n \n \n " "\n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n<" "/sysgenblock>\n" MaskTabNameString ",,,,,,,,,,,,,,,,," } Block { BlockType "S-Function" Name "Relational" Ports [2, 1] Position [630, 362, 685, 418] CopyFcn "xlBlockMoveCallback(gcbh);" DeleteFcn "xlDestroyGui(gcbh);" LoadFcn "xlBlockLoadCallback(gcbh);" ModelCloseFcn "xlDestroyGui(gcbh);" PreSaveFcn "xlBlockPreSaveCallback(gcbh);" PostSaveFcn "xlBlockPostSaveCallback(gcbh);" DestroyFcn "xlDestroyGui(gcbh);" OpenFcn "xlOpenGui(gcbh, @xlmagicenablement, -1)" CloseFcn "xlDestroyGui(gcbh);" MoveFcn "xlBlockMoveCallback(gcbh);" FunctionName "sysgen" Parameters "ptable_" MaskType "Xilinx Arithmetic Relational Operator Block" MaskDescription "Xilinx Arithmetic Relational Operator" MaskHelp "eval('xlWeb(xlhtmldoclink(''Relational''))'" ");" MaskPromptString " |Comparison:| |Provide enable port|Latency" "| |Override with doubles| |Define FPGA area for resource estimation|FPGA area" " [slices, FFs, BRAMs, LUTs, IOBs, emb. mults, TBUFs]| | | | | | | | " MaskStyleString "edit,popup(a=b|a!=b|ab|a<=b|a>=b),edit" ",checkbox,edit,edit,checkbox,edit,checkbox,edit,edit,edit,edit,edit,edit,edit" ",edit,edit" MaskTunableValueString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,o" "n,on,on,on" MaskCallbackString "|||||||||||||||||" MaskEnableString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,o" "n,on,on,on" MaskVisibilityString "off,on,off,on,on,off,on,off,on,on,off,off,o" "ff,off,off,off,off,off" MaskToolTipString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,o" "n,on,on,on" MaskVarAliasString ",,,,,,,,,,,,,,,,," MaskVariables "mode_sgadvanced=&1;mode=@2;en_sgadvanced=&3" ";en=@4;latency=@5;dbl_ovrd_sgadvanced=&6;dbl_ovrd=@7;xl_use_area_sgadvanced=&" "8;xl_use_area=@9;xl_area=@10;has_advanced_control=@11;sggui_pos=&12;block_typ" "e=&13;block_version=&14;sg_icon_stat=&15;sg_mask_display=&16;sg_list_contents" "=&17;sg_blockgui_xml=&18;" MaskInitialization "try\n tmp_gcb = gcb;\n tmp_gcbh = gcbh;\n" " xlMungeMaskParams;\n block_config = 'Sysgen:Relational_config';\n seriali" "zed_declarations = '{''dbl_ovrd''=>''Int'',''en''=>''Int'',''latency''=>''Int" "'',''mode''=>''Int''}';\n ptable_ = xlblockprep(get_param(tmp_gcb, 'MaskWSVa" "riables'));\ncatch\n global xl_report_errors;\n if(~isempty(xl_report_error" "s) && xl_report_errors)\n e = regexprep(lasterr, '\\n', '\\nError: ');\n " " disp(['Error: While running MaskInit code on block ' tmp_gcb ': ' e]);\n " " error(e);\n end\nend\n" MaskDisplay "fprintf('','COMMENT: begin icon graphics');" "\npatch([0 55 55 0 ],[0 0 56 56 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13 28 " "32 36 52 40 28 19 32 19 28 40 52 36 32 28 13 ],[6 15 28 41 50 50 46 50 50 38 " "50 41 28 15 6 18 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 0 55 55 0 ],[0 56 5" "6 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: be" "gin icon text');\ncolor('black');port_label('input',1,'a');\ncolor('black');p" "ort_label('input',2,'b');\ncolor('black');disp('\\newline\\bf{a<=b}\\newlinez" "^{-1}','texmode','on');\nfprintf('','COMMENT: end icon text');\n" MaskSelfModifiable on MaskIconFrame off MaskIconOpaque on MaskIconRotate "none" MaskIconUnits "autoscale" MaskValueString "|a<=b||off|1||off||off|[0,0,0,0,0,0,0]|0|-1" ",-1,-1,-1|relational|8.2.02|55,56,2,1,white,blue,0,8e8b0a36|fprintf('','COMME" "NT: begin icon graphics');\npatch([0 55 55 0 ],[0 0 56 56 ],[0.77 0.82 0.91])" ";\npatch([13 4 17 4 13 28 32 36 52 40 28 19 32 19 28 40 52 36 32 28 13 ],[6 1" "5 28 41 50 50 46 50 50 38 50 41 28 15 6 18 6 6 10 6 6 ],[0.98 0.96 0.92]);\np" "lot([0 0 55 55 0 ],[0 56 56 0 0 ]);\nfprintf('','COMMENT: end icon graphics')" ";\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input'" ",1,'a');\ncolor('black');port_label('input',2,'b');\ncolor('black');disp('\\n" "ewline\\bf{a<=b}\\newlinez^{-1}','texmode','on');\nfprintf('','COMMENT: end i" "con text');\n||\n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n b" "\" />\n \n =b\" />\n \n \n " "\n \n \n \n \n \n " "\n \n \n \n " "\n \n \n \n \n \n \n\n" MaskTabNameString ",,,,,,,,,,,,,,,,," } Block { BlockType "S-Function" Name "Relational1" Ports [2, 1] Position [1035, 672, 1090, 728] CopyFcn "xlBlockMoveCallback(gcbh);" DeleteFcn "xlDestroyGui(gcbh);" LoadFcn "xlBlockLoadCallback(gcbh);" ModelCloseFcn "xlDestroyGui(gcbh);" PreSaveFcn "xlBlockPreSaveCallback(gcbh);" PostSaveFcn "xlBlockPostSaveCallback(gcbh);" DestroyFcn "xlDestroyGui(gcbh);" OpenFcn "xlOpenGui(gcbh, @xlmagicenablement, -1)" CloseFcn "xlDestroyGui(gcbh);" MoveFcn "xlBlockMoveCallback(gcbh);" FunctionName "sysgen" Parameters "ptable_" MaskType "Xilinx Arithmetic Relational Operator Block" MaskDescription "Xilinx Arithmetic Relational Operator" MaskHelp "eval('xlWeb(xlhtmldoclink(''Relational''))'" ");" MaskPromptString " |Comparison:| |Provide enable port|Latency" "| |Override with doubles| |Define FPGA area for resource estimation|FPGA area" " [slices, FFs, BRAMs, LUTs, IOBs, emb. mults, TBUFs]| | | | | | | | " MaskStyleString "edit,popup(a=b|a!=b|ab|a<=b|a>=b),edit" ",checkbox,edit,edit,checkbox,edit,checkbox,edit,edit,edit,edit,edit,edit,edit" ",edit,edit" MaskTunableValueString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,o" "n,on,on,on" MaskCallbackString "|||||||||||||||||" MaskEnableString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,o" "n,on,on,on" MaskVisibilityString "off,on,off,on,on,off,on,off,on,on,off,off,o" "ff,off,off,off,off,off" MaskToolTipString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,o" "n,on,on,on" MaskVarAliasString ",,,,,,,,,,,,,,,,," MaskVariables "mode_sgadvanced=&1;mode=@2;en_sgadvanced=&3" ";en=@4;latency=@5;dbl_ovrd_sgadvanced=&6;dbl_ovrd=@7;xl_use_area_sgadvanced=&" "8;xl_use_area=@9;xl_area=@10;has_advanced_control=@11;sggui_pos=&12;block_typ" "e=&13;block_version=&14;sg_icon_stat=&15;sg_mask_display=&16;sg_list_contents" "=&17;sg_blockgui_xml=&18;" MaskInitialization "try\n tmp_gcb = gcb;\n tmp_gcbh = gcbh;\n" " xlMungeMaskParams;\n block_config = 'Sysgen:Relational_config';\n seriali" "zed_declarations = '{''dbl_ovrd''=>''Int'',''en''=>''Int'',''latency''=>''Int" "'',''mode''=>''Int''}';\n ptable_ = xlblockprep(get_param(tmp_gcb, 'MaskWSVa" "riables'));\ncatch\n global xl_report_errors;\n if(~isempty(xl_report_error" "s) && xl_report_errors)\n e = regexprep(lasterr, '\\n', '\\nError: ');\n " " disp(['Error: While running MaskInit code on block ' tmp_gcb ': ' e]);\n " " error(e);\n end\nend\n" MaskDisplay "fprintf('','COMMENT: begin icon graphics');" "\npatch([0 55 55 0 ],[0 0 56 56 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13 28 " "32 36 52 40 28 19 32 19 28 40 52 36 32 28 13 ],[6 15 28 41 50 50 46 50 50 38 " "50 41 28 15 6 18 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 0 55 55 0 ],[0 56 5" "6 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: be" "gin icon text');\ncolor('black');port_label('input',1,'a');\ncolor('black');p" "ort_label('input',2,'b');\ncolor('black');disp('\\newline\\bf{a\n \n \n \n \n \n \n " "\n \n \n \n \n \n \n \n \n \n b\" " "/>\n \n =b\" />\n \n \n \n " " \n \n \n \n \n \n \n \n \n \n " " \n \n \n \n \n \n\n" MaskTabNameString ",,,,,,,,,,,,,,,,," } Block { BlockType InportShadow Name "Reset1" Position [1505, 958, 1535, 972] Port "6" IconDisplay "Port number" LatchByDelayingOutsideSignal off LatchByCopyingInsideSignal off } Block { BlockType InportShadow Name "Reset2" Position [1085, 873, 1115, 887] Port "6" IconDisplay "Port number" LatchByDelayingOutsideSignal off LatchByCopyingInsideSignal off } Block { BlockType InportShadow Name "Reset3" Position [1210, 803, 1240, 817] Port "6" IconDisplay "Port number" LatchByDelayingOutsideSignal off LatchByCopyingInsideSignal off } Block { BlockType Reference Name "SMWOAddr_gwin_slice_sm1" Ports [1, 1] Position [1220, 370, 1270, 390] SourceBlock "xbsIndex_r4/Slice" SourceType "Xilinx Bit Slice Extractor Block" infoedit "Extracts a given range of bits from each in" "put sample and presents it at the output. The output type is ordinarily unsi" "gned with binary point at zero, but can be Boolean when the slice is one bit " "wide.

Hardware notes: In hardware this block costs nothing." nbits "8" boolean_output off mode "Lower Bit Location + Width" bit1 "0" base1 "MSB of Input" bit0 "0" base0 "LSB of Input" dbl_ovrd off has_advanced_control "0" sggui_pos "20,20,516,446" block_type "slice" block_version "VER_STRING_GOES_HERE" sg_icon_stat "50,20,1,1,white,blue,0,fe24a11e" sg_mask_display "fprintf('','COMMENT: begin icon graphics ')" ";\npatch([0 50 50 0 ],[0 0 20 20 ],[0.77 0.82 0.91]);\npatch([19 16 21 16 19 " "24 25 26 32 28 24 21 26 21 24 28 32 26 25 24 19 ],[2 5 10 15 18 18 17 18 18 1" "4 18 15 10 5 2 6 2 2 3 2 2 ],[0.98 0.96 0.92]);\nplot([0 0 50 50 0 ],[0 20 20" " 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\n\nfprintf('','COMMENT: b" "egin icon text ');\ncolor('black');port_label('output',1,'[a:b]');\nfprintf('" "','COMMENT: end icon text');\n" } Block { BlockType From Name "SMWOAddr_gwin_sm1" Position [1115, 370, 1190, 390] GotoTag "SMWOAddr_0" } Block { BlockType Reference Name "SMWODataI_gwin_force_sm1" Ports [1, 1] Position [1210, 405, 1260, 425] SourceBlock "xbsIndex_r4/Reinterpret" SourceType "Xilinx Type Reinterpreter Block" infoedit "Changes type of samples without altering th" "eir binary representation.

Hardware notes: In hardware this block costs " "nothing.

Example: Suppose the input is 6 bits wide, signed, with 2 frac" "tional bits, and the output is forced to unsigned with 0 fractional bits. Th" "en an input of -2.0 (1110.00 in binary 2's complement) becomes an output of 5" "6 (111000 in binary)." force_arith_type on arith_type "Unsigned" force_bin_pt off bin_pt "0" has_advanced_control "0" sggui_pos "20,20,356,331" block_type "cast" block_version "VER_STRING_GOES_HERE" sg_icon_stat "50,20,1,1,white,blue,0,8982c1db" sg_mask_display "fprintf('','COMMENT: begin icon graphics');" "\npatch([0 50 50 0 ],[0 0 20 20 ],[0.77 0.82 0.91]);\npatch([19 16 21 16 19 2" "4 25 26 32 28 24 21 26 21 24 28 32 26 25 24 19 ],[2 5 10 15 18 18 17 18 18 14" " 18 15 10 5 2 6 2 2 3 2 2 ],[0.98 0.96 0.92]);\nplot([0 0 50 50 0 ],[0 20 20 " "0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begi" "n icon text');\ncolor('black');disp('reinterpret');\nfprintf('','COMMENT: end" " icon text');\n" } Block { BlockType From Name "SMWODataI_gwin_sm1" Position [1115, 405, 1180, 425] GotoTag "SMWODataI_0" } Block { BlockType From Name "SMWOWE_gwin_sm1" Position [1055, 445, 1180, 465] GotoTag "SMWOWE_0" } Block { BlockType "S-Function" Name "SMWO_LCD_Commands" Ports [6, 2] Position [1290, 245, 1365, 410] CopyFcn "xlBlockMoveCallback(gcbh);" DeleteFcn "xlDestroyGui(gcbh);" LoadFcn "xlBlockLoadCallback(gcbh);" ModelCloseFcn "xlDestroyGui(gcbh);" PreSaveFcn "xlBlockPreSaveCallback(gcbh);" PostSaveFcn "xlBlockPostSaveCallback(gcbh);" DestroyFcn "xlDestroyGui(gcbh);" OpenFcn "xlOpenGui(gcbh, @dpramenablement, -1)" CloseFcn "xlDestroyGui(gcbh);" MoveFcn "xlBlockMoveCallback(gcbh);" FunctionName "sysgen" Parameters "ptable_" MaskType "Xilinx Dual Port Random Access Memory Block" MaskDescription "Xilinx Dual Port Random Access Memory" MaskHelp "eval('xlWeb(xlhtmldoclink(''Dual Port RAM''" "))');" MaskPromptString "Depth|Initial value vector| |Memory Type|In" "itial value for port A output register|Initial value for port B<" "/tt> output register| |Provide synchronous reset port for port A out" "put register| |Provide synchronous reset port for port B output regi" "ster| |Provide enable port for port A| |Provide enable port for port" " B|Latency| |Port A| |Port B| |Override with doubl" "es| |Optimize for| |Use core placement information| |Define FPGA area for res" "ource estimation|FPGA area [slices, FFs, BRAMs, LUTs, IOBs, emb. mults, TBUFs" "]| | | | | | | | | | | " MaskStyleString "edit,edit,edit,popup(Distributed memory|Blo" "ck RAM),edit,edit,edit,checkbox,edit,checkbox,edit,checkbox,edit,checkbox,edi" "t,edit,popup(Read After Write|Read Before Write|No Read On Write),edit,popup(" "Read After Write|Read Before Write|No Read On Write),edit,checkbox,edit,popup" "(Area|Speed),edit,checkbox,edit,checkbox,edit,edit,edit,edit,edit,edit,edit,e" "dit,edit,edit,edit,edit" MaskTunableValueString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,o" "n,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on" MaskCallbackString "||||||||||||||||||||||||||||||||||||||" MaskEnableString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,o" "n,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on" MaskVisibilityString "on,on,off,on,on,on,off,on,off,on,off,on,off" ",on,on,off,on,off,on,off,on,off,on,off,on,off,on,on,off,off,off,off,off,off,o" "ff,off,off,off,off" MaskToolTipString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,o" "n,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on" MaskVarAliasString ",,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,," MaskVariables "depth=@1;initVector=@2;distributed_mem_sgad" "vanced=&3;distributed_mem=@4;init_a=@5;init_b=@6;rst_a_sgadvanced=&7;rst_a=@8" ";rst_b_sgadvanced=&9;rst_b=@10;en_a_sgadvanced=&11;en_a=@12;en_b_sgadvanced=&" "13;en_b=@14;latency=@15;write_mode_A_sgadvanced=&16;write_mode_A=@17;write_mo" "de_B_sgadvanced=&18;write_mode_B=@19;dbl_ovrd_sgadvanced=&20;dbl_ovrd=@21;opt" "imize_sgadvanced=&22;optimize=@23;use_rpm_sgadvanced=&24;use_rpm=@25;xl_use_a" "rea_sgadvanced=&26;xl_use_area=@27;xl_area=@28;explicit_period=@29;period=@30" ";infoedit=@31;has_advanced_control=@32;sggui_pos=&33;block_type=&34;block_ver" "sion=&35;sg_icon_stat=&36;sg_mask_display=&37;sg_list_contents=&38;sg_blockgu" "i_xml=&39;" MaskInitialization "try\n tmp_gcb = gcb;\n tmp_gcbh = gcbh;\n" " xlMungeMaskParams;\n block_config = 'Sysgen:Dpram_config';\n serialized_d" "eclarations = '{''dblOvrd''=>''Int'',''depth''=>''Int'',''distributed_mem''=>" "''Int'',''en_a''=>''Int'',''en_b''=>''Int'',''init''=>''DoubleVector'',''init" "_a''=>''Double'',''init_b''=>''Double'',''latency''=>''Int'',''optimize''=>''" "Int'',''rst_a''=>''Int'',''rst_b''=>''Int'',''sim_engine''=>''Int'',''use_rpm" "''=>''Int'',''write_mode_a''=>''Int'',''write_mode_b''=>''Int''}';\n xldpram" "_init();\n ptable_ = xlblockprep(get_param(tmp_gcb, 'MaskWSVariables'));\nca" "tch\n global xl_report_errors;\n if(~isempty(xl_report_errors) && xl_report" "_errors)\n e = regexprep(lasterr, '\\n', '\\nError: ');\n disp(['Error:" " While running MaskInit code on block ' tmp_gcb ': ' e]);\n error(e);\n e" "nd\nend\n" MaskDisplay "fprintf('','COMMENT: begin icon graphics');" "\npatch([0 75 75 0 ],[0 0 165 165 ],[0.77 0.82 0.91]);\npatch([17 5 23 5 17 3" "7 42 47 68 51 35 23 40 23 35 51 68 47 42 37 17 ],[53 65 83 101 113 113 108 11" "3 113 96 112 100 83 66 54 70 53 53 58 53 53 ],[0.98 0.96 0.92]);\nplot([0 0 7" "5 75 0 ],[0 165 165 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprin" "tf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1,'addr" "a');\ncolor('black');port_label('input',2,'dina');\ncolor('black');port_label" "('input',3,'wea');\ncolor('black');port_label('input',4,'addrb');\ncolor('bla" "ck');port_label('input',5,'dinb');\ncolor('black');port_label('input',6,'web'" ");\ncolor('black');port_label('output',1,'A');\ncolor('black');port_label('ou" "tput',2,'B');\nfprintf('','COMMENT: end icon text');\n" MaskSelfModifiable on MaskIconFrame off MaskIconOpaque on MaskIconRotate "none" MaskIconUnits "autoscale" MaskValueString "2^9|hex2dec('125')*ones(2^9,1)||Block RAM|0" "|0||off||off||off||off|1||Read After Write||Read After Write||off||Area||on||" "off|[0,0,0,0,0,0,0]||||0|-1,-1,-1,-1|dpram|8.2.02|75,165,6,2,white,blue,0,239" "f35c0|fprintf('','COMMENT: begin icon graphics');\npatch([0 75 75 0 ],[0 0 16" "5 165 ],[0.77 0.82 0.91]);\npatch([17 5 23 5 17 37 42 47 68 51 35 23 40 23 35" " 51 68 47 42 37 17 ],[53 65 83 101 113 113 108 113 113 96 112 100 83 66 54 70" " 53 53 58 53 53 ],[0.98 0.96 0.92]);\nplot([0 0 75 75 0 ],[0 165 165 0 0 ]);" "\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon " "text');\ncolor('black');port_label('input',1,'addra');\ncolor('black');port_l" "abel('input',2,'dina');\ncolor('black');port_label('input',3,'wea');\ncolor('" "black');port_label('input',4,'addrb');\ncolor('black');port_label('input',5,'" "dinb');\ncolor('black');port_label('input',6,'web');\ncolor('black');port_lab" "el('output',1,'A');\ncolor('black');port_label('output',2,'B');\nfprintf('','" "COMMENT: end icon text');\n||\n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n A</tt> output register\" default=\"0\" />\n B<" "/tt> output register\" default=\"0\" />\n " "\n A</tt> output register\" default" "=\"off\" />\n B</tt> output registe" "r\" default=\"off\" />\n A</tt>\" default=\"of" "f\" />\n B</tt>\" default=\"off\" />\n \n \n \n \n \n A</tt>\" default=\"Read After" " Write\" >\n \n \n" " \n \n B</tt>\" default=\"Read After Write\" >\n \n \n \n \n \n " "\n \n \n \n \n \n \n \n \n \n \n \n \n \n \n " "\n \n \n \n \n \n \n \n \n \n \n \n\n" MaskTabNameString ",,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,," } Block { BlockType "S-Function" Name "Slice" Ports [1, 1] Position [605, 185, 665, 215] CopyFcn "xlBlockMoveCallback(gcbh);" DeleteFcn "xlDestroyGui(gcbh);" LoadFcn "xlBlockLoadCallback(gcbh);" ModelCloseFcn "xlDestroyGui(gcbh);" PreSaveFcn "xlBlockPreSaveCallback(gcbh);" PostSaveFcn "xlBlockPostSaveCallback(gcbh);" DestroyFcn "xlDestroyGui(gcbh);" OpenFcn "xlOpenGui(gcbh, @sliceenablement, -1)" CloseFcn "xlDestroyGui(gcbh);" MoveFcn "xlBlockMoveCallback(gcbh);" FunctionName "sysgen" Parameters "ptable_" MaskType "Xilinx Bit Slice Extractor Block" MaskDescription "Extracts a given range of bits from each in" "put sample and presents it at the output. The output type is ordinarily unsi" "gned with binary point at zero, but can be Boolean when the slice is one bit " "wide.\n\nHardware notes: In hardware this block costs nothing." MaskHelp "eval('xlWeb(xlhtmldoclink(''Slice''))');" MaskPromptString " |Width of slice (number of bits)| |Boolean" " output| |Specify range as|Offset of top bit| |Relative to|Offset of bottom b" "it| |Relative to| |Override with doubles| | | | | | | | " MaskStyleString "edit,edit,edit,checkbox,edit,popup(Two Bit " "Locations|Upper Bit Location + Width|Lower Bit Location + Width),edit,edit,po" "pup(LSB of Input|Binary Point of Input|MSB of Input),edit,edit,popup(LSB of I" "nput|Binary Point of Input|MSB of Input),edit,checkbox,edit,edit,edit,edit,ed" "it,edit,edit,edit" MaskTunableValueString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,o" "n,on,on,on,on,on,on,on" MaskCallbackString "|||||||||||||||||||||" MaskEnableString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,o" "n,on,on,on,on,on,on,on" MaskVisibilityString "off,on,off,on,off,on,on,off,on,on,off,on,of" "f,on,off,off,off,off,off,off,off,off" MaskToolTipString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,o" "n,on,on,on,on,on,on,on" MaskVarAliasString ",,,,,,,,,,,,,,,,,,,,," MaskVariables "infoedit=&1;nbits=@2;boolean_output_sgadvan" "ced=&3;boolean_output=@4;mode_sgadvanced=&5;mode=@6;bit1=@7;base1_sgadvanced=" "&8;base1=@9;bit0=@10;base0_sgadvanced=&11;base0=@12;dbl_ovrd_sgadvanced=&13;d" "bl_ovrd=@14;has_advanced_control=@15;sggui_pos=&16;block_type=&17;block_versi" "on=&18;sg_icon_stat=&19;sg_mask_display=&20;sg_list_contents=&21;sg_blockgui_" "xml=&22;" MaskInitialization "try\n tmp_gcb = gcb;\n tmp_gcbh = gcbh;\n" " xlMungeMaskParams;\n block_config = 'Sysgen:Slice_config';\n serialized_d" "eclarations = '{''base0''=>''Int'',''base1''=>''Int'',''bit0''=>''Int'',''bit" "1''=>''Int'',''boolean_output''=>''Int'',''mode''=>''Int'',''nbits''=>''Int''" ",''sim_engine''=>''Int'',''sysgen_root''=>''String''}';\n xlslice_init();\n " " ptable_ = xlblockprep(get_param(tmp_gcb, 'MaskWSVariables'));\ncatch\n glob" "al xl_report_errors;\n if(~isempty(xl_report_errors) && xl_report_errors)\n " " e = regexprep(lasterr, '\\n', '\\nError: ');\n disp(['Error: While runn" "ing MaskInit code on block ' tmp_gcb ': ' e]);\n error(e);\n end\nend\n" MaskDisplay "fprintf('','COMMENT: begin icon graphics');" "\npatch([0 60 60 0 ],[0 0 30 30 ],[0.77 0.82 0.91]);\npatch([22 17 24 17 22 3" "0 32 34 42 35 29 24 30 24 29 35 42 34 32 30 22 ],[3 8 15 22 27 27 25 27 27 20" " 26 21 15 9 4 10 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 0 60 60 0 ],[0 30 30" " 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: beg" "in icon text');\ncolor('black');port_label('output',1,'[a:b]');\nfprintf('','" "COMMENT: end icon text');\n" MaskSelfModifiable on MaskIconFrame off MaskIconOpaque on MaskIconRotate "none" MaskIconUnits "autoscale" MaskValueString "Extracts a given range of bits from each in" "put sample and presents it at the output. The output type is ordinarily unsi" "gned with binary point at zero, but can be Boolean when the slice is one bit " "wide.

Hardware notes: In hardware this block costs nothing.|6||off||Lowe" "r Bit Location + Width|0||MSB of Input|1||LSB of Input||off|0|20,20,442,407|s" "lice|8.2.02|60,30,1,1,white,blue,0,fe24a11e|fprintf('','COMMENT: begin icon g" "raphics');\npatch([0 60 60 0 ],[0 0 30 30 ],[0.77 0.82 0.91]);\npatch([22 17 " "24 17 22 30 32 34 42 35 29 24 30 24 29 35 42 34 32 30 22 ],[3 8 15 22 27 27 2" "5 27 27 20 26 21 15 9 4 10 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 0 60 60 0 " "],[0 30 30 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','CO" "MMENT: begin icon text');\ncolor('black');port_label('output',1,'[a:b]');\nfp" "rintf('','COMMENT: end icon text');\n||\n \n \n \n \n \n \n \n \n \n \n " "\n &" "lt;P>Hardware notes: In hardware this block costs nothing.\" />\n " "\n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n " "\n \n \n " "\n \n \n \n \n \n " "\n \n \n \n \n \n<" "/sysgenblock>\n" MaskTabNameString ",,,,,,,,,,,,,,,,,,,,," } Block { BlockType "S-Function" Name "Slice1" Ports [1, 1] Position [605, 235, 665, 265] CopyFcn "xlBlockMoveCallback(gcbh);" DeleteFcn "xlDestroyGui(gcbh);" LoadFcn "xlBlockLoadCallback(gcbh);" ModelCloseFcn "xlDestroyGui(gcbh);" PreSaveFcn "xlBlockPreSaveCallback(gcbh);" PostSaveFcn "xlBlockPostSaveCallback(gcbh);" DestroyFcn "xlDestroyGui(gcbh);" OpenFcn "xlOpenGui(gcbh, @sliceenablement, -1)" CloseFcn "xlDestroyGui(gcbh);" MoveFcn "xlBlockMoveCallback(gcbh);" FunctionName "sysgen" Parameters "ptable_" MaskType "Xilinx Bit Slice Extractor Block" MaskDescription "Extracts a given range of bits from each in" "put sample and presents it at the output. The output type is ordinarily unsi" "gned with binary point at zero, but can be Boolean when the slice is one bit " "wide.\n\nHardware notes: In hardware this block costs nothing." MaskHelp "eval('xlWeb(xlhtmldoclink(''Slice''))');" MaskPromptString " |Width of slice (number of bits)| |Boolean" " output| |Specify range as|Offset of top bit| |Relative to|Offset of bottom b" "it| |Relative to| |Override with doubles| | | | | | | | " MaskStyleString "edit,edit,edit,checkbox,edit,popup(Two Bit " "Locations|Upper Bit Location + Width|Lower Bit Location + Width),edit,edit,po" "pup(LSB of Input|Binary Point of Input|MSB of Input),edit,edit,popup(LSB of I" "nput|Binary Point of Input|MSB of Input),edit,checkbox,edit,edit,edit,edit,ed" "it,edit,edit,edit" MaskTunableValueString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,o" "n,on,on,on,on,on,on,on" MaskCallbackString "|||||||||||||||||||||" MaskEnableString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,o" "n,on,on,on,on,on,on,on" MaskVisibilityString "off,on,off,on,off,on,on,off,on,on,off,on,of" "f,on,off,off,off,off,off,off,off,off" MaskToolTipString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,o" "n,on,on,on,on,on,on,on" MaskVarAliasString ",,,,,,,,,,,,,,,,,,,,," MaskVariables "infoedit=&1;nbits=@2;boolean_output_sgadvan" "ced=&3;boolean_output=@4;mode_sgadvanced=&5;mode=@6;bit1=@7;base1_sgadvanced=" "&8;base1=@9;bit0=@10;base0_sgadvanced=&11;base0=@12;dbl_ovrd_sgadvanced=&13;d" "bl_ovrd=@14;has_advanced_control=@15;sggui_pos=&16;block_type=&17;block_versi" "on=&18;sg_icon_stat=&19;sg_mask_display=&20;sg_list_contents=&21;sg_blockgui_" "xml=&22;" MaskInitialization "try\n tmp_gcb = gcb;\n tmp_gcbh = gcbh;\n" " xlMungeMaskParams;\n block_config = 'Sysgen:Slice_config';\n serialized_d" "eclarations = '{''base0''=>''Int'',''base1''=>''Int'',''bit0''=>''Int'',''bit" "1''=>''Int'',''boolean_output''=>''Int'',''mode''=>''Int'',''nbits''=>''Int''" ",''sim_engine''=>''Int'',''sysgen_root''=>''String''}';\n xlslice_init();\n " " ptable_ = xlblockprep(get_param(tmp_gcb, 'MaskWSVariables'));\ncatch\n glob" "al xl_report_errors;\n if(~isempty(xl_report_errors) && xl_report_errors)\n " " e = regexprep(lasterr, '\\n', '\\nError: ');\n disp(['Error: While runn" "ing MaskInit code on block ' tmp_gcb ': ' e]);\n error(e);\n end\nend\n" MaskDisplay "fprintf('','COMMENT: begin icon graphics');" "\npatch([0 60 60 0 ],[0 0 30 30 ],[0.77 0.82 0.91]);\npatch([22 17 24 17 22 3" "0 32 34 42 35 29 24 30 24 29 35 42 34 32 30 22 ],[3 8 15 22 27 27 25 27 27 20" " 26 21 15 9 4 10 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 0 60 60 0 ],[0 30 30" " 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: beg" "in icon text');\ncolor('black');port_label('output',1,'[a:b]');\nfprintf('','" "COMMENT: end icon text');\n" MaskSelfModifiable on MaskIconFrame off MaskIconOpaque on MaskIconRotate "none" MaskIconUnits "autoscale" MaskValueString "Extracts a given range of bits from each in" "put sample and presents it at the output. The output type is ordinarily unsi" "gned with binary point at zero, but can be Boolean when the slice is one bit " "wide.

Hardware notes: In hardware this block costs nothing.|1||off||Lowe" "r Bit Location + Width|0||MSB of Input|0||LSB of Input||off|0|20,20,442,407|s" "lice|8.2.02|60,30,1,1,white,blue,0,fe24a11e|fprintf('','COMMENT: begin icon g" "raphics');\npatch([0 60 60 0 ],[0 0 30 30 ],[0.77 0.82 0.91]);\npatch([22 17 " "24 17 22 30 32 34 42 35 29 24 30 24 29 35 42 34 32 30 22 ],[3 8 15 22 27 27 2" "5 27 27 20 26 21 15 9 4 10 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 0 60 60 0 " "],[0 30 30 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','CO" "MMENT: begin icon text');\ncolor('black');port_label('output',1,'[a:b]');\nfp" "rintf('','COMMENT: end icon text');\n||\n \n \n \n \n \n \n \n \n \n \n " "\n &" "lt;P>Hardware notes: In hardware this block costs nothing.\" />\n " "\n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n " "\n \n \n " "\n \n \n \n \n \n " "\n \n \n \n \n \n<" "/sysgenblock>\n" MaskTabNameString ",,,,,,,,,,,,,,,,,,,,," } Block { BlockType "S-Function" Name "Slice2" Ports [1, 1] Position [1715, 470, 1775, 500] CopyFcn "xlBlockMoveCallback(gcbh);" DeleteFcn "xlDestroyGui(gcbh);" LoadFcn "xlBlockLoadCallback(gcbh);" ModelCloseFcn "xlDestroyGui(gcbh);" PreSaveFcn "xlBlockPreSaveCallback(gcbh);" PostSaveFcn "xlBlockPostSaveCallback(gcbh);" DestroyFcn "xlDestroyGui(gcbh);" OpenFcn "xlOpenGui(gcbh, @sliceenablement, -1)" CloseFcn "xlDestroyGui(gcbh);" MoveFcn "xlBlockMoveCallback(gcbh);" FunctionName "sysgen" Parameters "ptable_" MaskType "Xilinx Bit Slice Extractor Block" MaskDescription "Extracts a given range of bits from each in" "put sample and presents it at the output. The output type is ordinarily unsi" "gned with binary point at zero, but can be Boolean when the slice is one bit " "wide.\n\nHardware notes: In hardware this block costs nothing." MaskHelp "eval('xlWeb(xlhtmldoclink(''Slice''))');" MaskPromptString " |Width of slice (number of bits)| |Boolean" " output| |Specify range as|Offset of top bit| |Relative to|Offset of bottom b" "it| |Relative to| |Override with doubles| | | | | | | | " MaskStyleString "edit,edit,edit,checkbox,edit,popup(Two Bit " "Locations|Upper Bit Location + Width|Lower Bit Location + Width),edit,edit,po" "pup(LSB of Input|Binary Point of Input|MSB of Input),edit,edit,popup(LSB of I" "nput|Binary Point of Input|MSB of Input),edit,checkbox,edit,edit,edit,edit,ed" "it,edit,edit,edit" MaskTunableValueString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,o" "n,on,on,on,on,on,on,on" MaskCallbackString "|||||||||||||||||||||" MaskEnableString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,o" "n,on,on,on,on,on,on,on" MaskVisibilityString "off,on,off,on,off,on,on,off,on,on,off,on,of" "f,on,off,off,off,off,off,off,off,off" MaskToolTipString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,o" "n,on,on,on,on,on,on,on" MaskVarAliasString ",,,,,,,,,,,,,,,,,,,,," MaskVariables "infoedit=&1;nbits=@2;boolean_output_sgadvan" "ced=&3;boolean_output=@4;mode_sgadvanced=&5;mode=@6;bit1=@7;base1_sgadvanced=" "&8;base1=@9;bit0=@10;base0_sgadvanced=&11;base0=@12;dbl_ovrd_sgadvanced=&13;d" "bl_ovrd=@14;has_advanced_control=@15;sggui_pos=&16;block_type=&17;block_versi" "on=&18;sg_icon_stat=&19;sg_mask_display=&20;sg_list_contents=&21;sg_blockgui_" "xml=&22;" MaskInitialization "try\n tmp_gcb = gcb;\n tmp_gcbh = gcbh;\n" " xlMungeMaskParams;\n block_config = 'Sysgen:Slice_config';\n serialized_d" "eclarations = '{''base0''=>''Int'',''base1''=>''Int'',''bit0''=>''Int'',''bit" "1''=>''Int'',''boolean_output''=>''Int'',''mode''=>''Int'',''nbits''=>''Int''" ",''sim_engine''=>''Int'',''sysgen_root''=>''String''}';\n xlslice_init();\n " " ptable_ = xlblockprep(get_param(tmp_gcb, 'MaskWSVariables'));\ncatch\n glob" "al xl_report_errors;\n if(~isempty(xl_report_errors) && xl_report_errors)\n " " e = regexprep(lasterr, '\\n', '\\nError: ');\n disp(['Error: While runn" "ing MaskInit code on block ' tmp_gcb ': ' e]);\n error(e);\n end\nend\n" MaskDisplay "fprintf('','COMMENT: begin icon graphics');" "\npatch([0 60 60 0 ],[0 0 30 30 ],[0.77 0.82 0.91]);\npatch([22 17 24 17 22 3" "0 32 34 42 35 29 24 30 24 29 35 42 34 32 30 22 ],[3 8 15 22 27 27 25 27 27 20" " 26 21 15 9 4 10 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 0 60 60 0 ],[0 30 30" " 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: beg" "in icon text');\ncolor('black');port_label('output',1,'[a:b]');\nfprintf('','" "COMMENT: end icon text');\n" MaskSelfModifiable on MaskIconFrame off MaskIconOpaque on MaskIconRotate "none" MaskIconUnits "autoscale" MaskValueString "Extracts a given range of bits from each in" "put sample and presents it at the output. The output type is ordinarily unsi" "gned with binary point at zero, but can be Boolean when the slice is one bit " "wide.

Hardware notes: In hardware this block costs nothing.|8||off||Lowe" "r Bit Location + Width|0||MSB of Input|0||LSB of Input||off|0|20,20,442,407|s" "lice|8.2.02|60,30,1,1,white,blue,0,fe24a11e|fprintf('','COMMENT: begin icon g" "raphics');\npatch([0 60 60 0 ],[0 0 30 30 ],[0.77 0.82 0.91]);\npatch([22 17 " "24 17 22 30 32 34 42 35 29 24 30 24 29 35 42 34 32 30 22 ],[3 8 15 22 27 27 2" "5 27 27 20 26 21 15 9 4 10 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 0 60 60 0 " "],[0 30 30 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','CO" "MMENT: begin icon text');\ncolor('black');port_label('output',1,'[a:b]');\nfp" "rintf('','COMMENT: end icon text');\n||\n \n \n \n \n \n \n \n \n \n \n " "\n &" "lt;P>Hardware notes: In hardware this block costs nothing.\" />\n " "\n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n " "\n \n \n " "\n \n \n \n \n \n " "\n \n \n \n \n \n<" "/sysgenblock>\n" MaskTabNameString ",,,,,,,,,,,,,,,,,,,,," } Block { BlockType "S-Function" Name "Slice3" Ports [1, 1] Position [1715, 420, 1775, 450] CopyFcn "xlBlockMoveCallback(gcbh);" DeleteFcn "xlDestroyGui(gcbh);" LoadFcn "xlBlockLoadCallback(gcbh);" ModelCloseFcn "xlDestroyGui(gcbh);" PreSaveFcn "xlBlockPreSaveCallback(gcbh);" PostSaveFcn "xlBlockPostSaveCallback(gcbh);" DestroyFcn "xlDestroyGui(gcbh);" OpenFcn "xlOpenGui(gcbh, @sliceenablement, -1)" CloseFcn "xlDestroyGui(gcbh);" MoveFcn "xlBlockMoveCallback(gcbh);" FunctionName "sysgen" Parameters "ptable_" MaskType "Xilinx Bit Slice Extractor Block" MaskDescription "Extracts a given range of bits from each in" "put sample and presents it at the output. The output type is ordinarily unsi" "gned with binary point at zero, but can be Boolean when the slice is one bit " "wide.\n\nHardware notes: In hardware this block costs nothing." MaskHelp "eval('xlWeb(xlhtmldoclink(''Slice''))');" MaskPromptString " |Width of slice (number of bits)| |Boolean" " output| |Specify range as|Offset of top bit| |Relative to|Offset of bottom b" "it| |Relative to| |Override with doubles| | | | | | | | " MaskStyleString "edit,edit,edit,checkbox,edit,popup(Two Bit " "Locations|Upper Bit Location + Width|Lower Bit Location + Width),edit,edit,po" "pup(LSB of Input|Binary Point of Input|MSB of Input),edit,edit,popup(LSB of I" "nput|Binary Point of Input|MSB of Input),edit,checkbox,edit,edit,edit,edit,ed" "it,edit,edit,edit" MaskTunableValueString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,o" "n,on,on,on,on,on,on,on" MaskCallbackString "|||||||||||||||||||||" MaskEnableString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,o" "n,on,on,on,on,on,on,on" MaskVisibilityString "off,on,off,on,off,on,on,off,on,on,off,on,of" "f,on,off,off,off,off,off,off,off,off" MaskToolTipString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,o" "n,on,on,on,on,on,on,on" MaskVarAliasString ",,,,,,,,,,,,,,,,,,,,," MaskVariables "infoedit=&1;nbits=@2;boolean_output_sgadvan" "ced=&3;boolean_output=@4;mode_sgadvanced=&5;mode=@6;bit1=@7;base1_sgadvanced=" "&8;base1=@9;bit0=@10;base0_sgadvanced=&11;base0=@12;dbl_ovrd_sgadvanced=&13;d" "bl_ovrd=@14;has_advanced_control=@15;sggui_pos=&16;block_type=&17;block_versi" "on=&18;sg_icon_stat=&19;sg_mask_display=&20;sg_list_contents=&21;sg_blockgui_" "xml=&22;" MaskInitialization "try\n tmp_gcb = gcb;\n tmp_gcbh = gcbh;\n" " xlMungeMaskParams;\n block_config = 'Sysgen:Slice_config';\n serialized_d" "eclarations = '{''base0''=>''Int'',''base1''=>''Int'',''bit0''=>''Int'',''bit" "1''=>''Int'',''boolean_output''=>''Int'',''mode''=>''Int'',''nbits''=>''Int''" ",''sim_engine''=>''Int'',''sysgen_root''=>''String''}';\n xlslice_init();\n " " ptable_ = xlblockprep(get_param(tmp_gcb, 'MaskWSVariables'));\ncatch\n glob" "al xl_report_errors;\n if(~isempty(xl_report_errors) && xl_report_errors)\n " " e = regexprep(lasterr, '\\n', '\\nError: ');\n disp(['Error: While runn" "ing MaskInit code on block ' tmp_gcb ': ' e]);\n error(e);\n end\nend\n" MaskDisplay "fprintf('','COMMENT: begin icon graphics');" "\npatch([0 60 60 0 ],[0 0 30 30 ],[0.77 0.82 0.91]);\npatch([22 17 24 17 22 3" "0 32 34 42 35 29 24 30 24 29 35 42 34 32 30 22 ],[3 8 15 22 27 27 25 27 27 20" " 26 21 15 9 4 10 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 0 60 60 0 ],[0 30 30" " 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: beg" "in icon text');\ncolor('black');port_label('output',1,'[a:b]');\nfprintf('','" "COMMENT: end icon text');\n" MaskSelfModifiable on MaskIconFrame off MaskIconOpaque on MaskIconRotate "none" MaskIconUnits "autoscale" MaskValueString "Extracts a given range of bits from each in" "put sample and presents it at the output. The output type is ordinarily unsi" "gned with binary point at zero, but can be Boolean when the slice is one bit " "wide.

Hardware notes: In hardware this block costs nothing.|1||off||Lowe" "r Bit Location + Width|0||MSB of Input|8||LSB of Input||off|0|20,20,442,407|s" "lice|8.2.02|60,30,1,1,white,blue,0,fe24a11e|fprintf('','COMMENT: begin icon g" "raphics');\npatch([0 60 60 0 ],[0 0 30 30 ],[0.77 0.82 0.91]);\npatch([22 17 " "24 17 22 30 32 34 42 35 29 24 30 24 29 35 42 34 32 30 22 ],[3 8 15 22 27 27 2" "5 27 27 20 26 21 15 9 4 10 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 0 60 60 0 " "],[0 30 30 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','CO" "MMENT: begin icon text');\ncolor('black');port_label('output',1,'[a:b]');\nfp" "rintf('','COMMENT: end icon text');\n||\n \n \n \n \n \n \n \n \n \n \n " "\n &" "lt;P>Hardware notes: In hardware this block costs nothing.\" />\n " "\n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n " "\n \n \n " "\n \n \n \n \n \n " "\n \n \n \n \n \n<" "/sysgenblock>\n" MaskTabNameString ",,,,,,,,,,,,,,,,,,,,," } Block { BlockType "S-Function" Name "Slice4" Ports [1, 1] Position [1235, 880, 1295, 910] CopyFcn "xlBlockMoveCallback(gcbh);" DeleteFcn "xlDestroyGui(gcbh);" LoadFcn "xlBlockLoadCallback(gcbh);" ModelCloseFcn "xlDestroyGui(gcbh);" PreSaveFcn "xlBlockPreSaveCallback(gcbh);" PostSaveFcn "xlBlockPostSaveCallback(gcbh);" DestroyFcn "xlDestroyGui(gcbh);" OpenFcn "xlOpenGui(gcbh, @sliceenablement, -1)" CloseFcn "xlDestroyGui(gcbh);" MoveFcn "xlBlockMoveCallback(gcbh);" FunctionName "sysgen" Parameters "ptable_" MaskType "Xilinx Bit Slice Extractor Block" MaskDescription "Extracts a given range of bits from each in" "put sample and presents it at the output. The output type is ordinarily unsi" "gned with binary point at zero, but can be Boolean when the slice is one bit " "wide.\n\nHardware notes: In hardware this block costs nothing." MaskHelp "eval('xlWeb(xlhtmldoclink(''Slice''))');" MaskPromptString " |Width of slice (number of bits)| |Boolean" " output| |Specify range as|Offset of top bit| |Relative to|Offset of bottom b" "it| |Relative to| |Override with doubles| | | | | | | | " MaskStyleString "edit,edit,edit,checkbox,edit,popup(Two Bit " "Locations|Upper Bit Location + Width|Lower Bit Location + Width),edit,edit,po" "pup(LSB of Input|Binary Point of Input|MSB of Input),edit,edit,popup(LSB of I" "nput|Binary Point of Input|MSB of Input),edit,checkbox,edit,edit,edit,edit,ed" "it,edit,edit,edit" MaskTunableValueString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,o" "n,on,on,on,on,on,on,on" MaskCallbackString "|||||||||||||||||||||" MaskEnableString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,o" "n,on,on,on,on,on,on,on" MaskVisibilityString "off,on,off,on,off,on,on,off,on,on,off,on,of" "f,on,off,off,off,off,off,off,off,off" MaskToolTipString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,o" "n,on,on,on,on,on,on,on" MaskVarAliasString ",,,,,,,,,,,,,,,,,,,,," MaskVariables "infoedit=&1;nbits=@2;boolean_output_sgadvan" "ced=&3;boolean_output=@4;mode_sgadvanced=&5;mode=@6;bit1=@7;base1_sgadvanced=" "&8;base1=@9;bit0=@10;base0_sgadvanced=&11;base0=@12;dbl_ovrd_sgadvanced=&13;d" "bl_ovrd=@14;has_advanced_control=@15;sggui_pos=&16;block_type=&17;block_versi" "on=&18;sg_icon_stat=&19;sg_mask_display=&20;sg_list_contents=&21;sg_blockgui_" "xml=&22;" MaskInitialization "try\n tmp_gcb = gcb;\n tmp_gcbh = gcbh;\n" " xlMungeMaskParams;\n block_config = 'Sysgen:Slice_config';\n serialized_d" "eclarations = '{''base0''=>''Int'',''base1''=>''Int'',''bit0''=>''Int'',''bit" "1''=>''Int'',''boolean_output''=>''Int'',''mode''=>''Int'',''nbits''=>''Int''" ",''sim_engine''=>''Int'',''sysgen_root''=>''String''}';\n xlslice_init();\n " " ptable_ = xlblockprep(get_param(tmp_gcb, 'MaskWSVariables'));\ncatch\n glob" "al xl_report_errors;\n if(~isempty(xl_report_errors) && xl_report_errors)\n " " e = regexprep(lasterr, '\\n', '\\nError: ');\n disp(['Error: While runn" "ing MaskInit code on block ' tmp_gcb ': ' e]);\n error(e);\n end\nend\n" MaskDisplay "fprintf('','COMMENT: begin icon graphics');" "\npatch([0 60 60 0 ],[0 0 30 30 ],[0.77 0.82 0.91]);\npatch([22 17 24 17 22 3" "0 32 34 42 35 29 24 30 24 29 35 42 34 32 30 22 ],[3 8 15 22 27 27 25 27 27 20" " 26 21 15 9 4 10 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 0 60 60 0 ],[0 30 30" " 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: beg" "in icon text');\ncolor('black');port_label('output',1,'[a:b]');\nfprintf('','" "COMMENT: end icon text');\n" MaskSelfModifiable on MaskIconFrame off MaskIconOpaque on MaskIconRotate "none" MaskIconUnits "autoscale" MaskValueString "Extracts a given range of bits from each in" "put sample and presents it at the output. The output type is ordinarily unsi" "gned with binary point at zero, but can be Boolean when the slice is one bit " "wide.

Hardware notes: In hardware this block costs nothing.|1||off||Uppe" "r Bit Location + Width|0||MSB of Input|1||LSB of Input||off|0|20,20,442,407|s" "lice|8.2.02|60,30,1,1,white,blue,0,fe24a11e|fprintf('','COMMENT: begin icon g" "raphics');\npatch([0 60 60 0 ],[0 0 30 30 ],[0.77 0.82 0.91]);\npatch([22 17 " "24 17 22 30 32 34 42 35 29 24 30 24 29 35 42 34 32 30 22 ],[3 8 15 22 27 27 2" "5 27 27 20 26 21 15 9 4 10 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 0 60 60 0 " "],[0 30 30 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','CO" "MMENT: begin icon text');\ncolor('black');port_label('output',1,'[a:b]');\nfp" "rintf('','COMMENT: end icon text');\n||\n \n \n \n \n \n \n \n \n \n \n " "\n &" "lt;P>Hardware notes: In hardware this block costs nothing.\" />\n " "\n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n " "\n \n \n " "\n \n \n \n \n \n " "\n \n \n \n \n \n<" "/sysgenblock>\n" MaskTabNameString ",,,,,,,,,,,,,,,,,,,,," } Block { BlockType "S-Function" Name "Slice5" Ports [1, 1] Position [1430, 275, 1490, 305] CopyFcn "xlBlockMoveCallback(gcbh);" DeleteFcn "xlDestroyGui(gcbh);" LoadFcn "xlBlockLoadCallback(gcbh);" ModelCloseFcn "xlDestroyGui(gcbh);" PreSaveFcn "xlBlockPreSaveCallback(gcbh);" PostSaveFcn "xlBlockPostSaveCallback(gcbh);" DestroyFcn "xlDestroyGui(gcbh);" OpenFcn "xlOpenGui(gcbh, @sliceenablement, -1)" CloseFcn "xlDestroyGui(gcbh);" MoveFcn "xlBlockMoveCallback(gcbh);" FunctionName "sysgen" Parameters "ptable_" MaskType "Xilinx Bit Slice Extractor Block" MaskDescription "Extracts a given range of bits from each in" "put sample and presents it at the output. The output type is ordinarily unsi" "gned with binary point at zero, but can be Boolean when the slice is one bit " "wide.\n\nHardware notes: In hardware this block costs nothing." MaskHelp "eval('xlWeb(xlhtmldoclink(''Slice''))');" MaskPromptString " |Width of slice (number of bits)| |Boolean" " output| |Specify range as|Offset of top bit| |Relative to|Offset of bottom b" "it| |Relative to| |Override with doubles| | | | | | | | " MaskStyleString "edit,edit,edit,checkbox,edit,popup(Two Bit " "Locations|Upper Bit Location + Width|Lower Bit Location + Width),edit,edit,po" "pup(LSB of Input|Binary Point of Input|MSB of Input),edit,edit,popup(LSB of I" "nput|Binary Point of Input|MSB of Input),edit,checkbox,edit,edit,edit,edit,ed" "it,edit,edit,edit" MaskTunableValueString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,o" "n,on,on,on,on,on,on,on" MaskCallbackString "|||||||||||||||||||||" MaskEnableString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,o" "n,on,on,on,on,on,on,on" MaskVisibilityString "off,on,off,on,off,on,on,off,on,on,off,on,of" "f,on,off,off,off,off,off,off,off,off" MaskToolTipString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,o" "n,on,on,on,on,on,on,on" MaskVarAliasString ",,,,,,,,,,,,,,,,,,,,," MaskVariables "infoedit=&1;nbits=@2;boolean_output_sgadvan" "ced=&3;boolean_output=@4;mode_sgadvanced=&5;mode=@6;bit1=@7;base1_sgadvanced=" "&8;base1=@9;bit0=@10;base0_sgadvanced=&11;base0=@12;dbl_ovrd_sgadvanced=&13;d" "bl_ovrd=@14;has_advanced_control=@15;sggui_pos=&16;block_type=&17;block_versi" "on=&18;sg_icon_stat=&19;sg_mask_display=&20;sg_list_contents=&21;sg_blockgui_" "xml=&22;" MaskInitialization "try\n tmp_gcb = gcb;\n tmp_gcbh = gcbh;\n" " xlMungeMaskParams;\n block_config = 'Sysgen:Slice_config';\n serialized_d" "eclarations = '{''base0''=>''Int'',''base1''=>''Int'',''bit0''=>''Int'',''bit" "1''=>''Int'',''boolean_output''=>''Int'',''mode''=>''Int'',''nbits''=>''Int''" ",''sim_engine''=>''Int'',''sysgen_root''=>''String''}';\n xlslice_init();\n " " ptable_ = xlblockprep(get_param(tmp_gcb, 'MaskWSVariables'));\ncatch\n glob" "al xl_report_errors;\n if(~isempty(xl_report_errors) && xl_report_errors)\n " " e = regexprep(lasterr, '\\n', '\\nError: ');\n disp(['Error: While runn" "ing MaskInit code on block ' tmp_gcb ': ' e]);\n error(e);\n end\nend\n" MaskDisplay "fprintf('','COMMENT: begin icon graphics');" "\npatch([0 60 60 0 ],[0 0 30 30 ],[0.77 0.82 0.91]);\npatch([22 17 24 17 22 3" "0 32 34 42 35 29 24 30 24 29 35 42 34 32 30 22 ],[3 8 15 22 27 27 25 27 27 20" " 26 21 15 9 4 10 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 0 60 60 0 ],[0 30 30" " 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: beg" "in icon text');\ncolor('black');port_label('output',1,'[a:b]');\nfprintf('','" "COMMENT: end icon text');\n" MaskSelfModifiable on MaskIconFrame off MaskIconOpaque on MaskIconRotate "none" MaskIconUnits "autoscale" MaskValueString "Extracts a given range of bits from each in" "put sample and presents it at the output. The output type is ordinarily unsi" "gned with binary point at zero, but can be Boolean when the slice is one bit " "wide.

Hardware notes: In hardware this block costs nothing.|9||off||Lowe" "r Bit Location + Width|0||MSB of Input|0||LSB of Input||off|0|20,20,442,407|s" "lice|8.2.02|60,30,1,1,white,blue,0,fe24a11e|fprintf('','COMMENT: begin icon g" "raphics');\npatch([0 60 60 0 ],[0 0 30 30 ],[0.77 0.82 0.91]);\npatch([22 17 " "24 17 22 30 32 34 42 35 29 24 30 24 29 35 42 34 32 30 22 ],[3 8 15 22 27 27 2" "5 27 27 20 26 21 15 9 4 10 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 0 60 60 0 " "],[0 30 30 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','CO" "MMENT: begin icon text');\ncolor('black');port_label('output',1,'[a:b]');\nfp" "rintf('','COMMENT: end icon text');\n||\n \n \n \n \n \n \n \n \n \n \n " "\n &" "lt;P>Hardware notes: In hardware this block costs nothing.\" />\n " "\n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n " "\n \n \n " "\n \n \n \n \n \n " "\n \n \n \n \n \n<" "/sysgenblock>\n" MaskTabNameString ",,,,,,,,,,,,,,,,,,,,," } Block { BlockType Outport Name "DataToSend" Position [2005, 453, 2035, 467] IconDisplay "Port number" BusOutputAsStruct off } Block { BlockType Outport Name "StartTransfer" Position [1165, 583, 1195, 597] Port "2" IconDisplay "Port number" BusOutputAsStruct off } Block { BlockType Outport Name "CmdsDone" Position [1695, 908, 1725, 922] Port "3" IconDisplay "Port number" BusOutputAsStruct off } Line { SrcBlock "Constant1" SrcPort 1 Points [0, 0] DstBlock "SMWO_LCD_Commands" DstPort 3 } Line { SrcBlock "Constant" SrcPort 1 Points [35, 0; 0, 20] DstBlock "SMWO_LCD_Commands" DstPort 2 } Line { SrcBlock "ConfigLoc" SrcPort 1 Points [20, 0; 0, 25] DstBlock "Concat" DstPort 1 } Line { SrcBlock "Mux" SrcPort 1 Points [75, 0; 0, -30] DstBlock "Concat" DstPort 2 } Line { SrcBlock "Counter" SrcPort 1 Points [50, 0] Branch { Points [0, -50] DstBlock "Slice" DstPort 1 } Branch { Points [0, 0] Branch { DstBlock "Slice1" DstPort 1 } Branch { Points [0, 50; 345, 0; 0, -40] DstBlock "Mux" DstPort 3 } } } Line { SrcBlock "Slice1" SrcPort 1 DstBlock "Inverter" DstPort 1 } Line { SrcBlock "Slice" SrcPort 1 Points [65, 0; 0, 10] DstBlock "Concat1" DstPort 1 } Line { SrcBlock "Inverter" SrcPort 1 Points [20, 0; 0, -10] DstBlock "Concat1" DstPort 2 } Line { SrcBlock "Concat1" SrcPort 1 Points [0, 0] DstBlock "Mux" DstPort 2 } Line { SrcBlock "InvertRamAddr" SrcPort 1 Points [25, 0; 0, 40] DstBlock "Mux" DstPort 1 } Line { SrcBlock "Concat" SrcPort 1 Points [85, 0; 0, 85] DstBlock "SMWO_LCD_Commands" DstPort 1 } Line { SrcBlock "Slice3" SrcPort 1 DstBlock "Inverter1" DstPort 1 } Line { SrcBlock "Inverter1" SrcPort 1 Points [5, 0; 0, 10] DstBlock "Concat2" DstPort 1 } Line { SrcBlock "Slice2" SrcPort 1 Points [90, 0; 0, -10] DstBlock "Concat2" DstPort 2 } Line { SrcBlock "SendCmds" SrcPort 1 Points [15, 0] Branch { DstBlock "Logical" DstPort 1 } Branch { Points [0, 95] DstBlock "Accumulator" DstPort 2 } } Line { SrcBlock "TransferDone" SrcPort 1 Points [5, 0] Branch { DstBlock "Logical" DstPort 2 } Branch { Points [0, 515] DstBlock "Logical2" DstPort 2 } } Line { SrcBlock "Logical" SrcPort 1 Points [40, 0] Branch { DstBlock "Delay" DstPort 1 } Branch { DstBlock "Counter" DstPort 2 } } Line { SrcBlock "Reset" SrcPort 1 Points [0, 0] DstBlock "Counter" DstPort 1 } Line { SrcBlock "Delay" SrcPort 1 Points [25, 0; 0, 20] Branch { DstBlock "Convert" DstPort 1 } Branch { Points [0, 50] Branch { DstBlock "Logical1" DstPort 1 } Branch { Points [0, 205; 365, 0] Branch { DstBlock "Mux1" DstPort 3 } Branch { Points [0, 45] DstBlock "Mux2" DstPort 3 } } } } Line { SrcBlock "Accumulator" SrcPort 1 Points [20, 0; 0, 30] DstBlock "Relational" DstPort 1 } Line { SrcBlock "Relational" SrcPort 1 Points [15, 0; 0, 40] Branch { DstBlock "Inverter2" DstPort 1 } Branch { Points [0, 55] Branch { Points [0, 25] DstBlock "Mux1" DstPort 1 } Branch { Points [-360, 0; 0, -80] DstBlock "Logical1" DstPort 2 } } } Line { SrcBlock "Convert" SrcPort 1 DstBlock "Accumulator" DstPort 1 } Line { SrcBlock "Constant2" SrcPort 1 DstBlock "Mux1" DstPort 2 } Line { SrcBlock "AddSub" SrcPort 1 Points [10, 0; 0, -20] DstBlock "Relational" DstPort 2 } Line { SrcBlock "TotalNoOfCmds" SrcPort 1 DstBlock "AddSub" DstPort 1 } Line { SrcBlock "Constant3" SrcPort 1 Points [5, 0; 0, -15] DstBlock "AddSub" DstPort 2 } Line { SrcBlock "Inverter2" SrcPort 1 Points [110, 0; 0, 125] Branch { Points [0, 210] DstBlock "Logical2" DstPort 1 } Branch { DstBlock "Mux2" DstPort 1 } } Line { SrcBlock "Logical1" SrcPort 1 Points [30, 0; 0, -25] DstBlock "Accumulator" DstPort 3 } Line { SrcBlock "Logical2" SrcPort 1 Points [15, 0] Branch { DstBlock "Logical3" DstPort 2 } Branch { Points [0, 130] DstBlock "Counter1" DstPort 2 } } Line { SrcBlock "Accumulator1" SrcPort 1 Points [25, 0] Branch { Points [0, -110; -340, 0; 0, 30] DstBlock "Relational1" DstPort 1 } Branch { Points [150, 0; 0, -525] DstBlock "Mux3" DstPort 1 } } Line { SrcBlock "Relational1" SrcPort 1 Points [5, 0; 0, 50] DstBlock "Logical3" DstPort 1 } Line { SrcBlock "Logical3" SrcPort 1 DstBlock "Convert1" DstPort 1 } Line { SrcBlock "Constant4" SrcPort 1 DstBlock "Relational1" DstPort 2 } Line { SrcBlock "Convert1" SrcPort 1 Points [10, 0] DstBlock "Accumulator1" DstPort 1 } Line { SrcBlock "Mux2" SrcPort 1 DstBlock "StartTransfer" DstPort 1 } Line { SrcBlock "Mux1" SrcPort 1 Points [70, 0; 0, 45] DstBlock "Mux2" DstPort 2 } Line { SrcBlock "Counter1" SrcPort 1 DstBlock "Slice4" DstPort 1 } Line { SrcBlock "Neg_Edge_Detector" SrcPort 1 DstBlock "Convert2" DstPort 1 } Line { SrcBlock "Register" SrcPort 1 DstBlock "CmdsDone" DstPort 1 } Line { SrcBlock "Slice4" SrcPort 1 DstBlock "Neg_Edge_Detector" DstPort 1 } Line { SrcBlock "Convert2" SrcPort 1 Points [5, 0] Branch { DstBlock "Register" DstPort 1 } Branch { Points [0, 40] DstBlock "Register" DstPort 3 } } Line { SrcBlock "Reset1" SrcPort 1 Points [25, 0; 0, -50] DstBlock "Register" DstPort 2 } Line { SrcBlock "Reset2" SrcPort 1 DstBlock "Counter1" DstPort 1 } Line { SrcBlock "Reset3" SrcPort 1 Points [0, -30] DstBlock "Accumulator1" DstPort 2 } Line { SrcBlock "Constant5" SrcPort 1 DstBlock "Mux3" DstPort 3 } Line { SrcBlock "Constant6" SrcPort 1 DstBlock "Mux3" DstPort 6 } Line { SrcBlock "SMWO_LCD_Commands" SrcPort 1 DstBlock "Slice5" DstPort 1 } Line { SrcBlock "Slice5" SrcPort 1 DstBlock "Mux3" DstPort 2 } Line { SrcBlock "Mux3" SrcPort 1 Points [0, -10; 20, 0] Branch { Points [0, -20] DstBlock "Slice3" DstPort 1 } Branch { Points [0, 30] DstBlock "Slice2" DstPort 1 } } Line { SrcBlock "Concat2" SrcPort 1 DstBlock "DataToSend" DstPort 1 } Line { SrcBlock "Constant11" SrcPort 1 DstBlock "Mux3" DstPort 9 } Line { SrcBlock "SMWOAddr_gwin_sm1" SrcPort 1 DstBlock "SMWOAddr_gwin_slice_sm1" DstPort 1 } Line { SrcBlock "SMWOAddr_gwin_slice_sm1" SrcPort 1 DstBlock "SMWO_LCD_Commands" DstPort 4 } Line { SrcBlock "SMWODataI_gwin_sm1" SrcPort 1 DstBlock "SMWODataI_gwin_force_sm1" DstPort 1 } Line { SrcBlock "SMWODataI_gwin_force_sm1" SrcPort 1 DstBlock "SMWO_LCD_Commands" DstPort 5 } Line { SrcBlock "SMWOWE_gwin_sm1" SrcPort 1 DstBlock "SMWO_LCD_Commands" DstPort 6 } Line { SrcBlock "From_Register1_opb0" SrcPort 1 DstBlock "Mux3" DstPort 4 } Line { SrcBlock "From_Register2_opb1" SrcPort 1 DstBlock "Mux3" DstPort 5 } Line { SrcBlock "From_Register3_opb2" SrcPort 1 DstBlock "Mux3" DstPort 10 } Line { SrcBlock "From_Register4_opb3" SrcPort 1 DstBlock "Mux3" DstPort 7 } Line { SrcBlock "From_Register5_opb4" SrcPort 1 DstBlock "Mux3" DstPort 8 } Annotation { Name "Address the RAM as 1 0 3 2 5 4 ..." Position [661, 165] UseDisplayTextAsClickCallback off } Annotation { Name "We invert the first bit because 0 means com" "mand and 1 is data\nThe user inputs the opposite in the PowerPC" Position [1532, 208] UseDisplayTextAsClickCallback off } } } Block { BlockType "S-Function" Name "Convert" Ports [1, 1] Position [1250, 450, 1295, 480] CopyFcn "xlBlockMoveCallback(gcbh);" DeleteFcn "xlDestroyGui(gcbh);" LoadFcn "xlBlockLoadCallback(gcbh);" ModelCloseFcn "xlDestroyGui(gcbh);" PreSaveFcn "xlBlockPreSaveCallback(gcbh);" PostSaveFcn "xlBlockPostSaveCallback(gcbh);" DestroyFcn "xlDestroyGui(gcbh);" OpenFcn "xlOpenGui(gcbh, @convertenablement, -1)" CloseFcn "xlDestroyGui(gcbh);" MoveFcn "xlBlockMoveCallback(gcbh);" FunctionName "sysgen" Parameters "ptable_" MaskType "Xilinx Type Converter Block" MaskDescription "Hardware notes: rounding and saturating require" " hardware resources; truncating and wrapping do not." MaskHelp "eval('xlWeb(xlhtmldoclink(''Convert''))');" MaskPromptString " | |Type|Number of bits|Binary point| |Quantiza" "tion| |Overflow|Latency| |Override with doubles| |Pipeline for maximum perfor" "mance| |Define FPGA area for resource estimation|FPGA area [slices, FFs, BRAM" "s, LUTs, IOBs, emb. mults, TBUFs]| | | | | | | | " MaskStyleString "edit,edit,popup(Boolean|Signed (2's comp)|Unsi" "gned),edit,edit,edit,popup(Truncate|Round (unbiased: +/- Inf)|Round (unbias" "ed: Even Values)),edit,popup(Wrap|Saturate|Flag as error),edit,edit,checkbox," "edit,checkbox,edit,checkbox,edit,edit,edit,edit,edit,edit,edit,edit,edit" MaskTunableValueString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on" ",on,on,on,on,on,on,on,on,on" MaskCallbackString "||||||||||||||||||||||||" MaskEnableString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on" ",on,on,on,on,on,on,on,on,on" MaskVisibilityString "off,off,on,on,on,off,on,off,on,on,off,on,off,on" ",off,on,on,off,off,off,off,off,off,off,off" MaskToolTipString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on" ",on,on,on,on,on,on,on,on,on" MaskVarAliasString ",,,,,,,,,,,,,,,,,,,,,,,," MaskVariables "infoedit=&1;arith_type_sgadvanced=&2;arith_type" "=@3;n_bits=@4;bin_pt=@5;quantization_sgadvanced=&6;quantization=@7;overflow_s" "gadvanced=&8;overflow=@9;latency=@10;dbl_ovrd_sgadvanced=&11;dbl_ovrd=@12;pip" "eline_sgadvanced=&13;pipeline=@14;xl_use_area_sgadvanced=&15;xl_use_area=@16;" "xl_area=@17;has_advanced_control=@18;sggui_pos=&19;block_type=&20;block_versi" "on=&21;sg_icon_stat=&22;sg_mask_display=&23;sg_list_contents=&24;sg_blockgui_" "xml=&25;" MaskInitialization "try\n tmp_gcb = gcb;\n tmp_gcbh = gcbh;\n xl" "MungeMaskParams;\n block_config = 'Sysgen:Convert_config';\n serialized_dec" "larations = '{''arith_type''=>''Int'',''bin_pt''=>''Int'',''latency''=>''Int'" "',''n_bits''=>''Int'',''overflow''=>''Int'',''pipeline''=>''Int'',''quantizat" "ion''=>''Int'',''sim_engine''=>''Int'',''sysgen_root''=>''String''}';\n xlco" "nvert_init();\n ptable_ = xlblockprep(get_param(tmp_gcb, 'MaskWSVariables'))" ";\ncatch\n global xl_report_errors;\n if(~isempty(xl_report_errors) && xl_r" "eport_errors)\n e = regexprep(lasterr, '\\n', '\\nError: ');\n disp(['E" "rror: While running MaskInit code on block ' tmp_gcb ': ' e]);\n error(e);" "\n end\nend\n" MaskDisplay "fprintf('','COMMENT: begin icon graphics');\npa" "tch([0 45 45 0 ],[0 0 30 30 ],[0.77 0.82 0.91]);\npatch([15 10 17 10 15 23 25" " 27 35 28 22 17 23 17 22 28 35 27 25 23 15 ],[3 8 15 22 27 27 25 27 27 20 26 " "21 15 9 4 10 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 0 45 45 0 ],[0 30 30 0 0" " ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin i" "con text');\ncolor('black');port_label('output',1,'cast');\nfprintf('','COMME" "NT: end icon text');\n" MaskSelfModifiable on MaskIconFrame off MaskIconOpaque on MaskIconRotate "none" MaskIconUnits "autoscale" MaskValueString "Hardware notes: rounding and saturating require" " hardware resources; truncating and wrapping do not.||Boolean|16|14||Truncate" "||Wrap|0||off||off||off|[0,0,0,0,0,0,0]|0|20,20,374,375|convert|8.2.02|45,30," "1,1,white,blue,0,0eb6e735|fprintf('','COMMENT: begin icon graphics');\npatch(" "[0 45 45 0 ],[0 0 30 30 ],[0.77 0.82 0.91]);\npatch([15 10 17 10 15 23 25 27 " "35 28 22 17 23 17 22 28 35 27 25 23 15 ],[3 8 15 22 27 27 25 27 27 20 26 21 1" "5 9 4 10 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 0 45 45 0 ],[0 30 30 0 0 ]);" "\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon " "text');\ncolor('black');port_label('output',1,'cast');\nfprintf('','COMMENT: " "end icon text');\n||\n \n \n \n \n \n \n \n \n " "\n \n \n \n \n \n \n " " \n \n \n \n \n \n \n \n \n " "(unbiased: +/- Inf)\" />\n (unbiased: even values)\" />\n \n " " \n \n " "\n \n \n \n \n \n \n " " \n \n \n \n \n \n \n" " \n \n \n \n \n \n \n \n \n \n\n" MaskTabNameString ",,,,,,,,,,,,,,,,,,,,,,,," } Block { BlockType "S-Function" Name "Convert1" Ports [1, 1] Position [365, 870, 405, 900] CopyFcn "xlBlockMoveCallback(gcbh);" DeleteFcn "xlDestroyGui(gcbh);" LoadFcn "xlBlockLoadCallback(gcbh);" ModelCloseFcn "xlDestroyGui(gcbh);" PreSaveFcn "xlBlockPreSaveCallback(gcbh);" PostSaveFcn "xlBlockPostSaveCallback(gcbh);" DestroyFcn "xlDestroyGui(gcbh);" OpenFcn "xlOpenGui(gcbh, @convertenablement, -1)" CloseFcn "xlDestroyGui(gcbh);" MoveFcn "xlBlockMoveCallback(gcbh);" FunctionName "sysgen" Parameters "ptable_" MaskType "Xilinx Type Converter Block" MaskDescription "Hardware notes: rounding and saturating require" " hardware resources; truncating and wrapping do not." MaskHelp "eval('xlWeb(xlhtmldoclink(''Convert''))');" MaskPromptString " | |Type|Number of bits|Binary point| |Quantiza" "tion| |Overflow|Latency| |Override with doubles| |Pipeline for maximum perfor" "mance| |Define FPGA area for resource estimation|FPGA area [slices, FFs, BRAM" "s, LUTs, IOBs, emb. mults, TBUFs]| | | | | | | | " MaskStyleString "edit,edit,popup(Boolean|Signed (2's comp)|Unsi" "gned),edit,edit,edit,popup(Truncate|Round (unbiased: +/- Inf)|Round (unbias" "ed: Even Values)),edit,popup(Wrap|Saturate|Flag as error),edit,edit,checkbox," "edit,checkbox,edit,checkbox,edit,edit,edit,edit,edit,edit,edit,edit,edit" MaskTunableValueString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on" ",on,on,on,on,on,on,on,on,on" MaskCallbackString "||||||||||||||||||||||||" MaskEnableString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on" ",on,on,on,on,on,on,on,on,on" MaskVisibilityString "off,off,on,on,on,off,on,off,on,on,off,on,off,on" ",off,on,on,off,off,off,off,off,off,off,off" MaskToolTipString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on" ",on,on,on,on,on,on,on,on,on" MaskVarAliasString ",,,,,,,,,,,,,,,,,,,,,,,," MaskVariables "infoedit=&1;arith_type_sgadvanced=&2;arith_type" "=@3;n_bits=@4;bin_pt=@5;quantization_sgadvanced=&6;quantization=@7;overflow_s" "gadvanced=&8;overflow=@9;latency=@10;dbl_ovrd_sgadvanced=&11;dbl_ovrd=@12;pip" "eline_sgadvanced=&13;pipeline=@14;xl_use_area_sgadvanced=&15;xl_use_area=@16;" "xl_area=@17;has_advanced_control=@18;sggui_pos=&19;block_type=&20;block_versi" "on=&21;sg_icon_stat=&22;sg_mask_display=&23;sg_list_contents=&24;sg_blockgui_" "xml=&25;" MaskInitialization "try\n tmp_gcb = gcb;\n tmp_gcbh = gcbh;\n xl" "MungeMaskParams;\n block_config = 'Sysgen:Convert_config';\n serialized_dec" "larations = '{''arith_type''=>''Int'',''bin_pt''=>''Int'',''latency''=>''Int'" "',''n_bits''=>''Int'',''overflow''=>''Int'',''pipeline''=>''Int'',''quantizat" "ion''=>''Int'',''sim_engine''=>''Int'',''sysgen_root''=>''String''}';\n xlco" "nvert_init();\n ptable_ = xlblockprep(get_param(tmp_gcb, 'MaskWSVariables'))" ";\ncatch\n global xl_report_errors;\n if(~isempty(xl_report_errors) && xl_r" "eport_errors)\n e = regexprep(lasterr, '\\n', '\\nError: ');\n disp(['E" "rror: While running MaskInit code on block ' tmp_gcb ': ' e]);\n error(e);" "\n end\nend\n" MaskDisplay "fprintf('','COMMENT: begin icon graphics');\npa" "tch([0 40 40 0 ],[0 0 30 30 ],[0.77 0.82 0.91]);\npatch([12 7 14 7 12 20 22 2" "4 32 25 19 14 20 14 19 25 32 24 22 20 12 ],[3 8 15 22 27 27 25 27 27 20 26 21" " 15 9 4 10 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 0 40 40 0 ],[0 30 30 0 0 ]" ");\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin ico" "n text');\ncolor('black');port_label('output',1,'cast');\nfprintf('','COMMENT" ": end icon text');\n" MaskSelfModifiable on MaskIconFrame off MaskIconOpaque on MaskIconRotate "none" MaskIconUnits "autoscale" MaskValueString "Hardware notes: rounding and saturating require" " hardware resources; truncating and wrapping do not.||Boolean|16|14||Truncate" "||Wrap|0||off||off||off|[0,0,0,0,0,0,0]|0|20,20,374,375|convert|8.2.02|40,30," "1,1,white,blue,0,0eb6e735|fprintf('','COMMENT: begin icon graphics');\npatch(" "[0 40 40 0 ],[0 0 30 30 ],[0.77 0.82 0.91]);\npatch([12 7 14 7 12 20 22 24 32" " 25 19 14 20 14 19 25 32 24 22 20 12 ],[3 8 15 22 27 27 25 27 27 20 26 21 15 " "9 4 10 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 0 40 40 0 ],[0 30 30 0 0 ]);\n" "fprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon te" "xt');\ncolor('black');port_label('output',1,'cast');\nfprintf('','COMMENT: en" "d icon text');\n||\n \n \n \n \n \n \n \n \n \n <" "/libraries>\n \n \n \n \n \n " " \n \n \n \n \n \n \n \n \n (unb" "iased: +/- Inf)\" />\n (unbiased: even values)\" />\n \n \n \n \n \n \n \n \n \n \n \n \n \n \n" " \n \n \n " "\n \n \n \n \n \n \n \n \n \n\n" MaskTabNameString ",,,,,,,,,,,,,,,,,,,,,,,," } Block { BlockType "S-Function" Name "Convert2" Ports [1, 1] Position [320, 420, 365, 450] CopyFcn "xlBlockMoveCallback(gcbh);" DeleteFcn "xlDestroyGui(gcbh);" LoadFcn "xlBlockLoadCallback(gcbh);" ModelCloseFcn "xlDestroyGui(gcbh);" PreSaveFcn "xlBlockPreSaveCallback(gcbh);" PostSaveFcn "xlBlockPostSaveCallback(gcbh);" DestroyFcn "xlDestroyGui(gcbh);" OpenFcn "xlOpenGui(gcbh, @convertenablement, -1)" CloseFcn "xlDestroyGui(gcbh);" MoveFcn "xlBlockMoveCallback(gcbh);" FunctionName "sysgen" Parameters "ptable_" MaskType "Xilinx Type Converter Block" MaskDescription "Hardware notes: rounding and saturating require" " hardware resources; truncating and wrapping do not." MaskHelp "eval('xlWeb(xlhtmldoclink(''Convert''))');" MaskPromptString " | |Type|Number of bits|Binary point| |Quantiza" "tion| |Overflow|Latency| |Override with doubles| |Pipeline for maximum perfor" "mance| |Define FPGA area for resource estimation|FPGA area [slices, FFs, BRAM" "s, LUTs, IOBs, emb. mults, TBUFs]| | | | | | | | " MaskStyleString "edit,edit,popup(Boolean|Signed (2's comp)|Unsi" "gned),edit,edit,edit,popup(Truncate|Round (unbiased: +/- Inf)|Round (unbias" "ed: Even Values)),edit,popup(Wrap|Saturate|Flag as error),edit,edit,checkbox," "edit,checkbox,edit,checkbox,edit,edit,edit,edit,edit,edit,edit,edit,edit" MaskTunableValueString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on" ",on,on,on,on,on,on,on,on,on" MaskCallbackString "||||||||||||||||||||||||" MaskEnableString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on" ",on,on,on,on,on,on,on,on,on" MaskVisibilityString "off,off,on,on,on,off,on,off,on,on,off,on,off,on" ",off,on,on,off,off,off,off,off,off,off,off" MaskToolTipString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on" ",on,on,on,on,on,on,on,on,on" MaskVarAliasString ",,,,,,,,,,,,,,,,,,,,,,,," MaskVariables "infoedit=&1;arith_type_sgadvanced=&2;arith_type" "=@3;n_bits=@4;bin_pt=@5;quantization_sgadvanced=&6;quantization=@7;overflow_s" "gadvanced=&8;overflow=@9;latency=@10;dbl_ovrd_sgadvanced=&11;dbl_ovrd=@12;pip" "eline_sgadvanced=&13;pipeline=@14;xl_use_area_sgadvanced=&15;xl_use_area=@16;" "xl_area=@17;has_advanced_control=@18;sggui_pos=&19;block_type=&20;block_versi" "on=&21;sg_icon_stat=&22;sg_mask_display=&23;sg_list_contents=&24;sg_blockgui_" "xml=&25;" MaskInitialization "try\n tmp_gcb = gcb;\n tmp_gcbh = gcbh;\n xl" "MungeMaskParams;\n block_config = 'Sysgen:Convert_config';\n serialized_dec" "larations = '{''arith_type''=>''Int'',''bin_pt''=>''Int'',''latency''=>''Int'" "',''n_bits''=>''Int'',''overflow''=>''Int'',''pipeline''=>''Int'',''quantizat" "ion''=>''Int'',''sim_engine''=>''Int'',''sysgen_root''=>''String''}';\n xlco" "nvert_init();\n ptable_ = xlblockprep(get_param(tmp_gcb, 'MaskWSVariables'))" ";\ncatch\n global xl_report_errors;\n if(~isempty(xl_report_errors) && xl_r" "eport_errors)\n e = regexprep(lasterr, '\\n', '\\nError: ');\n disp(['E" "rror: While running MaskInit code on block ' tmp_gcb ': ' e]);\n error(e);" "\n end\nend\n" MaskDisplay "fprintf('','COMMENT: begin icon graphics');\npa" "tch([0 45 45 0 ],[0 0 30 30 ],[0.77 0.82 0.91]);\npatch([15 10 17 10 15 23 25" " 27 35 28 22 17 23 17 22 28 35 27 25 23 15 ],[3 8 15 22 27 27 25 27 27 20 26 " "21 15 9 4 10 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 0 45 45 0 ],[0 30 30 0 0" " ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin i" "con text');\ncolor('black');port_label('output',1,'cast');\nfprintf('','COMME" "NT: end icon text');\n" MaskSelfModifiable on MaskIconFrame off MaskIconOpaque on MaskIconRotate "none" MaskIconUnits "autoscale" MaskValueString "Hardware notes: rounding and saturating require" " hardware resources; truncating and wrapping do not.||Boolean|16|14||Truncate" "||Wrap|0||off||off||off|[0,0,0,0,0,0,0]|0|20,20,374,375|convert|8.2.02|45,30," "1,1,white,blue,0,0eb6e735|fprintf('','COMMENT: begin icon graphics');\npatch(" "[0 45 45 0 ],[0 0 30 30 ],[0.77 0.82 0.91]);\npatch([15 10 17 10 15 23 25 27 " "35 28 22 17 23 17 22 28 35 27 25 23 15 ],[3 8 15 22 27 27 25 27 27 20 26 21 1" "5 9 4 10 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 0 45 45 0 ],[0 30 30 0 0 ]);" "\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon " "text');\ncolor('black');port_label('output',1,'cast');\nfprintf('','COMMENT: " "end icon text');\n||\n \n \n \n \n \n \n \n \n " "\n \n \n \n \n \n \n " " \n \n \n \n \n \n \n \n \n " "(unbiased: +/- Inf)\" />\n (unbiased: even values)\" />\n \n " " \n \n " "\n \n \n \n \n \n \n " " \n \n \n \n \n \n \n" " \n \n \n \n \n \n \n \n \n \n\n" MaskTabNameString ",,,,,,,,,,,,,,,,,,,,,,,," } Block { BlockType SubSystem Name "DataROM" Ports [4, 2] Position [690, 677, 890, 913] TreatAsAtomicUnit off MinAlgLoopOccurrences off RTWSystemCode "Auto" MaskHideContents off System { Name "DataROM" Location [412, 77, 1856, 1062] Open off ModelBrowserVisibility off ModelBrowserWidth 200 ScreenColor "white" PaperOrientation "landscape" PaperPositionMode "auto" PaperType "usletter" PaperUnits "inches" ZoomFactor "100" Block { BlockType Inport Name "InvertRamAddr" Position [1090, 383, 1120, 397] IconDisplay "Port number" } Block { BlockType Inport Name "TransferDone" Position [790, 798, 820, 812] Port "2" IconDisplay "Port number" } Block { BlockType Inport Name "CmdsDone" Position [790, 828, 820, 842] Port "3" IconDisplay "Port number" } Block { BlockType Inport Name "Reset" Position [250, 183, 280, 197] Port "4" IconDisplay "Port number" } Block { BlockType "S-Function" Name "Concat" Ports [2, 1] Position [1365, 390, 1425, 450] CopyFcn "xlBlockMoveCallback(gcbh);" DeleteFcn "xlDestroyGui(gcbh);" LoadFcn "xlBlockLoadCallback(gcbh);" ModelCloseFcn "xlDestroyGui(gcbh);" PreSaveFcn "xlBlockPreSaveCallback(gcbh);" PostSaveFcn "xlBlockPostSaveCallback(gcbh);" DestroyFcn "xlDestroyGui(gcbh);" OpenFcn "xlOpenGui(gcbh, @xlmagicenablement, -1)" CloseFcn "xlDestroyGui(gcbh);" MoveFcn "xlBlockMoveCallback(gcbh);" FunctionName "sysgen" Parameters "ptable_" MaskType "Xilinx Bus Concatenator Block" MaskDescription "Concatenates two or more inputs. Output wi" "ll be cast to an unsigned value with the binary point at zero." MaskHelp "eval('xlWeb(xlhtmldoclink(''Concat''))');" MaskPromptString " |Number of inputs| |Override with doubles|" " | | | | | | | " MaskStyleString "edit,edit,edit,checkbox,edit,edit,edit,edit" ",edit,edit,edit,edit" MaskTunableValueString "on,on,on,on,on,on,on,on,on,on,on,on" MaskCallbackString "|||||||||||" MaskEnableString "on,on,on,on,on,on,on,on,on,on,on,on" MaskVisibilityString "off,on,off,on,off,off,off,off,off,off,off,o" "ff" MaskToolTipString "on,on,on,on,on,on,on,on,on,on,on,on" MaskVarAliasString ",,,,,,,,,,," MaskVariables "infoedit=&1;num_inputs=@2;dbl_ovrd_sgadvanc" "ed=&3;dbl_ovrd=@4;has_advanced_control=@5;sggui_pos=&6;block_type=&7;block_ve" "rsion=&8;sg_icon_stat=&9;sg_mask_display=&10;sg_list_contents=&11;sg_blockgui" "_xml=&12;" MaskInitialization "try\n tmp_gcb = gcb;\n tmp_gcbh = gcbh;\n" " xlMungeMaskParams;\n block_config = 'Sysgen:Concat_config';\n serialized_" "declarations = '{''num_inputs''=>''Int'',''sim_engine''=>''Int'',''sysgen_roo" "t''=>''String''}';\n xlconcat_init();\n ptable_ = xlblockprep(get_param(tmp" "_gcb, 'MaskWSVariables'));\ncatch\n global xl_report_errors;\n if(~isempty(" "xl_report_errors) && xl_report_errors)\n e = regexprep(lasterr, '\\n', '\\" "nError: ');\n disp(['Error: While running MaskInit code on block ' tmp_gcb" " ': ' e]);\n error(e);\n end\nend\n" MaskDisplay "fprintf('','COMMENT: begin icon graphics');" "\npatch([0 60 60 0 ],[0 0 60 60 ],[0.77 0.82 0.91]);\npatch([14 4 18 4 14 30 " "34 38 55 42 29 20 35 20 29 42 55 38 34 30 14 ],[6 16 30 44 54 54 50 54 54 41 " "54 45 30 15 6 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 0 60 60 0 ],[0 60 6" "0 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: be" "gin icon text');\ncolor('black');port_label('input',1,'hi');\ncolor('black');" "port_label('input',2,'lo');\nfprintf('','COMMENT: end icon text');\n" MaskSelfModifiable on MaskIconFrame off MaskIconOpaque on MaskIconRotate "none" MaskIconUnits "autoscale" MaskValueString "Concatenates two or more inputs. Output wi" "ll be cast to an unsigned value with the binary point at zero.|2||off|0|-1,-1" ",-1,-1|concat|8.2.02|60,60,2,1,white,blue,0,59d62d82|fprintf('','COMMENT: beg" "in icon graphics');\npatch([0 60 60 0 ],[0 0 60 60 ],[0.77 0.82 0.91]);\npatc" "h([14 4 18 4 14 30 34 38 55 42 29 20 35 20 29 42 55 38 34 30 14 ],[6 16 30 44" " 54 54 50 54 54 41 54 45 30 15 6 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 " "0 60 60 0 ],[0 60 60 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfpri" "ntf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1,'hi'" ");\ncolor('black');port_label('input',2,'lo');\nfprintf('','COMMENT: end icon" " text');\n||\n" " \n \n \n \n \n \n \n" " \n \n \n \n <" "editbox ctype=\"Int\" evaluate=\"true\" name=\"num_inputs\" label=\"Number of" " inputs\" default=\"2\" />\n \n \n \n \n " " \n \n\n" MaskTabNameString ",,,,,,,,,,," } Block { BlockType "S-Function" Name "Concat1" Ports [2, 1] Position [1060, 435, 1120, 495] CopyFcn "xlBlockMoveCallback(gcbh);" DeleteFcn "xlDestroyGui(gcbh);" LoadFcn "xlBlockLoadCallback(gcbh);" ModelCloseFcn "xlDestroyGui(gcbh);" PreSaveFcn "xlBlockPreSaveCallback(gcbh);" PostSaveFcn "xlBlockPostSaveCallback(gcbh);" DestroyFcn "xlDestroyGui(gcbh);" OpenFcn "xlOpenGui(gcbh, @xlmagicenablement, -1)" CloseFcn "xlDestroyGui(gcbh);" MoveFcn "xlBlockMoveCallback(gcbh);" FunctionName "sysgen" Parameters "ptable_" MaskType "Xilinx Bus Concatenator Block" MaskDescription "Concatenates two or more inputs. Output wi" "ll be cast to an unsigned value with the binary point at zero." MaskHelp "eval('xlWeb(xlhtmldoclink(''Concat''))');" MaskPromptString " |Number of inputs| |Override with doubles|" " | | | | | | | " MaskStyleString "edit,edit,edit,checkbox,edit,edit,edit,edit" ",edit,edit,edit,edit" MaskTunableValueString "on,on,on,on,on,on,on,on,on,on,on,on" MaskCallbackString "|||||||||||" MaskEnableString "on,on,on,on,on,on,on,on,on,on,on,on" MaskVisibilityString "off,on,off,on,off,off,off,off,off,off,off,o" "ff" MaskToolTipString "on,on,on,on,on,on,on,on,on,on,on,on" MaskVarAliasString ",,,,,,,,,,," MaskVariables "infoedit=&1;num_inputs=@2;dbl_ovrd_sgadvanc" "ed=&3;dbl_ovrd=@4;has_advanced_control=@5;sggui_pos=&6;block_type=&7;block_ve" "rsion=&8;sg_icon_stat=&9;sg_mask_display=&10;sg_list_contents=&11;sg_blockgui" "_xml=&12;" MaskInitialization "try\n tmp_gcb = gcb;\n tmp_gcbh = gcbh;\n" " xlMungeMaskParams;\n block_config = 'Sysgen:Concat_config';\n serialized_" "declarations = '{''num_inputs''=>''Int'',''sim_engine''=>''Int'',''sysgen_roo" "t''=>''String''}';\n xlconcat_init();\n ptable_ = xlblockprep(get_param(tmp" "_gcb, 'MaskWSVariables'));\ncatch\n global xl_report_errors;\n if(~isempty(" "xl_report_errors) && xl_report_errors)\n e = regexprep(lasterr, '\\n', '\\" "nError: ');\n disp(['Error: While running MaskInit code on block ' tmp_gcb" " ': ' e]);\n error(e);\n end\nend\n" MaskDisplay "fprintf('','COMMENT: begin icon graphics');" "\npatch([0 60 60 0 ],[0 0 60 60 ],[0.77 0.82 0.91]);\npatch([14 4 18 4 14 30 " "34 38 55 42 29 20 35 20 29 42 55 38 34 30 14 ],[6 16 30 44 54 54 50 54 54 41 " "54 45 30 15 6 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 0 60 60 0 ],[0 60 6" "0 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: be" "gin icon text');\ncolor('black');port_label('input',1,'hi');\ncolor('black');" "port_label('input',2,'lo');\nfprintf('','COMMENT: end icon text');\n" MaskSelfModifiable on MaskIconFrame off MaskIconOpaque on MaskIconRotate "none" MaskIconUnits "autoscale" MaskValueString "Concatenates two or more inputs. Output wi" "ll be cast to an unsigned value with the binary point at zero.|2||off|0|-1,-1" ",-1,-1|concat|8.2.02|60,60,2,1,white,blue,0,59d62d82|fprintf('','COMMENT: beg" "in icon graphics');\npatch([0 60 60 0 ],[0 0 60 60 ],[0.77 0.82 0.91]);\npatc" "h([14 4 18 4 14 30 34 38 55 42 29 20 35 20 29 42 55 38 34 30 14 ],[6 16 30 44" " 54 54 50 54 54 41 54 45 30 15 6 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 " "0 60 60 0 ],[0 60 60 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfpri" "ntf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1,'hi'" ");\ncolor('black');port_label('input',2,'lo');\nfprintf('','COMMENT: end icon" " text');\n||\n" " \n \n \n \n \n \n \n" " \n \n \n \n <" "editbox ctype=\"Int\" evaluate=\"true\" name=\"num_inputs\" label=\"Number of" " inputs\" default=\"2\" />\n \n \n \n \n " " \n \n\n" MaskTabNameString ",,,,,,,,,,," } Block { BlockType "S-Function" Name "Concat2" Ports [2, 1] Position [750, 150, 810, 210] CopyFcn "xlBlockMoveCallback(gcbh);" DeleteFcn "xlDestroyGui(gcbh);" LoadFcn "xlBlockLoadCallback(gcbh);" ModelCloseFcn "xlDestroyGui(gcbh);" PreSaveFcn "xlBlockPreSaveCallback(gcbh);" PostSaveFcn "xlBlockPostSaveCallback(gcbh);" DestroyFcn "xlDestroyGui(gcbh);" OpenFcn "xlOpenGui(gcbh, @xlmagicenablement, -1)" CloseFcn "xlDestroyGui(gcbh);" MoveFcn "xlBlockMoveCallback(gcbh);" FunctionName "sysgen" Parameters "ptable_" MaskType "Xilinx Bus Concatenator Block" MaskDescription "Concatenates two or more inputs. Output wi" "ll be cast to an unsigned value with the binary point at zero." MaskHelp "eval('xlWeb(xlhtmldoclink(''Concat''))');" MaskPromptString " |Number of inputs| |Override with doubles|" " | | | | | | | " MaskStyleString "edit,edit,edit,checkbox,edit,edit,edit,edit" ",edit,edit,edit,edit" MaskTunableValueString "on,on,on,on,on,on,on,on,on,on,on,on" MaskCallbackString "|||||||||||" MaskEnableString "on,on,on,on,on,on,on,on,on,on,on,on" MaskVisibilityString "off,on,off,on,off,off,off,off,off,off,off,o" "ff" MaskToolTipString "on,on,on,on,on,on,on,on,on,on,on,on" MaskVarAliasString ",,,,,,,,,,," MaskVariables "infoedit=&1;num_inputs=@2;dbl_ovrd_sgadvanc" "ed=&3;dbl_ovrd=@4;has_advanced_control=@5;sggui_pos=&6;block_type=&7;block_ve" "rsion=&8;sg_icon_stat=&9;sg_mask_display=&10;sg_list_contents=&11;sg_blockgui" "_xml=&12;" MaskInitialization "try\n tmp_gcb = gcb;\n tmp_gcbh = gcbh;\n" " xlMungeMaskParams;\n block_config = 'Sysgen:Concat_config';\n serialized_" "declarations = '{''num_inputs''=>''Int'',''sim_engine''=>''Int'',''sysgen_roo" "t''=>''String''}';\n xlconcat_init();\n ptable_ = xlblockprep(get_param(tmp" "_gcb, 'MaskWSVariables'));\ncatch\n global xl_report_errors;\n if(~isempty(" "xl_report_errors) && xl_report_errors)\n e = regexprep(lasterr, '\\n', '\\" "nError: ');\n disp(['Error: While running MaskInit code on block ' tmp_gcb" " ': ' e]);\n error(e);\n end\nend\n" MaskDisplay "fprintf('','COMMENT: begin icon graphics');" "\npatch([0 60 60 0 ],[0 0 60 60 ],[0.77 0.82 0.91]);\npatch([14 4 18 4 14 30 " "34 38 55 42 29 20 35 20 29 42 55 38 34 30 14 ],[6 16 30 44 54 54 50 54 54 41 " "54 45 30 15 6 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 0 60 60 0 ],[0 60 6" "0 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: be" "gin icon text');\ncolor('black');port_label('input',1,'hi');\ncolor('black');" "port_label('input',2,'lo');\nfprintf('','COMMENT: end icon text');\n" MaskSelfModifiable on MaskIconFrame off MaskIconOpaque on MaskIconRotate "none" MaskIconUnits "autoscale" MaskValueString "Concatenates two or more inputs. Output wi" "ll be cast to an unsigned value with the binary point at zero.|2||off|0|-1,-1" ",-1,-1|concat|8.2.02|60,60,2,1,white,blue,0,59d62d82|fprintf('','COMMENT: beg" "in icon graphics');\npatch([0 60 60 0 ],[0 0 60 60 ],[0.77 0.82 0.91]);\npatc" "h([14 4 18 4 14 30 34 38 55 42 29 20 35 20 29 42 55 38 34 30 14 ],[6 16 30 44" " 54 54 50 54 54 41 54 45 30 15 6 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 " "0 60 60 0 ],[0 60 60 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfpri" "ntf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1,'hi'" ");\ncolor('black');port_label('input',2,'lo');\nfprintf('','COMMENT: end icon" " text');\n||\n" " \n \n \n \n \n \n \n" " \n \n \n \n <" "editbox ctype=\"Int\" evaluate=\"true\" name=\"num_inputs\" label=\"Number of" " inputs\" default=\"2\" />\n \n \n \n \n " " \n \n\n" MaskTabNameString ",,,,,,,,,,," } Block { BlockType "S-Function" Name "Concat3" Ports [2, 1] Position [685, 605, 745, 665] CopyFcn "xlBlockMoveCallback(gcbh);" DeleteFcn "xlDestroyGui(gcbh);" LoadFcn "xlBlockLoadCallback(gcbh);" ModelCloseFcn "xlDestroyGui(gcbh);" PreSaveFcn "xlBlockPreSaveCallback(gcbh);" PostSaveFcn "xlBlockPostSaveCallback(gcbh);" DestroyFcn "xlDestroyGui(gcbh);" OpenFcn "xlOpenGui(gcbh, @xlmagicenablement, -1)" CloseFcn "xlDestroyGui(gcbh);" MoveFcn "xlBlockMoveCallback(gcbh);" FunctionName "sysgen" Parameters "ptable_" MaskType "Xilinx Bus Concatenator Block" MaskDescription "Concatenates two or more inputs. Output wi" "ll be cast to an unsigned value with the binary point at zero." MaskHelp "eval('xlWeb(xlhtmldoclink(''Concat''))');" MaskPromptString " |Number of inputs| |Override with doubles|" " | | | | | | | " MaskStyleString "edit,edit,edit,checkbox,edit,edit,edit,edit" ",edit,edit,edit,edit" MaskTunableValueString "on,on,on,on,on,on,on,on,on,on,on,on" MaskCallbackString "|||||||||||" MaskEnableString "on,on,on,on,on,on,on,on,on,on,on,on" MaskVisibilityString "off,on,off,on,off,off,off,off,off,off,off,o" "ff" MaskToolTipString "on,on,on,on,on,on,on,on,on,on,on,on" MaskVarAliasString ",,,,,,,,,,," MaskVariables "infoedit=&1;num_inputs=@2;dbl_ovrd_sgadvanc" "ed=&3;dbl_ovrd=@4;has_advanced_control=@5;sggui_pos=&6;block_type=&7;block_ve" "rsion=&8;sg_icon_stat=&9;sg_mask_display=&10;sg_list_contents=&11;sg_blockgui" "_xml=&12;" MaskInitialization "try\n tmp_gcb = gcb;\n tmp_gcbh = gcbh;\n" " xlMungeMaskParams;\n block_config = 'Sysgen:Concat_config';\n serialized_" "declarations = '{''num_inputs''=>''Int'',''sim_engine''=>''Int'',''sysgen_roo" "t''=>''String''}';\n xlconcat_init();\n ptable_ = xlblockprep(get_param(tmp" "_gcb, 'MaskWSVariables'));\ncatch\n global xl_report_errors;\n if(~isempty(" "xl_report_errors) && xl_report_errors)\n e = regexprep(lasterr, '\\n', '\\" "nError: ');\n disp(['Error: While running MaskInit code on block ' tmp_gcb" " ': ' e]);\n error(e);\n end\nend\n" MaskDisplay "fprintf('','COMMENT: begin icon graphics');" "\npatch([0 60 60 0 ],[0 0 60 60 ],[0.77 0.82 0.91]);\npatch([14 4 18 4 14 30 " "34 38 55 42 29 20 35 20 29 42 55 38 34 30 14 ],[6 16 30 44 54 54 50 54 54 41 " "54 45 30 15 6 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 0 60 60 0 ],[0 60 6" "0 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: be" "gin icon text');\ncolor('black');port_label('input',1,'hi');\ncolor('black');" "port_label('input',2,'lo');\nfprintf('','COMMENT: end icon text');\n" MaskSelfModifiable on MaskIconFrame off MaskIconOpaque on MaskIconRotate "none" MaskIconUnits "autoscale" MaskValueString "Concatenates two or more inputs. Output wi" "ll be cast to an unsigned value with the binary point at zero.|2||off|0|-1,-1" ",-1,-1|concat|8.2.02|60,60,2,1,white,blue,0,59d62d82|fprintf('','COMMENT: beg" "in icon graphics');\npatch([0 60 60 0 ],[0 0 60 60 ],[0.77 0.82 0.91]);\npatc" "h([14 4 18 4 14 30 34 38 55 42 29 20 35 20 29 42 55 38 34 30 14 ],[6 16 30 44" " 54 54 50 54 54 41 54 45 30 15 6 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 " "0 60 60 0 ],[0 60 60 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfpri" "ntf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1,'hi'" ");\ncolor('black');port_label('input',2,'lo');\nfprintf('','COMMENT: end icon" " text');\n||\n" " \n \n \n \n \n \n \n" " \n \n \n \n <" "editbox ctype=\"Int\" evaluate=\"true\" name=\"num_inputs\" label=\"Number of" " inputs\" default=\"2\" />\n \n \n \n \n " " \n \n\n" MaskTabNameString ",,,,,,,,,,," } Block { BlockType "S-Function" Name "Concat4" Ports [2, 1] Position [2420, 540, 2480, 600] CopyFcn "xlBlockMoveCallback(gcbh);" DeleteFcn "xlDestroyGui(gcbh);" LoadFcn "xlBlockLoadCallback(gcbh);" ModelCloseFcn "xlDestroyGui(gcbh);" PreSaveFcn "xlBlockPreSaveCallback(gcbh);" PostSaveFcn "xlBlockPostSaveCallback(gcbh);" DestroyFcn "xlDestroyGui(gcbh);" OpenFcn "xlOpenGui(gcbh, @xlmagicenablement, -1)" CloseFcn "xlDestroyGui(gcbh);" MoveFcn "xlBlockMoveCallback(gcbh);" FunctionName "sysgen" Parameters "ptable_" MaskType "Xilinx Bus Concatenator Block" MaskDescription "Concatenates two or more inputs. Output wi" "ll be cast to an unsigned value with the binary point at zero." MaskHelp "eval('xlWeb(xlhtmldoclink(''Concat''))');" MaskPromptString " |Number of inputs| |Override with doubles|" " | | | | | | | " MaskStyleString "edit,edit,edit,checkbox,edit,edit,edit,edit" ",edit,edit,edit,edit" MaskTunableValueString "on,on,on,on,on,on,on,on,on,on,on,on" MaskCallbackString "|||||||||||" MaskEnableString "on,on,on,on,on,on,on,on,on,on,on,on" MaskVisibilityString "off,on,off,on,off,off,off,off,off,off,off,o" "ff" MaskToolTipString "on,on,on,on,on,on,on,on,on,on,on,on" MaskVarAliasString ",,,,,,,,,,," MaskVariables "infoedit=&1;num_inputs=@2;dbl_ovrd_sgadvanc" "ed=&3;dbl_ovrd=@4;has_advanced_control=@5;sggui_pos=&6;block_type=&7;block_ve" "rsion=&8;sg_icon_stat=&9;sg_mask_display=&10;sg_list_contents=&11;sg_blockgui" "_xml=&12;" MaskInitialization "try\n tmp_gcb = gcb;\n tmp_gcbh = gcbh;\n" " xlMungeMaskParams;\n block_config = 'Sysgen:Concat_config';\n serialized_" "declarations = '{''num_inputs''=>''Int'',''sim_engine''=>''Int'',''sysgen_roo" "t''=>''String''}';\n xlconcat_init();\n ptable_ = xlblockprep(get_param(tmp" "_gcb, 'MaskWSVariables'));\ncatch\n global xl_report_errors;\n if(~isempty(" "xl_report_errors) && xl_report_errors)\n e = regexprep(lasterr, '\\n', '\\" "nError: ');\n disp(['Error: While running MaskInit code on block ' tmp_gcb" " ': ' e]);\n error(e);\n end\nend\n" MaskDisplay "fprintf('','COMMENT: begin icon graphics');" "\npatch([0 60 60 0 ],[0 0 60 60 ],[0.77 0.82 0.91]);\npatch([14 4 18 4 14 30 " "34 38 55 42 29 20 35 20 29 42 55 38 34 30 14 ],[6 16 30 44 54 54 50 54 54 41 " "54 45 30 15 6 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 0 60 60 0 ],[0 60 6" "0 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: be" "gin icon text');\ncolor('black');port_label('input',1,'hi');\ncolor('black');" "port_label('input',2,'lo');\nfprintf('','COMMENT: end icon text');\n" MaskSelfModifiable on MaskIconFrame off MaskIconOpaque on MaskIconRotate "none" MaskIconUnits "autoscale" MaskValueString "Concatenates two or more inputs. Output wi" "ll be cast to an unsigned value with the binary point at zero.|2||off|0|-1,-1" ",-1,-1|concat|8.2.02|60,60,2,1,white,blue,0,59d62d82|fprintf('','COMMENT: beg" "in icon graphics');\npatch([0 60 60 0 ],[0 0 60 60 ],[0.77 0.82 0.91]);\npatc" "h([14 4 18 4 14 30 34 38 55 42 29 20 35 20 29 42 55 38 34 30 14 ],[6 16 30 44" " 54 54 50 54 54 41 54 45 30 15 6 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 " "0 60 60 0 ],[0 60 60 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfpri" "ntf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1,'hi'" ");\ncolor('black');port_label('input',2,'lo');\nfprintf('','COMMENT: end icon" " text');\n||\n" " \n \n \n \n \n \n \n" " \n \n \n \n <" "editbox ctype=\"Int\" evaluate=\"true\" name=\"num_inputs\" label=\"Number of" " inputs\" default=\"2\" />\n \n \n \n \n " " \n \n\n" MaskTabNameString ",,,,,,,,,,," } Block { BlockType "S-Function" Name "Concat5" Ports [2, 1] Position [1745, 470, 1805, 530] CopyFcn "xlBlockMoveCallback(gcbh);" DeleteFcn "xlDestroyGui(gcbh);" LoadFcn "xlBlockLoadCallback(gcbh);" ModelCloseFcn "xlDestroyGui(gcbh);" PreSaveFcn "xlBlockPreSaveCallback(gcbh);" PostSaveFcn "xlBlockPostSaveCallback(gcbh);" DestroyFcn "xlDestroyGui(gcbh);" OpenFcn "xlOpenGui(gcbh, @xlmagicenablement, -1)" CloseFcn "xlDestroyGui(gcbh);" MoveFcn "xlBlockMoveCallback(gcbh);" FunctionName "sysgen" Parameters "ptable_" MaskType "Xilinx Bus Concatenator Block" MaskDescription "Concatenates two or more inputs. Output wi" "ll be cast to an unsigned value with the binary point at zero." MaskHelp "eval('xlWeb(xlhtmldoclink(''Concat''))');" MaskPromptString " |Number of inputs| |Override with doubles|" " | | | | | | | " MaskStyleString "edit,edit,edit,checkbox,edit,edit,edit,edit" ",edit,edit,edit,edit" MaskTunableValueString "on,on,on,on,on,on,on,on,on,on,on,on" MaskCallbackString "|||||||||||" MaskEnableString "on,on,on,on,on,on,on,on,on,on,on,on" MaskVisibilityString "off,on,off,on,off,off,off,off,off,off,off,o" "ff" MaskToolTipString "on,on,on,on,on,on,on,on,on,on,on,on" MaskVarAliasString ",,,,,,,,,,," MaskVariables "infoedit=&1;num_inputs=@2;dbl_ovrd_sgadvanc" "ed=&3;dbl_ovrd=@4;has_advanced_control=@5;sggui_pos=&6;block_type=&7;block_ve" "rsion=&8;sg_icon_stat=&9;sg_mask_display=&10;sg_list_contents=&11;sg_blockgui" "_xml=&12;" MaskInitialization "try\n tmp_gcb = gcb;\n tmp_gcbh = gcbh;\n" " xlMungeMaskParams;\n block_config = 'Sysgen:Concat_config';\n serialized_" "declarations = '{''num_inputs''=>''Int'',''sim_engine''=>''Int'',''sysgen_roo" "t''=>''String''}';\n xlconcat_init();\n ptable_ = xlblockprep(get_param(tmp" "_gcb, 'MaskWSVariables'));\ncatch\n global xl_report_errors;\n if(~isempty(" "xl_report_errors) && xl_report_errors)\n e = regexprep(lasterr, '\\n', '\\" "nError: ');\n disp(['Error: While running MaskInit code on block ' tmp_gcb" " ': ' e]);\n error(e);\n end\nend\n" MaskDisplay "fprintf('','COMMENT: begin icon graphics');" "\npatch([0 60 60 0 ],[0 0 60 60 ],[0.77 0.82 0.91]);\npatch([14 4 18 4 14 30 " "34 38 55 42 29 20 35 20 29 42 55 38 34 30 14 ],[6 16 30 44 54 54 50 54 54 41 " "54 45 30 15 6 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 0 60 60 0 ],[0 60 6" "0 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: be" "gin icon text');\ncolor('black');port_label('input',1,'hi');\ncolor('black');" "port_label('input',2,'lo');\nfprintf('','COMMENT: end icon text');\n" MaskSelfModifiable on MaskIconFrame off MaskIconOpaque on MaskIconRotate "none" MaskIconUnits "autoscale" MaskValueString "Concatenates two or more inputs. Output wi" "ll be cast to an unsigned value with the binary point at zero.|2||off|0|-1,-1" ",-1,-1|concat|8.2.02|60,60,2,1,white,blue,0,59d62d82|fprintf('','COMMENT: beg" "in icon graphics');\npatch([0 60 60 0 ],[0 0 60 60 ],[0.77 0.82 0.91]);\npatc" "h([14 4 18 4 14 30 34 38 55 42 29 20 35 20 29 42 55 38 34 30 14 ],[6 16 30 44" " 54 54 50 54 54 41 54 45 30 15 6 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 " "0 60 60 0 ],[0 60 60 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfpri" "ntf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1,'hi'" ");\ncolor('black');port_label('input',2,'lo');\nfprintf('','COMMENT: end icon" " text');\n||\n" " \n \n \n \n \n \n \n" " \n \n \n \n <" "editbox ctype=\"Int\" evaluate=\"true\" name=\"num_inputs\" label=\"Number of" " inputs\" default=\"2\" />\n \n \n \n \n " " \n \n\n" MaskTabNameString ",,,,,,,,,,," } Block { BlockType "S-Function" Name "Concat6" Ports [2, 1] Position [1045, 70, 1105, 130] CopyFcn "xlBlockMoveCallback(gcbh);" DeleteFcn "xlDestroyGui(gcbh);" LoadFcn "xlBlockLoadCallback(gcbh);" ModelCloseFcn "xlDestroyGui(gcbh);" PreSaveFcn "xlBlockPreSaveCallback(gcbh);" PostSaveFcn "xlBlockPostSaveCallback(gcbh);" DestroyFcn "xlDestroyGui(gcbh);" OpenFcn "xlOpenGui(gcbh, @xlmagicenablement, -1)" CloseFcn "xlDestroyGui(gcbh);" MoveFcn "xlBlockMoveCallback(gcbh);" FunctionName "sysgen" Parameters "ptable_" MaskType "Xilinx Bus Concatenator Block" MaskDescription "Concatenates two or more inputs. Output wi" "ll be cast to an unsigned value with the binary point at zero." MaskHelp "eval('xlWeb(xlhtmldoclink(''Concat''))');" MaskPromptString " |Number of inputs| |Override with doubles|" " | | | | | | | " MaskStyleString "edit,edit,edit,checkbox,edit,edit,edit,edit" ",edit,edit,edit,edit" MaskTunableValueString "on,on,on,on,on,on,on,on,on,on,on,on" MaskCallbackString "|||||||||||" MaskEnableString "on,on,on,on,on,on,on,on,on,on,on,on" MaskVisibilityString "off,on,off,on,off,off,off,off,off,off,off,o" "ff" MaskToolTipString "on,on,on,on,on,on,on,on,on,on,on,on" MaskVarAliasString ",,,,,,,,,,," MaskVariables "infoedit=&1;num_inputs=@2;dbl_ovrd_sgadvanc" "ed=&3;dbl_ovrd=@4;has_advanced_control=@5;sggui_pos=&6;block_type=&7;block_ve" "rsion=&8;sg_icon_stat=&9;sg_mask_display=&10;sg_list_contents=&11;sg_blockgui" "_xml=&12;" MaskInitialization "try\n tmp_gcb = gcb;\n tmp_gcbh = gcbh;\n" " xlMungeMaskParams;\n block_config = 'Sysgen:Concat_config';\n serialized_" "declarations = '{''num_inputs''=>''Int'',''sim_engine''=>''Int'',''sysgen_roo" "t''=>''String''}';\n xlconcat_init();\n ptable_ = xlblockprep(get_param(tmp" "_gcb, 'MaskWSVariables'));\ncatch\n global xl_report_errors;\n if(~isempty(" "xl_report_errors) && xl_report_errors)\n e = regexprep(lasterr, '\\n', '\\" "nError: ');\n disp(['Error: While running MaskInit code on block ' tmp_gcb" " ': ' e]);\n error(e);\n end\nend\n" MaskDisplay "fprintf('','COMMENT: begin icon graphics');" "\npatch([0 60 60 0 ],[0 0 60 60 ],[0.77 0.82 0.91]);\npatch([14 4 18 4 14 30 " "34 38 55 42 29 20 35 20 29 42 55 38 34 30 14 ],[6 16 30 44 54 54 50 54 54 41 " "54 45 30 15 6 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 0 60 60 0 ],[0 60 6" "0 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: be" "gin icon text');\ncolor('black');port_label('input',1,'hi');\ncolor('black');" "port_label('input',2,'lo');\nfprintf('','COMMENT: end icon text');\n" MaskSelfModifiable on MaskIconFrame off MaskIconOpaque on MaskIconRotate "none" MaskIconUnits "autoscale" MaskValueString "Concatenates two or more inputs. Output wi" "ll be cast to an unsigned value with the binary point at zero.|2||off|0|-1,-1" ",-1,-1|concat|8.2.02|60,60,2,1,white,blue,0,59d62d82|fprintf('','COMMENT: beg" "in icon graphics');\npatch([0 60 60 0 ],[0 0 60 60 ],[0.77 0.82 0.91]);\npatc" "h([14 4 18 4 14 30 34 38 55 42 29 20 35 20 29 42 55 38 34 30 14 ],[6 16 30 44" " 54 54 50 54 54 41 54 45 30 15 6 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 " "0 60 60 0 ],[0 60 60 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfpri" "ntf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1,'hi'" ");\ncolor('black');port_label('input',2,'lo');\nfprintf('','COMMENT: end icon" " text');\n||\n" " \n \n \n \n \n \n \n" " \n \n \n \n <" "editbox ctype=\"Int\" evaluate=\"true\" name=\"num_inputs\" label=\"Number of" " inputs\" default=\"2\" />\n \n \n \n \n " " \n \n\n" MaskTabNameString ",,,,,,,,,,," } Block { BlockType "S-Function" Name "Constant" Ports [0, 1] Position [1400, 467, 1455, 493] CopyFcn "xlBlockMoveCallback(gcbh);" DeleteFcn "xlDestroyGui(gcbh);" LoadFcn "xlBlockLoadCallback(gcbh);" ModelCloseFcn "xlDestroyGui(gcbh);" PreSaveFcn "xlBlockPreSaveCallback(gcbh);" PostSaveFcn "xlBlockPostSaveCallback(gcbh);" DestroyFcn "xlDestroyGui(gcbh);" OpenFcn "xlOpenGui(gcbh, @constantenablement, @const" "antaction)" CloseFcn "xlDestroyGui(gcbh);" MoveFcn "xlBlockMoveCallback(gcbh);" FunctionName "sysgen" Parameters "ptable_" MaskType "Xilinx Constant Block Block" MaskDescription "Xilinx Constant Block" MaskHelp "eval('xlWeb(xlhtmldoclink(''Constant''))');" MaskPromptString " |Type|Constant value|Number of bits|Binary" " point| |Sampled constant|Sample period| |DSP48 operation| |Operation select|" " |Z mux| |Operand| |YX muxes| |Carry input| |Override with doubles| | | | | |" " | | " MaskStyleString "edit,popup(Boolean|Signed (2's comp)|Unsign" "ed|DSP48 Instruction),edit,edit,edit,edit,checkbox,edit,edit,edit,edit,popup(" "C + A*B|PCIN + A*B|P + A*B|A * B|C + A:B|C - A:B|C|Custom),edit,popup(0|PCIN|" "P|C|PCIN>>17|P>>17),edit,popup(+|-),edit,popup(0|P|A:B|A*B|C|P+C|A:B+C),edit," "popup(0|1|CIN|~SIGN(P or PCIN)|~SIGN(A*B or A:B)|~SIGND(A*B or A:B)),edit,che" "ckbox,edit,edit,edit,edit,edit,edit,edit,edit" MaskTunableValueString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,o" "n,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on" MaskCallbackString "|||||||||||||||||||||||||||||" MaskEnableString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,o" "n,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on" MaskVisibilityString "off,on,on,on,on,off,on,on,off,on,off,on,off" ",on,off,on,off,on,off,on,off,on,off,off,off,off,off,off,off,off" MaskToolTipString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,o" "n,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on" MaskVarAliasString ",,,,,,,,,,,,,,,,,,,,,,,,,,,,," MaskVariables "arith_type_sgadvanced=&1;arith_type=@2;cons" "t=@3;n_bits=@4;bin_pt=@5;explicit_period_sgadvanced=&6;explicit_period=@7;per" "iod=@8;dsp48_infoedit=&9;equ=&10;opselect_sgadvanced=&11;opselect=@12;inp2_sg" "advanced=&13;inp2=@14;opr_sgadvanced=&15;opr=@16;inp1_sgadvanced=&17;inp1=@18" ";carry_sgadvanced=&19;carry=@20;dbl_ovrd_sgadvanced=&21;dbl_ovrd=@22;has_adva" "nced_control=@23;sggui_pos=&24;block_type=&25;block_version=&26;sg_icon_stat=" "&27;sg_mask_display=&28;sg_list_contents=&29;sg_blockgui_xml=&30;" MaskInitialization "try\n tmp_gcb = gcb;\n tmp_gcbh = gcbh;\n" " xlMungeMaskParams;\n block_config = 'Sysgen:Constant_config';\n serialize" "d_declarations = '{''arith_type''=>''Int'',''bin_pt''=>''Int'',''carry''=>''I" "nt'',''const''=>''Double'',''dbl_ovrd''=>''Int'',''explicit_period''=>''Int''" ",''inp1''=>''Int'',''inp2''=>''Int'',''n_bits''=>''Int'',''opr''=>''Int'',''o" "pselect''=>''Int'',''period''=>''Double''}';\n xlconstant_init();\n ptable_" " = xlblockprep(get_param(tmp_gcb, 'MaskWSVariables'));\ncatch\n global xl_re" "port_errors;\n if(~isempty(xl_report_errors) && xl_report_errors)\n e = r" "egexprep(lasterr, '\\n', '\\nError: ');\n disp(['Error: While running Mask" "Init code on block ' tmp_gcb ': ' e]);\n error(e);\n end\nend\n" MaskDisplay "fprintf('','COMMENT: begin icon graphics');" "\npatch([0 55 55 0 ],[0 0 26 26 ],[0.77 0.82 0.91]);\npatch([20 16 22 16 20 2" "7 29 31 38 32 26 22 28 22 26 32 38 31 29 27 20 ],[3 7 13 19 23 23 21 23 23 17" " 23 19 13 7 3 9 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 0 55 55 0 ],[0 26 26 " "0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begi" "n icon text');\ncolor('black');port_label('output',1,'0');\nfprintf('','COMME" "NT: end icon text');\n" MaskSelfModifiable on MaskIconFrame off MaskIconOpaque on MaskIconRotate "none" MaskIconUnits "autoscale" MaskValueString "|Unsigned|0|8|0||on|1|The use of this block" " for DSP48 instructions is deprecated. Please use the Opmode block.|P=C||C||" "PCIN>>17||+||P||CIN||off|0|-1,-1,-1,-1|constant|8.2.02|55,26,0,1,white,blue,0" ",c7c04a0c|fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 ],[0 " "0 26 26 ],[0.77 0.82 0.91]);\npatch([20 16 22 16 20 27 29 31 38 32 26 22 28 2" "2 26 32 38 31 29 27 20 ],[3 7 13 19 23 23 21 23 23 17 23 19 13 7 3 9 3 3 5 3 " "3 ],[0.98 0.96 0.92]);\nplot([0 0 55 55 0 ],[0 26 26 0 0 ]);\nfprintf('','COM" "MENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('b" "lack');port_label('output',1,'0');\nfprintf('','COMMENT: end icon text');\n||" "\n \n \n \n \n \n \n \n \n \n \n \n " "\n \n \n \n \n \n \n \n \n \n \n \n \n \n \n " " \n \n \n \n " "\n \n \n \n \n " " \n \n \n \n \n \n \n " " \n \n >17\" >\n \n \n \n \n " " >17\" />\n >17\" />\n \n \n \n \n \n \n \n" " \n \n \n \n \n " " \n \n \n " " \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n\n" MaskTabNameString ",,,,,,,,,,,,,,,,,,,,,,,,,,,,," } Block { BlockType "S-Function" Name "Constant1" Ports [0, 1] Position [1400, 512, 1455, 538] CopyFcn "xlBlockMoveCallback(gcbh);" DeleteFcn "xlDestroyGui(gcbh);" LoadFcn "xlBlockLoadCallback(gcbh);" ModelCloseFcn "xlDestroyGui(gcbh);" PreSaveFcn "xlBlockPreSaveCallback(gcbh);" PostSaveFcn "xlBlockPostSaveCallback(gcbh);" DestroyFcn "xlDestroyGui(gcbh);" OpenFcn "xlOpenGui(gcbh, @constantenablement, @const" "antaction)" CloseFcn "xlDestroyGui(gcbh);" MoveFcn "xlBlockMoveCallback(gcbh);" FunctionName "sysgen" Parameters "ptable_" MaskType "Xilinx Constant Block Block" MaskDescription "Xilinx Constant Block" MaskHelp "eval('xlWeb(xlhtmldoclink(''Constant''))');" MaskPromptString " |Type|Constant value|Number of bits|Binary" " point| |Sampled constant|Sample period| |DSP48 operation| |Operation select|" " |Z mux| |Operand| |YX muxes| |Carry input| |Override with doubles| | | | | |" " | | " MaskStyleString "edit,popup(Boolean|Signed (2's comp)|Unsign" "ed|DSP48 Instruction),edit,edit,edit,edit,checkbox,edit,edit,edit,edit,popup(" "C + A*B|PCIN + A*B|P + A*B|A * B|C + A:B|C - A:B|C|Custom),edit,popup(0|PCIN|" "P|C|PCIN>>17|P>>17),edit,popup(+|-),edit,popup(0|P|A:B|A*B|C|P+C|A:B+C),edit," "popup(0|1|CIN|~SIGN(P or PCIN)|~SIGN(A*B or A:B)|~SIGND(A*B or A:B)),edit,che" "ckbox,edit,edit,edit,edit,edit,edit,edit,edit" MaskTunableValueString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,o" "n,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on" MaskCallbackString "|||||||||||||||||||||||||||||" MaskEnableString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,o" "n,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on" MaskVisibilityString "off,on,on,on,on,off,on,on,off,on,off,on,off" ",on,off,on,off,on,off,on,off,on,off,off,off,off,off,off,off,off" MaskToolTipString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,o" "n,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on" MaskVarAliasString ",,,,,,,,,,,,,,,,,,,,,,,,,,,,," MaskVariables "arith_type_sgadvanced=&1;arith_type=@2;cons" "t=@3;n_bits=@4;bin_pt=@5;explicit_period_sgadvanced=&6;explicit_period=@7;per" "iod=@8;dsp48_infoedit=&9;equ=&10;opselect_sgadvanced=&11;opselect=@12;inp2_sg" "advanced=&13;inp2=@14;opr_sgadvanced=&15;opr=@16;inp1_sgadvanced=&17;inp1=@18" ";carry_sgadvanced=&19;carry=@20;dbl_ovrd_sgadvanced=&21;dbl_ovrd=@22;has_adva" "nced_control=@23;sggui_pos=&24;block_type=&25;block_version=&26;sg_icon_stat=" "&27;sg_mask_display=&28;sg_list_contents=&29;sg_blockgui_xml=&30;" MaskInitialization "try\n tmp_gcb = gcb;\n tmp_gcbh = gcbh;\n" " xlMungeMaskParams;\n block_config = 'Sysgen:Constant_config';\n serialize" "d_declarations = '{''arith_type''=>''Int'',''bin_pt''=>''Int'',''carry''=>''I" "nt'',''const''=>''Double'',''dbl_ovrd''=>''Int'',''explicit_period''=>''Int''" ",''inp1''=>''Int'',''inp2''=>''Int'',''n_bits''=>''Int'',''opr''=>''Int'',''o" "pselect''=>''Int'',''period''=>''Double''}';\n xlconstant_init();\n ptable_" " = xlblockprep(get_param(tmp_gcb, 'MaskWSVariables'));\ncatch\n global xl_re" "port_errors;\n if(~isempty(xl_report_errors) && xl_report_errors)\n e = r" "egexprep(lasterr, '\\n', '\\nError: ');\n disp(['Error: While running Mask" "Init code on block ' tmp_gcb ': ' e]);\n error(e);\n end\nend\n" MaskDisplay "fprintf('','COMMENT: begin icon graphics');" "\npatch([0 55 55 0 ],[0 0 26 26 ],[0.77 0.82 0.91]);\npatch([20 16 22 16 20 2" "7 29 31 38 32 26 22 28 22 26 32 38 31 29 27 20 ],[3 7 13 19 23 23 21 23 23 17" " 23 19 13 7 3 9 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 0 55 55 0 ],[0 26 26 " "0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begi" "n icon text');\ncolor('black');port_label('output',1,'0');\nfprintf('','COMME" "NT: end icon text');\n" MaskSelfModifiable on MaskIconFrame off MaskIconOpaque on MaskIconRotate "none" MaskIconUnits "autoscale" MaskValueString "|Boolean|0|8|0||on|1|The use of this block " "for DSP48 instructions is deprecated. Please use the Opmode block.|P=C||C||P" "CIN>>17||+||P||CIN||off|0|-1,-1,-1,-1|constant|8.2.02|55,26,0,1,white,blue,0," "c7c04a0c|fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 ],[0 0" " 26 26 ],[0.77 0.82 0.91]);\npatch([20 16 22 16 20 27 29 31 38 32 26 22 28 22" " 26 32 38 31 29 27 20 ],[3 7 13 19 23 23 21 23 23 17 23 19 13 7 3 9 3 3 5 3 3" " ],[0.98 0.96 0.92]);\nplot([0 0 55 55 0 ],[0 26 26 0 0 ]);\nfprintf('','COMM" "ENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('bl" "ack');port_label('output',1,'0');\nfprintf('','COMMENT: end icon text');\n||<" "!-- * Copyright (c) 2005, Xilinx, Inc. All Rights Reserved. -->" "\n \n \n \n \n \n \n \n \n \n \n \n" " \n \n \n \n <" "item value=\"Signed (2's comp)\" label=\"Signed (2's comp)\" />\n \n \n \n \n \n \n \n \n \n \n " " \n \n \n \n \n \n \n \n \n " " \n \n \n \n \n \n \n " " \n \n >17\" >\n \n \n \n \n " " >17\" />\n >17\" />\n \n \n \n \n \n \n \n" " \n \n \n \n \n " " \n \n \n " " \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n\n" MaskTabNameString ",,,,,,,,,,,,,,,,,,,,,,,,,,,,," } Block { BlockType "S-Function" Name "Constant2" Ports [0, 1] Position [1030, 147, 1085, 173] CopyFcn "xlBlockMoveCallback(gcbh);" DeleteFcn "xlDestroyGui(gcbh);" LoadFcn "xlBlockLoadCallback(gcbh);" ModelCloseFcn "xlDestroyGui(gcbh);" PreSaveFcn "xlBlockPreSaveCallback(gcbh);" PostSaveFcn "xlBlockPostSaveCallback(gcbh);" DestroyFcn "xlDestroyGui(gcbh);" OpenFcn "xlOpenGui(gcbh, @constantenablement, @const" "antaction)" CloseFcn "xlDestroyGui(gcbh);" MoveFcn "xlBlockMoveCallback(gcbh);" FunctionName "sysgen" Parameters "ptable_" MaskType "Xilinx Constant Block Block" MaskDescription "Xilinx Constant Block" MaskHelp "eval('xlWeb(xlhtmldoclink(''Constant''))');" MaskPromptString " |Type|Constant value|Number of bits|Binary" " point| |Sampled constant|Sample period| |DSP48 operation| |Operation select|" " |Z mux| |Operand| |YX muxes| |Carry input| |Override with doubles| | | | | |" " | | " MaskStyleString "edit,popup(Boolean|Signed (2's comp)|Unsign" "ed|DSP48 Instruction),edit,edit,edit,edit,checkbox,edit,edit,edit,edit,popup(" "C + A*B|PCIN + A*B|P + A*B|A * B|C + A:B|C - A:B|C|Custom),edit,popup(0|PCIN|" "P|C|PCIN>>17|P>>17),edit,popup(+|-),edit,popup(0|P|A:B|A*B|C|P+C|A:B+C),edit," "popup(0|1|CIN|~SIGN(P or PCIN)|~SIGN(A*B or A:B)|~SIGND(A*B or A:B)),edit,che" "ckbox,edit,edit,edit,edit,edit,edit,edit,edit" MaskTunableValueString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,o" "n,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on" MaskCallbackString "|||||||||||||||||||||||||||||" MaskEnableString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,o" "n,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on" MaskVisibilityString "off,on,on,on,on,off,on,on,off,on,off,on,off" ",on,off,on,off,on,off,on,off,on,off,off,off,off,off,off,off,off" MaskToolTipString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,o" "n,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on" MaskVarAliasString ",,,,,,,,,,,,,,,,,,,,,,,,,,,,," MaskVariables "arith_type_sgadvanced=&1;arith_type=@2;cons" "t=@3;n_bits=@4;bin_pt=@5;explicit_period_sgadvanced=&6;explicit_period=@7;per" "iod=@8;dsp48_infoedit=&9;equ=&10;opselect_sgadvanced=&11;opselect=@12;inp2_sg" "advanced=&13;inp2=@14;opr_sgadvanced=&15;opr=@16;inp1_sgadvanced=&17;inp1=@18" ";carry_sgadvanced=&19;carry=@20;dbl_ovrd_sgadvanced=&21;dbl_ovrd=@22;has_adva" "nced_control=@23;sggui_pos=&24;block_type=&25;block_version=&26;sg_icon_stat=" "&27;sg_mask_display=&28;sg_list_contents=&29;sg_blockgui_xml=&30;" MaskInitialization "try\n tmp_gcb = gcb;\n tmp_gcbh = gcbh;\n" " xlMungeMaskParams;\n block_config = 'Sysgen:Constant_config';\n serialize" "d_declarations = '{''arith_type''=>''Int'',''bin_pt''=>''Int'',''carry''=>''I" "nt'',''const''=>''Double'',''dbl_ovrd''=>''Int'',''explicit_period''=>''Int''" ",''inp1''=>''Int'',''inp2''=>''Int'',''n_bits''=>''Int'',''opr''=>''Int'',''o" "pselect''=>''Int'',''period''=>''Double''}';\n xlconstant_init();\n ptable_" " = xlblockprep(get_param(tmp_gcb, 'MaskWSVariables'));\ncatch\n global xl_re" "port_errors;\n if(~isempty(xl_report_errors) && xl_report_errors)\n e = r" "egexprep(lasterr, '\\n', '\\nError: ');\n disp(['Error: While running Mask" "Init code on block ' tmp_gcb ': ' e]);\n error(e);\n end\nend\n" MaskDisplay "fprintf('','COMMENT: begin icon graphics');" "\npatch([0 55 55 0 ],[0 0 26 26 ],[0.77 0.82 0.91]);\npatch([20 16 22 16 20 2" "7 29 31 38 32 26 22 28 22 26 32 38 31 29 27 20 ],[3 7 13 19 23 23 21 23 23 17" " 23 19 13 7 3 9 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 0 55 55 0 ],[0 26 26 " "0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begi" "n icon text');\ncolor('black');port_label('output',1,'0');\nfprintf('','COMME" "NT: end icon text');\n" MaskSelfModifiable on MaskIconFrame off MaskIconOpaque on MaskIconRotate "none" MaskIconUnits "autoscale" MaskValueString "|Unsigned|0|8|0||on|1|The use of this block" " for DSP48 instructions is deprecated. Please use the Opmode block.|P=C||C||" "PCIN>>17||+||P||CIN||off|0|-1,-1,-1,-1|constant|8.2.02|55,26,0,1,white,blue,0" ",c7c04a0c|fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 ],[0 " "0 26 26 ],[0.77 0.82 0.91]);\npatch([20 16 22 16 20 27 29 31 38 32 26 22 28 2" "2 26 32 38 31 29 27 20 ],[3 7 13 19 23 23 21 23 23 17 23 19 13 7 3 9 3 3 5 3 " "3 ],[0.98 0.96 0.92]);\nplot([0 0 55 55 0 ],[0 26 26 0 0 ]);\nfprintf('','COM" "MENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('b" "lack');port_label('output',1,'0');\nfprintf('','COMMENT: end icon text');\n||" "\n \n \n \n \n \n \n \n \n \n \n \n " "\n \n \n \n \n \n \n \n \n \n \n \n \n \n \n " " \n \n \n \n " "\n \n \n \n \n " " \n \n \n \n \n \n \n " " \n \n >17\" >\n \n \n \n \n " " >17\" />\n >17\" />\n \n \n \n \n \n \n \n" " \n \n \n \n \n " " \n \n \n " " \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n\n" MaskTabNameString ",,,,,,,,,,,,,,,,,,,,,,,,,,,,," } Block { BlockType "S-Function" Name "Constant3" Ports [0, 1] Position [1030, 192, 1085, 218] CopyFcn "xlBlockMoveCallback(gcbh);" DeleteFcn "xlDestroyGui(gcbh);" LoadFcn "xlBlockLoadCallback(gcbh);" ModelCloseFcn "xlDestroyGui(gcbh);" PreSaveFcn "xlBlockPreSaveCallback(gcbh);" PostSaveFcn "xlBlockPostSaveCallback(gcbh);" DestroyFcn "xlDestroyGui(gcbh);" OpenFcn "xlOpenGui(gcbh, @constantenablement, @const" "antaction)" CloseFcn "xlDestroyGui(gcbh);" MoveFcn "xlBlockMoveCallback(gcbh);" FunctionName "sysgen" Parameters "ptable_" MaskType "Xilinx Constant Block Block" MaskDescription "Xilinx Constant Block" MaskHelp "eval('xlWeb(xlhtmldoclink(''Constant''))');" MaskPromptString " |Type|Constant value|Number of bits|Binary" " point| |Sampled constant|Sample period| |DSP48 operation| |Operation select|" " |Z mux| |Operand| |YX muxes| |Carry input| |Override with doubles| | | | | |" " | | " MaskStyleString "edit,popup(Boolean|Signed (2's comp)|Unsign" "ed|DSP48 Instruction),edit,edit,edit,edit,checkbox,edit,edit,edit,edit,popup(" "C + A*B|PCIN + A*B|P + A*B|A * B|C + A:B|C - A:B|C|Custom),edit,popup(0|PCIN|" "P|C|PCIN>>17|P>>17),edit,popup(+|-),edit,popup(0|P|A:B|A*B|C|P+C|A:B+C),edit," "popup(0|1|CIN|~SIGN(P or PCIN)|~SIGN(A*B or A:B)|~SIGND(A*B or A:B)),edit,che" "ckbox,edit,edit,edit,edit,edit,edit,edit,edit" MaskTunableValueString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,o" "n,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on" MaskCallbackString "|||||||||||||||||||||||||||||" MaskEnableString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,o" "n,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on" MaskVisibilityString "off,on,on,on,on,off,on,on,off,on,off,on,off" ",on,off,on,off,on,off,on,off,on,off,off,off,off,off,off,off,off" MaskToolTipString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,o" "n,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on" MaskVarAliasString ",,,,,,,,,,,,,,,,,,,,,,,,,,,,," MaskVariables "arith_type_sgadvanced=&1;arith_type=@2;cons" "t=@3;n_bits=@4;bin_pt=@5;explicit_period_sgadvanced=&6;explicit_period=@7;per" "iod=@8;dsp48_infoedit=&9;equ=&10;opselect_sgadvanced=&11;opselect=@12;inp2_sg" "advanced=&13;inp2=@14;opr_sgadvanced=&15;opr=@16;inp1_sgadvanced=&17;inp1=@18" ";carry_sgadvanced=&19;carry=@20;dbl_ovrd_sgadvanced=&21;dbl_ovrd=@22;has_adva" "nced_control=@23;sggui_pos=&24;block_type=&25;block_version=&26;sg_icon_stat=" "&27;sg_mask_display=&28;sg_list_contents=&29;sg_blockgui_xml=&30;" MaskInitialization "try\n tmp_gcb = gcb;\n tmp_gcbh = gcbh;\n" " xlMungeMaskParams;\n block_config = 'Sysgen:Constant_config';\n serialize" "d_declarations = '{''arith_type''=>''Int'',''bin_pt''=>''Int'',''carry''=>''I" "nt'',''const''=>''Double'',''dbl_ovrd''=>''Int'',''explicit_period''=>''Int''" ",''inp1''=>''Int'',''inp2''=>''Int'',''n_bits''=>''Int'',''opr''=>''Int'',''o" "pselect''=>''Int'',''period''=>''Double''}';\n xlconstant_init();\n ptable_" " = xlblockprep(get_param(tmp_gcb, 'MaskWSVariables'));\ncatch\n global xl_re" "port_errors;\n if(~isempty(xl_report_errors) && xl_report_errors)\n e = r" "egexprep(lasterr, '\\n', '\\nError: ');\n disp(['Error: While running Mask" "Init code on block ' tmp_gcb ': ' e]);\n error(e);\n end\nend\n" MaskDisplay "fprintf('','COMMENT: begin icon graphics');" "\npatch([0 55 55 0 ],[0 0 26 26 ],[0.77 0.82 0.91]);\npatch([20 16 22 16 20 2" "7 29 31 38 32 26 22 28 22 26 32 38 31 29 27 20 ],[3 7 13 19 23 23 21 23 23 17" " 23 19 13 7 3 9 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 0 55 55 0 ],[0 26 26 " "0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begi" "n icon text');\ncolor('black');port_label('output',1,'0');\nfprintf('','COMME" "NT: end icon text');\n" MaskSelfModifiable on MaskIconFrame off MaskIconOpaque on MaskIconRotate "none" MaskIconUnits "autoscale" MaskValueString "|Boolean|0|8|0||on|1|The use of this block " "for DSP48 instructions is deprecated. Please use the Opmode block.|P=C||C||P" "CIN>>17||+||P||CIN||off|0|-1,-1,-1,-1|constant|8.2.02|55,26,0,1,white,blue,0," "c7c04a0c|fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 ],[0 0" " 26 26 ],[0.77 0.82 0.91]);\npatch([20 16 22 16 20 27 29 31 38 32 26 22 28 22" " 26 32 38 31 29 27 20 ],[3 7 13 19 23 23 21 23 23 17 23 19 13 7 3 9 3 3 5 3 3" " ],[0.98 0.96 0.92]);\nplot([0 0 55 55 0 ],[0 26 26 0 0 ]);\nfprintf('','COMM" "ENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('bl" "ack');port_label('output',1,'0');\nfprintf('','COMMENT: end icon text');\n||<" "!-- * Copyright (c) 2005, Xilinx, Inc. All Rights Reserved. -->" "\n \n \n \n \n \n \n \n \n \n \n \n " "\n \n \n \n \n \n \n \n \n \n \n \n \n \n \n " " \n \n \n \n " "\n \n \n \n \n " " \n \n \n \n \n \n \n " " \n \n >17\" >\n \n \n \n \n " " >17\" />\n >17\" />\n \n \n \n \n \n \n \n" " \n \n \n \n \n " " \n \n \n " " \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n\n" MaskTabNameString ",,,,,,,,,,,,,,,,,,,,,,,,,,,,," } Block { BlockType "S-Function" Name "Constant5" Ports [0, 1] Position [415, 722, 470, 748] CopyFcn "xlBlockMoveCallback(gcbh);" DeleteFcn "xlDestroyGui(gcbh);" LoadFcn "xlBlockLoadCallback(gcbh);" ModelCloseFcn "xlDestroyGui(gcbh);" PreSaveFcn "xlBlockPreSaveCallback(gcbh);" PostSaveFcn "xlBlockPostSaveCallback(gcbh);" DestroyFcn "xlDestroyGui(gcbh);" OpenFcn "xlOpenGui(gcbh, @constantenablement, @const" "antaction)" CloseFcn "xlDestroyGui(gcbh);" MoveFcn "xlBlockMoveCallback(gcbh);" FunctionName "sysgen" Parameters "ptable_" MaskType "Xilinx Constant Block Block" MaskDescription "Xilinx Constant Block" MaskHelp "eval('xlWeb(xlhtmldoclink(''Constant''))');" MaskPromptString " |Type|Constant value|Number of bits|Binary" " point| |Sampled constant|Sample period| |DSP48 operation| |Operation select|" " |Z mux| |Operand| |YX muxes| |Carry input| |Override with doubles| | | | | |" " | | " MaskStyleString "edit,popup(Boolean|Signed (2's comp)|Unsign" "ed|DSP48 Instruction),edit,edit,edit,edit,checkbox,edit,edit,edit,edit,popup(" "C + A*B|PCIN + A*B|P + A*B|A * B|C + A:B|C - A:B|C|Custom),edit,popup(0|PCIN|" "P|C|PCIN>>17|P>>17),edit,popup(+|-),edit,popup(0|P|A:B|A*B|C|P+C|A:B+C),edit," "popup(0|1|CIN|~SIGN(P or PCIN)|~SIGN(A*B or A:B)|~SIGND(A*B or A:B)),edit,che" "ckbox,edit,edit,edit,edit,edit,edit,edit,edit" MaskTunableValueString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,o" "n,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on" MaskCallbackString "|||||||||||||||||||||||||||||" MaskEnableString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,o" "n,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on" MaskVisibilityString "off,on,on,on,on,off,on,on,off,on,off,on,off" ",on,off,on,off,on,off,on,off,on,off,off,off,off,off,off,off,off" MaskToolTipString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,o" "n,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on" MaskVarAliasString ",,,,,,,,,,,,,,,,,,,,,,,,,,,,," MaskVariables "arith_type_sgadvanced=&1;arith_type=@2;cons" "t=@3;n_bits=@4;bin_pt=@5;explicit_period_sgadvanced=&6;explicit_period=@7;per" "iod=@8;dsp48_infoedit=&9;equ=&10;opselect_sgadvanced=&11;opselect=@12;inp2_sg" "advanced=&13;inp2=@14;opr_sgadvanced=&15;opr=@16;inp1_sgadvanced=&17;inp1=@18" ";carry_sgadvanced=&19;carry=@20;dbl_ovrd_sgadvanced=&21;dbl_ovrd=@22;has_adva" "nced_control=@23;sggui_pos=&24;block_type=&25;block_version=&26;sg_icon_stat=" "&27;sg_mask_display=&28;sg_list_contents=&29;sg_blockgui_xml=&30;" MaskInitialization "try\n tmp_gcb = gcb;\n tmp_gcbh = gcbh;\n" " xlMungeMaskParams;\n block_config = 'Sysgen:Constant_config';\n serialize" "d_declarations = '{''arith_type''=>''Int'',''bin_pt''=>''Int'',''carry''=>''I" "nt'',''const''=>''Double'',''dbl_ovrd''=>''Int'',''explicit_period''=>''Int''" ",''inp1''=>''Int'',''inp2''=>''Int'',''n_bits''=>''Int'',''opr''=>''Int'',''o" "pselect''=>''Int'',''period''=>''Double''}';\n xlconstant_init();\n ptable_" " = xlblockprep(get_param(tmp_gcb, 'MaskWSVariables'));\ncatch\n global xl_re" "port_errors;\n if(~isempty(xl_report_errors) && xl_report_errors)\n e = r" "egexprep(lasterr, '\\n', '\\nError: ');\n disp(['Error: While running Mask" "Init code on block ' tmp_gcb ': ' e]);\n error(e);\n end\nend\n" MaskDisplay "fprintf('','COMMENT: begin icon graphics');" "\npatch([0 55 55 0 ],[0 0 26 26 ],[0.77 0.82 0.91]);\npatch([20 16 22 16 20 2" "7 29 31 38 32 26 22 28 22 26 32 38 31 29 27 20 ],[3 7 13 19 23 23 21 23 23 17" " 23 19 13 7 3 9 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 0 55 55 0 ],[0 26 26 " "0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begi" "n icon text');\ncolor('black');port_label('output',1,'0');\nfprintf('','COMME" "NT: end icon text');\n" MaskSelfModifiable on MaskIconFrame off MaskIconOpaque on MaskIconRotate "none" MaskIconUnits "autoscale" MaskValueString "|Unsigned|0|1|0||on|1|The use of this block" " for DSP48 instructions is deprecated. Please use the Opmode block.|P=C||C||" "PCIN>>17||+||P||CIN||off|0|-1,-1,-1,-1|constant|8.2.02|55,26,0,1,white,blue,0" ",c7c04a0c|fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 ],[0 " "0 26 26 ],[0.77 0.82 0.91]);\npatch([20 16 22 16 20 27 29 31 38 32 26 22 28 2" "2 26 32 38 31 29 27 20 ],[3 7 13 19 23 23 21 23 23 17 23 19 13 7 3 9 3 3 5 3 " "3 ],[0.98 0.96 0.92]);\nplot([0 0 55 55 0 ],[0 26 26 0 0 ]);\nfprintf('','COM" "MENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('b" "lack');port_label('output',1,'0');\nfprintf('','COMMENT: end icon text');\n||" "\n \n \n \n \n \n \n \n \n \n \n \n " "\n \n \n \n \n \n \n \n \n \n \n \n \n \n \n " " \n \n \n \n " "\n \n \n \n \n " " \n \n \n \n \n \n \n " " \n \n >17\" >\n \n \n \n \n " " >17\" />\n >17\" />\n \n \n \n \n \n \n \n" " \n \n \n \n \n " " \n \n \n " " \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n\n" MaskTabNameString ",,,,,,,,,,,,,,,,,,,,,,,,,,,,," } Block { BlockType "S-Function" Name "Constant6" Ports [0, 1] Position [770, 642, 825, 668] CopyFcn "xlBlockMoveCallback(gcbh);" DeleteFcn "xlDestroyGui(gcbh);" LoadFcn "xlBlockLoadCallback(gcbh);" ModelCloseFcn "xlDestroyGui(gcbh);" PreSaveFcn "xlBlockPreSaveCallback(gcbh);" PostSaveFcn "xlBlockPostSaveCallback(gcbh);" DestroyFcn "xlDestroyGui(gcbh);" OpenFcn "xlOpenGui(gcbh, @constantenablement, @const" "antaction)" CloseFcn "xlDestroyGui(gcbh);" MoveFcn "xlBlockMoveCallback(gcbh);" FunctionName "sysgen" Parameters "ptable_" MaskType "Xilinx Constant Block Block" MaskDescription "Xilinx Constant Block" MaskHelp "eval('xlWeb(xlhtmldoclink(''Constant''))');" MaskPromptString " |Type|Constant value|Number of bits|Binary" " point| |Sampled constant|Sample period| |DSP48 operation| |Operation select|" " |Z mux| |Operand| |YX muxes| |Carry input| |Override with doubles| | | | | |" " | | " MaskStyleString "edit,popup(Boolean|Signed (2's comp)|Unsign" "ed|DSP48 Instruction),edit,edit,edit,edit,checkbox,edit,edit,edit,edit,popup(" "C + A*B|PCIN + A*B|P + A*B|A * B|C + A:B|C - A:B|C|Custom),edit,popup(0|PCIN|" "P|C|PCIN>>17|P>>17),edit,popup(+|-),edit,popup(0|P|A:B|A*B|C|P+C|A:B+C),edit," "popup(0|1|CIN|~SIGN(P or PCIN)|~SIGN(A*B or A:B)|~SIGND(A*B or A:B)),edit,che" "ckbox,edit,edit,edit,edit,edit,edit,edit,edit" MaskTunableValueString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,o" "n,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on" MaskCallbackString "|||||||||||||||||||||||||||||" MaskEnableString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,o" "n,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on" MaskVisibilityString "off,on,on,on,on,off,on,on,off,on,off,on,off" ",on,off,on,off,on,off,on,off,on,off,off,off,off,off,off,off,off" MaskToolTipString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,o" "n,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on" MaskVarAliasString ",,,,,,,,,,,,,,,,,,,,,,,,,,,,," MaskVariables "arith_type_sgadvanced=&1;arith_type=@2;cons" "t=@3;n_bits=@4;bin_pt=@5;explicit_period_sgadvanced=&6;explicit_period=@7;per" "iod=@8;dsp48_infoedit=&9;equ=&10;opselect_sgadvanced=&11;opselect=@12;inp2_sg" "advanced=&13;inp2=@14;opr_sgadvanced=&15;opr=@16;inp1_sgadvanced=&17;inp1=@18" ";carry_sgadvanced=&19;carry=@20;dbl_ovrd_sgadvanced=&21;dbl_ovrd=@22;has_adva" "nced_control=@23;sggui_pos=&24;block_type=&25;block_version=&26;sg_icon_stat=" "&27;sg_mask_display=&28;sg_list_contents=&29;sg_blockgui_xml=&30;" MaskInitialization "try\n tmp_gcb = gcb;\n tmp_gcbh = gcbh;\n" " xlMungeMaskParams;\n block_config = 'Sysgen:Constant_config';\n serialize" "d_declarations = '{''arith_type''=>''Int'',''bin_pt''=>''Int'',''carry''=>''I" "nt'',''const''=>''Double'',''dbl_ovrd''=>''Int'',''explicit_period''=>''Int''" ",''inp1''=>''Int'',''inp2''=>''Int'',''n_bits''=>''Int'',''opr''=>''Int'',''o" "pselect''=>''Int'',''period''=>''Double''}';\n xlconstant_init();\n ptable_" " = xlblockprep(get_param(tmp_gcb, 'MaskWSVariables'));\ncatch\n global xl_re" "port_errors;\n if(~isempty(xl_report_errors) && xl_report_errors)\n e = r" "egexprep(lasterr, '\\n', '\\nError: ');\n disp(['Error: While running Mask" "Init code on block ' tmp_gcb ': ' e]);\n error(e);\n end\nend\n" MaskDisplay "fprintf('','COMMENT: begin icon graphics');" "\npatch([0 55 55 0 ],[0 0 26 26 ],[0.77 0.82 0.91]);\npatch([20 16 22 16 20 2" "7 29 31 38 32 26 22 28 22 26 32 38 31 29 27 20 ],[3 7 13 19 23 23 21 23 23 17" " 23 19 13 7 3 9 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 0 55 55 0 ],[0 26 26 " "0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begi" "n icon text');\ncolor('black');port_label('output',1,'0');\nfprintf('','COMME" "NT: end icon text');\n" MaskSelfModifiable on MaskIconFrame off MaskIconOpaque on MaskIconRotate "none" MaskIconUnits "autoscale" MaskValueString "|Unsigned|0|3|0||on|1|The use of this block" " for DSP48 instructions is deprecated. Please use the Opmode block.|P=C||C||" "PCIN>>17||+||P||CIN||off|0|-1,-1,-1,-1|constant|8.2.02|55,26,0,1,white,blue,0" ",c7c04a0c|fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 ],[0 " "0 26 26 ],[0.77 0.82 0.91]);\npatch([20 16 22 16 20 27 29 31 38 32 26 22 28 2" "2 26 32 38 31 29 27 20 ],[3 7 13 19 23 23 21 23 23 17 23 19 13 7 3 9 3 3 5 3 " "3 ],[0.98 0.96 0.92]);\nplot([0 0 55 55 0 ],[0 26 26 0 0 ]);\nfprintf('','COM" "MENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('b" "lack');port_label('output',1,'0');\nfprintf('','COMMENT: end icon text');\n||" "\n \n \n \n \n \n \n \n \n \n \n \n " "\n \n \n \n \n \n \n \n \n \n \n \n \n \n \n " " \n \n \n \n " "\n \n \n \n \n " " \n \n \n \n \n \n \n " " \n \n >17\" >\n \n \n \n \n " " >17\" />\n >17\" />\n \n \n \n \n \n \n \n" " \n \n \n \n \n " " \n \n \n " " \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n\n" MaskTabNameString ",,,,,,,,,,,,,,,,,,,,,,,,,,,,," } Block { BlockType "S-Function" Name "Constant7" Ports [0, 1] Position [770, 682, 825, 708] CopyFcn "xlBlockMoveCallback(gcbh);" DeleteFcn "xlDestroyGui(gcbh);" LoadFcn "xlBlockLoadCallback(gcbh);" ModelCloseFcn "xlDestroyGui(gcbh);" PreSaveFcn "xlBlockPreSaveCallback(gcbh);" PostSaveFcn "xlBlockPostSaveCallback(gcbh);" DestroyFcn "xlDestroyGui(gcbh);" OpenFcn "xlOpenGui(gcbh, @constantenablement, @const" "antaction)" CloseFcn "xlDestroyGui(gcbh);" MoveFcn "xlBlockMoveCallback(gcbh);" FunctionName "sysgen" Parameters "ptable_" MaskType "Xilinx Constant Block Block" MaskDescription "Xilinx Constant Block" MaskHelp "eval('xlWeb(xlhtmldoclink(''Constant''))');" MaskPromptString " |Type|Constant value|Number of bits|Binary" " point| |Sampled constant|Sample period| |DSP48 operation| |Operation select|" " |Z mux| |Operand| |YX muxes| |Carry input| |Override with doubles| | | | | |" " | | " MaskStyleString "edit,popup(Boolean|Signed (2's comp)|Unsign" "ed|DSP48 Instruction),edit,edit,edit,edit,checkbox,edit,edit,edit,edit,popup(" "C + A*B|PCIN + A*B|P + A*B|A * B|C + A:B|C - A:B|C|Custom),edit,popup(0|PCIN|" "P|C|PCIN>>17|P>>17),edit,popup(+|-),edit,popup(0|P|A:B|A*B|C|P+C|A:B+C),edit," "popup(0|1|CIN|~SIGN(P or PCIN)|~SIGN(A*B or A:B)|~SIGND(A*B or A:B)),edit,che" "ckbox,edit,edit,edit,edit,edit,edit,edit,edit" MaskTunableValueString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,o" "n,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on" MaskCallbackString "|||||||||||||||||||||||||||||" MaskEnableString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,o" "n,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on" MaskVisibilityString "off,on,on,on,on,off,on,on,off,on,off,on,off" ",on,off,on,off,on,off,on,off,on,off,off,off,off,off,off,off,off" MaskToolTipString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,o" "n,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on" MaskVarAliasString ",,,,,,,,,,,,,,,,,,,,,,,,,,,,," MaskVariables "arith_type_sgadvanced=&1;arith_type=@2;cons" "t=@3;n_bits=@4;bin_pt=@5;explicit_period_sgadvanced=&6;explicit_period=@7;per" "iod=@8;dsp48_infoedit=&9;equ=&10;opselect_sgadvanced=&11;opselect=@12;inp2_sg" "advanced=&13;inp2=@14;opr_sgadvanced=&15;opr=@16;inp1_sgadvanced=&17;inp1=@18" ";carry_sgadvanced=&19;carry=@20;dbl_ovrd_sgadvanced=&21;dbl_ovrd=@22;has_adva" "nced_control=@23;sggui_pos=&24;block_type=&25;block_version=&26;sg_icon_stat=" "&27;sg_mask_display=&28;sg_list_contents=&29;sg_blockgui_xml=&30;" MaskInitialization "try\n tmp_gcb = gcb;\n tmp_gcbh = gcbh;\n" " xlMungeMaskParams;\n block_config = 'Sysgen:Constant_config';\n serialize" "d_declarations = '{''arith_type''=>''Int'',''bin_pt''=>''Int'',''carry''=>''I" "nt'',''const''=>''Double'',''dbl_ovrd''=>''Int'',''explicit_period''=>''Int''" ",''inp1''=>''Int'',''inp2''=>''Int'',''n_bits''=>''Int'',''opr''=>''Int'',''o" "pselect''=>''Int'',''period''=>''Double''}';\n xlconstant_init();\n ptable_" " = xlblockprep(get_param(tmp_gcb, 'MaskWSVariables'));\ncatch\n global xl_re" "port_errors;\n if(~isempty(xl_report_errors) && xl_report_errors)\n e = r" "egexprep(lasterr, '\\n', '\\nError: ');\n disp(['Error: While running Mask" "Init code on block ' tmp_gcb ': ' e]);\n error(e);\n end\nend\n" MaskDisplay "fprintf('','COMMENT: begin icon graphics');" "\npatch([0 55 55 0 ],[0 0 26 26 ],[0.77 0.82 0.91]);\npatch([20 16 22 16 20 2" "7 29 31 38 32 26 22 28 22 26 32 38 31 29 27 20 ],[3 7 13 19 23 23 21 23 23 17" " 23 19 13 7 3 9 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 0 55 55 0 ],[0 26 26 " "0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begi" "n icon text');\ncolor('black');port_label('output',1,'4');\nfprintf('','COMME" "NT: end icon text');\n" MaskSelfModifiable on MaskIconFrame off MaskIconOpaque on MaskIconRotate "none" MaskIconUnits "autoscale" MaskValueString "|Unsigned|4|3|0||on|1|The use of this block" " for DSP48 instructions is deprecated. Please use the Opmode block.|P=C||C||" "PCIN>>17||+||P||CIN||off|0|-1,-1,-1,-1|constant|8.2.02|55,26,0,1,white,blue,0" ",36b2cd90|fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 ],[0 " "0 26 26 ],[0.77 0.82 0.91]);\npatch([20 16 22 16 20 27 29 31 38 32 26 22 28 2" "2 26 32 38 31 29 27 20 ],[3 7 13 19 23 23 21 23 23 17 23 19 13 7 3 9 3 3 5 3 " "3 ],[0.98 0.96 0.92]);\nplot([0 0 55 55 0 ],[0 26 26 0 0 ]);\nfprintf('','COM" "MENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('b" "lack');port_label('output',1,'4');\nfprintf('','COMMENT: end icon text');\n||" "\n \n \n \n \n \n \n \n \n \n \n \n " "\n \n \n \n \n \n \n \n \n \n \n \n \n \n \n " " \n \n \n \n " "\n \n \n \n \n " " \n \n \n \n \n \n \n " " \n \n >17\" >\n \n \n \n \n " " >17\" />\n >17\" />\n \n \n \n \n \n \n \n" " \n \n \n \n \n " " \n \n \n " " \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n\n" MaskTabNameString ",,,,,,,,,,,,,,,,,,,,,,,,,,,,," } Block { BlockType "S-Function" Name "Constant8" Ports [0, 1] Position [770, 722, 825, 748] CopyFcn "xlBlockMoveCallback(gcbh);" DeleteFcn "xlDestroyGui(gcbh);" LoadFcn "xlBlockLoadCallback(gcbh);" ModelCloseFcn "xlDestroyGui(gcbh);" PreSaveFcn "xlBlockPreSaveCallback(gcbh);" PostSaveFcn "xlBlockPostSaveCallback(gcbh);" DestroyFcn "xlDestroyGui(gcbh);" OpenFcn "xlOpenGui(gcbh, @constantenablement, @const" "antaction)" CloseFcn "xlDestroyGui(gcbh);" MoveFcn "xlBlockMoveCallback(gcbh);" FunctionName "sysgen" Parameters "ptable_" MaskType "Xilinx Constant Block Block" MaskDescription "Xilinx Constant Block" MaskHelp "eval('xlWeb(xlhtmldoclink(''Constant''))');" MaskPromptString " |Type|Constant value|Number of bits|Binary" " point| |Sampled constant|Sample period| |DSP48 operation| |Operation select|" " |Z mux| |Operand| |YX muxes| |Carry input| |Override with doubles| | | | | |" " | | " MaskStyleString "edit,popup(Boolean|Signed (2's comp)|Unsign" "ed|DSP48 Instruction),edit,edit,edit,edit,checkbox,edit,edit,edit,edit,popup(" "C + A*B|PCIN + A*B|P + A*B|A * B|C + A:B|C - A:B|C|Custom),edit,popup(0|PCIN|" "P|C|PCIN>>17|P>>17),edit,popup(+|-),edit,popup(0|P|A:B|A*B|C|P+C|A:B+C),edit," "popup(0|1|CIN|~SIGN(P or PCIN)|~SIGN(A*B or A:B)|~SIGND(A*B or A:B)),edit,che" "ckbox,edit,edit,edit,edit,edit,edit,edit,edit" MaskTunableValueString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,o" "n,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on" MaskCallbackString "|||||||||||||||||||||||||||||" MaskEnableString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,o" "n,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on" MaskVisibilityString "off,on,on,on,on,off,on,on,off,on,off,on,off" ",on,off,on,off,on,off,on,off,on,off,off,off,off,off,off,off,off" MaskToolTipString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,o" "n,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on" MaskVarAliasString ",,,,,,,,,,,,,,,,,,,,,,,,,,,,," MaskVariables "arith_type_sgadvanced=&1;arith_type=@2;cons" "t=@3;n_bits=@4;bin_pt=@5;explicit_period_sgadvanced=&6;explicit_period=@7;per" "iod=@8;dsp48_infoedit=&9;equ=&10;opselect_sgadvanced=&11;opselect=@12;inp2_sg" "advanced=&13;inp2=@14;opr_sgadvanced=&15;opr=@16;inp1_sgadvanced=&17;inp1=@18" ";carry_sgadvanced=&19;carry=@20;dbl_ovrd_sgadvanced=&21;dbl_ovrd=@22;has_adva" "nced_control=@23;sggui_pos=&24;block_type=&25;block_version=&26;sg_icon_stat=" "&27;sg_mask_display=&28;sg_list_contents=&29;sg_blockgui_xml=&30;" MaskInitialization "try\n tmp_gcb = gcb;\n tmp_gcbh = gcbh;\n" " xlMungeMaskParams;\n block_config = 'Sysgen:Constant_config';\n serialize" "d_declarations = '{''arith_type''=>''Int'',''bin_pt''=>''Int'',''carry''=>''I" "nt'',''const''=>''Double'',''dbl_ovrd''=>''Int'',''explicit_period''=>''Int''" ",''inp1''=>''Int'',''inp2''=>''Int'',''n_bits''=>''Int'',''opr''=>''Int'',''o" "pselect''=>''Int'',''period''=>''Double''}';\n xlconstant_init();\n ptable_" " = xlblockprep(get_param(tmp_gcb, 'MaskWSVariables'));\ncatch\n global xl_re" "port_errors;\n if(~isempty(xl_report_errors) && xl_report_errors)\n e = r" "egexprep(lasterr, '\\n', '\\nError: ');\n disp(['Error: While running Mask" "Init code on block ' tmp_gcb ': ' e]);\n error(e);\n end\nend\n" MaskDisplay "fprintf('','COMMENT: begin icon graphics');" "\npatch([0 55 55 0 ],[0 0 26 26 ],[0.77 0.82 0.91]);\npatch([20 16 22 16 20 2" "7 29 31 38 32 26 22 28 22 26 32 38 31 29 27 20 ],[3 7 13 19 23 23 21 23 23 17" " 23 19 13 7 3 9 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 0 55 55 0 ],[0 26 26 " "0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begi" "n icon text');\ncolor('black');port_label('output',1,'1');\nfprintf('','COMME" "NT: end icon text');\n" MaskSelfModifiable on MaskIconFrame off MaskIconOpaque on MaskIconRotate "none" MaskIconUnits "autoscale" MaskValueString "|Unsigned|1|3|0||on|1|The use of this block" " for DSP48 instructions is deprecated. Please use the Opmode block.|P=C||C||" "PCIN>>17||+||P||CIN||off|0|-1,-1,-1,-1|constant|8.2.02|55,26,0,1,white,blue,0" ",85613821|fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 ],[0 " "0 26 26 ],[0.77 0.82 0.91]);\npatch([20 16 22 16 20 27 29 31 38 32 26 22 28 2" "2 26 32 38 31 29 27 20 ],[3 7 13 19 23 23 21 23 23 17 23 19 13 7 3 9 3 3 5 3 " "3 ],[0.98 0.96 0.92]);\nplot([0 0 55 55 0 ],[0 26 26 0 0 ]);\nfprintf('','COM" "MENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('b" "lack');port_label('output',1,'1');\nfprintf('','COMMENT: end icon text');\n||" "\n \n \n \n \n \n \n \n \n \n \n \n " "\n \n \n \n \n \n \n \n \n \n \n \n \n \n \n " " \n \n \n \n " "\n \n \n \n \n " " \n \n \n \n \n \n \n " " \n \n >17\" >\n \n \n \n \n " " >17\" />\n >17\" />\n \n \n \n \n \n \n \n" " \n \n \n \n \n " " \n \n \n " " \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n\n" MaskTabNameString ",,,,,,,,,,,,,,,,,,,,,,,,,,,,," } Block { BlockType "S-Function" Name "Constant9" Ports [0, 1] Position [1150, 767, 1205, 793] CopyFcn "xlBlockMoveCallback(gcbh);" DeleteFcn "xlDestroyGui(gcbh);" LoadFcn "xlBlockLoadCallback(gcbh);" ModelCloseFcn "xlDestroyGui(gcbh);" PreSaveFcn "xlBlockPreSaveCallback(gcbh);" PostSaveFcn "xlBlockPostSaveCallback(gcbh);" DestroyFcn "xlDestroyGui(gcbh);" OpenFcn "xlOpenGui(gcbh, @constantenablement, @const" "antaction)" CloseFcn "xlDestroyGui(gcbh);" MoveFcn "xlBlockMoveCallback(gcbh);" FunctionName "sysgen" Parameters "ptable_" MaskType "Xilinx Constant Block Block" MaskDescription "Xilinx Constant Block" MaskHelp "eval('xlWeb(xlhtmldoclink(''Constant''))');" MaskPromptString " |Type|Constant value|Number of bits|Binary" " point| |Sampled constant|Sample period| |DSP48 operation| |Operation select|" " |Z mux| |Operand| |YX muxes| |Carry input| |Override with doubles| | | | | |" " | | " MaskStyleString "edit,popup(Boolean|Signed (2's comp)|Unsign" "ed|DSP48 Instruction),edit,edit,edit,edit,checkbox,edit,edit,edit,edit,popup(" "C + A*B|PCIN + A*B|P + A*B|A * B|C + A:B|C - A:B|C|Custom),edit,popup(0|PCIN|" "P|C|PCIN>>17|P>>17),edit,popup(+|-),edit,popup(0|P|A:B|A*B|C|P+C|A:B+C),edit," "popup(0|1|CIN|~SIGN(P or PCIN)|~SIGN(A*B or A:B)|~SIGND(A*B or A:B)),edit,che" "ckbox,edit,edit,edit,edit,edit,edit,edit,edit" MaskTunableValueString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,o" "n,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on" MaskCallbackString "|||||||||||||||||||||||||||||" MaskEnableString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,o" "n,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on" MaskVisibilityString "off,on,on,on,on,off,on,on,off,on,off,on,off" ",on,off,on,off,on,off,on,off,on,off,off,off,off,off,off,off,off" MaskToolTipString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,o" "n,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on" MaskVarAliasString ",,,,,,,,,,,,,,,,,,,,,,,,,,,,," MaskVariables "arith_type_sgadvanced=&1;arith_type=@2;cons" "t=@3;n_bits=@4;bin_pt=@5;explicit_period_sgadvanced=&6;explicit_period=@7;per" "iod=@8;dsp48_infoedit=&9;equ=&10;opselect_sgadvanced=&11;opselect=@12;inp2_sg" "advanced=&13;inp2=@14;opr_sgadvanced=&15;opr=@16;inp1_sgadvanced=&17;inp1=@18" ";carry_sgadvanced=&19;carry=@20;dbl_ovrd_sgadvanced=&21;dbl_ovrd=@22;has_adva" "nced_control=@23;sggui_pos=&24;block_type=&25;block_version=&26;sg_icon_stat=" "&27;sg_mask_display=&28;sg_list_contents=&29;sg_blockgui_xml=&30;" MaskInitialization "try\n tmp_gcb = gcb;\n tmp_gcbh = gcbh;\n" " xlMungeMaskParams;\n block_config = 'Sysgen:Constant_config';\n serialize" "d_declarations = '{''arith_type''=>''Int'',''bin_pt''=>''Int'',''carry''=>''I" "nt'',''const''=>''Double'',''dbl_ovrd''=>''Int'',''explicit_period''=>''Int''" ",''inp1''=>''Int'',''inp2''=>''Int'',''n_bits''=>''Int'',''opr''=>''Int'',''o" "pselect''=>''Int'',''period''=>''Double''}';\n xlconstant_init();\n ptable_" " = xlblockprep(get_param(tmp_gcb, 'MaskWSVariables'));\ncatch\n global xl_re" "port_errors;\n if(~isempty(xl_report_errors) && xl_report_errors)\n e = r" "egexprep(lasterr, '\\n', '\\nError: ');\n disp(['Error: While running Mask" "Init code on block ' tmp_gcb ': ' e]);\n error(e);\n end\nend\n" MaskDisplay "fprintf('','COMMENT: begin icon graphics');" "\npatch([0 55 55 0 ],[0 0 26 26 ],[0.77 0.82 0.91]);\npatch([20 16 22 16 20 2" "7 29 31 38 32 26 22 28 22 26 32 38 31 29 27 20 ],[3 7 13 19 23 23 21 23 23 17" " 23 19 13 7 3 9 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 0 55 55 0 ],[0 26 26 " "0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begi" "n icon text');\ncolor('black');port_label('output',1,'0');\nfprintf('','COMME" "NT: end icon text');\n" MaskSelfModifiable on MaskIconFrame off MaskIconOpaque on MaskIconRotate "none" MaskIconUnits "autoscale" MaskValueString "|Unsigned|0|1|0||on|1|The use of this block" " for DSP48 instructions is deprecated. Please use the Opmode block.|P=C||C||" "PCIN>>17||+||P||CIN||off|0|-1,-1,-1,-1|constant|8.2.02|55,26,0,1,white,blue,0" ",c7c04a0c|fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 ],[0 " "0 26 26 ],[0.77 0.82 0.91]);\npatch([20 16 22 16 20 27 29 31 38 32 26 22 28 2" "2 26 32 38 31 29 27 20 ],[3 7 13 19 23 23 21 23 23 17 23 19 13 7 3 9 3 3 5 3 " "3 ],[0.98 0.96 0.92]);\nplot([0 0 55 55 0 ],[0 26 26 0 0 ]);\nfprintf('','COM" "MENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('b" "lack');port_label('output',1,'0');\nfprintf('','COMMENT: end icon text');\n||" "\n \n \n \n \n \n \n \n \n \n \n \n " "\n \n \n \n \n \n \n \n \n \n \n \n \n \n \n " " \n \n \n \n " "\n \n \n \n \n " " \n \n \n \n \n \n \n " " \n \n >17\" >\n \n \n \n \n " " >17\" />\n >17\" />\n \n \n \n \n \n \n \n" " \n \n \n \n \n " " \n \n \n " " \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n\n" MaskTabNameString ",,,,,,,,,,,,,,,,,,,,,,,,,,,,," } Block { BlockType "S-Function" Name "Convert" Ports [1, 1] Position [595, 605, 640, 635] CopyFcn "xlBlockMoveCallback(gcbh);" DeleteFcn "xlDestroyGui(gcbh);" LoadFcn "xlBlockLoadCallback(gcbh);" ModelCloseFcn "xlDestroyGui(gcbh);" PreSaveFcn "xlBlockPreSaveCallback(gcbh);" PostSaveFcn "xlBlockPostSaveCallback(gcbh);" DestroyFcn "xlDestroyGui(gcbh);" OpenFcn "xlOpenGui(gcbh, @convertenablement, -1)" CloseFcn "xlDestroyGui(gcbh);" MoveFcn "xlBlockMoveCallback(gcbh);" FunctionName "sysgen" Parameters "ptable_" MaskType "Xilinx Type Converter Block" MaskDescription "Hardware notes: rounding and saturating req" "uire hardware resources; truncating and wrapping do not." MaskHelp "eval('xlWeb(xlhtmldoclink(''Convert''))');" MaskPromptString " | |Type|Number of bits|Binary point| |Quan" "tization| |Overflow|Latency| |Override with doubles| |Pipeline for maximum pe" "rformance| |Define FPGA area for resource estimation|FPGA area [slices, FFs, " "BRAMs, LUTs, IOBs, emb. mults, TBUFs]| | | | | | | | " MaskStyleString "edit,edit,popup(Boolean|Signed (2's comp)|" "Unsigned),edit,edit,edit,popup(Truncate|Round (unbiased: +/- Inf)|Round (un" "biased: Even Values)),edit,popup(Wrap|Saturate|Flag as error),edit,edit,check" "box,edit,checkbox,edit,checkbox,edit,edit,edit,edit,edit,edit,edit,edit,edit" MaskTunableValueString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,o" "n,on,on,on,on,on,on,on,on,on,on" MaskCallbackString "||||||||||||||||||||||||" MaskEnableString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,o" "n,on,on,on,on,on,on,on,on,on,on" MaskVisibilityString "off,off,on,on,on,off,on,off,on,on,off,on,of" "f,on,off,on,on,off,off,off,off,off,off,off,off" MaskToolTipString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,o" "n,on,on,on,on,on,on,on,on,on,on" MaskVarAliasString ",,,,,,,,,,,,,,,,,,,,,,,," MaskVariables "infoedit=&1;arith_type_sgadvanced=&2;arith_" "type=@3;n_bits=@4;bin_pt=@5;quantization_sgadvanced=&6;quantization=@7;overfl" "ow_sgadvanced=&8;overflow=@9;latency=@10;dbl_ovrd_sgadvanced=&11;dbl_ovrd=@12" ";pipeline_sgadvanced=&13;pipeline=@14;xl_use_area_sgadvanced=&15;xl_use_area=" "@16;xl_area=@17;has_advanced_control=@18;sggui_pos=&19;block_type=&20;block_v" "ersion=&21;sg_icon_stat=&22;sg_mask_display=&23;sg_list_contents=&24;sg_block" "gui_xml=&25;" MaskInitialization "try\n tmp_gcb = gcb;\n tmp_gcbh = gcbh;\n" " xlMungeMaskParams;\n block_config = 'Sysgen:Convert_config';\n serialized" "_declarations = '{''arith_type''=>''Int'',''bin_pt''=>''Int'',''latency''=>''" "Int'',''n_bits''=>''Int'',''overflow''=>''Int'',''pipeline''=>''Int'',''quant" "ization''=>''Int'',''sim_engine''=>''Int'',''sysgen_root''=>''String''}';\n " "xlconvert_init();\n ptable_ = xlblockprep(get_param(tmp_gcb, 'MaskWSVariable" "s'));\ncatch\n global xl_report_errors;\n if(~isempty(xl_report_errors) && " "xl_report_errors)\n e = regexprep(lasterr, '\\n', '\\nError: ');\n disp" "(['Error: While running MaskInit code on block ' tmp_gcb ': ' e]);\n error" "(e);\n end\nend\n" MaskDisplay "fprintf('','COMMENT: begin icon graphics');" "\npatch([0 45 45 0 ],[0 0 30 30 ],[0.77 0.82 0.91]);\npatch([15 10 17 10 15 2" "3 25 27 35 28 22 17 23 17 22 28 35 27 25 23 15 ],[3 8 15 22 27 27 25 27 27 20" " 26 21 15 9 4 10 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 0 45 45 0 ],[0 30 30" " 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: beg" "in icon text');\ncolor('black');port_label('output',1,'cast');\nfprintf('','C" "OMMENT: end icon text');\n" MaskSelfModifiable on MaskIconFrame off MaskIconOpaque on MaskIconRotate "none" MaskIconUnits "autoscale" MaskValueString "Hardware notes: rounding and saturating req" "uire hardware resources; truncating and wrapping do not.||Unsigned|1|0||Trunc" "ate||Wrap|0||off||off||off|[0,0,0,0,0,0,0]|0|20,20,374,375|convert|8.2.02|45," "30,1,1,white,blue,0,0eb6e735|fprintf('','COMMENT: begin icon graphics');\npat" "ch([0 45 45 0 ],[0 0 30 30 ],[0.77 0.82 0.91]);\npatch([15 10 17 10 15 23 25 " "27 35 28 22 17 23 17 22 28 35 27 25 23 15 ],[3 8 15 22 27 27 25 27 27 20 26 2" "1 15 9 4 10 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 0 45 45 0 ],[0 30 30 0 0 " "]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin ic" "on text');\ncolor('black');port_label('output',1,'cast');\nfprintf('','COMMEN" "T: end icon text');\n||\n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n" " \n \n \n \n \n \n \n \n \n " "(unbiased: +/- Inf)\" />\n (unbiased: even values)\" />\n \n " " \n \n " "\n \n \n \n \n \n \n " " \n \n \n \n \n \n \n" " \n \n \n \n \n \n \n \n \n \n\n" MaskTabNameString ",,,,,,,,,,,,,,,,,,,,,,,," } Block { BlockType "S-Function" Name "Convert1" Ports [1, 1] Position [585, 685, 630, 715] CopyFcn "xlBlockMoveCallback(gcbh);" DeleteFcn "xlDestroyGui(gcbh);" LoadFcn "xlBlockLoadCallback(gcbh);" ModelCloseFcn "xlDestroyGui(gcbh);" PreSaveFcn "xlBlockPreSaveCallback(gcbh);" PostSaveFcn "xlBlockPostSaveCallback(gcbh);" DestroyFcn "xlDestroyGui(gcbh);" OpenFcn "xlOpenGui(gcbh, @convertenablement, -1)" CloseFcn "xlDestroyGui(gcbh);" MoveFcn "xlBlockMoveCallback(gcbh);" FunctionName "sysgen" Parameters "ptable_" MaskType "Xilinx Type Converter Block" MaskDescription "Hardware notes: rounding and saturating req" "uire hardware resources; truncating and wrapping do not." MaskHelp "eval('xlWeb(xlhtmldoclink(''Convert''))');" MaskPromptString " | |Type|Number of bits|Binary point| |Quan" "tization| |Overflow|Latency| |Override with doubles| |Pipeline for maximum pe" "rformance| |Define FPGA area for resource estimation|FPGA area [slices, FFs, " "BRAMs, LUTs, IOBs, emb. mults, TBUFs]| | | | | | | | " MaskStyleString "edit,edit,popup(Boolean|Signed (2's comp)|" "Unsigned),edit,edit,edit,popup(Truncate|Round (unbiased: +/- Inf)|Round (un" "biased: Even Values)),edit,popup(Wrap|Saturate|Flag as error),edit,edit,check" "box,edit,checkbox,edit,checkbox,edit,edit,edit,edit,edit,edit,edit,edit,edit" MaskTunableValueString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,o" "n,on,on,on,on,on,on,on,on,on,on" MaskCallbackString "||||||||||||||||||||||||" MaskEnableString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,o" "n,on,on,on,on,on,on,on,on,on,on" MaskVisibilityString "off,off,on,on,on,off,on,off,on,on,off,on,of" "f,on,off,on,on,off,off,off,off,off,off,off,off" MaskToolTipString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,o" "n,on,on,on,on,on,on,on,on,on,on" MaskVarAliasString ",,,,,,,,,,,,,,,,,,,,,,,," MaskVariables "infoedit=&1;arith_type_sgadvanced=&2;arith_" "type=@3;n_bits=@4;bin_pt=@5;quantization_sgadvanced=&6;quantization=@7;overfl" "ow_sgadvanced=&8;overflow=@9;latency=@10;dbl_ovrd_sgadvanced=&11;dbl_ovrd=@12" ";pipeline_sgadvanced=&13;pipeline=@14;xl_use_area_sgadvanced=&15;xl_use_area=" "@16;xl_area=@17;has_advanced_control=@18;sggui_pos=&19;block_type=&20;block_v" "ersion=&21;sg_icon_stat=&22;sg_mask_display=&23;sg_list_contents=&24;sg_block" "gui_xml=&25;" MaskInitialization "try\n tmp_gcb = gcb;\n tmp_gcbh = gcbh;\n" " xlMungeMaskParams;\n block_config = 'Sysgen:Convert_config';\n serialized" "_declarations = '{''arith_type''=>''Int'',''bin_pt''=>''Int'',''latency''=>''" "Int'',''n_bits''=>''Int'',''overflow''=>''Int'',''pipeline''=>''Int'',''quant" "ization''=>''Int'',''sim_engine''=>''Int'',''sysgen_root''=>''String''}';\n " "xlconvert_init();\n ptable_ = xlblockprep(get_param(tmp_gcb, 'MaskWSVariable" "s'));\ncatch\n global xl_report_errors;\n if(~isempty(xl_report_errors) && " "xl_report_errors)\n e = regexprep(lasterr, '\\n', '\\nError: ');\n disp" "(['Error: While running MaskInit code on block ' tmp_gcb ': ' e]);\n error" "(e);\n end\nend\n" MaskDisplay "fprintf('','COMMENT: begin icon graphics');" "\npatch([0 45 45 0 ],[0 0 30 30 ],[0.77 0.82 0.91]);\npatch([15 10 17 10 15 2" "3 25 27 35 28 22 17 23 17 22 28 35 27 25 23 15 ],[3 8 15 22 27 27 25 27 27 20" " 26 21 15 9 4 10 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 0 45 45 0 ],[0 30 30" " 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: beg" "in icon text');\ncolor('black');port_label('output',1,'cast');\nfprintf('','C" "OMMENT: end icon text');\n" MaskSelfModifiable on MaskIconFrame off MaskIconOpaque on MaskIconRotate "none" MaskIconUnits "autoscale" MaskValueString "Hardware notes: rounding and saturating req" "uire hardware resources; truncating and wrapping do not.||Unsigned|1|0||Trunc" "ate||Wrap|0||off||off||off|[0,0,0,0,0,0,0]|0|20,20,374,375|convert|8.2.02|45," "30,1,1,white,blue,0,0eb6e735|fprintf('','COMMENT: begin icon graphics');\npat" "ch([0 45 45 0 ],[0 0 30 30 ],[0.77 0.82 0.91]);\npatch([15 10 17 10 15 23 25 " "27 35 28 22 17 23 17 22 28 35 27 25 23 15 ],[3 8 15 22 27 27 25 27 27 20 26 2" "1 15 9 4 10 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 0 45 45 0 ],[0 30 30 0 0 " "]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin ic" "on text');\ncolor('black');port_label('output',1,'cast');\nfprintf('','COMMEN" "T: end icon text');\n||\n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n" " \n \n \n \n \n \n \n \n \n " "(unbiased: +/- Inf)\" />\n (unbiased: even values)\" />\n \n " " \n \n " "\n \n \n \n \n \n \n " " \n \n \n \n \n \n \n" " \n \n \n \n \n \n \n \n \n \n\n" MaskTabNameString ",,,,,,,,,,,,,,,,,,,,,,,," } Block { BlockType "S-Function" Name "Counter" Ports [3, 1] Position [1135, 645, 1195, 705] CopyFcn "xlBlockMoveCallback(gcbh);" DeleteFcn "xlDestroyGui(gcbh);" LoadFcn "xlBlockLoadCallback(gcbh);" ModelCloseFcn "xlDestroyGui(gcbh);" PreSaveFcn "xlBlockPreSaveCallback(gcbh);" PostSaveFcn "xlBlockPostSaveCallback(gcbh);" DestroyFcn "xlDestroyGui(gcbh);" OpenFcn "xlOpenGui(gcbh, @counterenablement, -1)" CloseFcn "xlDestroyGui(gcbh);" MoveFcn "xlBlockMoveCallback(gcbh);" FunctionName "sysgen" Parameters "ptable_" MaskType "Xilinx Counter Block" MaskDescription "Hardware notes: Free running counters are t" "he least expensive in hardware. A count limited counter is implemented by co" "mbining a counter with a comparator." MaskHelp "eval('xlWeb(xlhtmldoclink(''Counter''))');" MaskPromptString " | |Counter type|Count to value| |Count dir" "ection|Initial value|Step| |Output type|Number of bits|Binary point| |Provide" " load port| |Provide synchronous reset port| |Provide enable port| |Sample pe" "riod source|Explicit period| |Override with doubles| |Use behavioral HDL (oth" "erwise use core)| |Use pre-defined core placement information| |Define FPGA a" "rea for resource estimation|FPGA area [slices, FFs, BRAMs, LUTs, IOBs, emb. m" "ults, TBUFs]| | | | | | | | | " MaskStyleString "edit,edit,popup(Free Running|Count Limited)" ",edit,edit,popup(Up|Down|Up/Down),edit,edit,edit,popup(Signed (2's comp)|Uns" "igned),edit,edit,edit,checkbox,edit,checkbox,edit,checkbox,edit,popup(on|off)" ",edit,edit,checkbox,edit,checkbox,edit,checkbox,edit,checkbox,edit,edit,edit," "edit,edit,edit,edit,edit,edit,edit" MaskTunableValueString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,o" "n,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on" MaskCallbackString "||||||||||||||||||||||||||||||||||||||" MaskEnableString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,o" "n,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on" MaskVisibilityString "off,off,on,on,off,on,on,on,off,on,on,on,off" ",on,off,on,off,on,off,on,on,off,on,off,on,off,on,off,on,on,off,off,off,off,of" "f,off,off,off,off" MaskToolTipString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,o" "n,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on" MaskVarAliasString ",,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,," MaskVariables "infoedit=&1;cnt_type_sgadvanced=&2;cnt_type" "=@3;cnt_to=@4;operation_sgadvanced=&5;operation=@6;start_count=@7;cnt_by_val=" "@8;arith_type_sgadvanced=&9;arith_type=@10;n_bits=@11;bin_pt=@12;load_pin_sga" "dvanced=&13;load_pin=@14;rst_sgadvanced=&15;rst=@16;en_sgadvanced=&17;en=@18;" "explicit_period_sgadvanced=&19;explicit_period=@20;period=@21;dbl_ovrd_sgadva" "nced=&22;dbl_ovrd=@23;use_behavioral_HDL_sgadvanced=&24;use_behavioral_HDL=@2" "5;use_rpm_sgadvanced=&26;use_rpm=@27;xl_use_area_sgadvanced=&28;xl_use_area=@" "29;xl_area=@30;xlcconfig=&31;has_advanced_control=@32;sggui_pos=&33;block_typ" "e=&34;block_version=&35;sg_icon_stat=&36;sg_mask_display=&37;sg_list_contents" "=&38;sg_blockgui_xml=&39;" MaskInitialization "try\n tmp_gcb = gcb;\n tmp_gcbh = gcbh;\n" " xlMungeMaskParams;\n block_config = 'Sysgen:Counter_config';\n serialized" "_declarations = '{''arith_type''=>''Int'',''bin_pt''=>''Int'',''cnt_by_val''=" ">''Double'',''cnt_to''=>''Double'',''cnt_type''=>''Int'',''en''=>''Int'',''ex" "plicit_period''=>''Int'',''load_pin''=>''Int'',''n_bits''=>''Int'',''operatio" "n''=>''Int'',''period''=>''Double'',''rst''=>''Int'',''start_count''=>''Doubl" "e'',''use_behavioral_HDL''=>''Int'',''use_rpm''=>''Int'',''xlcconfig''=>''Str" "ing''}';\n ptable_ = xlblockprep(get_param(tmp_gcb, 'MaskWSVariables'));\nca" "tch\n global xl_report_errors;\n if(~isempty(xl_report_errors) && xl_report" "_errors)\n e = regexprep(lasterr, '\\n', '\\nError: ');\n disp(['Error:" " While running MaskInit code on block ' tmp_gcb ': ' e]);\n error(e);\n e" "nd\nend\n" MaskDisplay "fprintf('','COMMENT: begin icon graphics');" "\npatch([0 60 60 0 ],[0 0 60 60 ],[0.77 0.82 0.91]);\npatch([14 4 18 4 14 30 " "34 38 55 42 29 20 35 20 29 42 55 38 34 30 14 ],[6 16 30 44 54 54 50 54 54 41 " "54 45 30 15 6 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 0 60 60 0 ],[0 60 6" "0 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: be" "gin icon text');\ncolor('black');port_label('input',1,'load');\ncolor('black'" ");port_label('input',2,'din');\ncolor('black');port_label('input',3,'en');\nc" "olor('black');port_label('output',1,'out');\nfprintf('','COMMENT: end icon te" "xt');\n" MaskSelfModifiable on MaskIconFrame off MaskIconOpaque on MaskIconRotate "none" MaskIconUnits "autoscale" MaskValueString "Hardware notes: Free running counters are t" "he least expensive in hardware. A count limited counter is implemented by co" "mbining a counter with a comparator.||Free Running|Inf||Up|0|1||Unsigned|3|0|" "|on||off||on||on|1||off||off||off||off|[0,0,0,0,0,0,0]||0|20,20,356,630|count" "er|8.2.02|60,60,3,1,white,blue,0,091ac8fc|fprintf('','COMMENT: begin icon gra" "phics');\npatch([0 60 60 0 ],[0 0 60 60 ],[0.77 0.82 0.91]);\npatch([14 4 18 " "4 14 30 34 38 55 42 29 20 35 20 29 42 55 38 34 30 14 ],[6 16 30 44 54 54 50 5" "4 54 41 54 45 30 15 6 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 0 60 60 0 ]" ",[0 60 60 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COM" "MENT: begin icon text');\ncolor('black');port_label('input',1,'load');\ncolor" "('black');port_label('input',2,'din');\ncolor('black');port_label('input',3,'" "en');\ncolor('black');port_label('output',1,'out');\nfprintf('','COMMENT: end" " icon text');\n||\n \n \n \n \n \n \n \n " "\n \n \n \n \n \n \n \n \n \n " "\n \n \n" " \n \n \n \n <" "editbox ctype=\"Double\" evaluate=\"true\" name=\"cnt_by_val\" label=\"Step\"" " default=\"1\" />\n " "\n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n\n" MaskTabNameString ",,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,," } Block { BlockType "S-Function" Name "Counter1" Ports [2, 1] Position [315, 175, 375, 235] CopyFcn "xlBlockMoveCallback(gcbh);" DeleteFcn "xlDestroyGui(gcbh);" LoadFcn "xlBlockLoadCallback(gcbh);" ModelCloseFcn "xlDestroyGui(gcbh);" PreSaveFcn "xlBlockPreSaveCallback(gcbh);" PostSaveFcn "xlBlockPostSaveCallback(gcbh);" DestroyFcn "xlDestroyGui(gcbh);" OpenFcn "xlOpenGui(gcbh, @counterenablement, -1)" CloseFcn "xlDestroyGui(gcbh);" MoveFcn "xlBlockMoveCallback(gcbh);" FunctionName "sysgen" Parameters "ptable_" MaskType "Xilinx Counter Block" MaskDescription "Hardware notes: Free running counters are t" "he least expensive in hardware. A count limited counter is implemented by co" "mbining a counter with a comparator." MaskHelp "eval('xlWeb(xlhtmldoclink(''Counter''))');" MaskPromptString " | |Counter type|Count to value| |Count dir" "ection|Initial value|Step| |Output type|Number of bits|Binary point| |Provide" " load port| |Provide synchronous reset port| |Provide enable port| |Sample pe" "riod source|Explicit period| |Override with doubles| |Use behavioral HDL (oth" "erwise use core)| |Use pre-defined core placement information| |Define FPGA a" "rea for resource estimation|FPGA area [slices, FFs, BRAMs, LUTs, IOBs, emb. m" "ults, TBUFs]| | | | | | | | | " MaskStyleString "edit,edit,popup(Free Running|Count Limited)" ",edit,edit,popup(Up|Down|Up/Down),edit,edit,edit,popup(Signed (2's comp)|Uns" "igned),edit,edit,edit,checkbox,edit,checkbox,edit,checkbox,edit,popup(on|off)" ",edit,edit,checkbox,edit,checkbox,edit,checkbox,edit,checkbox,edit,edit,edit," "edit,edit,edit,edit,edit,edit,edit" MaskTunableValueString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,o" "n,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on" MaskCallbackString "||||||||||||||||||||||||||||||||||||||" MaskEnableString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,o" "n,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on" MaskVisibilityString "off,off,on,on,off,on,on,on,off,on,on,on,off" ",on,off,on,off,on,off,on,on,off,on,off,on,off,on,off,on,on,off,off,off,off,of" "f,off,off,off,off" MaskToolTipString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,o" "n,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on" MaskVarAliasString ",,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,," MaskVariables "infoedit=&1;cnt_type_sgadvanced=&2;cnt_type" "=@3;cnt_to=@4;operation_sgadvanced=&5;operation=@6;start_count=@7;cnt_by_val=" "@8;arith_type_sgadvanced=&9;arith_type=@10;n_bits=@11;bin_pt=@12;load_pin_sga" "dvanced=&13;load_pin=@14;rst_sgadvanced=&15;rst=@16;en_sgadvanced=&17;en=@18;" "explicit_period_sgadvanced=&19;explicit_period=@20;period=@21;dbl_ovrd_sgadva" "nced=&22;dbl_ovrd=@23;use_behavioral_HDL_sgadvanced=&24;use_behavioral_HDL=@2" "5;use_rpm_sgadvanced=&26;use_rpm=@27;xl_use_area_sgadvanced=&28;xl_use_area=@" "29;xl_area=@30;xlcconfig=&31;has_advanced_control=@32;sggui_pos=&33;block_typ" "e=&34;block_version=&35;sg_icon_stat=&36;sg_mask_display=&37;sg_list_contents" "=&38;sg_blockgui_xml=&39;" MaskInitialization "try\n tmp_gcb = gcb;\n tmp_gcbh = gcbh;\n" " xlMungeMaskParams;\n block_config = 'Sysgen:Counter_config';\n serialized" "_declarations = '{''arith_type''=>''Int'',''bin_pt''=>''Int'',''cnt_by_val''=" ">''Double'',''cnt_to''=>''Double'',''cnt_type''=>''Int'',''en''=>''Int'',''ex" "plicit_period''=>''Int'',''load_pin''=>''Int'',''n_bits''=>''Int'',''operatio" "n''=>''Int'',''period''=>''Double'',''rst''=>''Int'',''start_count''=>''Doubl" "e'',''use_behavioral_HDL''=>''Int'',''use_rpm''=>''Int'',''xlcconfig''=>''Str" "ing''}';\n ptable_ = xlblockprep(get_param(tmp_gcb, 'MaskWSVariables'));\nca" "tch\n global xl_report_errors;\n if(~isempty(xl_report_errors) && xl_report" "_errors)\n e = regexprep(lasterr, '\\n', '\\nError: ');\n disp(['Error:" " While running MaskInit code on block ' tmp_gcb ': ' e]);\n error(e);\n e" "nd\nend\n" MaskDisplay "fprintf('','COMMENT: begin icon graphics');" "\npatch([0 60 60 0 ],[0 0 60 60 ],[0.77 0.82 0.91]);\npatch([14 4 18 4 14 30 " "34 38 55 42 29 20 35 20 29 42 55 38 34 30 14 ],[6 16 30 44 54 54 50 54 54 41 " "54 45 30 15 6 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 0 60 60 0 ],[0 60 6" "0 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: be" "gin icon text');\ncolor('black');port_label('input',1,'rst');\ncolor('black')" ";port_label('input',2,'en');\ncolor('black');port_label('output',1,'out');\nf" "printf('','COMMENT: end icon text');\n" MaskSelfModifiable on MaskIconFrame off MaskIconOpaque on MaskIconRotate "none" MaskIconUnits "autoscale" MaskValueString "Hardware notes: Free running counters are t" "he least expensive in hardware. A count limited counter is implemented by co" "mbining a counter with a comparator.||Free Running|Inf||Up|2^14-1|1||Unsigned" "|14|0||off||on||on||on|1||off||off||off||off|[0,0,0,0,0,0,0]||0|20,20,356,630" "|counter|8.2.02|60,60,2,1,white,blue,0,eceade92|fprintf('','COMMENT: begin ic" "on graphics');\npatch([0 60 60 0 ],[0 0 60 60 ],[0.77 0.82 0.91]);\npatch([14" " 4 18 4 14 30 34 38 55 42 29 20 35 20 29 42 55 38 34 30 14 ],[6 16 30 44 54 5" "4 50 54 54 41 54 45 30 15 6 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 0 60 " "60 0 ],[0 60 60 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('" "','COMMENT: begin icon text');\ncolor('black');port_label('input',1,'rst');\n" "color('black');port_label('input',2,'en');\ncolor('black');port_label('output" "',1,'out');\nfprintf('','COMMENT: end icon text');\n||\n \n \n \n \n \n \n " " \n \n \n \n \n \n \n \n \n" " \n \n \n \n " "\n \n \n \n " " \n \n \n \n" " \n " " \n \n <" "editbox ctype=\"Int\" evaluate=\"true\" name=\"n_bits\" label=\"Number of bit" "s\" default=\"8\" />\n \n \n \n " "\n \n " "\n \n \n \n \n \n \n " " \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n\n" MaskTabNameString ",,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,," } Block { BlockType "S-Function" Name "Delay" Ports [1, 1] Position [1205, 937, 1265, 993] CopyFcn "xlBlockMoveCallback(gcbh);" DeleteFcn "xlDestroyGui(gcbh);" LoadFcn "xlBlockLoadCallback(gcbh);" ModelCloseFcn "xlDestroyGui(gcbh);" PreSaveFcn "xlBlockPreSaveCallback(gcbh);" PostSaveFcn "xlBlockPostSaveCallback(gcbh);" DestroyFcn "xlDestroyGui(gcbh);" OpenFcn "xlOpenGui(gcbh, @xlmagicenablement, -1)" CloseFcn "xlDestroyGui(gcbh);" MoveFcn "xlBlockMoveCallback(gcbh);" FunctionName "sysgen" Parameters "ptable_" MaskType "Xilinx Delay Block" MaskDescription "Hardware notes: A delay line is a chain, ea" "ch link of which is an SRL16 followed by a flip-flop. If register retiming is" " enabled, the delay line is a chain of flip-flops." MaskHelp "eval('xlWeb(xlhtmldoclink(''Delay''))');" MaskPromptString " | |Provide enable port|Latency| |Override " "with doubles| |Enable register retiming| |Define FPGA area for resource estim" "ation|FPGA area [slices, FFs, BRAMs, LUTs, IOBs, emb. mults, TBUFs]| | | | | " "| | | " MaskStyleString "edit,edit,checkbox,edit,edit,checkbox,edit," "checkbox,edit,checkbox,edit,edit,edit,edit,edit,edit,edit,edit,edit" MaskTunableValueString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,o" "n,on,on,on,on" MaskCallbackString "||||||||||||||||||" MaskEnableString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,o" "n,on,on,on,on" MaskVisibilityString "off,off,on,on,off,on,off,on,off,on,on,off,o" "ff,off,off,off,off,off,off" MaskToolTipString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,o" "n,on,on,on,on" MaskVarAliasString ",,,,,,,,,,,,,,,,,," MaskVariables "infoedit=&1;en_sgadvanced=&2;en=@3;latency=" "@4;dbl_ovrd_sgadvanced=&5;dbl_ovrd=@6;reg_retiming_sgadvanced=&7;reg_retiming" "=@8;xl_use_area_sgadvanced=&9;xl_use_area=@10;xl_area=@11;has_advanced_contro" "l=@12;sggui_pos=&13;block_type=&14;block_version=&15;sg_icon_stat=&16;sg_mask" "_display=&17;sg_list_contents=&18;sg_blockgui_xml=&19;" MaskInitialization "try\n tmp_gcb = gcb;\n tmp_gcbh = gcbh;\n" " xlMungeMaskParams;\n block_config = 'Sysgen:Delay_config';\n serialized_d" "eclarations = '{''dbl_ovrd''=>''Int'',''en''=>''Int'',''latency''=>''Int'',''" "reg_retiming''=>''Int''}';\n ptable_ = xlblockprep(get_param(tmp_gcb, 'MaskW" "SVariables'));\ncatch\n global xl_report_errors;\n if(~isempty(xl_report_er" "rors) && xl_report_errors)\n e = regexprep(lasterr, '\\n', '\\nError: ');" "\n disp(['Error: While running MaskInit code on block ' tmp_gcb ': ' e]);" "\n error(e);\n end\nend\n" MaskDisplay "fprintf('','COMMENT: begin icon graphics');" "\npatch([0 60 60 0 ],[0 0 56 56 ],[0.77 0.82 0.91]);\npatch([15 6 19 6 15 30 " "34 38 54 41 29 20 32 20 29 41 54 38 34 30 15 ],[6 15 28 41 50 50 46 50 50 37 " "49 40 28 16 7 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 0 60 60 0 ],[0 56 5" "6 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: be" "gin icon text');\ncolor('black');disp('z^{-4}','texmode','on');\nfprintf('','" "COMMENT: end icon text');\n" MaskSelfModifiable on MaskIconFrame off MaskIconOpaque on MaskIconRotate "none" MaskIconUnits "autoscale" MaskValueString "Hardware notes: A delay line is a chain, ea" "ch link of which is an SRL16 followed by a flip-flop. If register retiming is" " enabled, the delay line is a chain of flip-flops.||off|4||off||off||off|[0,0" ",0,0,0,0,0]|0|20,20,356,256|delay|8.2.02|60,56,1,1,white,blue,0,c2217bc4|fpri" "ntf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 ],[0 0 56 56 ],[0.7" "7 0.82 0.91]);\npatch([15 6 19 6 15 30 34 38 54 41 29 20 32 20 29 41 54 38 34" " 30 15 ],[6 15 28 41 50 50 46 50 50 37 49 40 28 16 7 19 6 6 10 6 6 ],[0.98 0." "96 0.92]);\nplot([0 0 60 60 0 ],[0 56 56 0 0 ]);\nfprintf('','COMMENT: end ic" "on graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');disp(" "'z^{-4}','texmode','on');\nfprintf('','COMMENT: end icon text');\n||\n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n " "\n \n \n \n " "\n \n \n \n \n \n \n\n" MaskTabNameString ",,,,,,,,,,,,,,,,,," } Block { BlockType From Name "From_Register1_0_opb5" Position [1865, 580, 1910, 630] GotoTag "in_5" } Block { BlockType From Name "From_Register2_0_opb6" Position [1865, 685, 1910, 735] GotoTag "in_6" } Block { BlockType From Name "From_Register3_0_opb7" Position [1860, 475, 1905, 525] GotoTag "in_7" } Block { BlockType From Name "From_Register4_0_opb8" Position [965, 30, 1010, 80] GotoTag "in_8" } Block { BlockType InportShadow Name "InvertRamAddr1" Position [775, 98, 805, 112] Port "1" IconDisplay "Port number" LatchByDelayingOutsideSignal off LatchByCopyingInsideSignal off } Block { BlockType "S-Function" Name "Inverter" Ports [1, 1] Position [955, 461, 1010, 519] CopyFcn "xlBlockMoveCallback(gcbh);" DeleteFcn "xlDestroyGui(gcbh);" LoadFcn "xlBlockLoadCallback(gcbh);" ModelCloseFcn "xlDestroyGui(gcbh);" PreSaveFcn "xlBlockPreSaveCallback(gcbh);" PostSaveFcn "xlBlockPostSaveCallback(gcbh);" DestroyFcn "xlDestroyGui(gcbh);" OpenFcn "xlOpenGui(gcbh, @xlmagicenablement, -1)" CloseFcn "xlDestroyGui(gcbh);" MoveFcn "xlBlockMoveCallback(gcbh);" FunctionName "sysgen" Parameters "ptable_" MaskType "Xilinx Inverter Block" MaskDescription "Bitwise logical negation (one's complement)" " operator." MaskHelp "eval('xlWeb(xlhtmldoclink(''Inverter''))');" MaskPromptString " | |Provide enable port|Latency| |Override " "with doubles| |Define FPGA area for resource estimation|FPGA area [slices, FF" "s, BRAMs, LUTs, IOBs, emb. mults, TBUFs]| | | | | | | | " MaskStyleString "edit,edit,checkbox,edit,edit,checkbox,edit," "checkbox,edit,edit,edit,edit,edit,edit,edit,edit,edit" MaskTunableValueString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,o" "n,on,on" MaskCallbackString "||||||||||||||||" MaskEnableString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,o" "n,on,on" MaskVisibilityString "off,off,on,on,off,on,off,on,on,off,off,off," "off,off,off,off,off" MaskToolTipString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,o" "n,on,on" MaskVarAliasString ",,,,,,,,,,,,,,,," MaskVariables "infoedit=&1;en_sgadvanced=&2;en=@3;latency=" "@4;dbl_ovrd_sgadvanced=&5;dbl_ovrd=@6;xl_use_area_sgadvanced=&7;xl_use_area=@" "8;xl_area=@9;has_advanced_control=@10;sggui_pos=&11;block_type=&12;block_vers" "ion=&13;sg_icon_stat=&14;sg_mask_display=&15;sg_list_contents=&16;sg_blockgui" "_xml=&17;" MaskInitialization "try\n tmp_gcb = gcb;\n tmp_gcbh = gcbh;\n" " xlMungeMaskParams;\n block_config = 'Sysgen:Inverter_config';\n serialize" "d_declarations = '{''dbl_ovrd''=>''Int'',''en''=>''Int'',''latency''=>''Int''" "}';\n ptable_ = xlblockprep(get_param(tmp_gcb, 'MaskWSVariables'));\ncatch\n" " global xl_report_errors;\n if(~isempty(xl_report_errors) && xl_report_erro" "rs)\n e = regexprep(lasterr, '\\n', '\\nError: ');\n disp(['Error: Whil" "e running MaskInit code on block ' tmp_gcb ': ' e]);\n error(e);\n end\ne" "nd\n" MaskDisplay "fprintf('','COMMENT: begin icon graphics');" "\npatch([0 55 55 0 ],[0 0 58 58 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13 28 " "32 36 52 40 28 19 32 19 28 40 52 36 32 28 13 ],[7 16 29 42 51 51 47 51 51 39 " "51 42 29 16 7 19 7 7 11 7 7 ],[0.98 0.96 0.92]);\nplot([0 0 55 55 0 ],[0 58 5" "8 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: be" "gin icon text');\ncolor('black');disp('not');\nfprintf('','COMMENT: end icon " "text');\n" MaskSelfModifiable on MaskIconFrame off MaskIconOpaque on MaskIconRotate "none" MaskIconUnits "autoscale" MaskValueString "Bitwise logical negation (one's complement)" " operator.||off|0||off||off|[0,0,0,0,0,0,0]|0|-1,-1,-1,-1|inv|8.2.02|55,58,1," "1,white,blue,0,1ab4a85f|fprintf('','COMMENT: begin icon graphics');\npatch([0" " 55 55 0 ],[0 0 58 58 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13 28 32 36 52 4" "0 28 19 32 19 28 40 52 36 32 28 13 ],[7 16 29 42 51 51 47 51 51 39 51 42 29 1" "6 7 19 7 7 11 7 7 ],[0.98 0.96 0.92]);\nplot([0 0 55 55 0 ],[0 58 58 0 0 ]);" "\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon " "text');\ncolor('black');disp('not');\nfprintf('','COMMENT: end icon text');\n" "||\n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n " "\n \n \n " " \n \n \n " " \n \n " " \n " " \n \n \n \n\n" MaskTabNameString ",,,,,,,,,,,,,,,," } Block { BlockType "S-Function" Name "Inverter1" Ports [1, 1] Position [645, 176, 700, 234] CopyFcn "xlBlockMoveCallback(gcbh);" DeleteFcn "xlDestroyGui(gcbh);" LoadFcn "xlBlockLoadCallback(gcbh);" ModelCloseFcn "xlDestroyGui(gcbh);" PreSaveFcn "xlBlockPreSaveCallback(gcbh);" PostSaveFcn "xlBlockPostSaveCallback(gcbh);" DestroyFcn "xlDestroyGui(gcbh);" OpenFcn "xlOpenGui(gcbh, @xlmagicenablement, -1)" CloseFcn "xlDestroyGui(gcbh);" MoveFcn "xlBlockMoveCallback(gcbh);" FunctionName "sysgen" Parameters "ptable_" MaskType "Xilinx Inverter Block" MaskDescription "Bitwise logical negation (one's complement)" " operator." MaskHelp "eval('xlWeb(xlhtmldoclink(''Inverter''))');" MaskPromptString " | |Provide enable port|Latency| |Override " "with doubles| |Define FPGA area for resource estimation|FPGA area [slices, FF" "s, BRAMs, LUTs, IOBs, emb. mults, TBUFs]| | | | | | | | " MaskStyleString "edit,edit,checkbox,edit,edit,checkbox,edit," "checkbox,edit,edit,edit,edit,edit,edit,edit,edit,edit" MaskTunableValueString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,o" "n,on,on" MaskCallbackString "||||||||||||||||" MaskEnableString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,o" "n,on,on" MaskVisibilityString "off,off,on,on,off,on,off,on,on,off,off,off," "off,off,off,off,off" MaskToolTipString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,o" "n,on,on" MaskVarAliasString ",,,,,,,,,,,,,,,," MaskVariables "infoedit=&1;en_sgadvanced=&2;en=@3;latency=" "@4;dbl_ovrd_sgadvanced=&5;dbl_ovrd=@6;xl_use_area_sgadvanced=&7;xl_use_area=@" "8;xl_area=@9;has_advanced_control=@10;sggui_pos=&11;block_type=&12;block_vers" "ion=&13;sg_icon_stat=&14;sg_mask_display=&15;sg_list_contents=&16;sg_blockgui" "_xml=&17;" MaskInitialization "try\n tmp_gcb = gcb;\n tmp_gcbh = gcbh;\n" " xlMungeMaskParams;\n block_config = 'Sysgen:Inverter_config';\n serialize" "d_declarations = '{''dbl_ovrd''=>''Int'',''en''=>''Int'',''latency''=>''Int''" "}';\n ptable_ = xlblockprep(get_param(tmp_gcb, 'MaskWSVariables'));\ncatch\n" " global xl_report_errors;\n if(~isempty(xl_report_errors) && xl_report_erro" "rs)\n e = regexprep(lasterr, '\\n', '\\nError: ');\n disp(['Error: Whil" "e running MaskInit code on block ' tmp_gcb ': ' e]);\n error(e);\n end\ne" "nd\n" MaskDisplay "fprintf('','COMMENT: begin icon graphics');" "\npatch([0 55 55 0 ],[0 0 58 58 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13 28 " "32 36 52 40 28 19 32 19 28 40 52 36 32 28 13 ],[7 16 29 42 51 51 47 51 51 39 " "51 42 29 16 7 19 7 7 11 7 7 ],[0.98 0.96 0.92]);\nplot([0 0 55 55 0 ],[0 58 5" "8 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: be" "gin icon text');\ncolor('black');disp('not');\nfprintf('','COMMENT: end icon " "text');\n" MaskSelfModifiable on MaskIconFrame off MaskIconOpaque on MaskIconRotate "none" MaskIconUnits "autoscale" MaskValueString "Bitwise logical negation (one's complement)" " operator.||off|0||off||off|[0,0,0,0,0,0,0]|0|-1,-1,-1,-1|inv|8.2.02|55,58,1," "1,white,blue,0,1ab4a85f|fprintf('','COMMENT: begin icon graphics');\npatch([0" " 55 55 0 ],[0 0 58 58 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13 28 32 36 52 4" "0 28 19 32 19 28 40 52 36 32 28 13 ],[7 16 29 42 51 51 47 51 51 39 51 42 29 1" "6 7 19 7 7 11 7 7 ],[0.98 0.96 0.92]);\nplot([0 0 55 55 0 ],[0 58 58 0 0 ]);" "\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon " "text');\ncolor('black');disp('not');\nfprintf('','COMMENT: end icon text');\n" "||\n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n " "\n \n \n " " \n \n \n " " \n \n " " \n " " \n \n \n \n\n" MaskTabNameString ",,,,,,,,,,,,,,,," } Block { BlockType "S-Function" Name "Inverter2" Ports [1, 1] Position [1035, 789, 1080, 831] Orientation "left" CopyFcn "xlBlockMoveCallback(gcbh);" DeleteFcn "xlDestroyGui(gcbh);" LoadFcn "xlBlockLoadCallback(gcbh);" ModelCloseFcn "xlDestroyGui(gcbh);" PreSaveFcn "xlBlockPreSaveCallback(gcbh);" PostSaveFcn "xlBlockPostSaveCallback(gcbh);" DestroyFcn "xlDestroyGui(gcbh);" OpenFcn "xlOpenGui(gcbh, @xlmagicenablement, -1)" CloseFcn "xlDestroyGui(gcbh);" MoveFcn "xlBlockMoveCallback(gcbh);" FunctionName "sysgen" Parameters "ptable_" MaskType "Xilinx Inverter Block" MaskDescription "Bitwise logical negation (one's complement)" " operator." MaskHelp "eval('xlWeb(xlhtmldoclink(''Inverter''))');" MaskPromptString " | |Provide enable port|Latency| |Override " "with doubles| |Define FPGA area for resource estimation|FPGA area [slices, FF" "s, BRAMs, LUTs, IOBs, emb. mults, TBUFs]| | | | | | | | " MaskStyleString "edit,edit,checkbox,edit,edit,checkbox,edit," "checkbox,edit,edit,edit,edit,edit,edit,edit,edit,edit" MaskTunableValueString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,o" "n,on,on" MaskCallbackString "||||||||||||||||" MaskEnableString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,o" "n,on,on" MaskVisibilityString "off,off,on,on,off,on,off,on,on,off,off,off," "off,off,off,off,off" MaskToolTipString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,o" "n,on,on" MaskVarAliasString ",,,,,,,,,,,,,,,," MaskVariables "infoedit=&1;en_sgadvanced=&2;en=@3;latency=" "@4;dbl_ovrd_sgadvanced=&5;dbl_ovrd=@6;xl_use_area_sgadvanced=&7;xl_use_area=@" "8;xl_area=@9;has_advanced_control=@10;sggui_pos=&11;block_type=&12;block_vers" "ion=&13;sg_icon_stat=&14;sg_mask_display=&15;sg_list_contents=&16;sg_blockgui" "_xml=&17;" MaskInitialization "try\n tmp_gcb = gcb;\n tmp_gcbh = gcbh;\n" " xlMungeMaskParams;\n block_config = 'Sysgen:Inverter_config';\n serialize" "d_declarations = '{''dbl_ovrd''=>''Int'',''en''=>''Int'',''latency''=>''Int''" "}';\n ptable_ = xlblockprep(get_param(tmp_gcb, 'MaskWSVariables'));\ncatch\n" " global xl_report_errors;\n if(~isempty(xl_report_errors) && xl_report_erro" "rs)\n e = regexprep(lasterr, '\\n', '\\nError: ');\n disp(['Error: Whil" "e running MaskInit code on block ' tmp_gcb ': ' e]);\n error(e);\n end\ne" "nd\n" MaskDisplay "fprintf('','COMMENT: begin icon graphics');" "\npatch([0 45 45 0 ],[0 0 42 42 ],[0.77 0.82 0.91]);\npatch([11 4 14 4 11 22 " "25 28 40 31 22 15 25 15 22 31 40 28 25 22 11 ],[5 12 22 32 39 39 36 39 39 30 " "39 32 22 12 5 14 5 5 8 5 5 ],[0.98 0.96 0.92]);\nplot([0 0 45 45 0 ],[0 42 42" " 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: beg" "in icon text');\ncolor('black');disp('not');\nfprintf('','COMMENT: end icon t" "ext');\n" MaskSelfModifiable on MaskIconFrame off MaskIconOpaque on MaskIconRotate "none" MaskIconUnits "autoscale" MaskValueString "Bitwise logical negation (one's complement)" " operator.||off|0||off||off|[0,0,0,0,0,0,0]|0|-1,-1,-1,-1|inv|8.2.02|45,42,1," "1,white,blue,0,1ab4a85f|fprintf('','COMMENT: begin icon graphics');\npatch([0" " 45 45 0 ],[0 0 42 42 ],[0.77 0.82 0.91]);\npatch([11 4 14 4 11 22 25 28 40 3" "1 22 15 25 15 22 31 40 28 25 22 11 ],[5 12 22 32 39 39 36 39 39 30 39 32 22 1" "2 5 14 5 5 8 5 5 ],[0.98 0.96 0.92]);\nplot([0 0 45 45 0 ],[0 42 42 0 0 ]);\n" "fprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon te" "xt');\ncolor('black');disp('not');\nfprintf('','COMMENT: end icon text');\n||" "\n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n " " \n \n " "\n \n \n " "\n \n \n \n \n \n \n\n" MaskTabNameString ",,,,,,,,,,,,,,,," } Block { BlockType "S-Function" Name "Inverter3" Ports [1, 1] Position [2320, 516, 2375, 574] CopyFcn "xlBlockMoveCallback(gcbh);" DeleteFcn "xlDestroyGui(gcbh);" LoadFcn "xlBlockLoadCallback(gcbh);" ModelCloseFcn "xlDestroyGui(gcbh);" PreSaveFcn "xlBlockPreSaveCallback(gcbh);" PostSaveFcn "xlBlockPostSaveCallback(gcbh);" DestroyFcn "xlDestroyGui(gcbh);" OpenFcn "xlOpenGui(gcbh, @xlmagicenablement, -1)" CloseFcn "xlDestroyGui(gcbh);" MoveFcn "xlBlockMoveCallback(gcbh);" FunctionName "sysgen" Parameters "ptable_" MaskType "Xilinx Inverter Block" MaskDescription "Bitwise logical negation (one's complement)" " operator." MaskHelp "eval('xlWeb(xlhtmldoclink(''Inverter''))');" MaskPromptString " | |Provide enable port|Latency| |Override " "with doubles| |Define FPGA area for resource estimation|FPGA area [slices, FF" "s, BRAMs, LUTs, IOBs, emb. mults, TBUFs]| | | | | | | | " MaskStyleString "edit,edit,checkbox,edit,edit,checkbox,edit," "checkbox,edit,edit,edit,edit,edit,edit,edit,edit,edit" MaskTunableValueString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,o" "n,on,on" MaskCallbackString "||||||||||||||||" MaskEnableString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,o" "n,on,on" MaskVisibilityString "off,off,on,on,off,on,off,on,on,off,off,off," "off,off,off,off,off" MaskToolTipString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,o" "n,on,on" MaskVarAliasString ",,,,,,,,,,,,,,,," MaskVariables "infoedit=&1;en_sgadvanced=&2;en=@3;latency=" "@4;dbl_ovrd_sgadvanced=&5;dbl_ovrd=@6;xl_use_area_sgadvanced=&7;xl_use_area=@" "8;xl_area=@9;has_advanced_control=@10;sggui_pos=&11;block_type=&12;block_vers" "ion=&13;sg_icon_stat=&14;sg_mask_display=&15;sg_list_contents=&16;sg_blockgui" "_xml=&17;" MaskInitialization "try\n tmp_gcb = gcb;\n tmp_gcbh = gcbh;\n" " xlMungeMaskParams;\n block_config = 'Sysgen:Inverter_config';\n serialize" "d_declarations = '{''dbl_ovrd''=>''Int'',''en''=>''Int'',''latency''=>''Int''" "}';\n ptable_ = xlblockprep(get_param(tmp_gcb, 'MaskWSVariables'));\ncatch\n" " global xl_report_errors;\n if(~isempty(xl_report_errors) && xl_report_erro" "rs)\n e = regexprep(lasterr, '\\n', '\\nError: ');\n disp(['Error: Whil" "e running MaskInit code on block ' tmp_gcb ': ' e]);\n error(e);\n end\ne" "nd\n" MaskDisplay "fprintf('','COMMENT: begin icon graphics');" "\npatch([0 55 55 0 ],[0 0 58 58 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13 28 " "32 36 52 40 28 19 32 19 28 40 52 36 32 28 13 ],[7 16 29 42 51 51 47 51 51 39 " "51 42 29 16 7 19 7 7 11 7 7 ],[0.98 0.96 0.92]);\nplot([0 0 55 55 0 ],[0 58 5" "8 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: be" "gin icon text');\ncolor('black');disp('not');\nfprintf('','COMMENT: end icon " "text');\n" MaskSelfModifiable on MaskIconFrame off MaskIconOpaque on MaskIconRotate "none" MaskIconUnits "autoscale" MaskValueString "Bitwise logical negation (one's complement)" " operator.||off|0||off||off|[0,0,0,0,0,0,0]|0|-1,-1,-1,-1|inv|8.2.02|55,58,1," "1,white,blue,0,1ab4a85f|fprintf('','COMMENT: begin icon graphics');\npatch([0" " 55 55 0 ],[0 0 58 58 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13 28 32 36 52 4" "0 28 19 32 19 28 40 52 36 32 28 13 ],[7 16 29 42 51 51 47 51 51 39 51 42 29 1" "6 7 19 7 7 11 7 7 ],[0.98 0.96 0.92]);\nplot([0 0 55 55 0 ],[0 58 58 0 0 ]);" "\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon " "text');\ncolor('black');disp('not');\nfprintf('','COMMENT: end icon text');\n" "||\n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n " "\n \n \n " " \n \n \n " " \n \n " " \n " " \n \n \n \n\n" MaskTabNameString ",,,,,,,,,,,,,,,," } Block { BlockType "S-Function" Name "Logical" Ports [2, 1] Position [860, 790, 915, 850] CopyFcn "xlBlockMoveCallback(gcbh);" DeleteFcn "xlDestroyGui(gcbh);" LoadFcn "xlBlockLoadCallback(gcbh);" ModelCloseFcn "xlDestroyGui(gcbh);" PreSaveFcn "xlBlockPreSaveCallback(gcbh);" PostSaveFcn "xlBlockPostSaveCallback(gcbh);" DestroyFcn "xlDestroyGui(gcbh);" OpenFcn "xlOpenGui(gcbh, @logicalenablement, -1)" CloseFcn "xlDestroyGui(gcbh);" MoveFcn "xlBlockMoveCallback(gcbh);" FunctionName "sysgen" Parameters "ptable_" MaskType "Xilinx Logical Block Block" MaskDescription "Xilinx Logical Block" MaskHelp "eval('xlWeb(xlhtmldoclink(''Logical''))');" MaskPromptString " |Logical function |Number of inputs | |Pr" "ovide enable port|Latency| |Precision| |Output Type|Number of bits|Binary poi" "nt| |Align binary point| |Override with doubles| |Define FPGA area for resour" "ce estimation|FPGA area [slices, FFs, BRAMs, LUTs, IOBs, emb. mults, TBUFs]| " "| | | | | | | | | " MaskStyleString "edit,popup(AND|NAND|OR|NOR|XOR|XNOR),edit,e" "dit,checkbox,edit,edit,popup(Full|User Defined),edit,popup(Signed (2's comp)" "|Unsigned),edit,edit,edit,checkbox,edit,checkbox,edit,checkbox,edit,edit,edit" ",edit,edit,edit,edit,edit,edit,edit,edit" MaskTunableValueString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,o" "n,on,on,on,on,on,on,on,on,on,on,on,on,on,on" MaskCallbackString "||||||||||||||||||||||||||||" MaskEnableString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,o" "n,on,on,on,on,on,on,on,on,on,on,on,on,on,on" MaskVisibilityString "off,on,on,off,on,on,off,on,off,on,on,on,off" ",on,off,on,off,on,on,off,off,off,off,off,off,off,off,off,off" MaskToolTipString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,o" "n,on,on,on,on,on,on,on,on,on,on,on,on,on,on" MaskVarAliasString ",,,,,,,,,,,,,,,,,,,,,,,,,,,," MaskVariables "logical_function_sgadvanced=&1;logical_func" "tion=@2;inputs=@3;en_sgadvanced=&4;en=@5;latency=@6;precision_sgadvanced=&7;p" "recision=@8;arith_type_sgadvanced=&9;arith_type=@10;n_bits=@11;bin_pt=@12;ali" "gn_bp_sgadvanced=&13;align_bp=@14;dbl_ovrd_sgadvanced=&15;dbl_ovrd=@16;xl_use" "_area_sgadvanced=&17;xl_use_area=@18;xl_area=@19;overflow=@20;quantization=@2" "1;has_advanced_control=@22;sggui_pos=&23;block_type=&24;block_version=&25;sg_" "icon_stat=&26;sg_mask_display=&27;sg_list_contents=&28;sg_blockgui_xml=&29;" MaskInitialization "try\n tmp_gcb = gcb;\n tmp_gcbh = gcbh;\n" " xlMungeMaskParams;\n block_config = 'Sysgen:Logical_config';\n serialized" "_declarations = '{''arith_type''=>''Int'',''bin_pt''=>''Int'',''en''=>''Int''" ",''inputs''=>''Int'',''latency''=>''Int'',''logical_function''=>''Int'',''n_b" "its''=>''Int'',''precision''=>''Int'',''sim_engine''=>''Int'',''sysgen_root''" "=>''String''}';\n xllogical_init();\n ptable_ = xlblockprep(get_param(tmp_g" "cb, 'MaskWSVariables'));\ncatch\n global xl_report_errors;\n if(~isempty(xl" "_report_errors) && xl_report_errors)\n e = regexprep(lasterr, '\\n', '\\nE" "rror: ');\n disp(['Error: While running MaskInit code on block ' tmp_gcb '" ": ' e]);\n error(e);\n end\nend\n" MaskDisplay "fprintf('','COMMENT: begin icon graphics');" "\npatch([0 55 55 0 ],[0 0 60 60 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13 28 " "32 36 52 40 28 19 32 19 28 40 52 36 32 28 13 ],[8 17 30 43 52 52 48 52 52 40 " "52 43 30 17 8 20 8 8 12 8 8 ],[0.98 0.96 0.92]);\nplot([0 0 55 55 0 ],[0 60 6" "0 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: be" "gin icon text');\ncolor('black');disp('\\newlineand\\newlinez^{-0}','texmode'" ",'on');\nfprintf('','COMMENT: end icon text');\n" MaskSelfModifiable on MaskIconFrame off MaskIconOpaque on MaskIconRotate "none" MaskIconUnits "autoscale" MaskValueString "|AND|2||off|0||Full||Unsigned|16|0||on||off" "||off|[0,0,0,0,0,0,0]|||0|-1,-1,-1,-1|logical|8.2.02|55,60,2,1,white,blue,0,0" "87b5522|fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 ],[0 0 " "60 60 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13 28 32 36 52 40 28 19 32 19 28" " 40 52 36 32 28 13 ],[8 17 30 43 52 52 48 52 52 40 52 43 30 17 8 20 8 8 12 8 " "8 ],[0.98 0.96 0.92]);\nplot([0 0 55 55 0 ],[0 60 60 0 0 ]);\nfprintf('','COM" "MENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('b" "lack');disp('\\newlineand\\newlinez^{-0}','texmode','on');\nfprintf('','COMME" "NT: end icon text');\n||\n \n \n \n \n \n \n \n \n \n \n \n \n \n \n " "\n \n \n \n \n \n \n \n \n \n " " \n \n \n \n \n \n " "\n \n " " \n <" "radiogroup ctype=\"Int\" name=\"arith_type\" label=\"Output Type\" default=\"" "Unsigned\" >\n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n <" "workspacevar ctype=\"String\" name=\"sysgen_root\" />\n \n \n \n \n\n" MaskTabNameString ",,,,,,,,,,,,,,,,,,,,,,,,,,,," } Block { BlockType "S-Function" Name "Logical1" Ports [2, 1] Position [1325, 795, 1380, 855] CopyFcn "xlBlockMoveCallback(gcbh);" DeleteFcn "xlDestroyGui(gcbh);" LoadFcn "xlBlockLoadCallback(gcbh);" ModelCloseFcn "xlDestroyGui(gcbh);" PreSaveFcn "xlBlockPreSaveCallback(gcbh);" PostSaveFcn "xlBlockPostSaveCallback(gcbh);" DestroyFcn "xlDestroyGui(gcbh);" OpenFcn "xlOpenGui(gcbh, @logicalenablement, -1)" CloseFcn "xlDestroyGui(gcbh);" MoveFcn "xlBlockMoveCallback(gcbh);" FunctionName "sysgen" Parameters "ptable_" MaskType "Xilinx Logical Block Block" MaskDescription "Xilinx Logical Block" MaskHelp "eval('xlWeb(xlhtmldoclink(''Logical''))');" MaskPromptString " |Logical function |Number of inputs | |Pr" "ovide enable port|Latency| |Precision| |Output Type|Number of bits|Binary poi" "nt| |Align binary point| |Override with doubles| |Define FPGA area for resour" "ce estimation|FPGA area [slices, FFs, BRAMs, LUTs, IOBs, emb. mults, TBUFs]| " "| | | | | | | | | " MaskStyleString "edit,popup(AND|NAND|OR|NOR|XOR|XNOR),edit,e" "dit,checkbox,edit,edit,popup(Full|User Defined),edit,popup(Signed (2's comp)" "|Unsigned),edit,edit,edit,checkbox,edit,checkbox,edit,checkbox,edit,edit,edit" ",edit,edit,edit,edit,edit,edit,edit,edit" MaskTunableValueString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,o" "n,on,on,on,on,on,on,on,on,on,on,on,on,on,on" MaskCallbackString "||||||||||||||||||||||||||||" MaskEnableString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,o" "n,on,on,on,on,on,on,on,on,on,on,on,on,on,on" MaskVisibilityString "off,on,on,off,on,on,off,on,off,on,on,on,off" ",on,off,on,off,on,on,off,off,off,off,off,off,off,off,off,off" MaskToolTipString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,o" "n,on,on,on,on,on,on,on,on,on,on,on,on,on,on" MaskVarAliasString ",,,,,,,,,,,,,,,,,,,,,,,,,,,," MaskVariables "logical_function_sgadvanced=&1;logical_func" "tion=@2;inputs=@3;en_sgadvanced=&4;en=@5;latency=@6;precision_sgadvanced=&7;p" "recision=@8;arith_type_sgadvanced=&9;arith_type=@10;n_bits=@11;bin_pt=@12;ali" "gn_bp_sgadvanced=&13;align_bp=@14;dbl_ovrd_sgadvanced=&15;dbl_ovrd=@16;xl_use" "_area_sgadvanced=&17;xl_use_area=@18;xl_area=@19;overflow=@20;quantization=@2" "1;has_advanced_control=@22;sggui_pos=&23;block_type=&24;block_version=&25;sg_" "icon_stat=&26;sg_mask_display=&27;sg_list_contents=&28;sg_blockgui_xml=&29;" MaskInitialization "try\n tmp_gcb = gcb;\n tmp_gcbh = gcbh;\n" " xlMungeMaskParams;\n block_config = 'Sysgen:Logical_config';\n serialized" "_declarations = '{''arith_type''=>''Int'',''bin_pt''=>''Int'',''en''=>''Int''" ",''inputs''=>''Int'',''latency''=>''Int'',''logical_function''=>''Int'',''n_b" "its''=>''Int'',''precision''=>''Int'',''sim_engine''=>''Int'',''sysgen_root''" "=>''String''}';\n xllogical_init();\n ptable_ = xlblockprep(get_param(tmp_g" "cb, 'MaskWSVariables'));\ncatch\n global xl_report_errors;\n if(~isempty(xl" "_report_errors) && xl_report_errors)\n e = regexprep(lasterr, '\\n', '\\nE" "rror: ');\n disp(['Error: While running MaskInit code on block ' tmp_gcb '" ": ' e]);\n error(e);\n end\nend\n" MaskDisplay "fprintf('','COMMENT: begin icon graphics');" "\npatch([0 55 55 0 ],[0 0 60 60 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13 28 " "32 36 52 40 28 19 32 19 28 40 52 36 32 28 13 ],[8 17 30 43 52 52 48 52 52 40 " "52 43 30 17 8 20 8 8 12 8 8 ],[0.98 0.96 0.92]);\nplot([0 0 55 55 0 ],[0 60 6" "0 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: be" "gin icon text');\ncolor('black');disp('\\newlineand\\newlinez^{-0}','texmode'" ",'on');\nfprintf('','COMMENT: end icon text');\n" MaskSelfModifiable on MaskIconFrame off MaskIconOpaque on MaskIconRotate "none" MaskIconUnits "autoscale" MaskValueString "|AND|2||off|0||Full||Unsigned|16|0||on||off" "||off|[0,0,0,0,0,0,0]|||0|-1,-1,-1,-1|logical|8.2.02|55,60,2,1,white,blue,0,0" "87b5522|fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 ],[0 0 " "60 60 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13 28 32 36 52 40 28 19 32 19 28" " 40 52 36 32 28 13 ],[8 17 30 43 52 52 48 52 52 40 52 43 30 17 8 20 8 8 12 8 " "8 ],[0.98 0.96 0.92]);\nplot([0 0 55 55 0 ],[0 60 60 0 0 ]);\nfprintf('','COM" "MENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('b" "lack');disp('\\newlineand\\newlinez^{-0}','texmode','on');\nfprintf('','COMME" "NT: end icon text');\n||\n \n \n \n \n \n \n \n \n \n \n \n \n \n \n " "\n \n \n \n \n \n \n \n \n \n " " \n \n \n \n \n \n " "\n \n " " \n <" "radiogroup ctype=\"Int\" name=\"arith_type\" label=\"Output Type\" default=\"" "Unsigned\" >\n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n <" "workspacevar ctype=\"String\" name=\"sysgen_root\" />\n \n \n \n \n\n" MaskTabNameString ",,,,,,,,,,,,,,,,,,,,,,,,,,,," } Block { BlockType "S-Function" Name "Mux" Ports [3, 1] Position [1190, 413, 1235, 517] CopyFcn "xlBlockMoveCallback(gcbh);" DeleteFcn "xlDestroyGui(gcbh);" LoadFcn "xlBlockLoadCallback(gcbh);" ModelCloseFcn "xlDestroyGui(gcbh);" PreSaveFcn "xlBlockPreSaveCallback(gcbh);" PostSaveFcn "xlBlockPostSaveCallback(gcbh);" DestroyFcn "xlDestroyGui(gcbh);" OpenFcn "xlOpenGui(gcbh, @muxenablement, -1)" CloseFcn "xlDestroyGui(gcbh);" MoveFcn "xlBlockMoveCallback(gcbh);" FunctionName "sysgen" Parameters "ptable_" MaskType "Xilinx Bus Multiplexer Block" MaskDescription "Xilinx Bus Multiplexer" MaskHelp "eval('xlWeb(xlhtmldoclink(''Mux''))');" MaskPromptString " |Number of inputs| |Provide enable port|La" "tency| |Precision| |Output type|Number of bits|Binary point| |Quantization| |" "Overflow| |Override with doubles| |Define FPGA area for resource estimation|F" "PGA area [slices, FFs, BRAMs, LUTs, IOBs, emb. mults, TBUFs]| | | | | | | | |" " " MaskStyleString "edit,popup(2|3|4|5|6|7|8|9|10|11|12|13|14|1" "5|16|17|18|19|20|21|22|23|24|25|26|27|28|29|30|31|32),edit,checkbox,edit,edit" ",popup(Full|User Defined),edit,popup(Signed (2's comp)|Unsigned),edit,edit,e" "dit,popup(Truncate|Round (unbiased: +/- Inf)),edit,popup(Wrap|Saturate|Flag " "as error),edit,checkbox,edit,checkbox,edit,edit,edit,edit,edit,edit,edit,edit" ",edit,edit" MaskTunableValueString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,o" "n,on,on,on,on,on,on,on,on,on,on,on,on,on,on" MaskCallbackString "||||||||||||||||||||||||||||" MaskEnableString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,o" "n,on,on,on,on,on,on,on,on,on,on,on,on,on,on" MaskVisibilityString "off,on,off,on,on,off,on,off,on,on,on,off,on" ",off,on,off,on,off,on,on,off,off,off,off,off,off,off,off,off" MaskToolTipString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,o" "n,on,on,on,on,on,on,on,on,on,on,on,on,on,on" MaskVarAliasString ",,,,,,,,,,,,,,,,,,,,,,,,,,,," MaskVariables "inputs_sgadvanced=&1;inputs=@2;en_sgadvance" "d=&3;en=@4;latency=@5;precision_sgadvanced=&6;precision=@7;arith_type_sgadvan" "ced=&8;arith_type=@9;n_bits=@10;bin_pt=@11;quantization_sgadvanced=&12;quanti" "zation=@13;overflow_sgadvanced=&14;overflow=@15;dbl_ovrd_sgadvanced=&16;dbl_o" "vrd=@17;xl_use_area_sgadvanced=&18;xl_use_area=@19;xl_area=@20;infoedit=@21;h" "as_advanced_control=@22;sggui_pos=&23;block_type=&24;block_version=&25;sg_ico" "n_stat=&26;sg_mask_display=&27;sg_list_contents=&28;sg_blockgui_xml=&29;" MaskInitialization "try\n tmp_gcb = gcb;\n tmp_gcbh = gcbh;\n" " xlMungeMaskParams;\n block_config = 'Sysgen:Mux_config';\n serialized_dec" "larations = '{''arith_type''=>''Int'',''bin_pt''=>''Int'',''dbl_ovrd''=>''Int" "'',''en''=>''Int'',''inputs''=>''Int'',''latency''=>''Int'',''n_bits''=>''Int" "'',''overflow''=>''Int'',''period''=>''Double'',''precision''=>''Int'',''quan" "tization''=>''Int'',''sim_engine''=>''Int'',''sysgen_root''=>''String''}';\n " " xlmux_init();\n ptable_ = xlblockprep(get_param(tmp_gcb, 'MaskWSVariables')" ");\ncatch\n global xl_report_errors;\n if(~isempty(xl_report_errors) && xl_" "report_errors)\n e = regexprep(lasterr, '\\n', '\\nError: ');\n disp(['" "Error: While running MaskInit code on block ' tmp_gcb ': ' e]);\n error(e)" ";\n end\nend\n" MaskDisplay "fprintf('','COMMENT: begin icon graphics');" "\npatch([0 45 45 0 ],[0 14.8571 89.1429 104 ],[0.77 0.82 0.91]);\npatch([10 3" " 14 3 10 22 25 28 41 31 21 14 25 14 21 31 41 28 25 22 10 ],[34 41 52 63 70 70" " 67 70 70 60 70 63 52 41 34 44 34 34 37 34 34 ],[0.98 0.96 0.92]);\nplot([0 4" "5 45 0 0 ],[0 14.8571 89.1429 104 0 ]);\nfprintf('','COMMENT: end icon graphi" "cs');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('in" "put',1,'sel');\ncolor('black');port_label('input',2,'d0');\ncolor('black');po" "rt_label('input',3,'d1');\ncolor('black');disp('\\bf{ z^{-1}}','texmode','on" "');\nfprintf('','COMMENT: end icon text');\n" MaskSelfModifiable on MaskIconFrame off MaskIconOpaque on MaskIconRotate "none" MaskIconUnits "autoscale" MaskValueString "|2||off|1||Full||Unsigned|16|14||Truncate||" "Wrap||off||off|[0,0,0,0,0,0,0]||0|-1,-1,-1,-1|mux|8.2.02|45,104,3,1,white,blu" "e,3,03f9c9b9|fprintf('','COMMENT: begin icon graphics');\npatch([0 45 45 0 ]," "[0 14.8571 89.1429 104 ],[0.77 0.82 0.91]);\npatch([10 3 14 3 10 22 25 28 41 " "31 21 14 25 14 21 31 41 28 25 22 10 ],[34 41 52 63 70 70 67 70 70 60 70 63 52" " 41 34 44 34 34 37 34 34 ],[0.98 0.96 0.92]);\nplot([0 45 45 0 0 ],[0 14.8571" " 89.1429 104 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','CO" "MMENT: begin icon text');\ncolor('black');port_label('input',1,'sel');\ncolor" "('black');port_label('input',2,'d0');\ncolor('black');port_label('input',3,'d" "1');\ncolor('black');disp('\\bf{ z^{-1}}','texmode','on');\nfprintf('','COMM" "ENT: end icon text');\n||\n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n" " \n \n \n \n \n \n \n \n \n \n \n <" "item value=\"15\" />\n \n " "\n \n \n \n \n \n \n \n \n " "\n \n " "\n \n \n \n \n \n \n \n " "\n \n \n \n \n \n \n \n \n \n \n \n \n" " \n \n \n \n \n \n <" "radiogroup ctype=\"Int\" evaluate=\"true\" name=\"overflow\" label=\"Overflow" "\" default=\"Wrap\" >\n \n \n \n \n \n \n" " \n \n \n " "\n \n \n \n \n \n \n " " \n \n \n" " \n \n \n \n\n" MaskTabNameString ",,,,,,,,,,,,,,,,,,,,,,,,,,,," } Block { BlockType "S-Function" Name "Mux1" Ports [3, 1] Position [880, 128, 925, 232] CopyFcn "xlBlockMoveCallback(gcbh);" DeleteFcn "xlDestroyGui(gcbh);" LoadFcn "xlBlockLoadCallback(gcbh);" ModelCloseFcn "xlDestroyGui(gcbh);" PreSaveFcn "xlBlockPreSaveCallback(gcbh);" PostSaveFcn "xlBlockPostSaveCallback(gcbh);" DestroyFcn "xlDestroyGui(gcbh);" OpenFcn "xlOpenGui(gcbh, @muxenablement, -1)" CloseFcn "xlDestroyGui(gcbh);" MoveFcn "xlBlockMoveCallback(gcbh);" FunctionName "sysgen" Parameters "ptable_" MaskType "Xilinx Bus Multiplexer Block" MaskDescription "Xilinx Bus Multiplexer" MaskHelp "eval('xlWeb(xlhtmldoclink(''Mux''))');" MaskPromptString " |Number of inputs| |Provide enable port|La" "tency| |Precision| |Output type|Number of bits|Binary point| |Quantization| |" "Overflow| |Override with doubles| |Define FPGA area for resource estimation|F" "PGA area [slices, FFs, BRAMs, LUTs, IOBs, emb. mults, TBUFs]| | | | | | | | |" " " MaskStyleString "edit,popup(2|3|4|5|6|7|8|9|10|11|12|13|14|1" "5|16|17|18|19|20|21|22|23|24|25|26|27|28|29|30|31|32),edit,checkbox,edit,edit" ",popup(Full|User Defined),edit,popup(Signed (2's comp)|Unsigned),edit,edit,e" "dit,popup(Truncate|Round (unbiased: +/- Inf)),edit,popup(Wrap|Saturate|Flag " "as error),edit,checkbox,edit,checkbox,edit,edit,edit,edit,edit,edit,edit,edit" ",edit,edit" MaskTunableValueString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,o" "n,on,on,on,on,on,on,on,on,on,on,on,on,on,on" MaskCallbackString "||||||||||||||||||||||||||||" MaskEnableString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,o" "n,on,on,on,on,on,on,on,on,on,on,on,on,on,on" MaskVisibilityString "off,on,off,on,on,off,on,off,on,on,on,off,on" ",off,on,off,on,off,on,on,off,off,off,off,off,off,off,off,off" MaskToolTipString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,o" "n,on,on,on,on,on,on,on,on,on,on,on,on,on,on" MaskVarAliasString ",,,,,,,,,,,,,,,,,,,,,,,,,,,," MaskVariables "inputs_sgadvanced=&1;inputs=@2;en_sgadvance" "d=&3;en=@4;latency=@5;precision_sgadvanced=&6;precision=@7;arith_type_sgadvan" "ced=&8;arith_type=@9;n_bits=@10;bin_pt=@11;quantization_sgadvanced=&12;quanti" "zation=@13;overflow_sgadvanced=&14;overflow=@15;dbl_ovrd_sgadvanced=&16;dbl_o" "vrd=@17;xl_use_area_sgadvanced=&18;xl_use_area=@19;xl_area=@20;infoedit=@21;h" "as_advanced_control=@22;sggui_pos=&23;block_type=&24;block_version=&25;sg_ico" "n_stat=&26;sg_mask_display=&27;sg_list_contents=&28;sg_blockgui_xml=&29;" MaskInitialization "try\n tmp_gcb = gcb;\n tmp_gcbh = gcbh;\n" " xlMungeMaskParams;\n block_config = 'Sysgen:Mux_config';\n serialized_dec" "larations = '{''arith_type''=>''Int'',''bin_pt''=>''Int'',''dbl_ovrd''=>''Int" "'',''en''=>''Int'',''inputs''=>''Int'',''latency''=>''Int'',''n_bits''=>''Int" "'',''overflow''=>''Int'',''period''=>''Double'',''precision''=>''Int'',''quan" "tization''=>''Int'',''sim_engine''=>''Int'',''sysgen_root''=>''String''}';\n " " xlmux_init();\n ptable_ = xlblockprep(get_param(tmp_gcb, 'MaskWSVariables')" ");\ncatch\n global xl_report_errors;\n if(~isempty(xl_report_errors) && xl_" "report_errors)\n e = regexprep(lasterr, '\\n', '\\nError: ');\n disp(['" "Error: While running MaskInit code on block ' tmp_gcb ': ' e]);\n error(e)" ";\n end\nend\n" MaskDisplay "fprintf('','COMMENT: begin icon graphics');" "\npatch([0 45 45 0 ],[0 14.8571 89.1429 104 ],[0.77 0.82 0.91]);\npatch([10 3" " 14 3 10 22 25 28 41 31 21 14 25 14 21 31 41 28 25 22 10 ],[34 41 52 63 70 70" " 67 70 70 60 70 63 52 41 34 44 34 34 37 34 34 ],[0.98 0.96 0.92]);\nplot([0 4" "5 45 0 0 ],[0 14.8571 89.1429 104 0 ]);\nfprintf('','COMMENT: end icon graphi" "cs');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('in" "put',1,'sel');\ncolor('black');port_label('input',2,'d0');\ncolor('black');po" "rt_label('input',3,'d1');\ncolor('black');disp('\\bf{}','texmode','on');\nfpr" "intf('','COMMENT: end icon text');\n" MaskSelfModifiable on MaskIconFrame off MaskIconOpaque on MaskIconRotate "none" MaskIconUnits "autoscale" MaskValueString "|2||off|0||Full||Unsigned|16|14||Truncate||" "Wrap||off||off|[0,0,0,0,0,0,0]||0|-1,-1,-1,-1|mux|8.2.02|45,104,3,1,white,blu" "e,3,613f58e1|fprintf('','COMMENT: begin icon graphics');\npatch([0 45 45 0 ]," "[0 14.8571 89.1429 104 ],[0.77 0.82 0.91]);\npatch([10 3 14 3 10 22 25 28 41 " "31 21 14 25 14 21 31 41 28 25 22 10 ],[34 41 52 63 70 70 67 70 70 60 70 63 52" " 41 34 44 34 34 37 34 34 ],[0.98 0.96 0.92]);\nplot([0 45 45 0 0 ],[0 14.8571" " 89.1429 104 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','CO" "MMENT: begin icon text');\ncolor('black');port_label('input',1,'sel');\ncolor" "('black');port_label('input',2,'d0');\ncolor('black');port_label('input',3,'d" "1');\ncolor('black');disp('\\bf{}','texmode','on');\nfprintf('','COMMENT: end" " icon text');\n||\n " "\n \n \n " "\n \n \n \n \n \n \n \n \n \n \n \n \n \n \n " " \n \n " "\n \n \n \n \n \n \n \n \n " "\n \n " "\n \n \n \n \n \n \n \n \n " "\n \n " "\n \n \n \n \n \n \n \n \n " " \n \n \n \n \n \n " " \n " "\n \n " "\n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n" " \n \n \n \n \n \n\n" MaskTabNameString ",,,,,,,,,,,,,,,,,,,,,,,,,,,," } Block { BlockType "S-Function" Name "Mux2" Ports [5, 1] Position [880, 623, 925, 727] CopyFcn "xlBlockMoveCallback(gcbh);" DeleteFcn "xlDestroyGui(gcbh);" LoadFcn "xlBlockLoadCallback(gcbh);" ModelCloseFcn "xlDestroyGui(gcbh);" PreSaveFcn "xlBlockPreSaveCallback(gcbh);" PostSaveFcn "xlBlockPostSaveCallback(gcbh);" DestroyFcn "xlDestroyGui(gcbh);" OpenFcn "xlOpenGui(gcbh, @muxenablement, -1)" CloseFcn "xlDestroyGui(gcbh);" MoveFcn "xlBlockMoveCallback(gcbh);" FunctionName "sysgen" Parameters "ptable_" MaskType "Xilinx Bus Multiplexer Block" MaskDescription "Xilinx Bus Multiplexer" MaskHelp "eval('xlWeb(xlhtmldoclink(''Mux''))');" MaskPromptString " |Number of inputs| |Provide enable port|La" "tency| |Precision| |Output type|Number of bits|Binary point| |Quantization| |" "Overflow| |Override with doubles| |Define FPGA area for resource estimation|F" "PGA area [slices, FFs, BRAMs, LUTs, IOBs, emb. mults, TBUFs]| | | | | | | | |" " " MaskStyleString "edit,popup(2|3|4|5|6|7|8|9|10|11|12|13|14|1" "5|16|17|18|19|20|21|22|23|24|25|26|27|28|29|30|31|32),edit,checkbox,edit,edit" ",popup(Full|User Defined),edit,popup(Signed (2's comp)|Unsigned),edit,edit,e" "dit,popup(Truncate|Round (unbiased: +/- Inf)),edit,popup(Wrap|Saturate|Flag " "as error),edit,checkbox,edit,checkbox,edit,edit,edit,edit,edit,edit,edit,edit" ",edit,edit" MaskTunableValueString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,o" "n,on,on,on,on,on,on,on,on,on,on,on,on,on,on" MaskCallbackString "||||||||||||||||||||||||||||" MaskEnableString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,o" "n,on,on,on,on,on,on,on,on,on,on,on,on,on,on" MaskVisibilityString "off,on,off,on,on,off,on,off,on,on,on,off,on" ",off,on,off,on,off,on,on,off,off,off,off,off,off,off,off,off" MaskToolTipString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,o" "n,on,on,on,on,on,on,on,on,on,on,on,on,on,on" MaskVarAliasString ",,,,,,,,,,,,,,,,,,,,,,,,,,,," MaskVariables "inputs_sgadvanced=&1;inputs=@2;en_sgadvance" "d=&3;en=@4;latency=@5;precision_sgadvanced=&6;precision=@7;arith_type_sgadvan" "ced=&8;arith_type=@9;n_bits=@10;bin_pt=@11;quantization_sgadvanced=&12;quanti" "zation=@13;overflow_sgadvanced=&14;overflow=@15;dbl_ovrd_sgadvanced=&16;dbl_o" "vrd=@17;xl_use_area_sgadvanced=&18;xl_use_area=@19;xl_area=@20;infoedit=@21;h" "as_advanced_control=@22;sggui_pos=&23;block_type=&24;block_version=&25;sg_ico" "n_stat=&26;sg_mask_display=&27;sg_list_contents=&28;sg_blockgui_xml=&29;" MaskInitialization "try\n tmp_gcb = gcb;\n tmp_gcbh = gcbh;\n" " xlMungeMaskParams;\n block_config = 'Sysgen:Mux_config';\n serialized_dec" "larations = '{''arith_type''=>''Int'',''bin_pt''=>''Int'',''dbl_ovrd''=>''Int" "'',''en''=>''Int'',''inputs''=>''Int'',''latency''=>''Int'',''n_bits''=>''Int" "'',''overflow''=>''Int'',''period''=>''Double'',''precision''=>''Int'',''quan" "tization''=>''Int'',''sim_engine''=>''Int'',''sysgen_root''=>''String''}';\n " " xlmux_init();\n ptable_ = xlblockprep(get_param(tmp_gcb, 'MaskWSVariables')" ");\ncatch\n global xl_report_errors;\n if(~isempty(xl_report_errors) && xl_" "report_errors)\n e = regexprep(lasterr, '\\n', '\\nError: ');\n disp(['" "Error: While running MaskInit code on block ' tmp_gcb ': ' e]);\n error(e)" ";\n end\nend\n" MaskDisplay "fprintf('','COMMENT: begin icon graphics');" "\npatch([0 45 45 0 ],[0 14.8571 89.1429 104 ],[0.77 0.82 0.91]);\npatch([10 3" " 14 3 10 22 25 28 41 31 21 14 25 14 21 31 41 28 25 22 10 ],[34 41 52 63 70 70" " 67 70 70 60 70 63 52 41 34 44 34 34 37 34 34 ],[0.98 0.96 0.92]);\nplot([0 4" "5 45 0 0 ],[0 14.8571 89.1429 104 0 ]);\nfprintf('','COMMENT: end icon graphi" "cs');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('in" "put',1,'sel');\ncolor('black');port_label('input',2,'d0');\ncolor('black');po" "rt_label('input',3,'d1');\ncolor('black');port_label('input',4,'d2');\ncolor(" "'black');port_label('input',5,'d3');\ncolor('black');disp('\\bf{}','texmode'," "'on');\nfprintf('','COMMENT: end icon text');\n" MaskSelfModifiable on MaskIconFrame off MaskIconOpaque on MaskIconRotate "none" MaskIconUnits "autoscale" MaskValueString "|4||off|0||Full||Unsigned|16|14||Truncate||" "Wrap||off||off|[0,0,0,0,0,0,0]||0|-1,-1,-1,-1|mux|8.2.02|45,104,5,1,white,blu" "e,3,27e96ab5|fprintf('','COMMENT: begin icon graphics');\npatch([0 45 45 0 ]," "[0 14.8571 89.1429 104 ],[0.77 0.82 0.91]);\npatch([10 3 14 3 10 22 25 28 41 " "31 21 14 25 14 21 31 41 28 25 22 10 ],[34 41 52 63 70 70 67 70 70 60 70 63 52" " 41 34 44 34 34 37 34 34 ],[0.98 0.96 0.92]);\nplot([0 45 45 0 0 ],[0 14.8571" " 89.1429 104 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','CO" "MMENT: begin icon text');\ncolor('black');port_label('input',1,'sel');\ncolor" "('black');port_label('input',2,'d0');\ncolor('black');port_label('input',3,'d" "1');\ncolor('black');port_label('input',4,'d2');\ncolor('black');port_label('" "input',5,'d3');\ncolor('black');disp('\\bf{}','texmode','on');\nfprintf('','C" "OMMENT: end icon text');\n||\n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n" " \n \n \n \n \n \n \n \n \n \n \n" " \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n " " \n \n " " \n \n \n \n \n \n \n \n \n \n \n \n \n \n " "\n \n \n \n \n \n \n \n\n" MaskTabNameString ",,,,,,,,,,,,,,,,,,,,,,,,,,,," } Block { BlockType "S-Function" Name "Mux3" Ports [9, 1] Position [2115, 414, 2165, 726] CopyFcn "xlBlockMoveCallback(gcbh);" DeleteFcn "xlDestroyGui(gcbh);" LoadFcn "xlBlockLoadCallback(gcbh);" ModelCloseFcn "xlDestroyGui(gcbh);" PreSaveFcn "xlBlockPreSaveCallback(gcbh);" PostSaveFcn "xlBlockPostSaveCallback(gcbh);" DestroyFcn "xlDestroyGui(gcbh);" OpenFcn "xlOpenGui(gcbh, @muxenablement, -1)" CloseFcn "xlDestroyGui(gcbh);" MoveFcn "xlBlockMoveCallback(gcbh);" FunctionName "sysgen" Parameters "ptable_" MaskType "Xilinx Bus Multiplexer Block" MaskDescription "Xilinx Bus Multiplexer" MaskHelp "eval('xlWeb(xlhtmldoclink(''Mux''))');" MaskPromptString " |Number of inputs| |Provide enable port|La" "tency| |Precision| |Output type|Number of bits|Binary point| |Quantization| |" "Overflow| |Override with doubles| |Define FPGA area for resource estimation|F" "PGA area [slices, FFs, BRAMs, LUTs, IOBs, emb. mults, TBUFs]| | | | | | | | |" " " MaskStyleString "edit,popup(2|3|4|5|6|7|8|9|10|11|12|13|14|1" "5|16|17|18|19|20|21|22|23|24|25|26|27|28|29|30|31|32),edit,checkbox,edit,edit" ",popup(Full|User Defined),edit,popup(Signed (2's comp)|Unsigned),edit,edit,e" "dit,popup(Truncate|Round (unbiased: +/- Inf)),edit,popup(Wrap|Saturate|Flag " "as error),edit,checkbox,edit,checkbox,edit,edit,edit,edit,edit,edit,edit,edit" ",edit,edit" MaskTunableValueString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,o" "n,on,on,on,on,on,on,on,on,on,on,on,on,on,on" MaskCallbackString "||||||||||||||||||||||||||||" MaskEnableString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,o" "n,on,on,on,on,on,on,on,on,on,on,on,on,on,on" MaskVisibilityString "off,on,off,on,on,off,on,off,on,on,on,off,on" ",off,on,off,on,off,on,on,off,off,off,off,off,off,off,off,off" MaskToolTipString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,o" "n,on,on,on,on,on,on,on,on,on,on,on,on,on,on" MaskVarAliasString ",,,,,,,,,,,,,,,,,,,,,,,,,,,," MaskVariables "inputs_sgadvanced=&1;inputs=@2;en_sgadvance" "d=&3;en=@4;latency=@5;precision_sgadvanced=&6;precision=@7;arith_type_sgadvan" "ced=&8;arith_type=@9;n_bits=@10;bin_pt=@11;quantization_sgadvanced=&12;quanti" "zation=@13;overflow_sgadvanced=&14;overflow=@15;dbl_ovrd_sgadvanced=&16;dbl_o" "vrd=@17;xl_use_area_sgadvanced=&18;xl_use_area=@19;xl_area=@20;infoedit=@21;h" "as_advanced_control=@22;sggui_pos=&23;block_type=&24;block_version=&25;sg_ico" "n_stat=&26;sg_mask_display=&27;sg_list_contents=&28;sg_blockgui_xml=&29;" MaskInitialization "try\n tmp_gcb = gcb;\n tmp_gcbh = gcbh;\n" " xlMungeMaskParams;\n block_config = 'Sysgen:Mux_config';\n serialized_dec" "larations = '{''arith_type''=>''Int'',''bin_pt''=>''Int'',''dbl_ovrd''=>''Int" "'',''en''=>''Int'',''inputs''=>''Int'',''latency''=>''Int'',''n_bits''=>''Int" "'',''overflow''=>''Int'',''period''=>''Double'',''precision''=>''Int'',''quan" "tization''=>''Int'',''sim_engine''=>''Int'',''sysgen_root''=>''String''}';\n " " xlmux_init();\n ptable_ = xlblockprep(get_param(tmp_gcb, 'MaskWSVariables')" ");\ncatch\n global xl_report_errors;\n if(~isempty(xl_report_errors) && xl_" "report_errors)\n e = regexprep(lasterr, '\\n', '\\nError: ');\n disp(['" "Error: While running MaskInit code on block ' tmp_gcb ': ' e]);\n error(e)" ";\n end\nend\n" MaskDisplay "fprintf('','COMMENT: begin icon graphics');" "\npatch([0 50 50 0 ],[0 44.5714 267.429 312 ],[0.77 0.82 0.91]);\npatch([11 3" " 15 3 11 24 28 32 46 35 24 16 28 16 24 35 46 32 28 24 11 ],[136 144 156 168 1" "76 176 172 176 176 165 176 168 156 144 136 147 136 136 140 136 136 ],[0.98 0." "96 0.92]);\nplot([0 50 50 0 0 ],[0 44.5714 267.429 312 0 ]);\nfprintf('','COM" "MENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('b" "lack');port_label('input',1,'sel');\ncolor('black');port_label('input',2,'d0'" ");\ncolor('black');port_label('input',3,'d1');\ncolor('black');port_label('in" "put',4,'d2');\ncolor('black');port_label('input',5,'d3');\ncolor('black');por" "t_label('input',6,'d4');\ncolor('black');port_label('input',7,'d5');\ncolor('" "black');port_label('input',8,'d6');\ncolor('black');port_label('input',9,'d7'" ");\ncolor('black');disp('\\bf{}','texmode','on');\nfprintf('','COMMENT: end i" "con text');\n" MaskSelfModifiable on MaskIconFrame off MaskIconOpaque on MaskIconRotate "none" MaskIconUnits "autoscale" MaskValueString "|8||off|0||Full||Unsigned|16|14||Truncate||" "Wrap||off||off|[0,0,0,0,0,0,0]||0|-1,-1,-1,-1|mux|8.2.02|50,312,9,1,white,blu" "e,3,ee37f7b8|fprintf('','COMMENT: begin icon graphics');\npatch([0 50 50 0 ]," "[0 44.5714 267.429 312 ],[0.77 0.82 0.91]);\npatch([11 3 15 3 11 24 28 32 46 " "35 24 16 28 16 24 35 46 32 28 24 11 ],[136 144 156 168 176 176 172 176 176 16" "5 176 168 156 144 136 147 136 136 140 136 136 ],[0.98 0.96 0.92]);\nplot([0 5" "0 50 0 0 ],[0 44.5714 267.429 312 0 ]);\nfprintf('','COMMENT: end icon graphi" "cs');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('in" "put',1,'sel');\ncolor('black');port_label('input',2,'d0');\ncolor('black');po" "rt_label('input',3,'d1');\ncolor('black');port_label('input',4,'d2');\ncolor(" "'black');port_label('input',5,'d3');\ncolor('black');port_label('input',6,'d4" "');\ncolor('black');port_label('input',7,'d5');\ncolor('black');port_label('i" "nput',8,'d6');\ncolor('black');port_label('input',9,'d7');\ncolor('black');di" "sp('\\bf{}','texmode','on');\nfprintf('','COMMENT: end icon text');\n||\n \n \n \n \n \n \n \n \n \n " "\n \n \n \n \n \n \n " " \n \n " "\n \n \n \n \n \n \n \n \n \n \n \n" " \n \n \n \n \n \n \n \n \n \n \n" " \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n" " \n \n \n \n \n \n \n \n \n \n " " \n \n \n \n \n \n \n " "\n \n \n\n" MaskTabNameString ",,,,,,,,,,,,,,,,,,,,,,,,,,,," } Block { BlockType SubSystem Name "Pos_Edge_Detector" Ports [1, 1] Position [960, 564, 1085, 626] TreatAsAtomicUnit off MinAlgLoopOccurrences off RTWSystemCode "Auto" MaskHideContents off System { Name "Pos_Edge_Detector" Location [971, 353, 1386, 494] Open off ModelBrowserVisibility off ModelBrowserWidth 200 ScreenColor "white" PaperOrientation "landscape" PaperPositionMode "auto" PaperType "usletter" PaperUnits "inches" ZoomFactor "100" Block { BlockType Inport Name "Input Signal" Position [25, 33, 55, 47] IconDisplay "Port number" } Block { BlockType "S-Function" Name "Delay" Ports [1, 1] Position [170, 57, 230, 113] CopyFcn "xlBlockMoveCallback(gcbh);" DeleteFcn "xlDestroyGui(gcbh);" LoadFcn "xlBlockLoadCallback(gcbh);" ModelCloseFcn "xlDestroyGui(gcbh);" PreSaveFcn "xlBlockPreSaveCallback(gcbh);" PostSaveFcn "xlBlockPostSaveCallback(gcbh);" DestroyFcn "xlDestroyGui(gcbh);" OpenFcn "xlOpenGui(gcbh, @xlmagicenablement, -1)" CloseFcn "xlDestroyGui(gcbh);" MoveFcn "xlBlockMoveCallback(gcbh);" FunctionName "sysgen" Parameters "ptable_" MaskType "Xilinx Delay Block" MaskDescription "Hardware notes: A delay line is a chain" ", each link of which is an SRL16 followed by a flip-flop. If register retimin" "g is enabled, the delay line is a chain of flip-flops." MaskHelp "eval('xlWeb(xlhtmldoclink(''Delay''))')" ";" MaskPromptString " | |Provide enable port|Latency| |Overr" "ide with doubles| |Enable register retiming| |Define FPGA area for resource e" "stimation|FPGA area [slices, FFs, BRAMs, LUTs, IOBs, emb. mults, TBUFs]| | | " "| | | | | " MaskStyleString "edit,edit,checkbox,edit,edit,checkbox,e" "dit,checkbox,edit,checkbox,edit,edit,edit,edit,edit,edit,edit,edit,edit" MaskTunableValueString "on,on,on,on,on,on,on,on,on,on,on,on,on," "on,on,on,on,on,on" MaskCallbackString "||||||||||||||||||" MaskEnableString "on,on,on,on,on,on,on,on,on,on,on,on,on," "on,on,on,on,on,on" MaskVisibilityString "off,off,on,on,off,on,off,on,off,on,on,o" "ff,off,off,off,off,off,off,off" MaskToolTipString "on,on,on,on,on,on,on,on,on,on,on,on,on," "on,on,on,on,on,on" MaskVarAliasString ",,,,,,,,,,,,,,,,,," MaskVariables "infoedit=&1;en_sgadvanced=&2;en=@3;late" "ncy=@4;dbl_ovrd_sgadvanced=&5;dbl_ovrd=@6;reg_retiming_sgadvanced=&7;reg_reti" "ming=@8;xl_use_area_sgadvanced=&9;xl_use_area=@10;xl_area=@11;has_advanced_co" "ntrol=@12;sggui_pos=&13;block_type=&14;block_version=&15;sg_icon_stat=&16;sg_" "mask_display=&17;sg_list_contents=&18;sg_blockgui_xml=&19;" MaskInitialization "try\n tmp_gcb = gcb;\n tmp_gcbh = gcb" "h;\n xlMungeMaskParams;\n block_config = 'Sysgen:Delay_config';\n serializ" "ed_declarations = '{''dbl_ovrd''=>''Int'',''en''=>''Int'',''latency''=>''Int'" "',''reg_retiming''=>''Int''}';\n ptable_ = xlblockprep(get_param(tmp_gcb, 'M" "askWSVariables'));\ncatch\n global xl_report_errors;\n if(~isempty(xl_repor" "t_errors) && xl_report_errors)\n e = regexprep(lasterr, '\\n', '\\nError: " "');\n disp(['Error: While running MaskInit code on block ' tmp_gcb ': ' e]" ");\n error(e);\n end\nend\n" MaskDisplay "fprintf('','COMMENT: begin icon graphic" "s');\npatch([0 60 60 0 ],[0 0 56 56 ],[0.77 0.82 0.91]);\npatch([15 6 19 6 15" " 30 34 38 54 41 29 20 32 20 29 41 54 38 34 30 15 ],[6 15 28 41 50 50 46 50 50" " 37 49 40 28 16 7 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 0 60 60 0 ],[0 " "56 56 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT" ": begin icon text');\ncolor('black');disp('z^{-1}','texmode','on');\nfprintf(" "'','COMMENT: end icon text');\n" MaskSelfModifiable on MaskIconFrame off MaskIconOpaque on MaskIconRotate "none" MaskIconUnits "autoscale" MaskValueString "Hardware notes: A delay line is a chain" ", each link of which is an SRL16 followed by a flip-flop. If register retimin" "g is enabled, the delay line is a chain of flip-flops.||off|1||off||off||off|" "[0,0,0,0,0,0,0]|0|-1,-1,-1,-1|delay|8.2.02|60,56,1,1,white,blue,0,fc531c0e|fp" "rintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 ],[0 0 56 56 ],[0" ".77 0.82 0.91]);\npatch([15 6 19 6 15 30 34 38 54 41 29 20 32 20 29 41 54 38 " "34 30 15 ],[6 15 28 41 50 50 46 50 50 37 49 40 28 16 7 19 6 6 10 6 6 ],[0.98 " "0.96 0.92]);\nplot([0 0 60 60 0 ],[0 56 56 0 0 ]);\nfprintf('','COMMENT: end " "icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');dis" "p('z^{-1}','texmode','on');\nfprintf('','COMMENT: end icon text');\n||\n \n \n \n \n \n \n \n \n \n \n \n " " \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n\n" MaskTabNameString ",,,,,,,,,,,,,,,,,," } Block { BlockType "S-Function" Name "Inverter" Ports [1, 1] Position [100, 56, 155, 114] CopyFcn "xlBlockMoveCallback(gcbh);" DeleteFcn "xlDestroyGui(gcbh);" LoadFcn "xlBlockLoadCallback(gcbh);" ModelCloseFcn "xlDestroyGui(gcbh);" PreSaveFcn "xlBlockPreSaveCallback(gcbh);" PostSaveFcn "xlBlockPostSaveCallback(gcbh);" DestroyFcn "xlDestroyGui(gcbh);" OpenFcn "xlOpenGui(gcbh, @xlmagicenablement, -1)" CloseFcn "xlDestroyGui(gcbh);" MoveFcn "xlBlockMoveCallback(gcbh);" FunctionName "sysgen" Parameters "ptable_" MaskType "Xilinx Inverter Block" MaskDescription "Bitwise logical negation (one's complem" "ent) operator." MaskHelp "eval('xlWeb(xlhtmldoclink(''Inverter'')" ")');" MaskPromptString " | |Provide enable port|Latency| |Overr" "ide with doubles| |Define FPGA area for resource estimation|FPGA area [slices" ", FFs, BRAMs, LUTs, IOBs, emb. mults, TBUFs]| | | | | | | | " MaskStyleString "edit,edit,checkbox,edit,edit,checkbox,e" "dit,checkbox,edit,edit,edit,edit,edit,edit,edit,edit,edit" MaskTunableValueString "on,on,on,on,on,on,on,on,on,on,on,on,on," "on,on,on,on" MaskCallbackString "||||||||||||||||" MaskEnableString "on,on,on,on,on,on,on,on,on,on,on,on,on," "on,on,on,on" MaskVisibilityString "off,off,on,on,off,on,off,on,on,off,off," "off,off,off,off,off,off" MaskToolTipString "on,on,on,on,on,on,on,on,on,on,on,on,on," "on,on,on,on" MaskVarAliasString ",,,,,,,,,,,,,,,," MaskVariables "infoedit=&1;en_sgadvanced=&2;en=@3;late" "ncy=@4;dbl_ovrd_sgadvanced=&5;dbl_ovrd=@6;xl_use_area_sgadvanced=&7;xl_use_ar" "ea=@8;xl_area=@9;has_advanced_control=@10;sggui_pos=&11;block_type=&12;block_" "version=&13;sg_icon_stat=&14;sg_mask_display=&15;sg_list_contents=&16;sg_bloc" "kgui_xml=&17;" MaskInitialization "try\n tmp_gcb = gcb;\n tmp_gcbh = gcb" "h;\n xlMungeMaskParams;\n block_config = 'Sysgen:Inverter_config';\n seria" "lized_declarations = '{''dbl_ovrd''=>''Int'',''en''=>''Int'',''latency''=>''I" "nt''}';\n ptable_ = xlblockprep(get_param(tmp_gcb, 'MaskWSVariables'));\ncat" "ch\n global xl_report_errors;\n if(~isempty(xl_report_errors) && xl_report_" "errors)\n e = regexprep(lasterr, '\\n', '\\nError: ');\n disp(['Error: " "While running MaskInit code on block ' tmp_gcb ': ' e]);\n error(e);\n en" "d\nend\n" MaskDisplay "fprintf('','COMMENT: begin icon graphic" "s');\npatch([0 55 55 0 ],[0 0 58 58 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13" " 28 32 36 52 40 28 19 32 19 28 40 52 36 32 28 13 ],[7 16 29 42 51 51 47 51 51" " 39 51 42 29 16 7 19 7 7 11 7 7 ],[0.98 0.96 0.92]);\nplot([0 0 55 55 0 ],[0 " "58 58 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT" ": begin icon text');\ncolor('black');disp('not');\nfprintf('','COMMENT: end i" "con text');\n" MaskSelfModifiable on MaskIconFrame off MaskIconOpaque on MaskIconRotate "none" MaskIconUnits "autoscale" MaskValueString "Bitwise logical negation (one's complem" "ent) operator.||off|0||off||off|[0,0,0,0,0,0,0]|0|20,20,356,237|inv|8.2.02|55" ",58,1,1,white,blue,0,1ab4a85f|fprintf('','COMMENT: begin icon graphics');\npa" "tch([0 55 55 0 ],[0 0 58 58 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13 28 32 3" "6 52 40 28 19 32 19 28 40 52 36 32 28 13 ],[7 16 29 42 51 51 47 51 51 39 51 4" "2 29 16 7 19 7 7 11 7 7 ],[0.98 0.96 0.92]);\nplot([0 0 55 55 0 ],[0 58 58 0 " "0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin " "icon text');\ncolor('black');disp('not');\nfprintf('','COMMENT: end icon text" "');\n||\n \n \n \n \n \n \n \n \n \n \n \n " "\n \n \n \n \n \n \n \n \n \n \n \n " "\n " "\n \n \n \n \n\n" MaskTabNameString ",,,,,,,,,,,,,,,," } Block { BlockType "S-Function" Name "Logical" Ports [2, 1] Position [280, 25, 335, 85] CopyFcn "xlBlockMoveCallback(gcbh);" DeleteFcn "xlDestroyGui(gcbh);" LoadFcn "xlBlockLoadCallback(gcbh);" ModelCloseFcn "xlDestroyGui(gcbh);" PreSaveFcn "xlBlockPreSaveCallback(gcbh);" PostSaveFcn "xlBlockPostSaveCallback(gcbh);" DestroyFcn "xlDestroyGui(gcbh);" OpenFcn "xlOpenGui(gcbh, @logicalenablement, -1)" CloseFcn "xlDestroyGui(gcbh);" MoveFcn "xlBlockMoveCallback(gcbh);" FunctionName "sysgen" Parameters "ptable_" MaskType "Xilinx Logical Block Block" MaskDescription "Xilinx Logical Block" MaskHelp "eval('xlWeb(xlhtmldoclink(''Logical''))" "');" MaskPromptString " |Logical function |Number of inputs |" " |Provide enable port|Latency| |Precision| |Output Type|Number of bits|Binary" " point| |Align binary point| |Override with doubles| |Define FPGA area for re" "source estimation|FPGA area [slices, FFs, BRAMs, LUTs, IOBs, emb. mults, TBUF" "s]| | | | | | | | | | " MaskStyleString "edit,popup(AND|NAND|OR|NOR|XOR|XNOR),ed" "it,edit,checkbox,edit,edit,popup(Full|User Defined),edit,popup(Signed (2's c" "omp)|Unsigned),edit,edit,edit,checkbox,edit,checkbox,edit,checkbox,edit,edit," "edit,edit,edit,edit,edit,edit,edit,edit,edit" MaskTunableValueString "on,on,on,on,on,on,on,on,on,on,on,on,on," "on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on" MaskCallbackString "||||||||||||||||||||||||||||" MaskEnableString "on,on,on,on,on,on,on,on,on,on,on,on,on," "on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on" MaskVisibilityString "off,on,on,off,on,on,off,on,off,on,on,on" ",off,on,off,on,off,on,on,off,off,off,off,off,off,off,off,off,off" MaskToolTipString "on,on,on,on,on,on,on,on,on,on,on,on,on," "on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on" MaskVarAliasString ",,,,,,,,,,,,,,,,,,,,,,,,,,,," MaskVariables "logical_function_sgadvanced=&1;logical_" "function=@2;inputs=@3;en_sgadvanced=&4;en=@5;latency=@6;precision_sgadvanced=" "&7;precision=@8;arith_type_sgadvanced=&9;arith_type=@10;n_bits=@11;bin_pt=@12" ";align_bp_sgadvanced=&13;align_bp=@14;dbl_ovrd_sgadvanced=&15;dbl_ovrd=@16;xl" "_use_area_sgadvanced=&17;xl_use_area=@18;xl_area=@19;overflow=@20;quantizatio" "n=@21;has_advanced_control=@22;sggui_pos=&23;block_type=&24;block_version=&25" ";sg_icon_stat=&26;sg_mask_display=&27;sg_list_contents=&28;sg_blockgui_xml=&2" "9;" MaskInitialization "try\n tmp_gcb = gcb;\n tmp_gcbh = gcb" "h;\n xlMungeMaskParams;\n block_config = 'Sysgen:Logical_config';\n serial" "ized_declarations = '{''arith_type''=>''Int'',''bin_pt''=>''Int'',''en''=>''I" "nt'',''inputs''=>''Int'',''latency''=>''Int'',''logical_function''=>''Int'','" "'n_bits''=>''Int'',''precision''=>''Int'',''sim_engine''=>''Int'',''sysgen_ro" "ot''=>''String''}';\n xllogical_init();\n ptable_ = xlblockprep(get_param(t" "mp_gcb, 'MaskWSVariables'));\ncatch\n global xl_report_errors;\n if(~isempt" "y(xl_report_errors) && xl_report_errors)\n e = regexprep(lasterr, '\\n', '" "\\nError: ');\n disp(['Error: While running MaskInit code on block ' tmp_g" "cb ': ' e]);\n error(e);\n end\nend\n" MaskDisplay "fprintf('','COMMENT: begin icon graphic" "s');\npatch([0 55 55 0 ],[0 0 60 60 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13" " 28 32 36 52 40 28 19 32 19 28 40 52 36 32 28 13 ],[8 17 30 43 52 52 48 52 52" " 40 52 43 30 17 8 20 8 8 12 8 8 ],[0.98 0.96 0.92]);\nplot([0 0 55 55 0 ],[0 " "60 60 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT" ": begin icon text');\ncolor('black');disp('\\newlineand\\newlinez^{-0}','texm" "ode','on');\nfprintf('','COMMENT: end icon text');\n" MaskSelfModifiable on MaskIconFrame off MaskIconOpaque on MaskIconRotate "none" MaskIconUnits "autoscale" MaskValueString "|AND|2||off|0||Full||Unsigned|16|0||on|" "|off||off|[0,0,0,0,0,0,0]|||0|-1,-1,-1,-1|logical|8.2.02|55,60,2,1,white,blue" ",0,087b5522|fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 ],[" "0 0 60 60 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13 28 32 36 52 40 28 19 32 1" "9 28 40 52 36 32 28 13 ],[8 17 30 43 52 52 48 52 52 40 52 43 30 17 8 20 8 8 1" "2 8 8 ],[0.98 0.96 0.92]);\nplot([0 0 55 55 0 ],[0 60 60 0 0 ]);\nfprintf(''," "'COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolo" "r('black');disp('\\newlineand\\newlinez^{-0}','texmode','on');\nfprintf('','C" "OMMENT: end icon text');\n||\n \n \n \n \n \n \n \n \n \n \n \n \n \n \n " " \n \n \n \n \n \n \n \n \n \n" " \n \n \n \n \n \n " " \n " "\n \n " " \n \n \n \n \n \n \n \n \n " " \n \n \n \n \n \n \n \n \n \n \n " "\n \n \n \n \n \n\n" MaskTabNameString ",,,,,,,,,,,,,,,,,,,,,,,,,,,," } Block { BlockType Outport Name "Rising Edge" Position [385, 48, 415, 62] IconDisplay "Port number" BusOutputAsStruct off } Line { SrcBlock "Input Signal" SrcPort 1 Points [0, 0; 15, 0] Branch { DstBlock "Logical" DstPort 1 } Branch { Points [0, 45] DstBlock "Inverter" DstPort 1 } } Line { SrcBlock "Delay" SrcPort 1 Points [15, 0; 0, -15] DstBlock "Logical" DstPort 2 } Line { SrcBlock "Inverter" SrcPort 1 DstBlock "Delay" DstPort 1 } Line { SrcBlock "Logical" SrcPort 1 DstBlock "Rising Edge" DstPort 1 } } } Block { BlockType "S-Function" Name "Relational" Ports [2, 1] Position [505, 542, 560, 598] CopyFcn "xlBlockMoveCallback(gcbh);" DeleteFcn "xlDestroyGui(gcbh);" LoadFcn "xlBlockLoadCallback(gcbh);" ModelCloseFcn "xlDestroyGui(gcbh);" PreSaveFcn "xlBlockPreSaveCallback(gcbh);" PostSaveFcn "xlBlockPostSaveCallback(gcbh);" DestroyFcn "xlDestroyGui(gcbh);" OpenFcn "xlOpenGui(gcbh, @xlmagicenablement, -1)" CloseFcn "xlDestroyGui(gcbh);" MoveFcn "xlBlockMoveCallback(gcbh);" FunctionName "sysgen" Parameters "ptable_" MaskType "Xilinx Arithmetic Relational Operator Block" MaskDescription "Xilinx Arithmetic Relational Operator" MaskHelp "eval('xlWeb(xlhtmldoclink(''Relational''))'" ");" MaskPromptString " |Comparison:| |Provide enable port|Latency" "| |Override with doubles| |Define FPGA area for resource estimation|FPGA area" " [slices, FFs, BRAMs, LUTs, IOBs, emb. mults, TBUFs]| | | | | | | | " MaskStyleString "edit,popup(a=b|a!=b|ab|a<=b|a>=b),edit" ",checkbox,edit,edit,checkbox,edit,checkbox,edit,edit,edit,edit,edit,edit,edit" ",edit,edit" MaskTunableValueString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,o" "n,on,on,on" MaskCallbackString "|||||||||||||||||" MaskEnableString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,o" "n,on,on,on" MaskVisibilityString "off,on,off,on,on,off,on,off,on,on,off,off,o" "ff,off,off,off,off,off" MaskToolTipString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,o" "n,on,on,on" MaskVarAliasString ",,,,,,,,,,,,,,,,," MaskVariables "mode_sgadvanced=&1;mode=@2;en_sgadvanced=&3" ";en=@4;latency=@5;dbl_ovrd_sgadvanced=&6;dbl_ovrd=@7;xl_use_area_sgadvanced=&" "8;xl_use_area=@9;xl_area=@10;has_advanced_control=@11;sggui_pos=&12;block_typ" "e=&13;block_version=&14;sg_icon_stat=&15;sg_mask_display=&16;sg_list_contents" "=&17;sg_blockgui_xml=&18;" MaskInitialization "try\n tmp_gcb = gcb;\n tmp_gcbh = gcbh;\n" " xlMungeMaskParams;\n block_config = 'Sysgen:Relational_config';\n seriali" "zed_declarations = '{''dbl_ovrd''=>''Int'',''en''=>''Int'',''latency''=>''Int" "'',''mode''=>''Int''}';\n ptable_ = xlblockprep(get_param(tmp_gcb, 'MaskWSVa" "riables'));\ncatch\n global xl_report_errors;\n if(~isempty(xl_report_error" "s) && xl_report_errors)\n e = regexprep(lasterr, '\\n', '\\nError: ');\n " " disp(['Error: While running MaskInit code on block ' tmp_gcb ': ' e]);\n " " error(e);\n end\nend\n" MaskDisplay "fprintf('','COMMENT: begin icon graphics');" "\npatch([0 55 55 0 ],[0 0 56 56 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13 28 " "32 36 52 40 28 19 32 19 28 40 52 36 32 28 13 ],[6 15 28 41 50 50 46 50 50 38 " "50 41 28 15 6 18 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 0 55 55 0 ],[0 56 5" "6 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: be" "gin icon text');\ncolor('black');port_label('input',1,'a');\ncolor('black');p" "ort_label('input',2,'b');\ncolor('black');disp('\\newline\\bf{a=b}\\newlinez^" "{-0}','texmode','on');\nfprintf('','COMMENT: end icon text');\n" MaskSelfModifiable on MaskIconFrame off MaskIconOpaque on MaskIconRotate "none" MaskIconUnits "autoscale" MaskValueString "|a=b||off|0||off||off|[0,0,0,0,0,0,0]|0|-1," "-1,-1,-1|relational|8.2.02|55,56,2,1,white,blue,0,1b68ef8e|fprintf('','COMMEN" "T: begin icon graphics');\npatch([0 55 55 0 ],[0 0 56 56 ],[0.77 0.82 0.91]);" "\npatch([13 4 17 4 13 28 32 36 52 40 28 19 32 19 28 40 52 36 32 28 13 ],[6 15" " 28 41 50 50 46 50 50 38 50 41 28 15 6 18 6 6 10 6 6 ],[0.98 0.96 0.92]);\npl" "ot([0 0 55 55 0 ],[0 56 56 0 0 ]);\nfprintf('','COMMENT: end icon graphics');" "\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input'," "1,'a');\ncolor('black');port_label('input',2,'b');\ncolor('black');disp('\\ne" "wline\\bf{a=b}\\newlinez^{-0}','texmode','on');\nfprintf('','COMMENT: end ico" "n text');\n||\n \n \n \n \n \n \n " "\n \n \n \n \n \n \n \n \n \n b\" " "/>\n \n =b\" />\n \n \n \n " " \n \n \n \n \n \n \n \n \n \n " " \n \n \n \n \n \n\n" MaskTabNameString ",,,,,,,,,,,,,,,,," } Block { BlockType "S-Function" Name "Relational1" Ports [2, 1] Position [505, 672, 560, 728] CopyFcn "xlBlockMoveCallback(gcbh);" DeleteFcn "xlDestroyGui(gcbh);" LoadFcn "xlBlockLoadCallback(gcbh);" ModelCloseFcn "xlDestroyGui(gcbh);" PreSaveFcn "xlBlockPreSaveCallback(gcbh);" PostSaveFcn "xlBlockPostSaveCallback(gcbh);" DestroyFcn "xlDestroyGui(gcbh);" OpenFcn "xlOpenGui(gcbh, @xlmagicenablement, -1)" CloseFcn "xlDestroyGui(gcbh);" MoveFcn "xlBlockMoveCallback(gcbh);" FunctionName "sysgen" Parameters "ptable_" MaskType "Xilinx Arithmetic Relational Operator Block" MaskDescription "Xilinx Arithmetic Relational Operator" MaskHelp "eval('xlWeb(xlhtmldoclink(''Relational''))'" ");" MaskPromptString " |Comparison:| |Provide enable port|Latency" "| |Override with doubles| |Define FPGA area for resource estimation|FPGA area" " [slices, FFs, BRAMs, LUTs, IOBs, emb. mults, TBUFs]| | | | | | | | " MaskStyleString "edit,popup(a=b|a!=b|ab|a<=b|a>=b),edit" ",checkbox,edit,edit,checkbox,edit,checkbox,edit,edit,edit,edit,edit,edit,edit" ",edit,edit" MaskTunableValueString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,o" "n,on,on,on" MaskCallbackString "|||||||||||||||||" MaskEnableString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,o" "n,on,on,on" MaskVisibilityString "off,on,off,on,on,off,on,off,on,on,off,off,o" "ff,off,off,off,off,off" MaskToolTipString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,o" "n,on,on,on" MaskVarAliasString ",,,,,,,,,,,,,,,,," MaskVariables "mode_sgadvanced=&1;mode=@2;en_sgadvanced=&3" ";en=@4;latency=@5;dbl_ovrd_sgadvanced=&6;dbl_ovrd=@7;xl_use_area_sgadvanced=&" "8;xl_use_area=@9;xl_area=@10;has_advanced_control=@11;sggui_pos=&12;block_typ" "e=&13;block_version=&14;sg_icon_stat=&15;sg_mask_display=&16;sg_list_contents" "=&17;sg_blockgui_xml=&18;" MaskInitialization "try\n tmp_gcb = gcb;\n tmp_gcbh = gcbh;\n" " xlMungeMaskParams;\n block_config = 'Sysgen:Relational_config';\n seriali" "zed_declarations = '{''dbl_ovrd''=>''Int'',''en''=>''Int'',''latency''=>''Int" "'',''mode''=>''Int''}';\n ptable_ = xlblockprep(get_param(tmp_gcb, 'MaskWSVa" "riables'));\ncatch\n global xl_report_errors;\n if(~isempty(xl_report_error" "s) && xl_report_errors)\n e = regexprep(lasterr, '\\n', '\\nError: ');\n " " disp(['Error: While running MaskInit code on block ' tmp_gcb ': ' e]);\n " " error(e);\n end\nend\n" MaskDisplay "fprintf('','COMMENT: begin icon graphics');" "\npatch([0 55 55 0 ],[0 0 56 56 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13 28 " "32 36 52 40 28 19 32 19 28 40 52 36 32 28 13 ],[6 15 28 41 50 50 46 50 50 38 " "50 41 28 15 6 18 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 0 55 55 0 ],[0 56 5" "6 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: be" "gin icon text');\ncolor('black');port_label('input',1,'a');\ncolor('black');p" "ort_label('input',2,'b');\ncolor('black');disp('\\newline\\bf{a=b}\\newlinez^" "{-0}','texmode','on');\nfprintf('','COMMENT: end icon text');\n" MaskSelfModifiable on MaskIconFrame off MaskIconOpaque on MaskIconRotate "none" MaskIconUnits "autoscale" MaskValueString "|a=b||off|0||off||off|[0,0,0,0,0,0,0]|0|-1," "-1,-1,-1|relational|8.2.02|55,56,2,1,white,blue,0,1b68ef8e|fprintf('','COMMEN" "T: begin icon graphics');\npatch([0 55 55 0 ],[0 0 56 56 ],[0.77 0.82 0.91]);" "\npatch([13 4 17 4 13 28 32 36 52 40 28 19 32 19 28 40 52 36 32 28 13 ],[6 15" " 28 41 50 50 46 50 50 38 50 41 28 15 6 18 6 6 10 6 6 ],[0.98 0.96 0.92]);\npl" "ot([0 0 55 55 0 ],[0 56 56 0 0 ]);\nfprintf('','COMMENT: end icon graphics');" "\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input'," "1,'a');\ncolor('black');port_label('input',2,'b');\ncolor('black');disp('\\ne" "wline\\bf{a=b}\\newlinez^{-0}','texmode','on');\nfprintf('','COMMENT: end ico" "n text');\n||\n \n \n \n \n \n \n " "\n \n \n \n \n \n \n \n \n \n b\" " "/>\n \n =b\" />\n \n \n \n " " \n \n \n \n \n \n \n \n \n \n " " \n \n \n \n \n \n\n" MaskTabNameString ",,,,,,,,,,,,,,,,," } Block { BlockType "S-Function" Name "Relational2" Ports [2, 1] Position [1230, 737, 1285, 793] CopyFcn "xlBlockMoveCallback(gcbh);" DeleteFcn "xlDestroyGui(gcbh);" LoadFcn "xlBlockLoadCallback(gcbh);" ModelCloseFcn "xlDestroyGui(gcbh);" PreSaveFcn "xlBlockPreSaveCallback(gcbh);" PostSaveFcn "xlBlockPostSaveCallback(gcbh);" DestroyFcn "xlDestroyGui(gcbh);" OpenFcn "xlOpenGui(gcbh, @xlmagicenablement, -1)" CloseFcn "xlDestroyGui(gcbh);" MoveFcn "xlBlockMoveCallback(gcbh);" FunctionName "sysgen" Parameters "ptable_" MaskType "Xilinx Arithmetic Relational Operator Block" MaskDescription "Xilinx Arithmetic Relational Operator" MaskHelp "eval('xlWeb(xlhtmldoclink(''Relational''))'" ");" MaskPromptString " |Comparison:| |Provide enable port|Latency" "| |Override with doubles| |Define FPGA area for resource estimation|FPGA area" " [slices, FFs, BRAMs, LUTs, IOBs, emb. mults, TBUFs]| | | | | | | | " MaskStyleString "edit,popup(a=b|a!=b|ab|a<=b|a>=b),edit" ",checkbox,edit,edit,checkbox,edit,checkbox,edit,edit,edit,edit,edit,edit,edit" ",edit,edit" MaskTunableValueString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,o" "n,on,on,on" MaskCallbackString "|||||||||||||||||" MaskEnableString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,o" "n,on,on,on" MaskVisibilityString "off,on,off,on,on,off,on,off,on,on,off,off,o" "ff,off,off,off,off,off" MaskToolTipString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,o" "n,on,on,on" MaskVarAliasString ",,,,,,,,,,,,,,,,," MaskVariables "mode_sgadvanced=&1;mode=@2;en_sgadvanced=&3" ";en=@4;latency=@5;dbl_ovrd_sgadvanced=&6;dbl_ovrd=@7;xl_use_area_sgadvanced=&" "8;xl_use_area=@9;xl_area=@10;has_advanced_control=@11;sggui_pos=&12;block_typ" "e=&13;block_version=&14;sg_icon_stat=&15;sg_mask_display=&16;sg_list_contents" "=&17;sg_blockgui_xml=&18;" MaskInitialization "try\n tmp_gcb = gcb;\n tmp_gcbh = gcbh;\n" " xlMungeMaskParams;\n block_config = 'Sysgen:Relational_config';\n seriali" "zed_declarations = '{''dbl_ovrd''=>''Int'',''en''=>''Int'',''latency''=>''Int" "'',''mode''=>''Int''}';\n ptable_ = xlblockprep(get_param(tmp_gcb, 'MaskWSVa" "riables'));\ncatch\n global xl_report_errors;\n if(~isempty(xl_report_error" "s) && xl_report_errors)\n e = regexprep(lasterr, '\\n', '\\nError: ');\n " " disp(['Error: While running MaskInit code on block ' tmp_gcb ': ' e]);\n " " error(e);\n end\nend\n" MaskDisplay "fprintf('','COMMENT: begin icon graphics');" "\npatch([0 55 55 0 ],[0 0 56 56 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13 28 " "32 36 52 40 28 19 32 19 28 40 52 36 32 28 13 ],[6 15 28 41 50 50 46 50 50 38 " "50 41 28 15 6 18 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 0 55 55 0 ],[0 56 5" "6 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: be" "gin icon text');\ncolor('black');port_label('input',1,'a');\ncolor('black');p" "ort_label('input',2,'b');\ncolor('black');disp('\\newline\\bf{a=b}\\newlinez^" "{-0}','texmode','on');\nfprintf('','COMMENT: end icon text');\n" MaskSelfModifiable on MaskIconFrame off MaskIconOpaque on MaskIconRotate "none" MaskIconUnits "autoscale" MaskValueString "|a=b||off|0||off||off|[0,0,0,0,0,0,0]|0|-1," "-1,-1,-1|relational|8.2.02|55,56,2,1,white,blue,0,1b68ef8e|fprintf('','COMMEN" "T: begin icon graphics');\npatch([0 55 55 0 ],[0 0 56 56 ],[0.77 0.82 0.91]);" "\npatch([13 4 17 4 13 28 32 36 52 40 28 19 32 19 28 40 52 36 32 28 13 ],[6 15" " 28 41 50 50 46 50 50 38 50 41 28 15 6 18 6 6 10 6 6 ],[0.98 0.96 0.92]);\npl" "ot([0 0 55 55 0 ],[0 56 56 0 0 ]);\nfprintf('','COMMENT: end icon graphics');" "\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input'," "1,'a');\ncolor('black');port_label('input',2,'b');\ncolor('black');disp('\\ne" "wline\\bf{a=b}\\newlinez^{-0}','texmode','on');\nfprintf('','COMMENT: end ico" "n text');\n||\n \n \n \n \n \n \n " "\n \n \n \n \n \n \n \n \n \n b\" " "/>\n \n =b\" />\n \n \n \n " " \n \n \n \n \n \n \n \n \n \n " " \n \n \n \n \n \n\n" MaskTabNameString ",,,,,,,,,,,,,,,,," } Block { BlockType Reference Name "SMWOAddr_gwin1_slice_sm3" Ports [1, 1] Position [1105, 260, 1155, 280] SourceBlock "xbsIndex_r4/Slice" SourceType "Xilinx Bit Slice Extractor Block" infoedit "Extracts a given range of bits from each in" "put sample and presents it at the output. The output type is ordinarily unsi" "gned with binary point at zero, but can be Boolean when the slice is one bit " "wide.

Hardware notes: In hardware this block costs nothing." nbits "9" boolean_output off mode "Lower Bit Location + Width" bit1 "0" base1 "MSB of Input" bit0 "0" base0 "LSB of Input" dbl_ovrd off has_advanced_control "0" sggui_pos "20,20,516,446" block_type "slice" block_version "VER_STRING_GOES_HERE" sg_icon_stat "50,20,1,1,white,blue,0,fe24a11e" sg_mask_display "fprintf('','COMMENT: begin icon graphics ')" ";\npatch([0 50 50 0 ],[0 0 20 20 ],[0.77 0.82 0.91]);\npatch([19 16 21 16 19 " "24 25 26 32 28 24 21 26 21 24 28 32 26 25 24 19 ],[2 5 10 15 18 18 17 18 18 1" "4 18 15 10 5 2 6 2 2 3 2 2 ],[0.98 0.96 0.92]);\nplot([0 0 50 50 0 ],[0 20 20" " 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\n\nfprintf('','COMMENT: b" "egin icon text ');\ncolor('black');port_label('output',1,'[a:b]');\nfprintf('" "','COMMENT: end icon text');\n" } Block { BlockType From Name "SMWOAddr_gwin1_sm3" Position [1000, 260, 1075, 280] GotoTag "SMWOAddr_2" } Block { BlockType Reference Name "SMWOAddr_gwin_slice_sm2" Ports [1, 1] Position [1475, 580, 1525, 600] SourceBlock "xbsIndex_r4/Slice" SourceType "Xilinx Bit Slice Extractor Block" infoedit "Extracts a given range of bits from each in" "put sample and presents it at the output. The output type is ordinarily unsi" "gned with binary point at zero, but can be Boolean when the slice is one bit " "wide.

Hardware notes: In hardware this block costs nothing." nbits "12" boolean_output off mode "Lower Bit Location + Width" bit1 "0" base1 "MSB of Input" bit0 "0" base0 "LSB of Input" dbl_ovrd off has_advanced_control "0" sggui_pos "20,20,516,446" block_type "slice" block_version "VER_STRING_GOES_HERE" sg_icon_stat "50,20,1,1,white,blue,0,fe24a11e" sg_mask_display "fprintf('','COMMENT: begin icon graphics ')" ";\npatch([0 50 50 0 ],[0 0 20 20 ],[0.77 0.82 0.91]);\npatch([19 16 21 16 19 " "24 25 26 32 28 24 21 26 21 24 28 32 26 25 24 19 ],[2 5 10 15 18 18 17 18 18 1" "4 18 15 10 5 2 6 2 2 3 2 2 ],[0.98 0.96 0.92]);\nplot([0 0 50 50 0 ],[0 20 20" " 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\n\nfprintf('','COMMENT: b" "egin icon text ');\ncolor('black');port_label('output',1,'[a:b]');\nfprintf('" "','COMMENT: end icon text');\n" } Block { BlockType From Name "SMWOAddr_gwin_sm2" Position [1370, 580, 1445, 600] GotoTag "SMWOAddr_1" } Block { BlockType Reference Name "SMWODataI_gwin1_force_sm3" Ports [1, 1] Position [1095, 295, 1145, 315] SourceBlock "xbsIndex_r4/Reinterpret" SourceType "Xilinx Type Reinterpreter Block" infoedit "Changes type of samples without altering th" "eir binary representation.

Hardware notes: In hardware this block costs " "nothing.

Example: Suppose the input is 6 bits wide, signed, with 2 frac" "tional bits, and the output is forced to unsigned with 0 fractional bits. Th" "en an input of -2.0 (1110.00 in binary 2's complement) becomes an output of 5" "6 (111000 in binary)." force_arith_type on arith_type "Unsigned" force_bin_pt off bin_pt "0" has_advanced_control "0" sggui_pos "20,20,356,331" block_type "cast" block_version "VER_STRING_GOES_HERE" sg_icon_stat "50,20,1,1,white,blue,0,8982c1db" sg_mask_display "fprintf('','COMMENT: begin icon graphics');" "\npatch([0 50 50 0 ],[0 0 20 20 ],[0.77 0.82 0.91]);\npatch([19 16 21 16 19 2" "4 25 26 32 28 24 21 26 21 24 28 32 26 25 24 19 ],[2 5 10 15 18 18 17 18 18 14" " 18 15 10 5 2 6 2 2 3 2 2 ],[0.98 0.96 0.92]);\nplot([0 0 50 50 0 ],[0 20 20 " "0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begi" "n icon text');\ncolor('black');disp('reinterpret');\nfprintf('','COMMENT: end" " icon text');\n" } Block { BlockType From Name "SMWODataI_gwin1_sm3" Position [1000, 295, 1065, 315] GotoTag "SMWODataI_2" } Block { BlockType Reference Name "SMWODataI_gwin_force_sm2" Ports [1, 1] Position [1465, 615, 1515, 635] SourceBlock "xbsIndex_r4/Reinterpret" SourceType "Xilinx Type Reinterpreter Block" infoedit "Changes type of samples without altering th" "eir binary representation.

Hardware notes: In hardware this block costs " "nothing.

Example: Suppose the input is 6 bits wide, signed, with 2 frac" "tional bits, and the output is forced to unsigned with 0 fractional bits. Th" "en an input of -2.0 (1110.00 in binary 2's complement) becomes an output of 5" "6 (111000 in binary)." force_arith_type on arith_type "Unsigned" force_bin_pt off bin_pt "0" has_advanced_control "0" sggui_pos "20,20,356,331" block_type "cast" block_version "VER_STRING_GOES_HERE" sg_icon_stat "50,20,1,1,white,blue,0,8982c1db" sg_mask_display "fprintf('','COMMENT: begin icon graphics');" "\npatch([0 50 50 0 ],[0 0 20 20 ],[0.77 0.82 0.91]);\npatch([19 16 21 16 19 2" "4 25 26 32 28 24 21 26 21 24 28 32 26 25 24 19 ],[2 5 10 15 18 18 17 18 18 14" " 18 15 10 5 2 6 2 2 3 2 2 ],[0.98 0.96 0.92]);\nplot([0 0 50 50 0 ],[0 20 20 " "0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begi" "n icon text');\ncolor('black');disp('reinterpret');\nfprintf('','COMMENT: end" " icon text');\n" } Block { BlockType From Name "SMWODataI_gwin_sm2" Position [1370, 615, 1435, 635] GotoTag "SMWODataI_1" } Block { BlockType From Name "SMWOWE_gwin1_sm3" Position [940, 335, 1065, 355] GotoTag "SMWOWE_2" } Block { BlockType From Name "SMWOWE_gwin_sm2" Position [1310, 650, 1435, 670] GotoTag "SMWOWE_1" } Block { BlockType "S-Function" Name "SMWO_LCD_CharacterMap" Ports [6, 2] Position [1545, 455, 1620, 620] CopyFcn "xlBlockMoveCallback(gcbh);" DeleteFcn "xlDestroyGui(gcbh);" LoadFcn "xlBlockLoadCallback(gcbh);" ModelCloseFcn "xlDestroyGui(gcbh);" PreSaveFcn "xlBlockPreSaveCallback(gcbh);" PostSaveFcn "xlBlockPostSaveCallback(gcbh);" DestroyFcn "xlDestroyGui(gcbh);" OpenFcn "xlOpenGui(gcbh, @dpramenablement, -1)" CloseFcn "xlDestroyGui(gcbh);" MoveFcn "xlBlockMoveCallback(gcbh);" FunctionName "sysgen" Parameters "ptable_" MaskType "Xilinx Dual Port Random Access Memory Block" MaskDescription "Xilinx Dual Port Random Access Memory" MaskHelp "eval('xlWeb(xlhtmldoclink(''Dual Port RAM''" "))');" MaskPromptString "Depth|Initial value vector| |Memory Type|In" "itial value for port A output register|Initial value for port B<" "/tt> output register| |Provide synchronous reset port for port A out" "put register| |Provide synchronous reset port for port B output regi" "ster| |Provide enable port for port A| |Provide enable port for port" " B|Latency| |Port A| |Port B| |Override with doubl" "es| |Optimize for| |Use core placement information| |Define FPGA area for res" "ource estimation|FPGA area [slices, FFs, BRAMs, LUTs, IOBs, emb. mults, TBUFs" "]| | | | | | | | | | | " MaskStyleString "edit,edit,edit,popup(Distributed memory|Blo" "ck RAM),edit,edit,edit,checkbox,edit,checkbox,edit,checkbox,edit,checkbox,edi" "t,edit,popup(Read After Write|Read Before Write|No Read On Write),edit,popup(" "Read After Write|Read Before Write|No Read On Write),edit,checkbox,edit,popup" "(Area|Speed),edit,checkbox,edit,checkbox,edit,edit,edit,edit,edit,edit,edit,e" "dit,edit,edit,edit,edit" MaskTunableValueString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,o" "n,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on" MaskCallbackString "||||||||||||||||||||||||||||||||||||||" MaskEnableString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,o" "n,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on" MaskVisibilityString "on,on,off,on,on,on,off,on,off,on,off,on,off" ",on,on,off,on,off,on,off,on,off,on,off,on,off,on,on,off,off,off,off,off,off,o" "ff,off,off,off,off" MaskToolTipString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,o" "n,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on" MaskVarAliasString ",,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,," MaskVariables "depth=@1;initVector=@2;distributed_mem_sgad" "vanced=&3;distributed_mem=@4;init_a=@5;init_b=@6;rst_a_sgadvanced=&7;rst_a=@8" ";rst_b_sgadvanced=&9;rst_b=@10;en_a_sgadvanced=&11;en_a=@12;en_b_sgadvanced=&" "13;en_b=@14;latency=@15;write_mode_A_sgadvanced=&16;write_mode_A=@17;write_mo" "de_B_sgadvanced=&18;write_mode_B=@19;dbl_ovrd_sgadvanced=&20;dbl_ovrd=@21;opt" "imize_sgadvanced=&22;optimize=@23;use_rpm_sgadvanced=&24;use_rpm=@25;xl_use_a" "rea_sgadvanced=&26;xl_use_area=@27;xl_area=@28;explicit_period=@29;period=@30" ";infoedit=@31;has_advanced_control=@32;sggui_pos=&33;block_type=&34;block_ver" "sion=&35;sg_icon_stat=&36;sg_mask_display=&37;sg_list_contents=&38;sg_blockgu" "i_xml=&39;" MaskInitialization "try\n tmp_gcb = gcb;\n tmp_gcbh = gcbh;\n" " xlMungeMaskParams;\n block_config = 'Sysgen:Dpram_config';\n serialized_d" "eclarations = '{''dblOvrd''=>''Int'',''depth''=>''Int'',''distributed_mem''=>" "''Int'',''en_a''=>''Int'',''en_b''=>''Int'',''init''=>''DoubleVector'',''init" "_a''=>''Double'',''init_b''=>''Double'',''latency''=>''Int'',''optimize''=>''" "Int'',''rst_a''=>''Int'',''rst_b''=>''Int'',''sim_engine''=>''Int'',''use_rpm" "''=>''Int'',''write_mode_a''=>''Int'',''write_mode_b''=>''Int''}';\n xldpram" "_init();\n ptable_ = xlblockprep(get_param(tmp_gcb, 'MaskWSVariables'));\nca" "tch\n global xl_report_errors;\n if(~isempty(xl_report_errors) && xl_report" "_errors)\n e = regexprep(lasterr, '\\n', '\\nError: ');\n disp(['Error:" " While running MaskInit code on block ' tmp_gcb ': ' e]);\n error(e);\n e" "nd\nend\n" MaskDisplay "fprintf('','COMMENT: begin icon graphics');" "\npatch([0 75 75 0 ],[0 0 165 165 ],[0.77 0.82 0.91]);\npatch([17 5 23 5 17 3" "7 42 47 68 51 35 23 40 23 35 51 68 47 42 37 17 ],[53 65 83 101 113 113 108 11" "3 113 96 112 100 83 66 54 70 53 53 58 53 53 ],[0.98 0.96 0.92]);\nplot([0 0 7" "5 75 0 ],[0 165 165 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprin" "tf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1,'addr" "a');\ncolor('black');port_label('input',2,'dina');\ncolor('black');port_label" "('input',3,'wea');\ncolor('black');port_label('input',4,'addrb');\ncolor('bla" "ck');port_label('input',5,'dinb');\ncolor('black');port_label('input',6,'web'" ");\ncolor('black');port_label('output',1,'A');\ncolor('black');port_label('ou" "tput',2,'B');\nfprintf('','COMMENT: end icon text');\n" MaskSelfModifiable on MaskIconFrame off MaskIconOpaque on MaskIconRotate "none" MaskIconUnits "autoscale" MaskValueString "2^14|charMap||Block RAM|0|0||off||off||off|" "|off|1||Read After Write||Read After Write||off||Area||on||off|[0,0,0,0,0,0,0" "]||||0|-1,-1,-1,-1|dpram|8.2.02|75,165,6,2,white,blue,0,239f35c0|fprintf('','" "COMMENT: begin icon graphics');\npatch([0 75 75 0 ],[0 0 165 165 ],[0.77 0.82" " 0.91]);\npatch([17 5 23 5 17 37 42 47 68 51 35 23 40 23 35 51 68 47 42 37 17" " ],[53 65 83 101 113 113 108 113 113 96 112 100 83 66 54 70 53 53 58 53 53 ]," "[0.98 0.96 0.92]);\nplot([0 0 75 75 0 ],[0 165 165 0 0 ]);\nfprintf('','COMME" "NT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('bla" "ck');port_label('input',1,'addra');\ncolor('black');port_label('input',2,'din" "a');\ncolor('black');port_label('input',3,'wea');\ncolor('black');port_label(" "'input',4,'addrb');\ncolor('black');port_label('input',5,'dinb');\ncolor('bla" "ck');port_label('input',6,'web');\ncolor('black');port_label('output',1,'A');" "\ncolor('black');port_label('output',2,'B');\nfprintf('','COMMENT: end icon t" "ext');\n||\n \n \n \n \n <" "libraries>\n \n \n \n \n \n \n \n \n \n " " \n \n \n \n A</tt> output r" "egister\" default=\"0\" />\n B</tt> output registe" "r\" default=\"0\" />\n \n A</tt> output register\" default=\"off\" />\n <" "checkbox ctype=\"Int\" evaluate=\"true\" name=\"rst_b\" label=\"Provide synch" "ronous reset port for port <tt>B</tt> output register\" default=\"off\"" " />\n A</tt>\" default=\"off\" />\n B</tt>\" default=\"off\" />\n \n \n \n \n \n A</tt>\" default=\"Read After Write\" >\n \n \n \n \n B</t" "t>\" default=\"Read After Write\" >\n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n\n" MaskTabNameString ",,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,," } Block { BlockType "S-Function" Name "SMWO_LCD_Characters" Ports [6, 2] Position [1175, 135, 1250, 300] CopyFcn "xlBlockMoveCallback(gcbh);" DeleteFcn "xlDestroyGui(gcbh);" LoadFcn "xlBlockLoadCallback(gcbh);" ModelCloseFcn "xlDestroyGui(gcbh);" PreSaveFcn "xlBlockPreSaveCallback(gcbh);" PostSaveFcn "xlBlockPostSaveCallback(gcbh);" DestroyFcn "xlDestroyGui(gcbh);" OpenFcn "xlOpenGui(gcbh, @dpramenablement, -1)" CloseFcn "xlDestroyGui(gcbh);" MoveFcn "xlBlockMoveCallback(gcbh);" FunctionName "sysgen" Parameters "ptable_" MaskType "Xilinx Dual Port Random Access Memory Block" MaskDescription "Xilinx Dual Port Random Access Memory" MaskHelp "eval('xlWeb(xlhtmldoclink(''Dual Port RAM''" "))');" MaskPromptString "Depth|Initial value vector| |Memory Type|In" "itial value for port A output register|Initial value for port B<" "/tt> output register| |Provide synchronous reset port for port A out" "put register| |Provide synchronous reset port for port B output regi" "ster| |Provide enable port for port A| |Provide enable port for port" " B|Latency| |Port A| |Port B| |Override with doubl" "es| |Optimize for| |Use core placement information| |Define FPGA area for res" "ource estimation|FPGA area [slices, FFs, BRAMs, LUTs, IOBs, emb. mults, TBUFs" "]| | | | | | | | | | | " MaskStyleString "edit,edit,edit,popup(Distributed memory|Blo" "ck RAM),edit,edit,edit,checkbox,edit,checkbox,edit,checkbox,edit,checkbox,edi" "t,edit,popup(Read After Write|Read Before Write|No Read On Write),edit,popup(" "Read After Write|Read Before Write|No Read On Write),edit,checkbox,edit,popup" "(Area|Speed),edit,checkbox,edit,checkbox,edit,edit,edit,edit,edit,edit,edit,e" "dit,edit,edit,edit,edit" MaskTunableValueString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,o" "n,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on" MaskCallbackString "||||||||||||||||||||||||||||||||||||||" MaskEnableString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,o" "n,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on" MaskVisibilityString "on,on,off,on,on,on,off,on,off,on,off,on,off" ",on,on,off,on,off,on,off,on,off,on,off,on,off,on,on,off,off,off,off,off,off,o" "ff,off,off,off,off" MaskToolTipString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,o" "n,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on" MaskVarAliasString ",,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,," MaskVariables "depth=@1;initVector=@2;distributed_mem_sgad" "vanced=&3;distributed_mem=@4;init_a=@5;init_b=@6;rst_a_sgadvanced=&7;rst_a=@8" ";rst_b_sgadvanced=&9;rst_b=@10;en_a_sgadvanced=&11;en_a=@12;en_b_sgadvanced=&" "13;en_b=@14;latency=@15;write_mode_A_sgadvanced=&16;write_mode_A=@17;write_mo" "de_B_sgadvanced=&18;write_mode_B=@19;dbl_ovrd_sgadvanced=&20;dbl_ovrd=@21;opt" "imize_sgadvanced=&22;optimize=@23;use_rpm_sgadvanced=&24;use_rpm=@25;xl_use_a" "rea_sgadvanced=&26;xl_use_area=@27;xl_area=@28;explicit_period=@29;period=@30" ";infoedit=@31;has_advanced_control=@32;sggui_pos=&33;block_type=&34;block_ver" "sion=&35;sg_icon_stat=&36;sg_mask_display=&37;sg_list_contents=&38;sg_blockgu" "i_xml=&39;" MaskInitialization "try\n tmp_gcb = gcb;\n tmp_gcbh = gcbh;\n" " xlMungeMaskParams;\n block_config = 'Sysgen:Dpram_config';\n serialized_d" "eclarations = '{''dblOvrd''=>''Int'',''depth''=>''Int'',''distributed_mem''=>" "''Int'',''en_a''=>''Int'',''en_b''=>''Int'',''init''=>''DoubleVector'',''init" "_a''=>''Double'',''init_b''=>''Double'',''latency''=>''Int'',''optimize''=>''" "Int'',''rst_a''=>''Int'',''rst_b''=>''Int'',''sim_engine''=>''Int'',''use_rpm" "''=>''Int'',''write_mode_a''=>''Int'',''write_mode_b''=>''Int''}';\n xldpram" "_init();\n ptable_ = xlblockprep(get_param(tmp_gcb, 'MaskWSVariables'));\nca" "tch\n global xl_report_errors;\n if(~isempty(xl_report_errors) && xl_report" "_errors)\n e = regexprep(lasterr, '\\n', '\\nError: ');\n disp(['Error:" " While running MaskInit code on block ' tmp_gcb ': ' e]);\n error(e);\n e" "nd\nend\n" MaskDisplay "fprintf('','COMMENT: begin icon graphics');" "\npatch([0 75 75 0 ],[0 0 165 165 ],[0.77 0.82 0.91]);\npatch([17 5 23 5 17 3" "7 42 47 68 51 35 23 40 23 35 51 68 47 42 37 17 ],[53 65 83 101 113 113 108 11" "3 113 96 112 100 83 66 54 70 53 53 58 53 53 ],[0.98 0.96 0.92]);\nplot([0 0 7" "5 75 0 ],[0 165 165 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprin" "tf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1,'addr" "a');\ncolor('black');port_label('input',2,'dina');\ncolor('black');port_label" "('input',3,'wea');\ncolor('black');port_label('input',4,'addrb');\ncolor('bla" "ck');port_label('input',5,'dinb');\ncolor('black');port_label('input',6,'web'" ");\ncolor('black');port_label('output',1,'A');\ncolor('black');port_label('ou" "tput',2,'B');\nfprintf('','COMMENT: end icon text');\n" MaskSelfModifiable on MaskIconFrame off MaskIconOpaque on MaskIconRotate "none" MaskIconUnits "autoscale" MaskValueString "2^11|0||Block RAM|0|0||off||off||off||off|1" "||Read After Write||Read After Write||off||Area||on||off|[0,0,0,0,0,0,0]||||0" "|-1,-1,-1,-1|dpram|8.2.02|75,165,6,2,white,blue,0,239f35c0|fprintf('','COMMEN" "T: begin icon graphics');\npatch([0 75 75 0 ],[0 0 165 165 ],[0.77 0.82 0.91]" ");\npatch([17 5 23 5 17 37 42 47 68 51 35 23 40 23 35 51 68 47 42 37 17 ],[53" " 65 83 101 113 113 108 113 113 96 112 100 83 66 54 70 53 53 58 53 53 ],[0.98 " "0.96 0.92]);\nplot([0 0 75 75 0 ],[0 165 165 0 0 ]);\nfprintf('','COMMENT: en" "d icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');p" "ort_label('input',1,'addra');\ncolor('black');port_label('input',2,'dina');\n" "color('black');port_label('input',3,'wea');\ncolor('black');port_label('input" "',4,'addrb');\ncolor('black');port_label('input',5,'dinb');\ncolor('black');p" "ort_label('input',6,'web');\ncolor('black');port_label('output',1,'A');\ncolo" "r('black');port_label('output',2,'B');\nfprintf('','COMMENT: end icon text');" "\n||\n <" "initialization file=\"xldpram_init.m\" />\n \n \n \n \n \n \n <" "library name=\"xbsMemory\" />\n \n \n \n \n \n \n \n \n \n \n A</tt> output regist" "er\" default=\"0\" />\n B</tt> output register\" " "default=\"0\" />\n \n A</tt> output register\" default=\"off\" />\n B</tt> output register\" default=\"off\" />" "\n A</tt>\" default=\"off\" />\n B</tt>\" default=\"off\" />\n \n " "\n \n \n \n A</tt>\" default=\"Read After Write\" >\n \n \n \n \n B</tt>" "\" default=\"Read After Write\" >\n \n \n \n \n \n \n \n \n \n \n \n \n " "\n \n \n \n \n \n \n \n \n \n " "\n \n \n \n \n \n \n \n " "\n \n\n" MaskTabNameString ",,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,," } Block { BlockType Scope Name "Scope1" Ports [8] Position [1480, 108, 1545, 252] Floating off Location [1, 45, 1913, 1113] Open off NumInputPorts "8" ZoomMode "xonly" List { ListType AxesTitles axes1 "%" axes2 "%" axes3 "%" axes4 "%" axes5 "%" axes6 "%" axes7 "%" axes8 "%" } YMin "-5~-5~-5~-5~-5~-5~-5~0" YMax "5~5~5~5~5~5~5~1000" SaveName "ScopeData5" DataFormat "StructureWithTime" LimitDataPoints off SampleTime "0" } Block { BlockType Scope Name "Scope2" Ports [2] Position [2280, 808, 2345, 952] Floating off Location [1, 45, 1913, 1113] Open off NumInputPorts "2" ZoomMode "xonly" List { ListType AxesTitles axes1 "%" axes2 "%" } YMin "0~-5" YMax "500~5" SaveName "ScopeData2" DataFormat "StructureWithTime" LimitDataPoints off SampleTime "0" } Block { BlockType "S-Function" Name "Slice" Ports [1, 1] Position [860, 425, 920, 455] CopyFcn "xlBlockMoveCallback(gcbh);" DeleteFcn "xlDestroyGui(gcbh);" LoadFcn "xlBlockLoadCallback(gcbh);" ModelCloseFcn "xlDestroyGui(gcbh);" PreSaveFcn "xlBlockPreSaveCallback(gcbh);" PostSaveFcn "xlBlockPostSaveCallback(gcbh);" DestroyFcn "xlDestroyGui(gcbh);" OpenFcn "xlOpenGui(gcbh, @sliceenablement, -1)" CloseFcn "xlDestroyGui(gcbh);" MoveFcn "xlBlockMoveCallback(gcbh);" FunctionName "sysgen" Parameters "ptable_" MaskType "Xilinx Bit Slice Extractor Block" MaskDescription "Extracts a given range of bits from each in" "put sample and presents it at the output. The output type is ordinarily unsi" "gned with binary point at zero, but can be Boolean when the slice is one bit " "wide.\n\nHardware notes: In hardware this block costs nothing." MaskHelp "eval('xlWeb(xlhtmldoclink(''Slice''))');" MaskPromptString " |Width of slice (number of bits)| |Boolean" " output| |Specify range as|Offset of top bit| |Relative to|Offset of bottom b" "it| |Relative to| |Override with doubles| | | | | | | | " MaskStyleString "edit,edit,edit,checkbox,edit,popup(Two Bit " "Locations|Upper Bit Location + Width|Lower Bit Location + Width),edit,edit,po" "pup(LSB of Input|Binary Point of Input|MSB of Input),edit,edit,popup(LSB of I" "nput|Binary Point of Input|MSB of Input),edit,checkbox,edit,edit,edit,edit,ed" "it,edit,edit,edit" MaskTunableValueString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,o" "n,on,on,on,on,on,on,on" MaskCallbackString "|||||||||||||||||||||" MaskEnableString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,o" "n,on,on,on,on,on,on,on" MaskVisibilityString "off,on,off,on,off,on,on,off,on,on,off,on,of" "f,on,off,off,off,off,off,off,off,off" MaskToolTipString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,o" "n,on,on,on,on,on,on,on" MaskVarAliasString ",,,,,,,,,,,,,,,,,,,,," MaskVariables "infoedit=&1;nbits=@2;boolean_output_sgadvan" "ced=&3;boolean_output=@4;mode_sgadvanced=&5;mode=@6;bit1=@7;base1_sgadvanced=" "&8;base1=@9;bit0=@10;base0_sgadvanced=&11;base0=@12;dbl_ovrd_sgadvanced=&13;d" "bl_ovrd=@14;has_advanced_control=@15;sggui_pos=&16;block_type=&17;block_versi" "on=&18;sg_icon_stat=&19;sg_mask_display=&20;sg_list_contents=&21;sg_blockgui_" "xml=&22;" MaskInitialization "try\n tmp_gcb = gcb;\n tmp_gcbh = gcbh;\n" " xlMungeMaskParams;\n block_config = 'Sysgen:Slice_config';\n serialized_d" "eclarations = '{''base0''=>''Int'',''base1''=>''Int'',''bit0''=>''Int'',''bit" "1''=>''Int'',''boolean_output''=>''Int'',''mode''=>''Int'',''nbits''=>''Int''" ",''sim_engine''=>''Int'',''sysgen_root''=>''String''}';\n xlslice_init();\n " " ptable_ = xlblockprep(get_param(tmp_gcb, 'MaskWSVariables'));\ncatch\n glob" "al xl_report_errors;\n if(~isempty(xl_report_errors) && xl_report_errors)\n " " e = regexprep(lasterr, '\\n', '\\nError: ');\n disp(['Error: While runn" "ing MaskInit code on block ' tmp_gcb ': ' e]);\n error(e);\n end\nend\n" MaskDisplay "fprintf('','COMMENT: begin icon graphics');" "\npatch([0 60 60 0 ],[0 0 30 30 ],[0.77 0.82 0.91]);\npatch([22 17 24 17 22 3" "0 32 34 42 35 29 24 30 24 29 35 42 34 32 30 22 ],[3 8 15 22 27 27 25 27 27 20" " 26 21 15 9 4 10 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 0 60 60 0 ],[0 30 30" " 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: beg" "in icon text');\ncolor('black');port_label('output',1,'[a:b]');\nfprintf('','" "COMMENT: end icon text');\n" MaskSelfModifiable on MaskIconFrame off MaskIconOpaque on MaskIconRotate "none" MaskIconUnits "autoscale" MaskValueString "Extracts a given range of bits from each in" "put sample and presents it at the output. The output type is ordinarily unsi" "gned with binary point at zero, but can be Boolean when the slice is one bit " "wide.

Hardware notes: In hardware this block costs nothing.|4||off||Lowe" "r Bit Location + Width|0||MSB of Input|2||LSB of Input||off|0|20,20,442,407|s" "lice|8.2.02|60,30,1,1,white,blue,0,fe24a11e|fprintf('','COMMENT: begin icon g" "raphics');\npatch([0 60 60 0 ],[0 0 30 30 ],[0.77 0.82 0.91]);\npatch([22 17 " "24 17 22 30 32 34 42 35 29 24 30 24 29 35 42 34 32 30 22 ],[3 8 15 22 27 27 2" "5 27 27 20 26 21 15 9 4 10 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 0 60 60 0 " "],[0 30 30 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','CO" "MMENT: begin icon text');\ncolor('black');port_label('output',1,'[a:b]');\nfp" "rintf('','COMMENT: end icon text');\n||\n \n \n \n \n \n \n \n \n \n \n " "\n &" "lt;P>Hardware notes: In hardware this block costs nothing.\" />\n " "\n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n " "\n \n \n " "\n \n \n \n \n \n " "\n \n \n \n \n \n<" "/sysgenblock>\n" MaskTabNameString ",,,,,,,,,,,,,,,,,,,,," } Block { BlockType "S-Function" Name "Slice1" Ports [1, 1] Position [860, 475, 920, 505] CopyFcn "xlBlockMoveCallback(gcbh);" DeleteFcn "xlDestroyGui(gcbh);" LoadFcn "xlBlockLoadCallback(gcbh);" ModelCloseFcn "xlDestroyGui(gcbh);" PreSaveFcn "xlBlockPreSaveCallback(gcbh);" PostSaveFcn "xlBlockPostSaveCallback(gcbh);" DestroyFcn "xlDestroyGui(gcbh);" OpenFcn "xlOpenGui(gcbh, @sliceenablement, -1)" CloseFcn "xlDestroyGui(gcbh);" MoveFcn "xlBlockMoveCallback(gcbh);" FunctionName "sysgen" Parameters "ptable_" MaskType "Xilinx Bit Slice Extractor Block" MaskDescription "Extracts a given range of bits from each in" "put sample and presents it at the output. The output type is ordinarily unsi" "gned with binary point at zero, but can be Boolean when the slice is one bit " "wide.\n\nHardware notes: In hardware this block costs nothing." MaskHelp "eval('xlWeb(xlhtmldoclink(''Slice''))');" MaskPromptString " |Width of slice (number of bits)| |Boolean" " output| |Specify range as|Offset of top bit| |Relative to|Offset of bottom b" "it| |Relative to| |Override with doubles| | | | | | | | " MaskStyleString "edit,edit,edit,checkbox,edit,popup(Two Bit " "Locations|Upper Bit Location + Width|Lower Bit Location + Width),edit,edit,po" "pup(LSB of Input|Binary Point of Input|MSB of Input),edit,edit,popup(LSB of I" "nput|Binary Point of Input|MSB of Input),edit,checkbox,edit,edit,edit,edit,ed" "it,edit,edit,edit" MaskTunableValueString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,o" "n,on,on,on,on,on,on,on" MaskCallbackString "|||||||||||||||||||||" MaskEnableString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,o" "n,on,on,on,on,on,on,on" MaskVisibilityString "off,on,off,on,off,on,on,off,on,on,off,on,of" "f,on,off,off,off,off,off,off,off,off" MaskToolTipString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,o" "n,on,on,on,on,on,on,on" MaskVarAliasString ",,,,,,,,,,,,,,,,,,,,," MaskVariables "infoedit=&1;nbits=@2;boolean_output_sgadvan" "ced=&3;boolean_output=@4;mode_sgadvanced=&5;mode=@6;bit1=@7;base1_sgadvanced=" "&8;base1=@9;bit0=@10;base0_sgadvanced=&11;base0=@12;dbl_ovrd_sgadvanced=&13;d" "bl_ovrd=@14;has_advanced_control=@15;sggui_pos=&16;block_type=&17;block_versi" "on=&18;sg_icon_stat=&19;sg_mask_display=&20;sg_list_contents=&21;sg_blockgui_" "xml=&22;" MaskInitialization "try\n tmp_gcb = gcb;\n tmp_gcbh = gcbh;\n" " xlMungeMaskParams;\n block_config = 'Sysgen:Slice_config';\n serialized_d" "eclarations = '{''base0''=>''Int'',''base1''=>''Int'',''bit0''=>''Int'',''bit" "1''=>''Int'',''boolean_output''=>''Int'',''mode''=>''Int'',''nbits''=>''Int''" ",''sim_engine''=>''Int'',''sysgen_root''=>''String''}';\n xlslice_init();\n " " ptable_ = xlblockprep(get_param(tmp_gcb, 'MaskWSVariables'));\ncatch\n glob" "al xl_report_errors;\n if(~isempty(xl_report_errors) && xl_report_errors)\n " " e = regexprep(lasterr, '\\n', '\\nError: ');\n disp(['Error: While runn" "ing MaskInit code on block ' tmp_gcb ': ' e]);\n error(e);\n end\nend\n" MaskDisplay "fprintf('','COMMENT: begin icon graphics');" "\npatch([0 60 60 0 ],[0 0 30 30 ],[0.77 0.82 0.91]);\npatch([22 17 24 17 22 3" "0 32 34 42 35 29 24 30 24 29 35 42 34 32 30 22 ],[3 8 15 22 27 27 25 27 27 20" " 26 21 15 9 4 10 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 0 60 60 0 ],[0 30 30" " 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: beg" "in icon text');\ncolor('black');port_label('output',1,'[a:b]');\nfprintf('','" "COMMENT: end icon text');\n" MaskSelfModifiable on MaskIconFrame off MaskIconOpaque on MaskIconRotate "none" MaskIconUnits "autoscale" MaskValueString "Extracts a given range of bits from each in" "put sample and presents it at the output. The output type is ordinarily unsi" "gned with binary point at zero, but can be Boolean when the slice is one bit " "wide.

Hardware notes: In hardware this block costs nothing.|2||off||Lowe" "r Bit Location + Width|0||MSB of Input|0||LSB of Input||off|0|20,20,442,407|s" "lice|8.2.02|60,30,1,1,white,blue,0,fe24a11e|fprintf('','COMMENT: begin icon g" "raphics');\npatch([0 60 60 0 ],[0 0 30 30 ],[0.77 0.82 0.91]);\npatch([22 17 " "24 17 22 30 32 34 42 35 29 24 30 24 29 35 42 34 32 30 22 ],[3 8 15 22 27 27 2" "5 27 27 20 26 21 15 9 4 10 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 0 60 60 0 " "],[0 30 30 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','CO" "MMENT: begin icon text');\ncolor('black');port_label('output',1,'[a:b]');\nfp" "rintf('','COMMENT: end icon text');\n||\n \n \n \n \n \n \n \n \n \n \n " "\n &" "lt;P>Hardware notes: In hardware this block costs nothing.\" />\n " "\n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n " "\n \n \n " "\n \n \n \n \n \n " "\n \n \n \n \n \n<" "/sysgenblock>\n" MaskTabNameString ",,,,,,,,,,,,,,,,,,,,," } Block { BlockType "S-Function" Name "Slice10" Ports [1, 1] Position [1925, 540, 1985, 570] CopyFcn "xlBlockMoveCallback(gcbh);" DeleteFcn "xlDestroyGui(gcbh);" LoadFcn "xlBlockLoadCallback(gcbh);" ModelCloseFcn "xlDestroyGui(gcbh);" PreSaveFcn "xlBlockPreSaveCallback(gcbh);" PostSaveFcn "xlBlockPostSaveCallback(gcbh);" DestroyFcn "xlDestroyGui(gcbh);" OpenFcn "xlOpenGui(gcbh, @sliceenablement, -1)" CloseFcn "xlDestroyGui(gcbh);" MoveFcn "xlBlockMoveCallback(gcbh);" FunctionName "sysgen" Parameters "ptable_" MaskType "Xilinx Bit Slice Extractor Block" MaskDescription "Extracts a given range of bits from each in" "put sample and presents it at the output. The output type is ordinarily unsi" "gned with binary point at zero, but can be Boolean when the slice is one bit " "wide.\n\nHardware notes: In hardware this block costs nothing." MaskHelp "eval('xlWeb(xlhtmldoclink(''Slice''))');" MaskPromptString " |Width of slice (number of bits)| |Boolean" " output| |Specify range as|Offset of top bit| |Relative to|Offset of bottom b" "it| |Relative to| |Override with doubles| | | | | | | | " MaskStyleString "edit,edit,edit,checkbox,edit,popup(Two Bit " "Locations|Upper Bit Location + Width|Lower Bit Location + Width),edit,edit,po" "pup(LSB of Input|Binary Point of Input|MSB of Input),edit,edit,popup(LSB of I" "nput|Binary Point of Input|MSB of Input),edit,checkbox,edit,edit,edit,edit,ed" "it,edit,edit,edit" MaskTunableValueString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,o" "n,on,on,on,on,on,on,on" MaskCallbackString "|||||||||||||||||||||" MaskEnableString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,o" "n,on,on,on,on,on,on,on" MaskVisibilityString "off,on,off,on,off,on,on,off,on,on,off,on,of" "f,on,off,off,off,off,off,off,off,off" MaskToolTipString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,o" "n,on,on,on,on,on,on,on" MaskVarAliasString ",,,,,,,,,,,,,,,,,,,,," MaskVariables "infoedit=&1;nbits=@2;boolean_output_sgadvan" "ced=&3;boolean_output=@4;mode_sgadvanced=&5;mode=@6;bit1=@7;base1_sgadvanced=" "&8;base1=@9;bit0=@10;base0_sgadvanced=&11;base0=@12;dbl_ovrd_sgadvanced=&13;d" "bl_ovrd=@14;has_advanced_control=@15;sggui_pos=&16;block_type=&17;block_versi" "on=&18;sg_icon_stat=&19;sg_mask_display=&20;sg_list_contents=&21;sg_blockgui_" "xml=&22;" MaskInitialization "try\n tmp_gcb = gcb;\n tmp_gcbh = gcbh;\n" " xlMungeMaskParams;\n block_config = 'Sysgen:Slice_config';\n serialized_d" "eclarations = '{''base0''=>''Int'',''base1''=>''Int'',''bit0''=>''Int'',''bit" "1''=>''Int'',''boolean_output''=>''Int'',''mode''=>''Int'',''nbits''=>''Int''" ",''sim_engine''=>''Int'',''sysgen_root''=>''String''}';\n xlslice_init();\n " " ptable_ = xlblockprep(get_param(tmp_gcb, 'MaskWSVariables'));\ncatch\n glob" "al xl_report_errors;\n if(~isempty(xl_report_errors) && xl_report_errors)\n " " e = regexprep(lasterr, '\\n', '\\nError: ');\n disp(['Error: While runn" "ing MaskInit code on block ' tmp_gcb ': ' e]);\n error(e);\n end\nend\n" MaskDisplay "fprintf('','COMMENT: begin icon graphics');" "\npatch([0 60 60 0 ],[0 0 30 30 ],[0.77 0.82 0.91]);\npatch([22 17 24 17 22 3" "0 32 34 42 35 29 24 30 24 29 35 42 34 32 30 22 ],[3 8 15 22 27 27 25 27 27 20" " 26 21 15 9 4 10 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 0 60 60 0 ],[0 30 30" " 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: beg" "in icon text');\ncolor('black');port_label('output',1,'[a:b]');\nfprintf('','" "COMMENT: end icon text');\n" MaskSelfModifiable on MaskIconFrame off MaskIconOpaque on MaskIconRotate "none" MaskIconUnits "autoscale" MaskValueString "Extracts a given range of bits from each in" "put sample and presents it at the output. The output type is ordinarily unsi" "gned with binary point at zero, but can be Boolean when the slice is one bit " "wide.

Hardware notes: In hardware this block costs nothing.|4||off||Uppe" "r Bit Location + Width|0||MSB of Input|0||LSB of Input||off|0|20,20,442,407|s" "lice|8.2.02|60,30,1,1,white,blue,0,fe24a11e|fprintf('','COMMENT: begin icon g" "raphics');\npatch([0 60 60 0 ],[0 0 30 30 ],[0.77 0.82 0.91]);\npatch([22 17 " "24 17 22 30 32 34 42 35 29 24 30 24 29 35 42 34 32 30 22 ],[3 8 15 22 27 27 2" "5 27 27 20 26 21 15 9 4 10 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 0 60 60 0 " "],[0 30 30 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','CO" "MMENT: begin icon text');\ncolor('black');port_label('output',1,'[a:b]');\nfp" "rintf('','COMMENT: end icon text');\n||\n \n \n \n \n \n \n \n \n \n \n " "\n &" "lt;P>Hardware notes: In hardware this block costs nothing.\" />\n " "\n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n " "\n \n \n " "\n \n \n \n \n \n " "\n \n \n \n \n \n<" "/sysgenblock>\n" MaskTabNameString ",,,,,,,,,,,,,,,,,,,,," } Block { BlockType "S-Function" Name "Slice11" Ports [1, 1] Position [1925, 645, 1985, 675] CopyFcn "xlBlockMoveCallback(gcbh);" DeleteFcn "xlDestroyGui(gcbh);" LoadFcn "xlBlockLoadCallback(gcbh);" ModelCloseFcn "xlDestroyGui(gcbh);" PreSaveFcn "xlBlockPreSaveCallback(gcbh);" PostSaveFcn "xlBlockPostSaveCallback(gcbh);" DestroyFcn "xlDestroyGui(gcbh);" OpenFcn "xlOpenGui(gcbh, @sliceenablement, -1)" CloseFcn "xlDestroyGui(gcbh);" MoveFcn "xlBlockMoveCallback(gcbh);" FunctionName "sysgen" Parameters "ptable_" MaskType "Xilinx Bit Slice Extractor Block" MaskDescription "Extracts a given range of bits from each in" "put sample and presents it at the output. The output type is ordinarily unsi" "gned with binary point at zero, but can be Boolean when the slice is one bit " "wide.\n\nHardware notes: In hardware this block costs nothing." MaskHelp "eval('xlWeb(xlhtmldoclink(''Slice''))');" MaskPromptString " |Width of slice (number of bits)| |Boolean" " output| |Specify range as|Offset of top bit| |Relative to|Offset of bottom b" "it| |Relative to| |Override with doubles| | | | | | | | " MaskStyleString "edit,edit,edit,checkbox,edit,popup(Two Bit " "Locations|Upper Bit Location + Width|Lower Bit Location + Width),edit,edit,po" "pup(LSB of Input|Binary Point of Input|MSB of Input),edit,edit,popup(LSB of I" "nput|Binary Point of Input|MSB of Input),edit,checkbox,edit,edit,edit,edit,ed" "it,edit,edit,edit" MaskTunableValueString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,o" "n,on,on,on,on,on,on,on" MaskCallbackString "|||||||||||||||||||||" MaskEnableString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,o" "n,on,on,on,on,on,on,on" MaskVisibilityString "off,on,off,on,off,on,on,off,on,on,off,on,of" "f,on,off,off,off,off,off,off,off,off" MaskToolTipString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,o" "n,on,on,on,on,on,on,on" MaskVarAliasString ",,,,,,,,,,,,,,,,,,,,," MaskVariables "infoedit=&1;nbits=@2;boolean_output_sgadvan" "ced=&3;boolean_output=@4;mode_sgadvanced=&5;mode=@6;bit1=@7;base1_sgadvanced=" "&8;base1=@9;bit0=@10;base0_sgadvanced=&11;base0=@12;dbl_ovrd_sgadvanced=&13;d" "bl_ovrd=@14;has_advanced_control=@15;sggui_pos=&16;block_type=&17;block_versi" "on=&18;sg_icon_stat=&19;sg_mask_display=&20;sg_list_contents=&21;sg_blockgui_" "xml=&22;" MaskInitialization "try\n tmp_gcb = gcb;\n tmp_gcbh = gcbh;\n" " xlMungeMaskParams;\n block_config = 'Sysgen:Slice_config';\n serialized_d" "eclarations = '{''base0''=>''Int'',''base1''=>''Int'',''bit0''=>''Int'',''bit" "1''=>''Int'',''boolean_output''=>''Int'',''mode''=>''Int'',''nbits''=>''Int''" ",''sim_engine''=>''Int'',''sysgen_root''=>''String''}';\n xlslice_init();\n " " ptable_ = xlblockprep(get_param(tmp_gcb, 'MaskWSVariables'));\ncatch\n glob" "al xl_report_errors;\n if(~isempty(xl_report_errors) && xl_report_errors)\n " " e = regexprep(lasterr, '\\n', '\\nError: ');\n disp(['Error: While runn" "ing MaskInit code on block ' tmp_gcb ': ' e]);\n error(e);\n end\nend\n" MaskDisplay "fprintf('','COMMENT: begin icon graphics');" "\npatch([0 60 60 0 ],[0 0 30 30 ],[0.77 0.82 0.91]);\npatch([22 17 24 17 22 3" "0 32 34 42 35 29 24 30 24 29 35 42 34 32 30 22 ],[3 8 15 22 27 27 25 27 27 20" " 26 21 15 9 4 10 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 0 60 60 0 ],[0 30 30" " 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: beg" "in icon text');\ncolor('black');port_label('output',1,'[a:b]');\nfprintf('','" "COMMENT: end icon text');\n" MaskSelfModifiable on MaskIconFrame off MaskIconOpaque on MaskIconRotate "none" MaskIconUnits "autoscale" MaskValueString "Extracts a given range of bits from each in" "put sample and presents it at the output. The output type is ordinarily unsi" "gned with binary point at zero, but can be Boolean when the slice is one bit " "wide.

Hardware notes: In hardware this block costs nothing.|4||off||Lowe" "r Bit Location + Width|4||MSB of Input|6||LSB of Input||off|0|20,20,442,407|s" "lice|8.2.02|60,30,1,1,white,blue,0,fe24a11e|fprintf('','COMMENT: begin icon g" "raphics');\npatch([0 60 60 0 ],[0 0 30 30 ],[0.77 0.82 0.91]);\npatch([22 17 " "24 17 22 30 32 34 42 35 29 24 30 24 29 35 42 34 32 30 22 ],[3 8 15 22 27 27 2" "5 27 27 20 26 21 15 9 4 10 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 0 60 60 0 " "],[0 30 30 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','CO" "MMENT: begin icon text');\ncolor('black');port_label('output',1,'[a:b]');\nfp" "rintf('','COMMENT: end icon text');\n||\n \n \n \n \n \n \n \n \n \n \n " "\n &" "lt;P>Hardware notes: In hardware this block costs nothing.\" />\n " "\n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n " "\n \n \n " "\n \n \n \n \n \n " "\n \n \n \n \n \n<" "/sysgenblock>\n" MaskTabNameString ",,,,,,,,,,,,,,,,,,,,," } Block { BlockType "S-Function" Name "Slice2" Ports [1, 1] Position [550, 140, 610, 170] CopyFcn "xlBlockMoveCallback(gcbh);" DeleteFcn "xlDestroyGui(gcbh);" LoadFcn "xlBlockLoadCallback(gcbh);" ModelCloseFcn "xlDestroyGui(gcbh);" PreSaveFcn "xlBlockPreSaveCallback(gcbh);" PostSaveFcn "xlBlockPostSaveCallback(gcbh);" DestroyFcn "xlDestroyGui(gcbh);" OpenFcn "xlOpenGui(gcbh, @sliceenablement, -1)" CloseFcn "xlDestroyGui(gcbh);" MoveFcn "xlBlockMoveCallback(gcbh);" FunctionName "sysgen" Parameters "ptable_" MaskType "Xilinx Bit Slice Extractor Block" MaskDescription "Extracts a given range of bits from each in" "put sample and presents it at the output. The output type is ordinarily unsi" "gned with binary point at zero, but can be Boolean when the slice is one bit " "wide.\n\nHardware notes: In hardware this block costs nothing." MaskHelp "eval('xlWeb(xlhtmldoclink(''Slice''))');" MaskPromptString " |Width of slice (number of bits)| |Boolean" " output| |Specify range as|Offset of top bit| |Relative to|Offset of bottom b" "it| |Relative to| |Override with doubles| | | | | | | | " MaskStyleString "edit,edit,edit,checkbox,edit,popup(Two Bit " "Locations|Upper Bit Location + Width|Lower Bit Location + Width),edit,edit,po" "pup(LSB of Input|Binary Point of Input|MSB of Input),edit,edit,popup(LSB of I" "nput|Binary Point of Input|MSB of Input),edit,checkbox,edit,edit,edit,edit,ed" "it,edit,edit,edit" MaskTunableValueString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,o" "n,on,on,on,on,on,on,on" MaskCallbackString "|||||||||||||||||||||" MaskEnableString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,o" "n,on,on,on,on,on,on,on" MaskVisibilityString "off,on,off,on,off,on,on,off,on,on,off,on,of" "f,on,off,off,off,off,off,off,off,off" MaskToolTipString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,o" "n,on,on,on,on,on,on,on" MaskVarAliasString ",,,,,,,,,,,,,,,,,,,,," MaskVariables "infoedit=&1;nbits=@2;boolean_output_sgadvan" "ced=&3;boolean_output=@4;mode_sgadvanced=&5;mode=@6;bit1=@7;base1_sgadvanced=" "&8;base1=@9;bit0=@10;base0_sgadvanced=&11;base0=@12;dbl_ovrd_sgadvanced=&13;d" "bl_ovrd=@14;has_advanced_control=@15;sggui_pos=&16;block_type=&17;block_versi" "on=&18;sg_icon_stat=&19;sg_mask_display=&20;sg_list_contents=&21;sg_blockgui_" "xml=&22;" MaskInitialization "try\n tmp_gcb = gcb;\n tmp_gcbh = gcbh;\n" " xlMungeMaskParams;\n block_config = 'Sysgen:Slice_config';\n serialized_d" "eclarations = '{''base0''=>''Int'',''base1''=>''Int'',''bit0''=>''Int'',''bit" "1''=>''Int'',''boolean_output''=>''Int'',''mode''=>''Int'',''nbits''=>''Int''" ",''sim_engine''=>''Int'',''sysgen_root''=>''String''}';\n xlslice_init();\n " " ptable_ = xlblockprep(get_param(tmp_gcb, 'MaskWSVariables'));\ncatch\n glob" "al xl_report_errors;\n if(~isempty(xl_report_errors) && xl_report_errors)\n " " e = regexprep(lasterr, '\\n', '\\nError: ');\n disp(['Error: While runn" "ing MaskInit code on block ' tmp_gcb ': ' e]);\n error(e);\n end\nend\n" MaskDisplay "fprintf('','COMMENT: begin icon graphics');" "\npatch([0 60 60 0 ],[0 0 30 30 ],[0.77 0.82 0.91]);\npatch([22 17 24 17 22 3" "0 32 34 42 35 29 24 30 24 29 35 42 34 32 30 22 ],[3 8 15 22 27 27 25 27 27 20" " 26 21 15 9 4 10 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 0 60 60 0 ],[0 30 30" " 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: beg" "in icon text');\ncolor('black');port_label('output',1,'[a:b]');\nfprintf('','" "COMMENT: end icon text');\n" MaskSelfModifiable on MaskIconFrame off MaskIconOpaque on MaskIconRotate "none" MaskIconUnits "autoscale" MaskValueString "Extracts a given range of bits from each in" "put sample and presents it at the output. The output type is ordinarily unsi" "gned with binary point at zero, but can be Boolean when the slice is one bit " "wide.

Hardware notes: In hardware this block costs nothing.|6||off||Lowe" "r Bit Location + Width|0||MSB of Input|2||LSB of Input||off|0|20,20,442,407|s" "lice|8.2.02|60,30,1,1,white,blue,0,fe24a11e|fprintf('','COMMENT: begin icon g" "raphics');\npatch([0 60 60 0 ],[0 0 30 30 ],[0.77 0.82 0.91]);\npatch([22 17 " "24 17 22 30 32 34 42 35 29 24 30 24 29 35 42 34 32 30 22 ],[3 8 15 22 27 27 2" "5 27 27 20 26 21 15 9 4 10 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 0 60 60 0 " "],[0 30 30 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','CO" "MMENT: begin icon text');\ncolor('black');port_label('output',1,'[a:b]');\nfp" "rintf('','COMMENT: end icon text');\n||\n \n \n \n \n \n \n \n \n \n \n " "\n &" "lt;P>Hardware notes: In hardware this block costs nothing.\" />\n " "\n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n " "\n \n \n " "\n \n \n \n \n \n " "\n \n \n \n \n \n<" "/sysgenblock>\n" MaskTabNameString ",,,,,,,,,,,,,,,,,,,,," } Block { BlockType "S-Function" Name "Slice3" Ports [1, 1] Position [550, 190, 610, 220] CopyFcn "xlBlockMoveCallback(gcbh);" DeleteFcn "xlDestroyGui(gcbh);" LoadFcn "xlBlockLoadCallback(gcbh);" ModelCloseFcn "xlDestroyGui(gcbh);" PreSaveFcn "xlBlockPreSaveCallback(gcbh);" PostSaveFcn "xlBlockPostSaveCallback(gcbh);" DestroyFcn "xlDestroyGui(gcbh);" OpenFcn "xlOpenGui(gcbh, @sliceenablement, -1)" CloseFcn "xlDestroyGui(gcbh);" MoveFcn "xlBlockMoveCallback(gcbh);" FunctionName "sysgen" Parameters "ptable_" MaskType "Xilinx Bit Slice Extractor Block" MaskDescription "Extracts a given range of bits from each in" "put sample and presents it at the output. The output type is ordinarily unsi" "gned with binary point at zero, but can be Boolean when the slice is one bit " "wide.\n\nHardware notes: In hardware this block costs nothing." MaskHelp "eval('xlWeb(xlhtmldoclink(''Slice''))');" MaskPromptString " |Width of slice (number of bits)| |Boolean" " output| |Specify range as|Offset of top bit| |Relative to|Offset of bottom b" "it| |Relative to| |Override with doubles| | | | | | | | " MaskStyleString "edit,edit,edit,checkbox,edit,popup(Two Bit " "Locations|Upper Bit Location + Width|Lower Bit Location + Width),edit,edit,po" "pup(LSB of Input|Binary Point of Input|MSB of Input),edit,edit,popup(LSB of I" "nput|Binary Point of Input|MSB of Input),edit,checkbox,edit,edit,edit,edit,ed" "it,edit,edit,edit" MaskTunableValueString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,o" "n,on,on,on,on,on,on,on" MaskCallbackString "|||||||||||||||||||||" MaskEnableString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,o" "n,on,on,on,on,on,on,on" MaskVisibilityString "off,on,off,on,off,on,on,off,on,on,off,on,of" "f,on,off,off,off,off,off,off,off,off" MaskToolTipString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,o" "n,on,on,on,on,on,on,on" MaskVarAliasString ",,,,,,,,,,,,,,,,,,,,," MaskVariables "infoedit=&1;nbits=@2;boolean_output_sgadvan" "ced=&3;boolean_output=@4;mode_sgadvanced=&5;mode=@6;bit1=@7;base1_sgadvanced=" "&8;base1=@9;bit0=@10;base0_sgadvanced=&11;base0=@12;dbl_ovrd_sgadvanced=&13;d" "bl_ovrd=@14;has_advanced_control=@15;sggui_pos=&16;block_type=&17;block_versi" "on=&18;sg_icon_stat=&19;sg_mask_display=&20;sg_list_contents=&21;sg_blockgui_" "xml=&22;" MaskInitialization "try\n tmp_gcb = gcb;\n tmp_gcbh = gcbh;\n" " xlMungeMaskParams;\n block_config = 'Sysgen:Slice_config';\n serialized_d" "eclarations = '{''base0''=>''Int'',''base1''=>''Int'',''bit0''=>''Int'',''bit" "1''=>''Int'',''boolean_output''=>''Int'',''mode''=>''Int'',''nbits''=>''Int''" ",''sim_engine''=>''Int'',''sysgen_root''=>''String''}';\n xlslice_init();\n " " ptable_ = xlblockprep(get_param(tmp_gcb, 'MaskWSVariables'));\ncatch\n glob" "al xl_report_errors;\n if(~isempty(xl_report_errors) && xl_report_errors)\n " " e = regexprep(lasterr, '\\n', '\\nError: ');\n disp(['Error: While runn" "ing MaskInit code on block ' tmp_gcb ': ' e]);\n error(e);\n end\nend\n" MaskDisplay "fprintf('','COMMENT: begin icon graphics');" "\npatch([0 60 60 0 ],[0 0 30 30 ],[0.77 0.82 0.91]);\npatch([22 17 24 17 22 3" "0 32 34 42 35 29 24 30 24 29 35 42 34 32 30 22 ],[3 8 15 22 27 27 25 27 27 20" " 26 21 15 9 4 10 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 0 60 60 0 ],[0 30 30" " 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: beg" "in icon text');\ncolor('black');port_label('output',1,'[a:b]');\nfprintf('','" "COMMENT: end icon text');\n" MaskSelfModifiable on MaskIconFrame off MaskIconOpaque on MaskIconRotate "none" MaskIconUnits "autoscale" MaskValueString "Extracts a given range of bits from each in" "put sample and presents it at the output. The output type is ordinarily unsi" "gned with binary point at zero, but can be Boolean when the slice is one bit " "wide.

Hardware notes: In hardware this block costs nothing.|2||off||Lowe" "r Bit Location + Width|0||MSB of Input|0||LSB of Input||off|0|20,20,442,407|s" "lice|8.2.02|60,30,1,1,white,blue,0,fe24a11e|fprintf('','COMMENT: begin icon g" "raphics');\npatch([0 60 60 0 ],[0 0 30 30 ],[0.77 0.82 0.91]);\npatch([22 17 " "24 17 22 30 32 34 42 35 29 24 30 24 29 35 42 34 32 30 22 ],[3 8 15 22 27 27 2" "5 27 27 20 26 21 15 9 4 10 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 0 60 60 0 " "],[0 30 30 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','CO" "MMENT: begin icon text');\ncolor('black');port_label('output',1,'[a:b]');\nfp" "rintf('','COMMENT: end icon text');\n||\n \n \n \n \n \n \n \n \n \n \n " "\n &" "lt;P>Hardware notes: In hardware this block costs nothing.\" />\n " "\n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n " "\n \n \n " "\n \n \n \n \n \n " "\n \n \n \n \n \n<" "/sysgenblock>\n" MaskTabNameString ",,,,,,,,,,,,,,,,,,,,," } Block { BlockType "S-Function" Name "Slice4" Ports [1, 1] Position [420, 190, 480, 220] CopyFcn "xlBlockMoveCallback(gcbh);" DeleteFcn "xlDestroyGui(gcbh);" LoadFcn "xlBlockLoadCallback(gcbh);" ModelCloseFcn "xlDestroyGui(gcbh);" PreSaveFcn "xlBlockPreSaveCallback(gcbh);" PostSaveFcn "xlBlockPostSaveCallback(gcbh);" DestroyFcn "xlDestroyGui(gcbh);" OpenFcn "xlOpenGui(gcbh, @sliceenablement, -1)" CloseFcn "xlDestroyGui(gcbh);" MoveFcn "xlBlockMoveCallback(gcbh);" FunctionName "sysgen" Parameters "ptable_" MaskType "Xilinx Bit Slice Extractor Block" MaskDescription "Extracts a given range of bits from each in" "put sample and presents it at the output. The output type is ordinarily unsi" "gned with binary point at zero, but can be Boolean when the slice is one bit " "wide.\n\nHardware notes: In hardware this block costs nothing." MaskHelp "eval('xlWeb(xlhtmldoclink(''Slice''))');" MaskPromptString " |Width of slice (number of bits)| |Boolean" " output| |Specify range as|Offset of top bit| |Relative to|Offset of bottom b" "it| |Relative to| |Override with doubles| | | | | | | | " MaskStyleString "edit,edit,edit,checkbox,edit,popup(Two Bit " "Locations|Upper Bit Location + Width|Lower Bit Location + Width),edit,edit,po" "pup(LSB of Input|Binary Point of Input|MSB of Input),edit,edit,popup(LSB of I" "nput|Binary Point of Input|MSB of Input),edit,checkbox,edit,edit,edit,edit,ed" "it,edit,edit,edit" MaskTunableValueString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,o" "n,on,on,on,on,on,on,on" MaskCallbackString "|||||||||||||||||||||" MaskEnableString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,o" "n,on,on,on,on,on,on,on" MaskVisibilityString "off,on,off,on,off,on,on,off,on,on,off,on,of" "f,on,off,off,off,off,off,off,off,off" MaskToolTipString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,o" "n,on,on,on,on,on,on,on" MaskVarAliasString ",,,,,,,,,,,,,,,,,,,,," MaskVariables "infoedit=&1;nbits=@2;boolean_output_sgadvan" "ced=&3;boolean_output=@4;mode_sgadvanced=&5;mode=@6;bit1=@7;base1_sgadvanced=" "&8;base1=@9;bit0=@10;base0_sgadvanced=&11;base0=@12;dbl_ovrd_sgadvanced=&13;d" "bl_ovrd=@14;has_advanced_control=@15;sggui_pos=&16;block_type=&17;block_versi" "on=&18;sg_icon_stat=&19;sg_mask_display=&20;sg_list_contents=&21;sg_blockgui_" "xml=&22;" MaskInitialization "try\n tmp_gcb = gcb;\n tmp_gcbh = gcbh;\n" " xlMungeMaskParams;\n block_config = 'Sysgen:Slice_config';\n serialized_d" "eclarations = '{''base0''=>''Int'',''base1''=>''Int'',''bit0''=>''Int'',''bit" "1''=>''Int'',''boolean_output''=>''Int'',''mode''=>''Int'',''nbits''=>''Int''" ",''sim_engine''=>''Int'',''sysgen_root''=>''String''}';\n xlslice_init();\n " " ptable_ = xlblockprep(get_param(tmp_gcb, 'MaskWSVariables'));\ncatch\n glob" "al xl_report_errors;\n if(~isempty(xl_report_errors) && xl_report_errors)\n " " e = regexprep(lasterr, '\\n', '\\nError: ');\n disp(['Error: While runn" "ing MaskInit code on block ' tmp_gcb ': ' e]);\n error(e);\n end\nend\n" MaskDisplay "fprintf('','COMMENT: begin icon graphics');" "\npatch([0 60 60 0 ],[0 0 30 30 ],[0.77 0.82 0.91]);\npatch([22 17 24 17 22 3" "0 32 34 42 35 29 24 30 24 29 35 42 34 32 30 22 ],[3 8 15 22 27 27 25 27 27 20" " 26 21 15 9 4 10 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 0 60 60 0 ],[0 30 30" " 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: beg" "in icon text');\ncolor('black');port_label('output',1,'[a:b]');\nfprintf('','" "COMMENT: end icon text');\n" MaskSelfModifiable on MaskIconFrame off MaskIconOpaque on MaskIconRotate "none" MaskIconUnits "autoscale" MaskValueString "Extracts a given range of bits from each in" "put sample and presents it at the output. The output type is ordinarily unsi" "gned with binary point at zero, but can be Boolean when the slice is one bit " "wide.

Hardware notes: In hardware this block costs nothing.|8||off||Lowe" "r Bit Location + Width|0||MSB of Input|6||LSB of Input||off|0|20,20,442,407|s" "lice|8.2.02|60,30,1,1,white,blue,0,fe24a11e|fprintf('','COMMENT: begin icon g" "raphics');\npatch([0 60 60 0 ],[0 0 30 30 ],[0.77 0.82 0.91]);\npatch([22 17 " "24 17 22 30 32 34 42 35 29 24 30 24 29 35 42 34 32 30 22 ],[3 8 15 22 27 27 2" "5 27 27 20 26 21 15 9 4 10 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 0 60 60 0 " "],[0 30 30 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','CO" "MMENT: begin icon text');\ncolor('black');port_label('output',1,'[a:b]');\nfp" "rintf('','COMMENT: end icon text');\n||\n \n \n \n \n \n \n \n \n \n \n " "\n &" "lt;P>Hardware notes: In hardware this block costs nothing.\" />\n " "\n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n " "\n \n \n " "\n \n \n \n \n \n " "\n \n \n \n \n \n<" "/sysgenblock>\n" MaskTabNameString ",,,,,,,,,,,,,,,,,,,,," } Block { BlockType "S-Function" Name "Slice5" Ports [1, 1] Position [690, 475, 750, 505] CopyFcn "xlBlockMoveCallback(gcbh);" DeleteFcn "xlDestroyGui(gcbh);" LoadFcn "xlBlockLoadCallback(gcbh);" ModelCloseFcn "xlDestroyGui(gcbh);" PreSaveFcn "xlBlockPreSaveCallback(gcbh);" PostSaveFcn "xlBlockPostSaveCallback(gcbh);" DestroyFcn "xlDestroyGui(gcbh);" OpenFcn "xlOpenGui(gcbh, @sliceenablement, -1)" CloseFcn "xlDestroyGui(gcbh);" MoveFcn "xlBlockMoveCallback(gcbh);" FunctionName "sysgen" Parameters "ptable_" MaskType "Xilinx Bit Slice Extractor Block" MaskDescription "Extracts a given range of bits from each in" "put sample and presents it at the output. The output type is ordinarily unsi" "gned with binary point at zero, but can be Boolean when the slice is one bit " "wide.\n\nHardware notes: In hardware this block costs nothing." MaskHelp "eval('xlWeb(xlhtmldoclink(''Slice''))');" MaskPromptString " |Width of slice (number of bits)| |Boolean" " output| |Specify range as|Offset of top bit| |Relative to|Offset of bottom b" "it| |Relative to| |Override with doubles| | | | | | | | " MaskStyleString "edit,edit,edit,checkbox,edit,popup(Two Bit " "Locations|Upper Bit Location + Width|Lower Bit Location + Width),edit,edit,po" "pup(LSB of Input|Binary Point of Input|MSB of Input),edit,edit,popup(LSB of I" "nput|Binary Point of Input|MSB of Input),edit,checkbox,edit,edit,edit,edit,ed" "it,edit,edit,edit" MaskTunableValueString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,o" "n,on,on,on,on,on,on,on" MaskCallbackString "|||||||||||||||||||||" MaskEnableString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,o" "n,on,on,on,on,on,on,on" MaskVisibilityString "off,on,off,on,off,on,on,off,on,on,off,on,of" "f,on,off,off,off,off,off,off,off,off" MaskToolTipString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,o" "n,on,on,on,on,on,on,on" MaskVarAliasString ",,,,,,,,,,,,,,,,,,,,," MaskVariables "infoedit=&1;nbits=@2;boolean_output_sgadvan" "ced=&3;boolean_output=@4;mode_sgadvanced=&5;mode=@6;bit1=@7;base1_sgadvanced=" "&8;base1=@9;bit0=@10;base0_sgadvanced=&11;base0=@12;dbl_ovrd_sgadvanced=&13;d" "bl_ovrd=@14;has_advanced_control=@15;sggui_pos=&16;block_type=&17;block_versi" "on=&18;sg_icon_stat=&19;sg_mask_display=&20;sg_list_contents=&21;sg_blockgui_" "xml=&22;" MaskInitialization "try\n tmp_gcb = gcb;\n tmp_gcbh = gcbh;\n" " xlMungeMaskParams;\n block_config = 'Sysgen:Slice_config';\n serialized_d" "eclarations = '{''base0''=>''Int'',''base1''=>''Int'',''bit0''=>''Int'',''bit" "1''=>''Int'',''boolean_output''=>''Int'',''mode''=>''Int'',''nbits''=>''Int''" ",''sim_engine''=>''Int'',''sysgen_root''=>''String''}';\n xlslice_init();\n " " ptable_ = xlblockprep(get_param(tmp_gcb, 'MaskWSVariables'));\ncatch\n glob" "al xl_report_errors;\n if(~isempty(xl_report_errors) && xl_report_errors)\n " " e = regexprep(lasterr, '\\n', '\\nError: ');\n disp(['Error: While runn" "ing MaskInit code on block ' tmp_gcb ': ' e]);\n error(e);\n end\nend\n" MaskDisplay "fprintf('','COMMENT: begin icon graphics');" "\npatch([0 60 60 0 ],[0 0 30 30 ],[0.77 0.82 0.91]);\npatch([22 17 24 17 22 3" "0 32 34 42 35 29 24 30 24 29 35 42 34 32 30 22 ],[3 8 15 22 27 27 25 27 27 20" " 26 21 15 9 4 10 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 0 60 60 0 ],[0 30 30" " 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: beg" "in icon text');\ncolor('black');port_label('output',1,'[a:b]');\nfprintf('','" "COMMENT: end icon text');\n" MaskSelfModifiable on MaskIconFrame off MaskIconOpaque on MaskIconRotate "none" MaskIconUnits "autoscale" MaskValueString "Extracts a given range of bits from each in" "put sample and presents it at the output. The output type is ordinarily unsi" "gned with binary point at zero, but can be Boolean when the slice is one bit " "wide.

Hardware notes: In hardware this block costs nothing.|6||off||Lowe" "r Bit Location + Width|0||MSB of Input|0||LSB of Input||off|0|20,20,442,407|s" "lice|8.2.02|60,30,1,1,white,blue,0,fe24a11e|fprintf('','COMMENT: begin icon g" "raphics');\npatch([0 60 60 0 ],[0 0 30 30 ],[0.77 0.82 0.91]);\npatch([22 17 " "24 17 22 30 32 34 42 35 29 24 30 24 29 35 42 34 32 30 22 ],[3 8 15 22 27 27 2" "5 27 27 20 26 21 15 9 4 10 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 0 60 60 0 " "],[0 30 30 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','CO" "MMENT: begin icon text');\ncolor('black');port_label('output',1,'[a:b]');\nfp" "rintf('','COMMENT: end icon text');\n||\n \n \n \n \n \n \n \n \n \n \n " "\n &" "lt;P>Hardware notes: In hardware this block costs nothing.\" />\n " "\n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n " "\n \n \n " "\n \n \n \n \n \n " "\n \n \n \n \n \n<" "/sysgenblock>\n" MaskTabNameString ",,,,,,,,,,,,,,,,,,,,," } Block { BlockType "S-Function" Name "Slice6" Ports [1, 1] Position [410, 540, 470, 570] CopyFcn "xlBlockMoveCallback(gcbh);" DeleteFcn "xlDestroyGui(gcbh);" LoadFcn "xlBlockLoadCallback(gcbh);" ModelCloseFcn "xlDestroyGui(gcbh);" PreSaveFcn "xlBlockPreSaveCallback(gcbh);" PostSaveFcn "xlBlockPostSaveCallback(gcbh);" DestroyFcn "xlDestroyGui(gcbh);" OpenFcn "xlOpenGui(gcbh, @sliceenablement, -1)" CloseFcn "xlDestroyGui(gcbh);" MoveFcn "xlBlockMoveCallback(gcbh);" FunctionName "sysgen" Parameters "ptable_" MaskType "Xilinx Bit Slice Extractor Block" MaskDescription "Extracts a given range of bits from each in" "put sample and presents it at the output. The output type is ordinarily unsi" "gned with binary point at zero, but can be Boolean when the slice is one bit " "wide.\n\nHardware notes: In hardware this block costs nothing." MaskHelp "eval('xlWeb(xlhtmldoclink(''Slice''))');" MaskPromptString " |Width of slice (number of bits)| |Boolean" " output| |Specify range as|Offset of top bit| |Relative to|Offset of bottom b" "it| |Relative to| |Override with doubles| | | | | | | | " MaskStyleString "edit,edit,edit,checkbox,edit,popup(Two Bit " "Locations|Upper Bit Location + Width|Lower Bit Location + Width),edit,edit,po" "pup(LSB of Input|Binary Point of Input|MSB of Input),edit,edit,popup(LSB of I" "nput|Binary Point of Input|MSB of Input),edit,checkbox,edit,edit,edit,edit,ed" "it,edit,edit,edit" MaskTunableValueString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,o" "n,on,on,on,on,on,on,on" MaskCallbackString "|||||||||||||||||||||" MaskEnableString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,o" "n,on,on,on,on,on,on,on" MaskVisibilityString "off,on,off,on,off,on,on,off,on,on,off,on,of" "f,on,off,off,off,off,off,off,off,off" MaskToolTipString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,o" "n,on,on,on,on,on,on,on" MaskVarAliasString ",,,,,,,,,,,,,,,,,,,,," MaskVariables "infoedit=&1;nbits=@2;boolean_output_sgadvan" "ced=&3;boolean_output=@4;mode_sgadvanced=&5;mode=@6;bit1=@7;base1_sgadvanced=" "&8;base1=@9;bit0=@10;base0_sgadvanced=&11;base0=@12;dbl_ovrd_sgadvanced=&13;d" "bl_ovrd=@14;has_advanced_control=@15;sggui_pos=&16;block_type=&17;block_versi" "on=&18;sg_icon_stat=&19;sg_mask_display=&20;sg_list_contents=&21;sg_blockgui_" "xml=&22;" MaskInitialization "try\n tmp_gcb = gcb;\n tmp_gcbh = gcbh;\n" " xlMungeMaskParams;\n block_config = 'Sysgen:Slice_config';\n serialized_d" "eclarations = '{''base0''=>''Int'',''base1''=>''Int'',''bit0''=>''Int'',''bit" "1''=>''Int'',''boolean_output''=>''Int'',''mode''=>''Int'',''nbits''=>''Int''" ",''sim_engine''=>''Int'',''sysgen_root''=>''String''}';\n xlslice_init();\n " " ptable_ = xlblockprep(get_param(tmp_gcb, 'MaskWSVariables'));\ncatch\n glob" "al xl_report_errors;\n if(~isempty(xl_report_errors) && xl_report_errors)\n " " e = regexprep(lasterr, '\\n', '\\nError: ');\n disp(['Error: While runn" "ing MaskInit code on block ' tmp_gcb ': ' e]);\n error(e);\n end\nend\n" MaskDisplay "fprintf('','COMMENT: begin icon graphics');" "\npatch([0 60 60 0 ],[0 0 30 30 ],[0.77 0.82 0.91]);\npatch([22 17 24 17 22 3" "0 32 34 42 35 29 24 30 24 29 35 42 34 32 30 22 ],[3 8 15 22 27 27 25 27 27 20" " 26 21 15 9 4 10 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 0 60 60 0 ],[0 30 30" " 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: beg" "in icon text');\ncolor('black');port_label('output',1,'[a:b]');\nfprintf('','" "COMMENT: end icon text');\n" MaskSelfModifiable on MaskIconFrame off MaskIconOpaque on MaskIconRotate "none" MaskIconUnits "autoscale" MaskValueString "Extracts a given range of bits from each in" "put sample and presents it at the output. The output type is ordinarily unsi" "gned with binary point at zero, but can be Boolean when the slice is one bit " "wide.

Hardware notes: In hardware this block costs nothing.|6||off||Lowe" "r Bit Location + Width|0||MSB of Input|0||LSB of Input||off|0|20,20,442,407|s" "lice|8.2.02|60,30,1,1,white,blue,0,fe24a11e|fprintf('','COMMENT: begin icon g" "raphics');\npatch([0 60 60 0 ],[0 0 30 30 ],[0.77 0.82 0.91]);\npatch([22 17 " "24 17 22 30 32 34 42 35 29 24 30 24 29 35 42 34 32 30 22 ],[3 8 15 22 27 27 2" "5 27 27 20 26 21 15 9 4 10 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 0 60 60 0 " "],[0 30 30 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','CO" "MMENT: begin icon text');\ncolor('black');port_label('output',1,'[a:b]');\nfp" "rintf('','COMMENT: end icon text');\n||\n \n \n \n \n \n \n \n \n \n \n " "\n &" "lt;P>Hardware notes: In hardware this block costs nothing.\" />\n " "\n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n " "\n \n \n " "\n \n \n \n \n \n " "\n \n \n \n \n \n<" "/sysgenblock>\n" MaskTabNameString ",,,,,,,,,,,,,,,,,,,,," } Block { BlockType "S-Function" Name "Slice7" Ports [1, 1] Position [410, 670, 470, 700] CopyFcn "xlBlockMoveCallback(gcbh);" DeleteFcn "xlDestroyGui(gcbh);" LoadFcn "xlBlockLoadCallback(gcbh);" ModelCloseFcn "xlDestroyGui(gcbh);" PreSaveFcn "xlBlockPreSaveCallback(gcbh);" PostSaveFcn "xlBlockPostSaveCallback(gcbh);" DestroyFcn "xlDestroyGui(gcbh);" OpenFcn "xlOpenGui(gcbh, @sliceenablement, -1)" CloseFcn "xlDestroyGui(gcbh);" MoveFcn "xlBlockMoveCallback(gcbh);" FunctionName "sysgen" Parameters "ptable_" MaskType "Xilinx Bit Slice Extractor Block" MaskDescription "Extracts a given range of bits from each in" "put sample and presents it at the output. The output type is ordinarily unsi" "gned with binary point at zero, but can be Boolean when the slice is one bit " "wide.\n\nHardware notes: In hardware this block costs nothing." MaskHelp "eval('xlWeb(xlhtmldoclink(''Slice''))');" MaskPromptString " |Width of slice (number of bits)| |Boolean" " output| |Specify range as|Offset of top bit| |Relative to|Offset of bottom b" "it| |Relative to| |Override with doubles| | | | | | | | " MaskStyleString "edit,edit,edit,checkbox,edit,popup(Two Bit " "Locations|Upper Bit Location + Width|Lower Bit Location + Width),edit,edit,po" "pup(LSB of Input|Binary Point of Input|MSB of Input),edit,edit,popup(LSB of I" "nput|Binary Point of Input|MSB of Input),edit,checkbox,edit,edit,edit,edit,ed" "it,edit,edit,edit" MaskTunableValueString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,o" "n,on,on,on,on,on,on,on" MaskCallbackString "|||||||||||||||||||||" MaskEnableString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,o" "n,on,on,on,on,on,on,on" MaskVisibilityString "off,on,off,on,off,on,on,off,on,on,off,on,of" "f,on,off,off,off,off,off,off,off,off" MaskToolTipString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,o" "n,on,on,on,on,on,on,on" MaskVarAliasString ",,,,,,,,,,,,,,,,,,,,," MaskVariables "infoedit=&1;nbits=@2;boolean_output_sgadvan" "ced=&3;boolean_output=@4;mode_sgadvanced=&5;mode=@6;bit1=@7;base1_sgadvanced=" "&8;base1=@9;bit0=@10;base0_sgadvanced=&11;base0=@12;dbl_ovrd_sgadvanced=&13;d" "bl_ovrd=@14;has_advanced_control=@15;sggui_pos=&16;block_type=&17;block_versi" "on=&18;sg_icon_stat=&19;sg_mask_display=&20;sg_list_contents=&21;sg_blockgui_" "xml=&22;" MaskInitialization "try\n tmp_gcb = gcb;\n tmp_gcbh = gcbh;\n" " xlMungeMaskParams;\n block_config = 'Sysgen:Slice_config';\n serialized_d" "eclarations = '{''base0''=>''Int'',''base1''=>''Int'',''bit0''=>''Int'',''bit" "1''=>''Int'',''boolean_output''=>''Int'',''mode''=>''Int'',''nbits''=>''Int''" ",''sim_engine''=>''Int'',''sysgen_root''=>''String''}';\n xlslice_init();\n " " ptable_ = xlblockprep(get_param(tmp_gcb, 'MaskWSVariables'));\ncatch\n glob" "al xl_report_errors;\n if(~isempty(xl_report_errors) && xl_report_errors)\n " " e = regexprep(lasterr, '\\n', '\\nError: ');\n disp(['Error: While runn" "ing MaskInit code on block ' tmp_gcb ': ' e]);\n error(e);\n end\nend\n" MaskDisplay "fprintf('','COMMENT: begin icon graphics');" "\npatch([0 60 60 0 ],[0 0 30 30 ],[0.77 0.82 0.91]);\npatch([22 17 24 17 22 3" "0 32 34 42 35 29 24 30 24 29 35 42 34 32 30 22 ],[3 8 15 22 27 27 25 27 27 20" " 26 21 15 9 4 10 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 0 60 60 0 ],[0 30 30" " 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: beg" "in icon text');\ncolor('black');port_label('output',1,'[a:b]');\nfprintf('','" "COMMENT: end icon text');\n" MaskSelfModifiable on MaskIconFrame off MaskIconOpaque on MaskIconRotate "none" MaskIconUnits "autoscale" MaskValueString "Extracts a given range of bits from each in" "put sample and presents it at the output. The output type is ordinarily unsi" "gned with binary point at zero, but can be Boolean when the slice is one bit " "wide.

Hardware notes: In hardware this block costs nothing.|4||off||Lowe" "r Bit Location + Width|0||MSB of Input|6||LSB of Input||off|0|20,20,442,407|s" "lice|8.2.02|60,30,1,1,white,blue,0,fe24a11e|fprintf('','COMMENT: begin icon g" "raphics');\npatch([0 60 60 0 ],[0 0 30 30 ],[0.77 0.82 0.91]);\npatch([22 17 " "24 17 22 30 32 34 42 35 29 24 30 24 29 35 42 34 32 30 22 ],[3 8 15 22 27 27 2" "5 27 27 20 26 21 15 9 4 10 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 0 60 60 0 " "],[0 30 30 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','CO" "MMENT: begin icon text');\ncolor('black');port_label('output',1,'[a:b]');\nfp" "rintf('','COMMENT: end icon text');\n||\n \n \n \n \n \n \n \n \n \n \n " "\n &" "lt;P>Hardware notes: In hardware this block costs nothing.\" />\n " "\n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n " "\n \n \n " "\n \n \n \n \n \n " "\n \n \n \n \n \n<" "/sysgenblock>\n" MaskTabNameString ",,,,,,,,,,,,,,,,,,,,," } Block { BlockType "S-Function" Name "Slice8" Ports [1, 1] Position [2235, 580, 2295, 610] CopyFcn "xlBlockMoveCallback(gcbh);" DeleteFcn "xlDestroyGui(gcbh);" LoadFcn "xlBlockLoadCallback(gcbh);" ModelCloseFcn "xlDestroyGui(gcbh);" PreSaveFcn "xlBlockPreSaveCallback(gcbh);" PostSaveFcn "xlBlockPostSaveCallback(gcbh);" DestroyFcn "xlDestroyGui(gcbh);" OpenFcn "xlOpenGui(gcbh, @sliceenablement, -1)" CloseFcn "xlDestroyGui(gcbh);" MoveFcn "xlBlockMoveCallback(gcbh);" FunctionName "sysgen" Parameters "ptable_" MaskType "Xilinx Bit Slice Extractor Block" MaskDescription "Extracts a given range of bits from each in" "put sample and presents it at the output. The output type is ordinarily unsi" "gned with binary point at zero, but can be Boolean when the slice is one bit " "wide.\n\nHardware notes: In hardware this block costs nothing." MaskHelp "eval('xlWeb(xlhtmldoclink(''Slice''))');" MaskPromptString " |Width of slice (number of bits)| |Boolean" " output| |Specify range as|Offset of top bit| |Relative to|Offset of bottom b" "it| |Relative to| |Override with doubles| | | | | | | | " MaskStyleString "edit,edit,edit,checkbox,edit,popup(Two Bit " "Locations|Upper Bit Location + Width|Lower Bit Location + Width),edit,edit,po" "pup(LSB of Input|Binary Point of Input|MSB of Input),edit,edit,popup(LSB of I" "nput|Binary Point of Input|MSB of Input),edit,checkbox,edit,edit,edit,edit,ed" "it,edit,edit,edit" MaskTunableValueString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,o" "n,on,on,on,on,on,on,on" MaskCallbackString "|||||||||||||||||||||" MaskEnableString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,o" "n,on,on,on,on,on,on,on" MaskVisibilityString "off,on,off,on,off,on,on,off,on,on,off,on,of" "f,on,off,off,off,off,off,off,off,off" MaskToolTipString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,o" "n,on,on,on,on,on,on,on" MaskVarAliasString ",,,,,,,,,,,,,,,,,,,,," MaskVariables "infoedit=&1;nbits=@2;boolean_output_sgadvan" "ced=&3;boolean_output=@4;mode_sgadvanced=&5;mode=@6;bit1=@7;base1_sgadvanced=" "&8;base1=@9;bit0=@10;base0_sgadvanced=&11;base0=@12;dbl_ovrd_sgadvanced=&13;d" "bl_ovrd=@14;has_advanced_control=@15;sggui_pos=&16;block_type=&17;block_versi" "on=&18;sg_icon_stat=&19;sg_mask_display=&20;sg_list_contents=&21;sg_blockgui_" "xml=&22;" MaskInitialization "try\n tmp_gcb = gcb;\n tmp_gcbh = gcbh;\n" " xlMungeMaskParams;\n block_config = 'Sysgen:Slice_config';\n serialized_d" "eclarations = '{''base0''=>''Int'',''base1''=>''Int'',''bit0''=>''Int'',''bit" "1''=>''Int'',''boolean_output''=>''Int'',''mode''=>''Int'',''nbits''=>''Int''" ",''sim_engine''=>''Int'',''sysgen_root''=>''String''}';\n xlslice_init();\n " " ptable_ = xlblockprep(get_param(tmp_gcb, 'MaskWSVariables'));\ncatch\n glob" "al xl_report_errors;\n if(~isempty(xl_report_errors) && xl_report_errors)\n " " e = regexprep(lasterr, '\\n', '\\nError: ');\n disp(['Error: While runn" "ing MaskInit code on block ' tmp_gcb ': ' e]);\n error(e);\n end\nend\n" MaskDisplay "fprintf('','COMMENT: begin icon graphics');" "\npatch([0 60 60 0 ],[0 0 30 30 ],[0.77 0.82 0.91]);\npatch([22 17 24 17 22 3" "0 32 34 42 35 29 24 30 24 29 35 42 34 32 30 22 ],[3 8 15 22 27 27 25 27 27 20" " 26 21 15 9 4 10 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 0 60 60 0 ],[0 30 30" " 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: beg" "in icon text');\ncolor('black');port_label('output',1,'[a:b]');\nfprintf('','" "COMMENT: end icon text');\n" MaskSelfModifiable on MaskIconFrame off MaskIconOpaque on MaskIconRotate "none" MaskIconUnits "autoscale" MaskValueString "Extracts a given range of bits from each in" "put sample and presents it at the output. The output type is ordinarily unsi" "gned with binary point at zero, but can be Boolean when the slice is one bit " "wide.

Hardware notes: In hardware this block costs nothing.|8||off||Lowe" "r Bit Location + Width|0||MSB of Input|0||LSB of Input||off|0|20,20,442,407|s" "lice|8.2.02|60,30,1,1,white,blue,0,fe24a11e|fprintf('','COMMENT: begin icon g" "raphics');\npatch([0 60 60 0 ],[0 0 30 30 ],[0.77 0.82 0.91]);\npatch([22 17 " "24 17 22 30 32 34 42 35 29 24 30 24 29 35 42 34 32 30 22 ],[3 8 15 22 27 27 2" "5 27 27 20 26 21 15 9 4 10 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 0 60 60 0 " "],[0 30 30 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','CO" "MMENT: begin icon text');\ncolor('black');port_label('output',1,'[a:b]');\nfp" "rintf('','COMMENT: end icon text');\n||\n \n \n \n \n \n \n \n \n \n \n " "\n &" "lt;P>Hardware notes: In hardware this block costs nothing.\" />\n " "\n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n " "\n \n \n " "\n \n \n \n \n \n " "\n \n \n \n \n \n<" "/sysgenblock>\n" MaskTabNameString ",,,,,,,,,,,,,,,,,,,,," } Block { BlockType "S-Function" Name "Slice9" Ports [1, 1] Position [2235, 530, 2295, 560] CopyFcn "xlBlockMoveCallback(gcbh);" DeleteFcn "xlDestroyGui(gcbh);" LoadFcn "xlBlockLoadCallback(gcbh);" ModelCloseFcn "xlDestroyGui(gcbh);" PreSaveFcn "xlBlockPreSaveCallback(gcbh);" PostSaveFcn "xlBlockPostSaveCallback(gcbh);" DestroyFcn "xlDestroyGui(gcbh);" OpenFcn "xlOpenGui(gcbh, @sliceenablement, -1)" CloseFcn "xlDestroyGui(gcbh);" MoveFcn "xlBlockMoveCallback(gcbh);" FunctionName "sysgen" Parameters "ptable_" MaskType "Xilinx Bit Slice Extractor Block" MaskDescription "Extracts a given range of bits from each in" "put sample and presents it at the output. The output type is ordinarily unsi" "gned with binary point at zero, but can be Boolean when the slice is one bit " "wide.\n\nHardware notes: In hardware this block costs nothing." MaskHelp "eval('xlWeb(xlhtmldoclink(''Slice''))');" MaskPromptString " |Width of slice (number of bits)| |Boolean" " output| |Specify range as|Offset of top bit| |Relative to|Offset of bottom b" "it| |Relative to| |Override with doubles| | | | | | | | " MaskStyleString "edit,edit,edit,checkbox,edit,popup(Two Bit " "Locations|Upper Bit Location + Width|Lower Bit Location + Width),edit,edit,po" "pup(LSB of Input|Binary Point of Input|MSB of Input),edit,edit,popup(LSB of I" "nput|Binary Point of Input|MSB of Input),edit,checkbox,edit,edit,edit,edit,ed" "it,edit,edit,edit" MaskTunableValueString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,o" "n,on,on,on,on,on,on,on" MaskCallbackString "|||||||||||||||||||||" MaskEnableString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,o" "n,on,on,on,on,on,on,on" MaskVisibilityString "off,on,off,on,off,on,on,off,on,on,off,on,of" "f,on,off,off,off,off,off,off,off,off" MaskToolTipString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,o" "n,on,on,on,on,on,on,on" MaskVarAliasString ",,,,,,,,,,,,,,,,,,,,," MaskVariables "infoedit=&1;nbits=@2;boolean_output_sgadvan" "ced=&3;boolean_output=@4;mode_sgadvanced=&5;mode=@6;bit1=@7;base1_sgadvanced=" "&8;base1=@9;bit0=@10;base0_sgadvanced=&11;base0=@12;dbl_ovrd_sgadvanced=&13;d" "bl_ovrd=@14;has_advanced_control=@15;sggui_pos=&16;block_type=&17;block_versi" "on=&18;sg_icon_stat=&19;sg_mask_display=&20;sg_list_contents=&21;sg_blockgui_" "xml=&22;" MaskInitialization "try\n tmp_gcb = gcb;\n tmp_gcbh = gcbh;\n" " xlMungeMaskParams;\n block_config = 'Sysgen:Slice_config';\n serialized_d" "eclarations = '{''base0''=>''Int'',''base1''=>''Int'',''bit0''=>''Int'',''bit" "1''=>''Int'',''boolean_output''=>''Int'',''mode''=>''Int'',''nbits''=>''Int''" ",''sim_engine''=>''Int'',''sysgen_root''=>''String''}';\n xlslice_init();\n " " ptable_ = xlblockprep(get_param(tmp_gcb, 'MaskWSVariables'));\ncatch\n glob" "al xl_report_errors;\n if(~isempty(xl_report_errors) && xl_report_errors)\n " " e = regexprep(lasterr, '\\n', '\\nError: ');\n disp(['Error: While runn" "ing MaskInit code on block ' tmp_gcb ': ' e]);\n error(e);\n end\nend\n" MaskDisplay "fprintf('','COMMENT: begin icon graphics');" "\npatch([0 60 60 0 ],[0 0 30 30 ],[0.77 0.82 0.91]);\npatch([22 17 24 17 22 3" "0 32 34 42 35 29 24 30 24 29 35 42 34 32 30 22 ],[3 8 15 22 27 27 25 27 27 20" " 26 21 15 9 4 10 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 0 60 60 0 ],[0 30 30" " 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: beg" "in icon text');\ncolor('black');port_label('output',1,'[a:b]');\nfprintf('','" "COMMENT: end icon text');\n" MaskSelfModifiable on MaskIconFrame off MaskIconOpaque on MaskIconRotate "none" MaskIconUnits "autoscale" MaskValueString "Extracts a given range of bits from each in" "put sample and presents it at the output. The output type is ordinarily unsi" "gned with binary point at zero, but can be Boolean when the slice is one bit " "wide.

Hardware notes: In hardware this block costs nothing.|1||off||Lowe" "r Bit Location + Width|0||MSB of Input|8||LSB of Input||off|0|20,20,442,407|s" "lice|8.2.02|60,30,1,1,white,blue,0,fe24a11e|fprintf('','COMMENT: begin icon g" "raphics');\npatch([0 60 60 0 ],[0 0 30 30 ],[0.77 0.82 0.91]);\npatch([22 17 " "24 17 22 30 32 34 42 35 29 24 30 24 29 35 42 34 32 30 22 ],[3 8 15 22 27 27 2" "5 27 27 20 26 21 15 9 4 10 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 0 60 60 0 " "],[0 30 30 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','CO" "MMENT: begin icon text');\ncolor('black');port_label('output',1,'[a:b]');\nfp" "rintf('','COMMENT: end icon text');\n||\n \n \n \n \n \n \n \n \n \n \n " "\n &" "lt;P>Hardware notes: In hardware this block costs nothing.\" />\n " "\n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n " "\n \n \n " "\n \n \n \n \n \n " "\n \n \n \n \n \n<" "/sysgenblock>\n" MaskTabNameString ",,,,,,,,,,,,,,,,,,,,," } Block { BlockType SubSystem Name "Subsystem" Ports [3, 1] Position [1040, 688, 1090, 762] TreatAsAtomicUnit off MinAlgLoopOccurrences off RTWSystemCode "Auto" MaskHideContents off System { Name "Subsystem" Location [1049, 695, 1274, 807] Open off ModelBrowserVisibility off ModelBrowserWidth 200 ScreenColor "white" PaperOrientation "landscape" PaperPositionMode "auto" PaperType "usletter" PaperUnits "inches" ZoomFactor "100" Block { BlockType Inport Name "6bitval" Position [35, 148, 65, 162] IconDisplay "Port number" } Block { BlockType Inport Name "TransferDone" Position [35, 178, 65, 192] Port "2" IconDisplay "Port number" } Block { BlockType Inport Name "Not0" Position [35, 233, 65, 247] Port "3" IconDisplay "Port number" } Block { BlockType "S-Function" Name "Delay" Ports [1, 1] Position [115, 166, 160, 204] CopyFcn "xlBlockMoveCallback(gcbh);" DeleteFcn "xlDestroyGui(gcbh);" LoadFcn "xlBlockLoadCallback(gcbh);" ModelCloseFcn "xlDestroyGui(gcbh);" PreSaveFcn "xlBlockPreSaveCallback(gcbh);" PostSaveFcn "xlBlockPostSaveCallback(gcbh);" DestroyFcn "xlDestroyGui(gcbh);" OpenFcn "xlOpenGui(gcbh, @xlmagicenablement, -1)" CloseFcn "xlDestroyGui(gcbh);" MoveFcn "xlBlockMoveCallback(gcbh);" FunctionName "sysgen" Parameters "ptable_" MaskType "Xilinx Delay Block" MaskDescription "Hardware notes: A delay line is a chain" ", each link of which is an SRL16 followed by a flip-flop. If register retimin" "g is enabled, the delay line is a chain of flip-flops." MaskHelp "eval('xlWeb(xlhtmldoclink(''Delay''))')" ";" MaskPromptString " | |Provide enable port|Latency| |Overr" "ide with doubles| |Enable register retiming| |Define FPGA area for resource e" "stimation|FPGA area [slices, FFs, BRAMs, LUTs, IOBs, emb. mults, TBUFs]| | | " "| | | | | " MaskStyleString "edit,edit,checkbox,edit,edit,checkbox,e" "dit,checkbox,edit,checkbox,edit,edit,edit,edit,edit,edit,edit,edit,edit" MaskTunableValueString "on,on,on,on,on,on,on,on,on,on,on,on,on," "on,on,on,on,on,on" MaskCallbackString "||||||||||||||||||" MaskEnableString "on,on,on,on,on,on,on,on,on,on,on,on,on," "on,on,on,on,on,on" MaskVisibilityString "off,off,on,on,off,on,off,on,off,on,on,o" "ff,off,off,off,off,off,off,off" MaskToolTipString "on,on,on,on,on,on,on,on,on,on,on,on,on," "on,on,on,on,on,on" MaskVarAliasString ",,,,,,,,,,,,,,,,,," MaskVariables "infoedit=&1;en_sgadvanced=&2;en=@3;late" "ncy=@4;dbl_ovrd_sgadvanced=&5;dbl_ovrd=@6;reg_retiming_sgadvanced=&7;reg_reti" "ming=@8;xl_use_area_sgadvanced=&9;xl_use_area=@10;xl_area=@11;has_advanced_co" "ntrol=@12;sggui_pos=&13;block_type=&14;block_version=&15;sg_icon_stat=&16;sg_" "mask_display=&17;sg_list_contents=&18;sg_blockgui_xml=&19;" MaskInitialization "try\n tmp_gcb = gcb;\n tmp_gcbh = gcb" "h;\n xlMungeMaskParams;\n block_config = 'Sysgen:Delay_config';\n serializ" "ed_declarations = '{''dbl_ovrd''=>''Int'',''en''=>''Int'',''latency''=>''Int'" "',''reg_retiming''=>''Int''}';\n ptable_ = xlblockprep(get_param(tmp_gcb, 'M" "askWSVariables'));\ncatch\n global xl_report_errors;\n if(~isempty(xl_repor" "t_errors) && xl_report_errors)\n e = regexprep(lasterr, '\\n', '\\nError: " "');\n disp(['Error: While running MaskInit code on block ' tmp_gcb ': ' e]" ");\n error(e);\n end\nend\n" MaskDisplay "fprintf('','COMMENT: begin icon graphic" "s');\npatch([0 45 45 0 ],[0 0 38 38 ],[0.77 0.82 0.91]);\npatch([12 6 15 6 12" " 22 25 28 39 31 23 17 26 17 23 31 39 28 25 22 12 ],[4 10 19 28 34 34 31 34 34" " 26 34 28 19 10 4 12 4 4 7 4 4 ],[0.98 0.96 0.92]);\nplot([0 0 45 45 0 ],[0 3" "8 38 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT:" " begin icon text');\ncolor('black');disp('z^{-1}','texmode','on');\nfprintf('" "','COMMENT: end icon text');\n" MaskSelfModifiable on MaskIconFrame off MaskIconOpaque on MaskIconRotate "none" MaskIconUnits "autoscale" MaskValueString "Hardware notes: A delay line is a chain" ", each link of which is an SRL16 followed by a flip-flop. If register retimin" "g is enabled, the delay line is a chain of flip-flops.||off|1||off||off||off|" "[0,0,0,0,0,0,0]|0|-1,-1,-1,-1|delay|8.2.02|45,38,1,1,white,blue,0,fc531c0e|fp" "rintf('','COMMENT: begin icon graphics');\npatch([0 45 45 0 ],[0 0 38 38 ],[0" ".77 0.82 0.91]);\npatch([12 6 15 6 12 22 25 28 39 31 23 17 26 17 23 31 39 28 " "25 22 12 ],[4 10 19 28 34 34 31 34 34 26 34 28 19 10 4 12 4 4 7 4 4 ],[0.98 0" ".96 0.92]);\nplot([0 0 45 45 0 ],[0 38 38 0 0 ]);\nfprintf('','COMMENT: end i" "con graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');disp" "('z^{-1}','texmode','on');\nfprintf('','COMMENT: end icon text');\n||\n \n \n \n \n \n \n \n \n \n \n \n <" "tab name=\"basictab\" label=\"Basic\" >\n \n \n \n \n \n \n \n \n " "\n \n \n \n " "\n \n \n \n \n \n \n\n" MaskTabNameString ",,,,,,,,,,,,,,,,,," } Block { BlockType "S-Function" Name "Logical1" Ports [2, 1] Position [220, 225, 275, 285] CopyFcn "xlBlockMoveCallback(gcbh);" DeleteFcn "xlDestroyGui(gcbh);" LoadFcn "xlBlockLoadCallback(gcbh);" ModelCloseFcn "xlDestroyGui(gcbh);" PreSaveFcn "xlBlockPreSaveCallback(gcbh);" PostSaveFcn "xlBlockPostSaveCallback(gcbh);" DestroyFcn "xlDestroyGui(gcbh);" OpenFcn "xlOpenGui(gcbh, @logicalenablement, -1)" CloseFcn "xlDestroyGui(gcbh);" MoveFcn "xlBlockMoveCallback(gcbh);" FunctionName "sysgen" Parameters "ptable_" MaskType "Xilinx Logical Block Block" MaskDescription "Xilinx Logical Block" MaskHelp "eval('xlWeb(xlhtmldoclink(''Logical''))" "');" MaskPromptString " |Logical function |Number of inputs |" " |Provide enable port|Latency| |Precision| |Output Type|Number of bits|Binary" " point| |Align binary point| |Override with doubles| |Define FPGA area for re" "source estimation|FPGA area [slices, FFs, BRAMs, LUTs, IOBs, emb. mults, TBUF" "s]| | | | | | | | | | " MaskStyleString "edit,popup(AND|NAND|OR|NOR|XOR|XNOR),ed" "it,edit,checkbox,edit,edit,popup(Full|User Defined),edit,popup(Signed (2's c" "omp)|Unsigned),edit,edit,edit,checkbox,edit,checkbox,edit,checkbox,edit,edit," "edit,edit,edit,edit,edit,edit,edit,edit,edit" MaskTunableValueString "on,on,on,on,on,on,on,on,on,on,on,on,on," "on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on" MaskCallbackString "||||||||||||||||||||||||||||" MaskEnableString "on,on,on,on,on,on,on,on,on,on,on,on,on," "on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on" MaskVisibilityString "off,on,on,off,on,on,off,on,off,on,on,on" ",off,on,off,on,off,on,on,off,off,off,off,off,off,off,off,off,off" MaskToolTipString "on,on,on,on,on,on,on,on,on,on,on,on,on," "on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on" MaskVarAliasString ",,,,,,,,,,,,,,,,,,,,,,,,,,,," MaskVariables "logical_function_sgadvanced=&1;logical_" "function=@2;inputs=@3;en_sgadvanced=&4;en=@5;latency=@6;precision_sgadvanced=" "&7;precision=@8;arith_type_sgadvanced=&9;arith_type=@10;n_bits=@11;bin_pt=@12" ";align_bp_sgadvanced=&13;align_bp=@14;dbl_ovrd_sgadvanced=&15;dbl_ovrd=@16;xl" "_use_area_sgadvanced=&17;xl_use_area=@18;xl_area=@19;overflow=@20;quantizatio" "n=@21;has_advanced_control=@22;sggui_pos=&23;block_type=&24;block_version=&25" ";sg_icon_stat=&26;sg_mask_display=&27;sg_list_contents=&28;sg_blockgui_xml=&2" "9;" MaskInitialization "try\n tmp_gcb = gcb;\n tmp_gcbh = gcb" "h;\n xlMungeMaskParams;\n block_config = 'Sysgen:Logical_config';\n serial" "ized_declarations = '{''arith_type''=>''Int'',''bin_pt''=>''Int'',''en''=>''I" "nt'',''inputs''=>''Int'',''latency''=>''Int'',''logical_function''=>''Int'','" "'n_bits''=>''Int'',''precision''=>''Int'',''sim_engine''=>''Int'',''sysgen_ro" "ot''=>''String''}';\n xllogical_init();\n ptable_ = xlblockprep(get_param(t" "mp_gcb, 'MaskWSVariables'));\ncatch\n global xl_report_errors;\n if(~isempt" "y(xl_report_errors) && xl_report_errors)\n e = regexprep(lasterr, '\\n', '" "\\nError: ');\n disp(['Error: While running MaskInit code on block ' tmp_g" "cb ': ' e]);\n error(e);\n end\nend\n" MaskDisplay "fprintf('','COMMENT: begin icon graphic" "s');\npatch([0 55 55 0 ],[0 0 60 60 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13" " 28 32 36 52 40 28 19 32 19 28 40 52 36 32 28 13 ],[8 17 30 43 52 52 48 52 52" " 40 52 43 30 17 8 20 8 8 12 8 8 ],[0.98 0.96 0.92]);\nplot([0 0 55 55 0 ],[0 " "60 60 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT" ": begin icon text');\ncolor('black');disp('\\newlineand\\newlinez^{-0}','texm" "ode','on');\nfprintf('','COMMENT: end icon text');\n" MaskSelfModifiable on MaskIconFrame off MaskIconOpaque on MaskIconRotate "none" MaskIconUnits "autoscale" MaskValueString "|AND|2||off|0||Full||Unsigned|16|0||on|" "|off||off|[0,0,0,0,0,0,0]|||0|-1,-1,-1,-1|logical|8.2.02|55,60,2,1,white,blue" ",0,087b5522|fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 ],[" "0 0 60 60 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13 28 32 36 52 40 28 19 32 1" "9 28 40 52 36 32 28 13 ],[8 17 30 43 52 52 48 52 52 40 52 43 30 17 8 20 8 8 1" "2 8 8 ],[0.98 0.96 0.92]);\nplot([0 0 55 55 0 ],[0 60 60 0 0 ]);\nfprintf(''," "'COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolo" "r('black');disp('\\newlineand\\newlinez^{-0}','texmode','on');\nfprintf('','C" "OMMENT: end icon text');\n||\n \n \n \n \n \n \n \n \n \n \n \n \n \n \n " " \n \n \n \n \n \n \n \n \n \n" " \n \n \n \n \n \n " " \n " "\n \n " " \n \n \n \n \n \n \n \n \n " " \n \n \n \n \n \n \n \n \n \n \n " "\n \n \n \n \n \n\n" MaskTabNameString ",,,,,,,,,,,,,,,,,,,,,,,,,,,," } Block { BlockType "S-Function" Name "Logical2" Ports [2, 1] Position [220, 140, 275, 200] CopyFcn "xlBlockMoveCallback(gcbh);" DeleteFcn "xlDestroyGui(gcbh);" LoadFcn "xlBlockLoadCallback(gcbh);" ModelCloseFcn "xlDestroyGui(gcbh);" PreSaveFcn "xlBlockPreSaveCallback(gcbh);" PostSaveFcn "xlBlockPostSaveCallback(gcbh);" DestroyFcn "xlDestroyGui(gcbh);" OpenFcn "xlOpenGui(gcbh, @logicalenablement, -1)" CloseFcn "xlDestroyGui(gcbh);" MoveFcn "xlBlockMoveCallback(gcbh);" FunctionName "sysgen" Parameters "ptable_" MaskType "Xilinx Logical Block Block" MaskDescription "Xilinx Logical Block" MaskHelp "eval('xlWeb(xlhtmldoclink(''Logical''))" "');" MaskPromptString " |Logical function |Number of inputs |" " |Provide enable port|Latency| |Precision| |Output Type|Number of bits|Binary" " point| |Align binary point| |Override with doubles| |Define FPGA area for re" "source estimation|FPGA area [slices, FFs, BRAMs, LUTs, IOBs, emb. mults, TBUF" "s]| | | | | | | | | | " MaskStyleString "edit,popup(AND|NAND|OR|NOR|XOR|XNOR),ed" "it,edit,checkbox,edit,edit,popup(Full|User Defined),edit,popup(Signed (2's c" "omp)|Unsigned),edit,edit,edit,checkbox,edit,checkbox,edit,checkbox,edit,edit," "edit,edit,edit,edit,edit,edit,edit,edit,edit" MaskTunableValueString "on,on,on,on,on,on,on,on,on,on,on,on,on," "on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on" MaskCallbackString "||||||||||||||||||||||||||||" MaskEnableString "on,on,on,on,on,on,on,on,on,on,on,on,on," "on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on" MaskVisibilityString "off,on,on,off,on,on,off,on,off,on,on,on" ",off,on,off,on,off,on,on,off,off,off,off,off,off,off,off,off,off" MaskToolTipString "on,on,on,on,on,on,on,on,on,on,on,on,on," "on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on" MaskVarAliasString ",,,,,,,,,,,,,,,,,,,,,,,,,,,," MaskVariables "logical_function_sgadvanced=&1;logical_" "function=@2;inputs=@3;en_sgadvanced=&4;en=@5;latency=@6;precision_sgadvanced=" "&7;precision=@8;arith_type_sgadvanced=&9;arith_type=@10;n_bits=@11;bin_pt=@12" ";align_bp_sgadvanced=&13;align_bp=@14;dbl_ovrd_sgadvanced=&15;dbl_ovrd=@16;xl" "_use_area_sgadvanced=&17;xl_use_area=@18;xl_area=@19;overflow=@20;quantizatio" "n=@21;has_advanced_control=@22;sggui_pos=&23;block_type=&24;block_version=&25" ";sg_icon_stat=&26;sg_mask_display=&27;sg_list_contents=&28;sg_blockgui_xml=&2" "9;" MaskInitialization "try\n tmp_gcb = gcb;\n tmp_gcbh = gcb" "h;\n xlMungeMaskParams;\n block_config = 'Sysgen:Logical_config';\n serial" "ized_declarations = '{''arith_type''=>''Int'',''bin_pt''=>''Int'',''en''=>''I" "nt'',''inputs''=>''Int'',''latency''=>''Int'',''logical_function''=>''Int'','" "'n_bits''=>''Int'',''precision''=>''Int'',''sim_engine''=>''Int'',''sysgen_ro" "ot''=>''String''}';\n xllogical_init();\n ptable_ = xlblockprep(get_param(t" "mp_gcb, 'MaskWSVariables'));\ncatch\n global xl_report_errors;\n if(~isempt" "y(xl_report_errors) && xl_report_errors)\n e = regexprep(lasterr, '\\n', '" "\\nError: ');\n disp(['Error: While running MaskInit code on block ' tmp_g" "cb ': ' e]);\n error(e);\n end\nend\n" MaskDisplay "fprintf('','COMMENT: begin icon graphic" "s');\npatch([0 55 55 0 ],[0 0 60 60 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13" " 28 32 36 52 40 28 19 32 19 28 40 52 36 32 28 13 ],[8 17 30 43 52 52 48 52 52" " 40 52 43 30 17 8 20 8 8 12 8 8 ],[0.98 0.96 0.92]);\nplot([0 0 55 55 0 ],[0 " "60 60 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT" ": begin icon text');\ncolor('black');disp('\\newlineand\\newlinez^{-0}','texm" "ode','on');\nfprintf('','COMMENT: end icon text');\n" MaskSelfModifiable on MaskIconFrame off MaskIconOpaque on MaskIconRotate "none" MaskIconUnits "autoscale" MaskValueString "|AND|2||off|0||Full||Unsigned|16|0||on|" "|off||off|[0,0,0,0,0,0,0]|||0|-1,-1,-1,-1|logical|8.2.02|55,60,2,1,white,blue" ",0,087b5522|fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 ],[" "0 0 60 60 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13 28 32 36 52 40 28 19 32 1" "9 28 40 52 36 32 28 13 ],[8 17 30 43 52 52 48 52 52 40 52 43 30 17 8 20 8 8 1" "2 8 8 ],[0.98 0.96 0.92]);\nplot([0 0 55 55 0 ],[0 60 60 0 0 ]);\nfprintf(''," "'COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolo" "r('black');disp('\\newlineand\\newlinez^{-0}','texmode','on');\nfprintf('','C" "OMMENT: end icon text');\n||\n \n \n \n \n \n \n \n \n \n \n \n \n \n \n " " \n \n \n \n \n \n \n \n \n \n" " \n \n \n \n \n \n " " \n " "\n \n " " \n \n \n \n \n \n \n \n \n " " \n \n \n \n \n \n \n \n \n \n \n " "\n \n \n \n \n \n\n" MaskTabNameString ",,,,,,,,,,,,,,,,,,,,,,,,,,,," } Block { BlockType "S-Function" Name "Logical3" Ports [2, 1] Position [320, 180, 375, 240] CopyFcn "xlBlockMoveCallback(gcbh);" DeleteFcn "xlDestroyGui(gcbh);" LoadFcn "xlBlockLoadCallback(gcbh);" ModelCloseFcn "xlDestroyGui(gcbh);" PreSaveFcn "xlBlockPreSaveCallback(gcbh);" PostSaveFcn "xlBlockPostSaveCallback(gcbh);" DestroyFcn "xlDestroyGui(gcbh);" OpenFcn "xlOpenGui(gcbh, @logicalenablement, -1)" CloseFcn "xlDestroyGui(gcbh);" MoveFcn "xlBlockMoveCallback(gcbh);" FunctionName "sysgen" Parameters "ptable_" MaskType "Xilinx Logical Block Block" MaskDescription "Xilinx Logical Block" MaskHelp "eval('xlWeb(xlhtmldoclink(''Logical''))" "');" MaskPromptString " |Logical function |Number of inputs |" " |Provide enable port|Latency| |Precision| |Output Type|Number of bits|Binary" " point| |Align binary point| |Override with doubles| |Define FPGA area for re" "source estimation|FPGA area [slices, FFs, BRAMs, LUTs, IOBs, emb. mults, TBUF" "s]| | | | | | | | | | " MaskStyleString "edit,popup(AND|NAND|OR|NOR|XOR|XNOR),ed" "it,edit,checkbox,edit,edit,popup(Full|User Defined),edit,popup(Signed (2's c" "omp)|Unsigned),edit,edit,edit,checkbox,edit,checkbox,edit,checkbox,edit,edit," "edit,edit,edit,edit,edit,edit,edit,edit,edit" MaskTunableValueString "on,on,on,on,on,on,on,on,on,on,on,on,on," "on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on" MaskCallbackString "||||||||||||||||||||||||||||" MaskEnableString "on,on,on,on,on,on,on,on,on,on,on,on,on," "on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on" MaskVisibilityString "off,on,on,off,on,on,off,on,off,on,on,on" ",off,on,off,on,off,on,on,off,off,off,off,off,off,off,off,off,off" MaskToolTipString "on,on,on,on,on,on,on,on,on,on,on,on,on," "on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on" MaskVarAliasString ",,,,,,,,,,,,,,,,,,,,,,,,,,,," MaskVariables "logical_function_sgadvanced=&1;logical_" "function=@2;inputs=@3;en_sgadvanced=&4;en=@5;latency=@6;precision_sgadvanced=" "&7;precision=@8;arith_type_sgadvanced=&9;arith_type=@10;n_bits=@11;bin_pt=@12" ";align_bp_sgadvanced=&13;align_bp=@14;dbl_ovrd_sgadvanced=&15;dbl_ovrd=@16;xl" "_use_area_sgadvanced=&17;xl_use_area=@18;xl_area=@19;overflow=@20;quantizatio" "n=@21;has_advanced_control=@22;sggui_pos=&23;block_type=&24;block_version=&25" ";sg_icon_stat=&26;sg_mask_display=&27;sg_list_contents=&28;sg_blockgui_xml=&2" "9;" MaskInitialization "try\n tmp_gcb = gcb;\n tmp_gcbh = gcb" "h;\n xlMungeMaskParams;\n block_config = 'Sysgen:Logical_config';\n serial" "ized_declarations = '{''arith_type''=>''Int'',''bin_pt''=>''Int'',''en''=>''I" "nt'',''inputs''=>''Int'',''latency''=>''Int'',''logical_function''=>''Int'','" "'n_bits''=>''Int'',''precision''=>''Int'',''sim_engine''=>''Int'',''sysgen_ro" "ot''=>''String''}';\n xllogical_init();\n ptable_ = xlblockprep(get_param(t" "mp_gcb, 'MaskWSVariables'));\ncatch\n global xl_report_errors;\n if(~isempt" "y(xl_report_errors) && xl_report_errors)\n e = regexprep(lasterr, '\\n', '" "\\nError: ');\n disp(['Error: While running MaskInit code on block ' tmp_g" "cb ': ' e]);\n error(e);\n end\nend\n" MaskDisplay "fprintf('','COMMENT: begin icon graphic" "s');\npatch([0 55 55 0 ],[0 0 60 60 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13" " 28 32 36 52 40 28 19 32 19 28 40 52 36 32 28 13 ],[8 17 30 43 52 52 48 52 52" " 40 52 43 30 17 8 20 8 8 12 8 8 ],[0.98 0.96 0.92]);\nplot([0 0 55 55 0 ],[0 " "60 60 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT" ": begin icon text');\ncolor('black');disp('\\newlineor\\newlinez^{-0}','texmo" "de','on');\nfprintf('','COMMENT: end icon text');\n" MaskSelfModifiable on MaskIconFrame off MaskIconOpaque on MaskIconRotate "none" MaskIconUnits "autoscale" MaskValueString "|OR|2||off|0||Full||Unsigned|16|0||on||" "off||off|[0,0,0,0,0,0,0]|||0|-1,-1,-1,-1|logical|8.2.02|55,60,2,1,white,blue," "0,f4a65842|fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 ],[0" " 0 60 60 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13 28 32 36 52 40 28 19 32 19" " 28 40 52 36 32 28 13 ],[8 17 30 43 52 52 48 52 52 40 52 43 30 17 8 20 8 8 12" " 8 8 ],[0.98 0.96 0.92]);\nplot([0 0 55 55 0 ],[0 60 60 0 0 ]);\nfprintf('','" "COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor" "('black');disp('\\newlineor\\newlinez^{-0}','texmode','on');\nfprintf('','COM" "MENT: end icon text');\n||\n \n \n \n \n \n \n \n \n \n \n \n \n \n \n " " \n \n \n \n \n \n \n \n \n \n" " \n \n \n \n \n \n " " \n " "\n \n " " \n \n \n \n \n \n \n \n \n " " \n \n \n \n \n \n \n \n \n \n \n " "\n \n \n \n \n \n\n" MaskTabNameString ",,,,,,,,,,,,,,,,,,,,,,,,,,,," } Block { BlockType Outport Name "Out1" Position [420, 203, 450, 217] IconDisplay "Port number" BusOutputAsStruct off } Line { SrcBlock "6bitval" SrcPort 1 DstBlock "Logical2" DstPort 1 } Line { SrcBlock "TransferDone" SrcPort 1 DstBlock "Delay" DstPort 1 } Line { SrcBlock "Logical3" SrcPort 1 Points [0, 0] DstBlock "Out1" DstPort 1 } Line { SrcBlock "Logical2" SrcPort 1 Points [15, 0; 0, 25] DstBlock "Logical3" DstPort 1 } Line { SrcBlock "Logical1" SrcPort 1 Points [15, 0; 0, -30] DstBlock "Logical3" DstPort 2 } Line { SrcBlock "Not0" SrcPort 1 DstBlock "Logical1" DstPort 1 } Line { SrcBlock "Delay" SrcPort 1 Points [20, 0] Branch { DstBlock "Logical2" DstPort 2 } Branch { Points [0, 85] DstBlock "Logical1" DstPort 2 } } } } Block { BlockType SubSystem Name "Subsystem1" Ports [1, 2] Position [2000, 516, 2080, 589] TreatAsAtomicUnit off MinAlgLoopOccurrences off RTWSystemCode "Auto" MaskHideContents off System { Name "Subsystem1" Location [1219, 835, 1479, 1042] Open off ModelBrowserVisibility off ModelBrowserWidth 200 ScreenColor "white" PaperOrientation "landscape" PaperPositionMode "auto" PaperType "usletter" PaperUnits "inches" ZoomFactor "100" Block { BlockType Inport Name "4bits" Position [35, 43, 65, 57] IconDisplay "Port number" } Block { BlockType "S-Function" Name "AddSub" Ports [2, 1] Position [310, 51, 370, 109] CopyFcn "xlBlockMoveCallback(gcbh);" DeleteFcn "xlDestroyGui(gcbh);" LoadFcn "xlBlockLoadCallback(gcbh);" ModelCloseFcn "xlDestroyGui(gcbh);" PreSaveFcn "xlBlockPreSaveCallback(gcbh);" PostSaveFcn "xlBlockPostSaveCallback(gcbh);" DestroyFcn "xlDestroyGui(gcbh);" OpenFcn "xlOpenGui(gcbh, @addsubenablement, -1)" CloseFcn "xlDestroyGui(gcbh);" MoveFcn "xlBlockMoveCallback(gcbh);" FunctionName "sysgen" Parameters "ptable_" MaskType "Xilinx Adder/Subtractor Block" MaskDescription "Xilinx Adder/Subtractor" MaskHelp "eval('xlWeb(xlhtmldoclink(''AddSub''))'" ");" MaskPromptString " |Operation| |Provide carry-in port| |P" "rovide carry-out port| |Provide enable port|Latency| |Precision| |Output type" "|Number of bits|Binary point| |Quantization| |Overflow| |Override with double" "s| |Use behavioral HDL (otherwise use core)| |Pipeline for maximum performanc" "e| |Use core placement information| |Define FPGA area for resource estimation" "|FPGA area [slices, FFs, BRAMs, LUTs, IOBs, emb. mults, TBUFs]| | | | | | | |" " " MaskStyleString "edit,popup(Addition|Subtraction|Additio" "n or Subtraction),edit,checkbox,edit,checkbox,edit,checkbox,edit,edit,popup(F" "ull|User Defined),edit,popup(Signed (2's comp)|Unsigned),edit,edit,edit,popu" "p(Truncate|Round (unbiased: +/- Inf)),edit,popup(Wrap|Saturate|Flag as error" "),edit,checkbox,edit,checkbox,edit,checkbox,edit,checkbox,edit,checkbox,edit," "edit,edit,edit,edit,edit,edit,edit,edit" MaskTunableValueString "on,on,on,on,on,on,on,on,on,on,on,on,on," "on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on" MaskCallbackString "|||||||||||||||||||||||||||||||||||||" MaskEnableString "on,on,on,on,on,on,on,on,on,on,on,on,on," "on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on" MaskVisibilityString "off,on,off,on,off,on,off,on,on,off,on,o" "ff,on,on,on,off,on,off,on,off,on,off,on,off,on,off,on,off,on,on,off,off,off,o" "ff,off,off,off,off" MaskToolTipString "on,on,on,on,on,on,on,on,on,on,on,on,on," "on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on" MaskVarAliasString ",,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,," MaskVariables "mode_sgadvanced=&1;mode=@2;use_carryin_" "sgadvanced=&3;use_carryin=@4;use_carryout_sgadvanced=&5;use_carryout=@6;en_sg" "advanced=&7;en=@8;latency=@9;precision_sgadvanced=&10;precision=@11;arith_typ" "e_sgadvanced=&12;arith_type=@13;n_bits=@14;bin_pt=@15;quantization_sgadvanced" "=&16;quantization=@17;overflow_sgadvanced=&18;overflow=@19;dbl_ovrd_sgadvance" "d=&20;dbl_ovrd=@21;use_behavioral_HDL_sgadvanced=&22;use_behavioral_HDL=@23;p" "ipelined_sgadvanced=&24;pipelined=@25;use_rpm_sgadvanced=&26;use_rpm=@27;xl_u" "se_area_sgadvanced=&28;xl_use_area=@29;xl_area=@30;has_advanced_control=@31;s" "ggui_pos=&32;block_type=&33;block_version=&34;sg_icon_stat=&35;sg_mask_displa" "y=&36;sg_list_contents=&37;sg_blockgui_xml=&38;" MaskInitialization "try\n tmp_gcb = gcb;\n tmp_gcbh = gcb" "h;\n xlMungeMaskParams;\n block_config = 'Sysgen:Addsub_config';\n seriali" "zed_declarations = '{''arith_type''=>''Int'',''bin_pt''=>''Int'',''en''=>''In" "t'',''latency''=>''Int'',''mode''=>''Int'',''n_bits''=>''Int'',''overflow''=>" "''Int'',''pipelined''=>''Int'',''precision''=>''Int'',''quantization''=>''Int" "'',''rst''=>''Int'',''use_behavioral_HDL''=>''Int'',''use_carryin''=>''Int''," "''use_carryout''=>''Int'',''use_rpm''=>''Int''}';\n ptable_ = xlblockprep(ge" "t_param(tmp_gcb, 'MaskWSVariables'));\ncatch\n global xl_report_errors;\n i" "f(~isempty(xl_report_errors) && xl_report_errors)\n e = regexprep(lasterr," " '\\n', '\\nError: ');\n disp(['Error: While running MaskInit code on bloc" "k ' tmp_gcb ': ' e]);\n error(e);\n end\nend\n" MaskDisplay "fprintf('','COMMENT: begin icon graphic" "s');\npatch([0 60 60 0 ],[0 0 58 58 ],[0.77 0.82 0.91]);\npatch([15 5 19 5 15" " 30 34 38 54 41 29 20 34 20 29 41 54 38 34 30 15 ],[6 16 30 44 54 54 50 54 54" " 41 53 44 30 16 7 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 0 60 60 0 ],[0 " "58 58 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT" ": begin icon text');\ncolor('black');port_label('input',1,'a');\ncolor('black" "');port_label('input',2,'b');\ncolor('black');port_label('output',1,'\\bf{a +" " b}','texmode','on');\nfprintf('','COMMENT: end icon text');\n" MaskSelfModifiable on MaskIconFrame off MaskIconOpaque on MaskIconRotate "none" MaskIconUnits "autoscale" MaskValueString "|Addition||off||off||off|0||Full||Unsig" "ned|16|14||Truncate||Wrap||off||off||off||on||off|[0,0,0,0,0,0,0]|0|-1,-1,-1," "-1|addsub|8.2.02|60,58,2,1,white,blue,0,84d1e665|fprintf('','COMMENT: begin i" "con graphics');\npatch([0 60 60 0 ],[0 0 58 58 ],[0.77 0.82 0.91]);\npatch([1" "5 5 19 5 15 30 34 38 54 41 29 20 34 20 29 41 54 38 34 30 15 ],[6 16 30 44 54 " "54 50 54 54 41 53 44 30 16 7 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 0 60" " 60 0 ],[0 58 58 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf(" "'','COMMENT: begin icon text');\ncolor('black');port_label('input',1,'a');\nc" "olor('black');port_label('input',2,'b');\ncolor('black');port_label('output'," "1,'\\bf{a + b}','texmode','on');\nfprintf('','COMMENT: end icon text');\n||<" "!-- * Reproduction or reuse, in any form, without the explicit written -->" "<" "!-- * Reproduction or reuse, in any form, without the explicit written -->" "\n \n \n \n " "\n \n \n \n \n \n \n " "\n \n \n \n \n \n \n \n\n" MaskTabNameString ",,,,,,,,,,," } Block { BlockType "S-Function" Name "Constant" Ports [0, 1] Position [75, 67, 130, 93] CopyFcn "xlBlockMoveCallback(gcbh);" DeleteFcn "xlDestroyGui(gcbh);" LoadFcn "xlBlockLoadCallback(gcbh);" ModelCloseFcn "xlDestroyGui(gcbh);" PreSaveFcn "xlBlockPreSaveCallback(gcbh);" PostSaveFcn "xlBlockPostSaveCallback(gcbh);" DestroyFcn "xlDestroyGui(gcbh);" OpenFcn "xlOpenGui(gcbh, @constantenablement, @c" "onstantaction)" CloseFcn "xlDestroyGui(gcbh);" MoveFcn "xlBlockMoveCallback(gcbh);" FunctionName "sysgen" Parameters "ptable_" MaskType "Xilinx Constant Block Block" MaskDescription "Xilinx Constant Block" MaskHelp "eval('xlWeb(xlhtmldoclink(''Constant'')" ")');" MaskPromptString " |Type|Constant value|Number of bits|Bi" "nary point| |Sampled constant|Sample period| |DSP48 operation| |Operation sel" "ect| |Z mux| |Operand| |YX muxes| |Carry input| |Override with doubles| | | |" " | | | | " MaskStyleString "edit,popup(Boolean|Signed (2's comp)|Un" "signed|DSP48 Instruction),edit,edit,edit,edit,checkbox,edit,edit,edit,edit,po" "pup(C + A*B|PCIN + A*B|P + A*B|A * B|C + A:B|C - A:B|C|Custom),edit,popup(0|P" "CIN|P|C|PCIN>>17|P>>17),edit,popup(+|-),edit,popup(0|P|A:B|A*B|C|P+C|A:B+C),e" "dit,popup(0|1|CIN|~SIGN(P or PCIN)|~SIGN(A*B or A:B)|~SIGND(A*B or A:B)),edit" ",checkbox,edit,edit,edit,edit,edit,edit,edit,edit" MaskTunableValueString "on,on,on,on,on,on,on,on,on,on,on,on,on," "on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on" MaskCallbackString "|||||||||||||||||||||||||||||" MaskEnableString "on,on,on,on,on,on,on,on,on,on,on,on,on," "on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on" MaskVisibilityString "off,on,on,on,on,off,on,on,off,on,off,on" ",off,on,off,on,off,on,off,on,off,on,off,off,off,off,off,off,off,off" MaskToolTipString "on,on,on,on,on,on,on,on,on,on,on,on,on," "on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on" MaskVarAliasString ",,,,,,,,,,,,,,,,,,,,,,,,,,,,," MaskVariables "arith_type_sgadvanced=&1;arith_type=@2;" "const=@3;n_bits=@4;bin_pt=@5;explicit_period_sgadvanced=&6;explicit_period=@7" ";period=@8;dsp48_infoedit=&9;equ=&10;opselect_sgadvanced=&11;opselect=@12;inp" "2_sgadvanced=&13;inp2=@14;opr_sgadvanced=&15;opr=@16;inp1_sgadvanced=&17;inp1" "=@18;carry_sgadvanced=&19;carry=@20;dbl_ovrd_sgadvanced=&21;dbl_ovrd=@22;has_" "advanced_control=@23;sggui_pos=&24;block_type=&25;block_version=&26;sg_icon_s" "tat=&27;sg_mask_display=&28;sg_list_contents=&29;sg_blockgui_xml=&30;" MaskInitialization "try\n tmp_gcb = gcb;\n tmp_gcbh = gcb" "h;\n xlMungeMaskParams;\n block_config = 'Sysgen:Constant_config';\n seria" "lized_declarations = '{''arith_type''=>''Int'',''bin_pt''=>''Int'',''carry''=" ">''Int'',''const''=>''Double'',''dbl_ovrd''=>''Int'',''explicit_period''=>''I" "nt'',''inp1''=>''Int'',''inp2''=>''Int'',''n_bits''=>''Int'',''opr''=>''Int''" ",''opselect''=>''Int'',''period''=>''Double''}';\n xlconstant_init();\n pta" "ble_ = xlblockprep(get_param(tmp_gcb, 'MaskWSVariables'));\ncatch\n global x" "l_report_errors;\n if(~isempty(xl_report_errors) && xl_report_errors)\n e" " = regexprep(lasterr, '\\n', '\\nError: ');\n disp(['Error: While running " "MaskInit code on block ' tmp_gcb ': ' e]);\n error(e);\n end\nend\n" MaskDisplay "fprintf('','COMMENT: begin icon graphic" "s');\npatch([0 55 55 0 ],[0 0 26 26 ],[0.77 0.82 0.91]);\npatch([20 16 22 16 " "20 27 29 31 38 32 26 22 28 22 26 32 38 31 29 27 20 ],[3 7 13 19 23 23 21 23 2" "3 17 23 19 13 7 3 9 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 0 55 55 0 ],[0 26" " 26 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: " "begin icon text');\ncolor('black');port_label('output',1,'0');\nfprintf('','C" "OMMENT: end icon text');\n" MaskSelfModifiable on MaskIconFrame off MaskIconOpaque on MaskIconRotate "none" MaskIconUnits "autoscale" MaskValueString "|Unsigned|0|3|0||on|1|The use of this b" "lock for DSP48 instructions is deprecated. Please use the Opmode block.|P=C|" "|C||PCIN>>17||+||P||CIN||off|0|-1,-1,-1,-1|constant|8.2.02|55,26,0,1,white,bl" "ue,0,c7c04a0c|fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 ]" ",[0 0 26 26 ],[0.77 0.82 0.91]);\npatch([20 16 22 16 20 27 29 31 38 32 26 22 " "28 22 26 32 38 31 29 27 20 ],[3 7 13 19 23 23 21 23 23 17 23 19 13 7 3 9 3 3 " "5 3 3 ],[0.98 0.96 0.92]);\nplot([0 0 55 55 0 ],[0 26 26 0 0 ]);\nfprintf(''," "'COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolo" "r('black');port_label('output',1,'0');\nfprintf('','COMMENT: end icon text');" "\n||\n \n \n \n \n \n \n \n \n \n \n " "\n \n \n \n \n " " \n \n \n \n \n \n \n \n \n \n \n" " \n \n \n \n \n \n \n \n \n" " \n \n " "\n \n \n \n " "\n \n \n >17\" >\n \n \n \n \n " " >17\" />\n >17\" />\n \n \n \n \n \n \n \n \n \n \n \n " "\n \n \n " "\n \n \n \n \n \n \n " " \n \n \n \n \n \n \n \n \n \n \n\n" MaskTabNameString ",,,,,,,,,,,,,,,,,,,,,,,,,,,,," } Block { BlockType "S-Function" Name "Constant1" Ports [0, 1] Position [190, 127, 245, 153] CopyFcn "xlBlockMoveCallback(gcbh);" DeleteFcn "xlDestroyGui(gcbh);" LoadFcn "xlBlockLoadCallback(gcbh);" ModelCloseFcn "xlDestroyGui(gcbh);" PreSaveFcn "xlBlockPreSaveCallback(gcbh);" PostSaveFcn "xlBlockPostSaveCallback(gcbh);" DestroyFcn "xlDestroyGui(gcbh);" OpenFcn "xlOpenGui(gcbh, @constantenablement, @c" "onstantaction)" CloseFcn "xlDestroyGui(gcbh);" MoveFcn "xlBlockMoveCallback(gcbh);" FunctionName "sysgen" Parameters "ptable_" MaskType "Xilinx Constant Block Block" MaskDescription "Xilinx Constant Block" MaskHelp "eval('xlWeb(xlhtmldoclink(''Constant'')" ")');" MaskPromptString " |Type|Constant value|Number of bits|Bi" "nary point| |Sampled constant|Sample period| |DSP48 operation| |Operation sel" "ect| |Z mux| |Operand| |YX muxes| |Carry input| |Override with doubles| | | |" " | | | | " MaskStyleString "edit,popup(Boolean|Signed (2's comp)|Un" "signed|DSP48 Instruction),edit,edit,edit,edit,checkbox,edit,edit,edit,edit,po" "pup(C + A*B|PCIN + A*B|P + A*B|A * B|C + A:B|C - A:B|C|Custom),edit,popup(0|P" "CIN|P|C|PCIN>>17|P>>17),edit,popup(+|-),edit,popup(0|P|A:B|A*B|C|P+C|A:B+C),e" "dit,popup(0|1|CIN|~SIGN(P or PCIN)|~SIGN(A*B or A:B)|~SIGND(A*B or A:B)),edit" ",checkbox,edit,edit,edit,edit,edit,edit,edit,edit" MaskTunableValueString "on,on,on,on,on,on,on,on,on,on,on,on,on," "on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on" MaskCallbackString "|||||||||||||||||||||||||||||" MaskEnableString "on,on,on,on,on,on,on,on,on,on,on,on,on," "on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on" MaskVisibilityString "off,on,on,on,on,off,on,on,off,on,off,on" ",off,on,off,on,off,on,off,on,off,on,off,off,off,off,off,off,off,off" MaskToolTipString "on,on,on,on,on,on,on,on,on,on,on,on,on," "on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on" MaskVarAliasString ",,,,,,,,,,,,,,,,,,,,,,,,,,,,," MaskVariables "arith_type_sgadvanced=&1;arith_type=@2;" "const=@3;n_bits=@4;bin_pt=@5;explicit_period_sgadvanced=&6;explicit_period=@7" ";period=@8;dsp48_infoedit=&9;equ=&10;opselect_sgadvanced=&11;opselect=@12;inp" "2_sgadvanced=&13;inp2=@14;opr_sgadvanced=&15;opr=@16;inp1_sgadvanced=&17;inp1" "=@18;carry_sgadvanced=&19;carry=@20;dbl_ovrd_sgadvanced=&21;dbl_ovrd=@22;has_" "advanced_control=@23;sggui_pos=&24;block_type=&25;block_version=&26;sg_icon_s" "tat=&27;sg_mask_display=&28;sg_list_contents=&29;sg_blockgui_xml=&30;" MaskInitialization "try\n tmp_gcb = gcb;\n tmp_gcbh = gcb" "h;\n xlMungeMaskParams;\n block_config = 'Sysgen:Constant_config';\n seria" "lized_declarations = '{''arith_type''=>''Int'',''bin_pt''=>''Int'',''carry''=" ">''Int'',''const''=>''Double'',''dbl_ovrd''=>''Int'',''explicit_period''=>''I" "nt'',''inp1''=>''Int'',''inp2''=>''Int'',''n_bits''=>''Int'',''opr''=>''Int''" ",''opselect''=>''Int'',''period''=>''Double''}';\n xlconstant_init();\n pta" "ble_ = xlblockprep(get_param(tmp_gcb, 'MaskWSVariables'));\ncatch\n global x" "l_report_errors;\n if(~isempty(xl_report_errors) && xl_report_errors)\n e" " = regexprep(lasterr, '\\n', '\\nError: ');\n disp(['Error: While running " "MaskInit code on block ' tmp_gcb ': ' e]);\n error(e);\n end\nend\n" MaskDisplay "fprintf('','COMMENT: begin icon graphic" "s');\npatch([0 55 55 0 ],[0 0 26 26 ],[0.77 0.82 0.91]);\npatch([20 16 22 16 " "20 27 29 31 38 32 26 22 28 22 26 32 38 31 29 27 20 ],[3 7 13 19 23 23 21 23 2" "3 17 23 19 13 7 3 9 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 0 55 55 0 ],[0 26" " 26 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: " "begin icon text');\ncolor('black');port_label('output',1,'3');\nfprintf('','C" "OMMENT: end icon text');\n" MaskSelfModifiable on MaskIconFrame off MaskIconOpaque on MaskIconRotate "none" MaskIconUnits "autoscale" MaskValueString "|Unsigned|3|8|0||on|1|The use of this b" "lock for DSP48 instructions is deprecated. Please use the Opmode block.|P=C|" "|C||PCIN>>17||+||P||CIN||off|0|-1,-1,-1,-1|constant|8.2.02|55,26,0,1,white,bl" "ue,0,22938a96|fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 ]" ",[0 0 26 26 ],[0.77 0.82 0.91]);\npatch([20 16 22 16 20 27 29 31 38 32 26 22 " "28 22 26 32 38 31 29 27 20 ],[3 7 13 19 23 23 21 23 23 17 23 19 13 7 3 9 3 3 " "5 3 3 ],[0.98 0.96 0.92]);\nplot([0 0 55 55 0 ],[0 26 26 0 0 ]);\nfprintf(''," "'COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolo" "r('black');port_label('output',1,'3');\nfprintf('','COMMENT: end icon text');" "\n||\n \n \n \n \n \n \n \n \n \n \n " "\n \n \n \n \n " " \n \n \n \n \n \n \n \n \n \n \n" " \n \n \n \n \n \n \n \n \n" " \n \n " "\n \n \n \n " "\n \n \n >17\" >\n \n \n \n \n " " >17\" />\n >17\" />\n \n \n \n \n \n \n \n \n \n \n \n " "\n \n \n " "\n \n \n \n \n \n \n " " \n \n \n \n \n \n \n \n \n \n \n\n" MaskTabNameString ",,,,,,,,,,,,,,,,,,,,,,,,,,,,," } Block { BlockType "S-Function" Name "Constant2" Ports [0, 1] Position [190, 182, 245, 208] CopyFcn "xlBlockMoveCallback(gcbh);" DeleteFcn "xlDestroyGui(gcbh);" LoadFcn "xlBlockLoadCallback(gcbh);" ModelCloseFcn "xlDestroyGui(gcbh);" PreSaveFcn "xlBlockPreSaveCallback(gcbh);" PostSaveFcn "xlBlockPostSaveCallback(gcbh);" DestroyFcn "xlDestroyGui(gcbh);" OpenFcn "xlOpenGui(gcbh, @constantenablement, @c" "onstantaction)" CloseFcn "xlDestroyGui(gcbh);" MoveFcn "xlBlockMoveCallback(gcbh);" FunctionName "sysgen" Parameters "ptable_" MaskType "Xilinx Constant Block Block" MaskDescription "Xilinx Constant Block" MaskHelp "eval('xlWeb(xlhtmldoclink(''Constant'')" ")');" MaskPromptString " |Type|Constant value|Number of bits|Bi" "nary point| |Sampled constant|Sample period| |DSP48 operation| |Operation sel" "ect| |Z mux| |Operand| |YX muxes| |Carry input| |Override with doubles| | | |" " | | | | " MaskStyleString "edit,popup(Boolean|Signed (2's comp)|Un" "signed|DSP48 Instruction),edit,edit,edit,edit,checkbox,edit,edit,edit,edit,po" "pup(C + A*B|PCIN + A*B|P + A*B|A * B|C + A:B|C - A:B|C|Custom),edit,popup(0|P" "CIN|P|C|PCIN>>17|P>>17),edit,popup(+|-),edit,popup(0|P|A:B|A*B|C|P+C|A:B+C),e" "dit,popup(0|1|CIN|~SIGN(P or PCIN)|~SIGN(A*B or A:B)|~SIGND(A*B or A:B)),edit" ",checkbox,edit,edit,edit,edit,edit,edit,edit,edit" MaskTunableValueString "on,on,on,on,on,on,on,on,on,on,on,on,on," "on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on" MaskCallbackString "|||||||||||||||||||||||||||||" MaskEnableString "on,on,on,on,on,on,on,on,on,on,on,on,on," "on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on" MaskVisibilityString "off,on,on,on,on,off,on,on,off,on,off,on" ",off,on,off,on,off,on,off,on,off,on,off,off,off,off,off,off,off,off" MaskToolTipString "on,on,on,on,on,on,on,on,on,on,on,on,on," "on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on" MaskVarAliasString ",,,,,,,,,,,,,,,,,,,,,,,,,,,,," MaskVariables "arith_type_sgadvanced=&1;arith_type=@2;" "const=@3;n_bits=@4;bin_pt=@5;explicit_period_sgadvanced=&6;explicit_period=@7" ";period=@8;dsp48_infoedit=&9;equ=&10;opselect_sgadvanced=&11;opselect=@12;inp" "2_sgadvanced=&13;inp2=@14;opr_sgadvanced=&15;opr=@16;inp1_sgadvanced=&17;inp1" "=@18;carry_sgadvanced=&19;carry=@20;dbl_ovrd_sgadvanced=&21;dbl_ovrd=@22;has_" "advanced_control=@23;sggui_pos=&24;block_type=&25;block_version=&26;sg_icon_s" "tat=&27;sg_mask_display=&28;sg_list_contents=&29;sg_blockgui_xml=&30;" MaskInitialization "try\n tmp_gcb = gcb;\n tmp_gcbh = gcb" "h;\n xlMungeMaskParams;\n block_config = 'Sysgen:Constant_config';\n seria" "lized_declarations = '{''arith_type''=>''Int'',''bin_pt''=>''Int'',''carry''=" ">''Int'',''const''=>''Double'',''dbl_ovrd''=>''Int'',''explicit_period''=>''I" "nt'',''inp1''=>''Int'',''inp2''=>''Int'',''n_bits''=>''Int'',''opr''=>''Int''" ",''opselect''=>''Int'',''period''=>''Double''}';\n xlconstant_init();\n pta" "ble_ = xlblockprep(get_param(tmp_gcb, 'MaskWSVariables'));\ncatch\n global x" "l_report_errors;\n if(~isempty(xl_report_errors) && xl_report_errors)\n e" " = regexprep(lasterr, '\\n', '\\nError: ');\n disp(['Error: While running " "MaskInit code on block ' tmp_gcb ': ' e]);\n error(e);\n end\nend\n" MaskDisplay "fprintf('','COMMENT: begin icon graphic" "s');\npatch([0 55 55 0 ],[0 0 26 26 ],[0.77 0.82 0.91]);\npatch([20 16 22 16 " "20 27 29 31 38 32 26 22 28 22 26 32 38 31 29 27 20 ],[3 7 13 19 23 23 21 23 2" "3 17 23 19 13 7 3 9 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 0 55 55 0 ],[0 26" " 26 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: " "begin icon text');\ncolor('black');port_label('output',1,'10');\nfprintf('','" "COMMENT: end icon text');\n" MaskSelfModifiable on MaskIconFrame off MaskIconOpaque on MaskIconRotate "none" MaskIconUnits "autoscale" MaskValueString "|Unsigned|10|8|0||on|1|The use of this " "block for DSP48 instructions is deprecated. Please use the Opmode block.|P=C" "||C||PCIN>>17||+||P||CIN||off|0|-1,-1,-1,-1|constant|8.2.02|55,26,0,1,white,b" "lue,0,55aae6ff|fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 " "],[0 0 26 26 ],[0.77 0.82 0.91]);\npatch([20 16 22 16 20 27 29 31 38 32 26 22" " 28 22 26 32 38 31 29 27 20 ],[3 7 13 19 23 23 21 23 23 17 23 19 13 7 3 9 3 3" " 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 0 55 55 0 ],[0 26 26 0 0 ]);\nfprintf(''" ",'COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncol" "or('black');port_label('output',1,'10');\nfprintf('','COMMENT: end icon text'" ");\n||\n <" "initialization file=\"xlconstant_init.m\" />\n \n \n \n \n \n <" "library name=\"xbsBasic\" />\n \n \n \n \n \n \n \n \n " " \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n " "\n \n \n " " \n \n \n \n \n \n \n >17\" >\n \n \n \n \n" " >17\" />\n >17\" />\n \n \n \n \n \n \n \n \n \n \n \n " "\n \n \n " "\n \n \n \n \n \n \n " " \n \n \n \n \n \n \n \n \n \n \n\n" MaskTabNameString ",,,,,,,,,,,,,,,,,,,,,,,,,,,,," } Block { BlockType Outport Name "Start" Position [430, 73, 460, 87] IconDisplay "Port number" BusOutputAsStruct off } Block { BlockType Outport Name "End" Position [430, 173, 460, 187] Port "2" IconDisplay "Port number" BusOutputAsStruct off } Line { SrcBlock "4bits" SrcPort 1 DstBlock "Concat6" DstPort 1 } Line { SrcBlock "Constant" SrcPort 1 DstBlock "Concat6" DstPort 2 } Line { SrcBlock "Concat6" SrcPort 1 Points [25, 0] Branch { DstBlock "AddSub" DstPort 1 } Branch { Points [0, 100] DstBlock "AddSub1" DstPort 1 } } Line { SrcBlock "Constant1" SrcPort 1 Points [45, 0] DstBlock "AddSub" DstPort 2 } Line { SrcBlock "Constant2" SrcPort 1 DstBlock "AddSub1" DstPort 2 } Line { SrcBlock "AddSub" SrcPort 1 DstBlock "Start" DstPort 1 } Line { SrcBlock "AddSub1" SrcPort 1 DstBlock "End" DstPort 1 } } } Block { BlockType SubSystem Name "Subsystem2" Ports [1, 2] Position [2000, 621, 2080, 694] TreatAsAtomicUnit off MinAlgLoopOccurrences off RTWSystemCode "Auto" MaskHideContents off System { Name "Subsystem2" Location [431, 85, 1875, 1086] Open off ModelBrowserVisibility off ModelBrowserWidth 200 ScreenColor "white" PaperOrientation "landscape" PaperPositionMode "auto" PaperType "usletter" PaperUnits "inches" ZoomFactor "100" Block { BlockType Inport Name "4bits" Position [35, 43, 65, 57] IconDisplay "Port number" } Block { BlockType "S-Function" Name "AddSub" Ports [2, 1] Position [310, 51, 370, 109] CopyFcn "xlBlockMoveCallback(gcbh);" DeleteFcn "xlDestroyGui(gcbh);" LoadFcn "xlBlockLoadCallback(gcbh);" ModelCloseFcn "xlDestroyGui(gcbh);" PreSaveFcn "xlBlockPreSaveCallback(gcbh);" PostSaveFcn "xlBlockPostSaveCallback(gcbh);" DestroyFcn "xlDestroyGui(gcbh);" OpenFcn "xlOpenGui(gcbh, @addsubenablement, -1)" CloseFcn "xlDestroyGui(gcbh);" MoveFcn "xlBlockMoveCallback(gcbh);" FunctionName "sysgen" Parameters "ptable_" MaskType "Xilinx Adder/Subtractor Block" MaskDescription "Xilinx Adder/Subtractor" MaskHelp "eval('xlWeb(xlhtmldoclink(''AddSub''))'" ");" MaskPromptString " |Operation| |Provide carry-in port| |P" "rovide carry-out port| |Provide enable port|Latency| |Precision| |Output type" "|Number of bits|Binary point| |Quantization| |Overflow| |Override with double" "s| |Use behavioral HDL (otherwise use core)| |Pipeline for maximum performanc" "e| |Use core placement information| |Define FPGA area for resource estimation" "|FPGA area [slices, FFs, BRAMs, LUTs, IOBs, emb. mults, TBUFs]| | | | | | | |" " " MaskStyleString "edit,popup(Addition|Subtraction|Additio" "n or Subtraction),edit,checkbox,edit,checkbox,edit,checkbox,edit,edit,popup(F" "ull|User Defined),edit,popup(Signed (2's comp)|Unsigned),edit,edit,edit,popu" "p(Truncate|Round (unbiased: +/- Inf)),edit,popup(Wrap|Saturate|Flag as error" "),edit,checkbox,edit,checkbox,edit,checkbox,edit,checkbox,edit,checkbox,edit," "edit,edit,edit,edit,edit,edit,edit,edit" MaskTunableValueString "on,on,on,on,on,on,on,on,on,on,on,on,on," "on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on" MaskCallbackString "|||||||||||||||||||||||||||||||||||||" MaskEnableString "on,on,on,on,on,on,on,on,on,on,on,on,on," "on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on" MaskVisibilityString "off,on,off,on,off,on,off,on,on,off,on,o" "ff,on,on,on,off,on,off,on,off,on,off,on,off,on,off,on,off,on,on,off,off,off,o" "ff,off,off,off,off" MaskToolTipString "on,on,on,on,on,on,on,on,on,on,on,on,on," "on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on" MaskVarAliasString ",,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,," MaskVariables "mode_sgadvanced=&1;mode=@2;use_carryin_" "sgadvanced=&3;use_carryin=@4;use_carryout_sgadvanced=&5;use_carryout=@6;en_sg" "advanced=&7;en=@8;latency=@9;precision_sgadvanced=&10;precision=@11;arith_typ" "e_sgadvanced=&12;arith_type=@13;n_bits=@14;bin_pt=@15;quantization_sgadvanced" "=&16;quantization=@17;overflow_sgadvanced=&18;overflow=@19;dbl_ovrd_sgadvance" "d=&20;dbl_ovrd=@21;use_behavioral_HDL_sgadvanced=&22;use_behavioral_HDL=@23;p" "ipelined_sgadvanced=&24;pipelined=@25;use_rpm_sgadvanced=&26;use_rpm=@27;xl_u" "se_area_sgadvanced=&28;xl_use_area=@29;xl_area=@30;has_advanced_control=@31;s" "ggui_pos=&32;block_type=&33;block_version=&34;sg_icon_stat=&35;sg_mask_displa" "y=&36;sg_list_contents=&37;sg_blockgui_xml=&38;" MaskInitialization "try\n tmp_gcb = gcb;\n tmp_gcbh = gcb" "h;\n xlMungeMaskParams;\n block_config = 'Sysgen:Addsub_config';\n seriali" "zed_declarations = '{''arith_type''=>''Int'',''bin_pt''=>''Int'',''en''=>''In" "t'',''latency''=>''Int'',''mode''=>''Int'',''n_bits''=>''Int'',''overflow''=>" "''Int'',''pipelined''=>''Int'',''precision''=>''Int'',''quantization''=>''Int" "'',''rst''=>''Int'',''use_behavioral_HDL''=>''Int'',''use_carryin''=>''Int''," "''use_carryout''=>''Int'',''use_rpm''=>''Int''}';\n ptable_ = xlblockprep(ge" "t_param(tmp_gcb, 'MaskWSVariables'));\ncatch\n global xl_report_errors;\n i" "f(~isempty(xl_report_errors) && xl_report_errors)\n e = regexprep(lasterr," " '\\n', '\\nError: ');\n disp(['Error: While running MaskInit code on bloc" "k ' tmp_gcb ': ' e]);\n error(e);\n end\nend\n" MaskDisplay "fprintf('','COMMENT: begin icon graphic" "s');\npatch([0 60 60 0 ],[0 0 58 58 ],[0.77 0.82 0.91]);\npatch([15 5 19 5 15" " 30 34 38 54 41 29 20 34 20 29 41 54 38 34 30 15 ],[6 16 30 44 54 54 50 54 54" " 41 53 44 30 16 7 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 0 60 60 0 ],[0 " "58 58 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT" ": begin icon text');\ncolor('black');port_label('input',1,'a');\ncolor('black" "');port_label('input',2,'b');\ncolor('black');port_label('output',1,'\\bf{a +" " b}','texmode','on');\nfprintf('','COMMENT: end icon text');\n" MaskSelfModifiable on MaskIconFrame off MaskIconOpaque on MaskIconRotate "none" MaskIconUnits "autoscale" MaskValueString "|Addition||off||off||off|0||Full||Unsig" "ned|16|14||Truncate||Wrap||off||off||off||on||off|[0,0,0,0,0,0,0]|0|-1,-1,-1," "-1|addsub|8.2.02|60,58,2,1,white,blue,0,84d1e665|fprintf('','COMMENT: begin i" "con graphics');\npatch([0 60 60 0 ],[0 0 58 58 ],[0.77 0.82 0.91]);\npatch([1" "5 5 19 5 15 30 34 38 54 41 29 20 34 20 29 41 54 38 34 30 15 ],[6 16 30 44 54 " "54 50 54 54 41 53 44 30 16 7 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 0 60" " 60 0 ],[0 58 58 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf(" "'','COMMENT: begin icon text');\ncolor('black');port_label('input',1,'a');\nc" "olor('black');port_label('input',2,'b');\ncolor('black');port_label('output'," "1,'\\bf{a + b}','texmode','on');\nfprintf('','COMMENT: end icon text');\n||<" "!-- * Reproduction or reuse, in any form, without the explicit written -->" "<" "!-- * Reproduction or reuse, in any form, without the explicit written -->" "\n \n \n \n " "\n \n \n \n \n \n \n " "\n \n \n \n \n \n \n \n\n" MaskTabNameString ",,,,,,,,,,," } Block { BlockType "S-Function" Name "Constant" Ports [0, 1] Position [75, 67, 130, 93] CopyFcn "xlBlockMoveCallback(gcbh);" DeleteFcn "xlDestroyGui(gcbh);" LoadFcn "xlBlockLoadCallback(gcbh);" ModelCloseFcn "xlDestroyGui(gcbh);" PreSaveFcn "xlBlockPreSaveCallback(gcbh);" PostSaveFcn "xlBlockPostSaveCallback(gcbh);" DestroyFcn "xlDestroyGui(gcbh);" OpenFcn "xlOpenGui(gcbh, @constantenablement, @c" "onstantaction)" CloseFcn "xlDestroyGui(gcbh);" MoveFcn "xlBlockMoveCallback(gcbh);" FunctionName "sysgen" Parameters "ptable_" MaskType "Xilinx Constant Block Block" MaskDescription "Xilinx Constant Block" MaskHelp "eval('xlWeb(xlhtmldoclink(''Constant'')" ")');" MaskPromptString " |Type|Constant value|Number of bits|Bi" "nary point| |Sampled constant|Sample period| |DSP48 operation| |Operation sel" "ect| |Z mux| |Operand| |YX muxes| |Carry input| |Override with doubles| | | |" " | | | | " MaskStyleString "edit,popup(Boolean|Signed (2's comp)|Un" "signed|DSP48 Instruction),edit,edit,edit,edit,checkbox,edit,edit,edit,edit,po" "pup(C + A*B|PCIN + A*B|P + A*B|A * B|C + A:B|C - A:B|C|Custom),edit,popup(0|P" "CIN|P|C|PCIN>>17|P>>17),edit,popup(+|-),edit,popup(0|P|A:B|A*B|C|P+C|A:B+C),e" "dit,popup(0|1|CIN|~SIGN(P or PCIN)|~SIGN(A*B or A:B)|~SIGND(A*B or A:B)),edit" ",checkbox,edit,edit,edit,edit,edit,edit,edit,edit" MaskTunableValueString "on,on,on,on,on,on,on,on,on,on,on,on,on," "on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on" MaskCallbackString "|||||||||||||||||||||||||||||" MaskEnableString "on,on,on,on,on,on,on,on,on,on,on,on,on," "on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on" MaskVisibilityString "off,on,on,on,on,off,on,on,off,on,off,on" ",off,on,off,on,off,on,off,on,off,on,off,off,off,off,off,off,off,off" MaskToolTipString "on,on,on,on,on,on,on,on,on,on,on,on,on," "on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on" MaskVarAliasString ",,,,,,,,,,,,,,,,,,,,,,,,,,,,," MaskVariables "arith_type_sgadvanced=&1;arith_type=@2;" "const=@3;n_bits=@4;bin_pt=@5;explicit_period_sgadvanced=&6;explicit_period=@7" ";period=@8;dsp48_infoedit=&9;equ=&10;opselect_sgadvanced=&11;opselect=@12;inp" "2_sgadvanced=&13;inp2=@14;opr_sgadvanced=&15;opr=@16;inp1_sgadvanced=&17;inp1" "=@18;carry_sgadvanced=&19;carry=@20;dbl_ovrd_sgadvanced=&21;dbl_ovrd=@22;has_" "advanced_control=@23;sggui_pos=&24;block_type=&25;block_version=&26;sg_icon_s" "tat=&27;sg_mask_display=&28;sg_list_contents=&29;sg_blockgui_xml=&30;" MaskInitialization "try\n tmp_gcb = gcb;\n tmp_gcbh = gcb" "h;\n xlMungeMaskParams;\n block_config = 'Sysgen:Constant_config';\n seria" "lized_declarations = '{''arith_type''=>''Int'',''bin_pt''=>''Int'',''carry''=" ">''Int'',''const''=>''Double'',''dbl_ovrd''=>''Int'',''explicit_period''=>''I" "nt'',''inp1''=>''Int'',''inp2''=>''Int'',''n_bits''=>''Int'',''opr''=>''Int''" ",''opselect''=>''Int'',''period''=>''Double''}';\n xlconstant_init();\n pta" "ble_ = xlblockprep(get_param(tmp_gcb, 'MaskWSVariables'));\ncatch\n global x" "l_report_errors;\n if(~isempty(xl_report_errors) && xl_report_errors)\n e" " = regexprep(lasterr, '\\n', '\\nError: ');\n disp(['Error: While running " "MaskInit code on block ' tmp_gcb ': ' e]);\n error(e);\n end\nend\n" MaskDisplay "fprintf('','COMMENT: begin icon graphic" "s');\npatch([0 55 55 0 ],[0 0 26 26 ],[0.77 0.82 0.91]);\npatch([20 16 22 16 " "20 27 29 31 38 32 26 22 28 22 26 32 38 31 29 27 20 ],[3 7 13 19 23 23 21 23 2" "3 17 23 19 13 7 3 9 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 0 55 55 0 ],[0 26" " 26 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: " "begin icon text');\ncolor('black');port_label('output',1,'0');\nfprintf('','C" "OMMENT: end icon text');\n" MaskSelfModifiable on MaskIconFrame off MaskIconOpaque on MaskIconRotate "none" MaskIconUnits "autoscale" MaskValueString "|Unsigned|0|3|0||on|1|The use of this b" "lock for DSP48 instructions is deprecated. Please use the Opmode block.|P=C|" "|C||PCIN>>17||+||P||CIN||off|0|-1,-1,-1,-1|constant|8.2.02|55,26,0,1,white,bl" "ue,0,c7c04a0c|fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 ]" ",[0 0 26 26 ],[0.77 0.82 0.91]);\npatch([20 16 22 16 20 27 29 31 38 32 26 22 " "28 22 26 32 38 31 29 27 20 ],[3 7 13 19 23 23 21 23 23 17 23 19 13 7 3 9 3 3 " "5 3 3 ],[0.98 0.96 0.92]);\nplot([0 0 55 55 0 ],[0 26 26 0 0 ]);\nfprintf(''," "'COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolo" "r('black');port_label('output',1,'0');\nfprintf('','COMMENT: end icon text');" "\n||\n \n \n \n \n \n \n \n \n \n \n " "\n \n \n \n \n " " \n \n \n \n \n \n \n \n \n \n \n" " \n \n \n \n \n \n \n \n \n" " \n \n " "\n \n \n \n " "\n \n \n >17\" >\n \n \n \n \n " " >17\" />\n >17\" />\n \n \n \n \n \n \n \n \n \n \n \n " "\n \n \n " "\n \n \n \n \n \n \n " " \n \n \n \n \n \n \n \n \n \n \n\n" MaskTabNameString ",,,,,,,,,,,,,,,,,,,,,,,,,,,,," } Block { BlockType "S-Function" Name "Constant1" Ports [0, 1] Position [190, 127, 245, 153] CopyFcn "xlBlockMoveCallback(gcbh);" DeleteFcn "xlDestroyGui(gcbh);" LoadFcn "xlBlockLoadCallback(gcbh);" ModelCloseFcn "xlDestroyGui(gcbh);" PreSaveFcn "xlBlockPreSaveCallback(gcbh);" PostSaveFcn "xlBlockPostSaveCallback(gcbh);" DestroyFcn "xlDestroyGui(gcbh);" OpenFcn "xlOpenGui(gcbh, @constantenablement, @c" "onstantaction)" CloseFcn "xlDestroyGui(gcbh);" MoveFcn "xlBlockMoveCallback(gcbh);" FunctionName "sysgen" Parameters "ptable_" MaskType "Xilinx Constant Block Block" MaskDescription "Xilinx Constant Block" MaskHelp "eval('xlWeb(xlhtmldoclink(''Constant'')" ")');" MaskPromptString " |Type|Constant value|Number of bits|Bi" "nary point| |Sampled constant|Sample period| |DSP48 operation| |Operation sel" "ect| |Z mux| |Operand| |YX muxes| |Carry input| |Override with doubles| | | |" " | | | | " MaskStyleString "edit,popup(Boolean|Signed (2's comp)|Un" "signed|DSP48 Instruction),edit,edit,edit,edit,checkbox,edit,edit,edit,edit,po" "pup(C + A*B|PCIN + A*B|P + A*B|A * B|C + A:B|C - A:B|C|Custom),edit,popup(0|P" "CIN|P|C|PCIN>>17|P>>17),edit,popup(+|-),edit,popup(0|P|A:B|A*B|C|P+C|A:B+C),e" "dit,popup(0|1|CIN|~SIGN(P or PCIN)|~SIGN(A*B or A:B)|~SIGND(A*B or A:B)),edit" ",checkbox,edit,edit,edit,edit,edit,edit,edit,edit" MaskTunableValueString "on,on,on,on,on,on,on,on,on,on,on,on,on," "on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on" MaskCallbackString "|||||||||||||||||||||||||||||" MaskEnableString "on,on,on,on,on,on,on,on,on,on,on,on,on," "on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on" MaskVisibilityString "off,on,on,on,on,off,on,on,off,on,off,on" ",off,on,off,on,off,on,off,on,off,on,off,off,off,off,off,off,off,off" MaskToolTipString "on,on,on,on,on,on,on,on,on,on,on,on,on," "on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on" MaskVarAliasString ",,,,,,,,,,,,,,,,,,,,,,,,,,,,," MaskVariables "arith_type_sgadvanced=&1;arith_type=@2;" "const=@3;n_bits=@4;bin_pt=@5;explicit_period_sgadvanced=&6;explicit_period=@7" ";period=@8;dsp48_infoedit=&9;equ=&10;opselect_sgadvanced=&11;opselect=@12;inp" "2_sgadvanced=&13;inp2=@14;opr_sgadvanced=&15;opr=@16;inp1_sgadvanced=&17;inp1" "=@18;carry_sgadvanced=&19;carry=@20;dbl_ovrd_sgadvanced=&21;dbl_ovrd=@22;has_" "advanced_control=@23;sggui_pos=&24;block_type=&25;block_version=&26;sg_icon_s" "tat=&27;sg_mask_display=&28;sg_list_contents=&29;sg_blockgui_xml=&30;" MaskInitialization "try\n tmp_gcb = gcb;\n tmp_gcbh = gcb" "h;\n xlMungeMaskParams;\n block_config = 'Sysgen:Constant_config';\n seria" "lized_declarations = '{''arith_type''=>''Int'',''bin_pt''=>''Int'',''carry''=" ">''Int'',''const''=>''Double'',''dbl_ovrd''=>''Int'',''explicit_period''=>''I" "nt'',''inp1''=>''Int'',''inp2''=>''Int'',''n_bits''=>''Int'',''opr''=>''Int''" ",''opselect''=>''Int'',''period''=>''Double''}';\n xlconstant_init();\n pta" "ble_ = xlblockprep(get_param(tmp_gcb, 'MaskWSVariables'));\ncatch\n global x" "l_report_errors;\n if(~isempty(xl_report_errors) && xl_report_errors)\n e" " = regexprep(lasterr, '\\n', '\\nError: ');\n disp(['Error: While running " "MaskInit code on block ' tmp_gcb ': ' e]);\n error(e);\n end\nend\n" MaskDisplay "fprintf('','COMMENT: begin icon graphic" "s');\npatch([0 55 55 0 ],[0 0 26 26 ],[0.77 0.82 0.91]);\npatch([20 16 22 16 " "20 27 29 31 38 32 26 22 28 22 26 32 38 31 29 27 20 ],[3 7 13 19 23 23 21 23 2" "3 17 23 19 13 7 3 9 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 0 55 55 0 ],[0 26" " 26 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: " "begin icon text');\ncolor('black');port_label('output',1,'3');\nfprintf('','C" "OMMENT: end icon text');\n" MaskSelfModifiable on MaskIconFrame off MaskIconOpaque on MaskIconRotate "none" MaskIconUnits "autoscale" MaskValueString "|Unsigned|3|8|0||on|1|The use of this b" "lock for DSP48 instructions is deprecated. Please use the Opmode block.|P=C|" "|C||PCIN>>17||+||P||CIN||off|0|-1,-1,-1,-1|constant|8.2.02|55,26,0,1,white,bl" "ue,0,22938a96|fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 ]" ",[0 0 26 26 ],[0.77 0.82 0.91]);\npatch([20 16 22 16 20 27 29 31 38 32 26 22 " "28 22 26 32 38 31 29 27 20 ],[3 7 13 19 23 23 21 23 23 17 23 19 13 7 3 9 3 3 " "5 3 3 ],[0.98 0.96 0.92]);\nplot([0 0 55 55 0 ],[0 26 26 0 0 ]);\nfprintf(''," "'COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolo" "r('black');port_label('output',1,'3');\nfprintf('','COMMENT: end icon text');" "\n||\n \n \n \n \n \n \n \n \n \n \n " "\n \n \n \n \n " " \n \n \n \n \n \n \n \n \n \n \n" " \n \n \n \n \n \n \n \n \n" " \n \n " "\n \n \n \n " "\n \n \n >17\" >\n \n \n \n \n " " >17\" />\n >17\" />\n \n \n \n \n \n \n \n \n \n \n \n " "\n \n \n " "\n \n \n \n \n \n \n " " \n \n \n \n \n \n \n \n \n \n \n\n" MaskTabNameString ",,,,,,,,,,,,,,,,,,,,,,,,,,,,," } Block { BlockType "S-Function" Name "Constant2" Ports [0, 1] Position [190, 182, 245, 208] CopyFcn "xlBlockMoveCallback(gcbh);" DeleteFcn "xlDestroyGui(gcbh);" LoadFcn "xlBlockLoadCallback(gcbh);" ModelCloseFcn "xlDestroyGui(gcbh);" PreSaveFcn "xlBlockPreSaveCallback(gcbh);" PostSaveFcn "xlBlockPostSaveCallback(gcbh);" DestroyFcn "xlDestroyGui(gcbh);" OpenFcn "xlOpenGui(gcbh, @constantenablement, @c" "onstantaction)" CloseFcn "xlDestroyGui(gcbh);" MoveFcn "xlBlockMoveCallback(gcbh);" FunctionName "sysgen" Parameters "ptable_" MaskType "Xilinx Constant Block Block" MaskDescription "Xilinx Constant Block" MaskHelp "eval('xlWeb(xlhtmldoclink(''Constant'')" ")');" MaskPromptString " |Type|Constant value|Number of bits|Bi" "nary point| |Sampled constant|Sample period| |DSP48 operation| |Operation sel" "ect| |Z mux| |Operand| |YX muxes| |Carry input| |Override with doubles| | | |" " | | | | " MaskStyleString "edit,popup(Boolean|Signed (2's comp)|Un" "signed|DSP48 Instruction),edit,edit,edit,edit,checkbox,edit,edit,edit,edit,po" "pup(C + A*B|PCIN + A*B|P + A*B|A * B|C + A:B|C - A:B|C|Custom),edit,popup(0|P" "CIN|P|C|PCIN>>17|P>>17),edit,popup(+|-),edit,popup(0|P|A:B|A*B|C|P+C|A:B+C),e" "dit,popup(0|1|CIN|~SIGN(P or PCIN)|~SIGN(A*B or A:B)|~SIGND(A*B or A:B)),edit" ",checkbox,edit,edit,edit,edit,edit,edit,edit,edit" MaskTunableValueString "on,on,on,on,on,on,on,on,on,on,on,on,on," "on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on" MaskCallbackString "|||||||||||||||||||||||||||||" MaskEnableString "on,on,on,on,on,on,on,on,on,on,on,on,on," "on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on" MaskVisibilityString "off,on,on,on,on,off,on,on,off,on,off,on" ",off,on,off,on,off,on,off,on,off,on,off,off,off,off,off,off,off,off" MaskToolTipString "on,on,on,on,on,on,on,on,on,on,on,on,on," "on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on" MaskVarAliasString ",,,,,,,,,,,,,,,,,,,,,,,,,,,,," MaskVariables "arith_type_sgadvanced=&1;arith_type=@2;" "const=@3;n_bits=@4;bin_pt=@5;explicit_period_sgadvanced=&6;explicit_period=@7" ";period=@8;dsp48_infoedit=&9;equ=&10;opselect_sgadvanced=&11;opselect=@12;inp" "2_sgadvanced=&13;inp2=@14;opr_sgadvanced=&15;opr=@16;inp1_sgadvanced=&17;inp1" "=@18;carry_sgadvanced=&19;carry=@20;dbl_ovrd_sgadvanced=&21;dbl_ovrd=@22;has_" "advanced_control=@23;sggui_pos=&24;block_type=&25;block_version=&26;sg_icon_s" "tat=&27;sg_mask_display=&28;sg_list_contents=&29;sg_blockgui_xml=&30;" MaskInitialization "try\n tmp_gcb = gcb;\n tmp_gcbh = gcb" "h;\n xlMungeMaskParams;\n block_config = 'Sysgen:Constant_config';\n seria" "lized_declarations = '{''arith_type''=>''Int'',''bin_pt''=>''Int'',''carry''=" ">''Int'',''const''=>''Double'',''dbl_ovrd''=>''Int'',''explicit_period''=>''I" "nt'',''inp1''=>''Int'',''inp2''=>''Int'',''n_bits''=>''Int'',''opr''=>''Int''" ",''opselect''=>''Int'',''period''=>''Double''}';\n xlconstant_init();\n pta" "ble_ = xlblockprep(get_param(tmp_gcb, 'MaskWSVariables'));\ncatch\n global x" "l_report_errors;\n if(~isempty(xl_report_errors) && xl_report_errors)\n e" " = regexprep(lasterr, '\\n', '\\nError: ');\n disp(['Error: While running " "MaskInit code on block ' tmp_gcb ': ' e]);\n error(e);\n end\nend\n" MaskDisplay "fprintf('','COMMENT: begin icon graphic" "s');\npatch([0 55 55 0 ],[0 0 26 26 ],[0.77 0.82 0.91]);\npatch([20 16 22 16 " "20 27 29 31 38 32 26 22 28 22 26 32 38 31 29 27 20 ],[3 7 13 19 23 23 21 23 2" "3 17 23 19 13 7 3 9 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 0 55 55 0 ],[0 26" " 26 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: " "begin icon text');\ncolor('black');port_label('output',1,'10');\nfprintf('','" "COMMENT: end icon text');\n" MaskSelfModifiable on MaskIconFrame off MaskIconOpaque on MaskIconRotate "none" MaskIconUnits "autoscale" MaskValueString "|Unsigned|10|8|0||on|1|The use of this " "block for DSP48 instructions is deprecated. Please use the Opmode block.|P=C" "||C||PCIN>>17||+||P||CIN||off|0|-1,-1,-1,-1|constant|8.2.02|55,26,0,1,white,b" "lue,0,55aae6ff|fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 " "],[0 0 26 26 ],[0.77 0.82 0.91]);\npatch([20 16 22 16 20 27 29 31 38 32 26 22" " 28 22 26 32 38 31 29 27 20 ],[3 7 13 19 23 23 21 23 23 17 23 19 13 7 3 9 3 3" " 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 0 55 55 0 ],[0 26 26 0 0 ]);\nfprintf(''" ",'COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncol" "or('black');port_label('output',1,'10');\nfprintf('','COMMENT: end icon text'" ");\n||\n <" "initialization file=\"xlconstant_init.m\" />\n \n \n \n \n \n <" "library name=\"xbsBasic\" />\n \n \n \n \n \n \n \n \n " " \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n " "\n \n \n " " \n \n \n \n \n \n \n >17\" >\n \n \n \n \n" " >17\" />\n >17\" />\n \n \n \n \n \n \n \n \n \n \n \n " "\n \n \n " "\n \n \n \n \n \n \n " " \n \n \n \n \n \n \n \n \n \n \n\n" MaskTabNameString ",,,,,,,,,,,,,,,,,,,,,,,,,,,,," } Block { BlockType Outport Name "Start" Position [430, 73, 460, 87] IconDisplay "Port number" BusOutputAsStruct off } Block { BlockType Outport Name "End" Position [430, 173, 460, 187] Port "2" IconDisplay "Port number" BusOutputAsStruct off } Line { SrcBlock "AddSub1" SrcPort 1 DstBlock "End" DstPort 1 } Line { SrcBlock "AddSub" SrcPort 1 DstBlock "Start" DstPort 1 } Line { SrcBlock "Constant2" SrcPort 1 DstBlock "AddSub1" DstPort 2 } Line { SrcBlock "Constant1" SrcPort 1 Points [45, 0] DstBlock "AddSub" DstPort 2 } Line { SrcBlock "Concat6" SrcPort 1 Points [25, 0] Branch { Points [0, 100] DstBlock "AddSub1" DstPort 1 } Branch { DstBlock "AddSub" DstPort 1 } } Line { SrcBlock "Constant" SrcPort 1 DstBlock "Concat6" DstPort 2 } Line { SrcBlock "4bits" SrcPort 1 DstBlock "Concat6" DstPort 1 } } } Block { BlockType Outport Name "Data" Position [2530, 563, 2560, 577] IconDisplay "Port number" BusOutputAsStruct off } Block { BlockType Outport Name "StartTransfer" Position [1335, 958, 1365, 972] Port "2" IconDisplay "Port number" BusOutputAsStruct off } Line { SrcBlock "Constant" SrcPort 1 Points [35, 0; 0, 20] DstBlock "SMWO_LCD_CharacterMap" DstPort 2 } Line { SrcBlock "Constant1" SrcPort 1 DstBlock "SMWO_LCD_CharacterMap" DstPort 3 } Line { SrcBlock "Mux" SrcPort 1 Points [75, 0; 0, -30] DstBlock "Concat" DstPort 2 } Line { SrcBlock "Slice5" SrcPort 1 Points [0, 0; 50, 0] Branch { DstBlock "Slice1" DstPort 1 } Branch { Points [0, 0] Branch { Points [0, 50; 345, 0; 0, -40] DstBlock "Mux" DstPort 3 } Branch { Points [0, -50] DstBlock "Slice" DstPort 1 } } } Line { SrcBlock "Slice1" SrcPort 1 DstBlock "Inverter" DstPort 1 } Line { SrcBlock "Slice" SrcPort 1 Points [65, 0; 0, 10] DstBlock "Concat1" DstPort 1 } Line { SrcBlock "Inverter" SrcPort 1 Points [20, 0; 0, -10] DstBlock "Concat1" DstPort 2 } Line { SrcBlock "Concat1" SrcPort 1 DstBlock "Mux" DstPort 2 } Line { SrcBlock "InvertRamAddr" SrcPort 1 Points [25, 0; 0, 40] DstBlock "Mux" DstPort 1 } Line { SrcBlock "Concat" SrcPort 1 Points [85, 0; 0, 55] DstBlock "SMWO_LCD_CharacterMap" DstPort 1 } Line { SrcBlock "Constant2" SrcPort 1 Points [35, 0; 0, 20] DstBlock "SMWO_LCD_Characters" DstPort 2 } Line { SrcBlock "Constant3" SrcPort 1 DstBlock "SMWO_LCD_Characters" DstPort 3 } Line { SrcBlock "SMWO_LCD_Characters" SrcPort 1 Points [50, 0; 0, 225] DstBlock "Concat" DstPort 1 } Line { SrcBlock "Counter1" SrcPort 1 Points [0, 0; 15, 0] Branch { DstBlock "Slice4" DstPort 1 } Branch { Points [0, 285] Branch { DstBlock "Slice5" DstPort 1 } Branch { Points [0, 65] Branch { DstBlock "Slice6" DstPort 1 } Branch { Points [0, 130] Branch { DstBlock "Slice7" DstPort 1 } Branch { Points [0, 330; 1445, 0; 0, -355] Branch { DstBlock "Slice11" DstPort 1 } Branch { Points [0, -105] DstBlock "Slice10" DstPort 1 } } } } } } Line { SrcBlock "Slice3" SrcPort 1 DstBlock "Inverter1" DstPort 1 } Line { SrcBlock "Slice2" SrcPort 1 Points [65, 0; 0, 10] DstBlock "Concat2" DstPort 1 } Line { SrcBlock "Inverter1" SrcPort 1 Points [20, 0; 0, -10] DstBlock "Concat2" DstPort 2 } Line { SrcBlock "Concat2" SrcPort 1 DstBlock "Mux1" DstPort 2 } Line { SrcBlock "InvertRamAddr1" SrcPort 1 Points [30, 0; 0, 40] DstBlock "Mux1" DstPort 1 } Line { SrcBlock "Slice4" SrcPort 1 Points [0, 0; 10, 0] Branch { Points [0, 0] Branch { Points [0, 50; 370, 0] DstBlock "Mux1" DstPort 3 } Branch { Points [0, -50] DstBlock "Slice2" DstPort 1 } } Branch { DstBlock "Slice3" DstPort 1 } } Line { SrcBlock "TransferDone" SrcPort 1 Points [0, 0] DstBlock "Logical" DstPort 1 } Line { SrcBlock "CmdsDone" SrcPort 1 Points [0, 0] DstBlock "Logical" DstPort 2 } Line { SrcBlock "Reset" SrcPort 1 Points [0, 0] DstBlock "Counter1" DstPort 1 } Line { SrcBlock "Delay" SrcPort 1 Points [0, 0; 35, 0] Branch { DstBlock "StartTransfer" DstPort 1 } Branch { Points [0, -50] DstBlock "Scope2" DstPort 2 } } Line { SrcBlock "Slice6" SrcPort 1 DstBlock "Relational" DstPort 1 } Line { SrcBlock "Constant4" SrcPort 1 Points [5, 0; 0, -20] DstBlock "Relational" DstPort 2 } Line { SrcBlock "Slice7" SrcPort 1 DstBlock "Relational1" DstPort 1 } Line { SrcBlock "Constant5" SrcPort 1 Points [5, 0; 0, -20] DstBlock "Relational1" DstPort 2 } Line { SrcBlock "Relational" SrcPort 1 Points [15, 0; 0, 25] Branch { DstBlock "Convert" DstPort 1 } Branch { Points [360, 0] Branch { DstBlock "Pos_Edge_Detector" DstPort 1 } Branch { Points [0, 105] DstBlock "Subsystem" DstPort 1 } } } Line { SrcBlock "Relational1" SrcPort 1 DstBlock "Convert1" DstPort 1 } Line { SrcBlock "Convert" SrcPort 1 DstBlock "Concat3" DstPort 1 } Line { SrcBlock "Convert1" SrcPort 1 Points [15, 0; 0, -50] DstBlock "Concat3" DstPort 2 } Line { SrcBlock "Mux2" SrcPort 1 DstBlock "Counter" DstPort 2 } Line { SrcBlock "Concat3" SrcPort 1 Points [0, 0] DstBlock "Mux2" DstPort 1 } Line { SrcBlock "Constant6" SrcPort 1 Points [0, 0; 15, 0] Branch { DstBlock "Mux2" DstPort 2 } Branch { Points [0, 20] DstBlock "Mux2" DstPort 3 } } Line { SrcBlock "Constant7" SrcPort 1 Points [0, 0] DstBlock "Mux2" DstPort 4 } Line { SrcBlock "Constant8" SrcPort 1 Points [20, 0; 0, -20] DstBlock "Mux2" DstPort 5 } Line { SrcBlock "Logical" SrcPort 1 Points [0, 0; 35, 0] Branch { Points [0, 20] Branch { Points [0, 125] DstBlock "Delay" DstPort 1 } Branch { DstBlock "Logical1" DstPort 2 } } Branch { Points [0, -95] DstBlock "Subsystem" DstPort 2 } } Line { SrcBlock "Counter" SrcPort 1 Points [5, 0; 0, 15] Branch { Points [0, 60] DstBlock "Relational2" DstPort 1 } Branch { Points [620, 0; 0, -260] DstBlock "Mux3" DstPort 1 } } Line { SrcBlock "Constant9" SrcPort 1 DstBlock "Relational2" DstPort 2 } Line { SrcBlock "Relational2" SrcPort 1 Points [10, 0; 0, 45] Branch { DstBlock "Logical1" DstPort 1 } Branch { DstBlock "Inverter2" DstPort 1 } } Line { SrcBlock "Logical1" SrcPort 1 Points [15, 0; 0, 65; -1130, 0; 0, -670] DstBlock "Counter1" DstPort 2 } Line { SrcBlock "Pos_Edge_Detector" SrcPort 1 Points [15, 0; 0, 60] DstBlock "Counter" DstPort 1 } Line { SrcBlock "Subsystem" SrcPort 1 Points [5, 0; 0, -30] DstBlock "Counter" DstPort 3 } Line { SrcBlock "Inverter2" SrcPort 1 Points [-30, 0; 0, -60] DstBlock "Subsystem" DstPort 3 } Line { SrcBlock "Mux3" SrcPort 1 Points [0, 0; 10, 0] Branch { Points [15, 0] Branch { Points [0, 25] DstBlock "Slice8" DstPort 1 } Branch { Points [0, -25] DstBlock "Slice9" DstPort 1 } } Branch { Points [0, 275] DstBlock "Scope2" DstPort 1 } } Line { SrcBlock "Slice9" SrcPort 1 DstBlock "Inverter3" DstPort 1 } Line { SrcBlock "Inverter3" SrcPort 1 Points [5, 0; 0, 10] DstBlock "Concat4" DstPort 1 } Line { SrcBlock "Slice8" SrcPort 1 Points [90, 0; 0, -10] DstBlock "Concat4" DstPort 2 } Line { SrcBlock "Concat4" SrcPort 1 Points [0, 0] DstBlock "Data" DstPort 1 } Line { SrcBlock "Concat5" SrcPort 1 Points [0, -35] DstBlock "Mux3" DstPort 2 } Line { SrcBlock "Constant10" SrcPort 1 Points [5, 0; 0, 25] DstBlock "Concat5" DstPort 1 } Line { SrcBlock "SMWO_LCD_CharacterMap" SrcPort 1 Points [50, 0; 0, 15] DstBlock "Concat5" DstPort 2 } Line { SrcBlock "Subsystem1" SrcPort 1 DstBlock "Mux3" DstPort 4 } Line { SrcBlock "Subsystem1" SrcPort 2 DstBlock "Mux3" DstPort 5 } Line { SrcBlock "Subsystem2" SrcPort 1 DstBlock "Mux3" DstPort 7 } Line { SrcBlock "Subsystem2" SrcPort 2 DstBlock "Mux3" DstPort 8 } Line { SrcBlock "Slice10" SrcPort 1 Points [0, 0] DstBlock "Subsystem1" DstPort 1 } Line { SrcBlock "Slice11" SrcPort 1 Points [0, 0] DstBlock "Subsystem2" DstPort 1 } Line { SrcBlock "Concat6" SrcPort 1 Points [25, 0; 0, 55] DstBlock "SMWO_LCD_Characters" DstPort 1 } Line { SrcBlock "Mux1" SrcPort 1 Points [50, 0; 0, -65] DstBlock "Concat6" DstPort 2 } Line { SrcBlock "SMWOAddr_gwin_sm2" SrcPort 1 DstBlock "SMWOAddr_gwin_slice_sm2" DstPort 1 } Line { SrcBlock "SMWOAddr_gwin_slice_sm2" SrcPort 1 DstBlock "SMWO_LCD_CharacterMap" DstPort 4 } Line { SrcBlock "SMWODataI_gwin_sm2" SrcPort 1 DstBlock "SMWODataI_gwin_force_sm2" DstPort 1 } Line { SrcBlock "SMWODataI_gwin_force_sm2" SrcPort 1 DstBlock "SMWO_LCD_CharacterMap" DstPort 5 } Line { SrcBlock "SMWOWE_gwin_sm2" SrcPort 1 DstBlock "SMWO_LCD_CharacterMap" DstPort 6 } Line { SrcBlock "SMWOAddr_gwin1_sm3" SrcPort 1 DstBlock "SMWOAddr_gwin1_slice_sm3" DstPort 1 } Line { SrcBlock "SMWOAddr_gwin1_slice_sm3" SrcPort 1 DstBlock "SMWO_LCD_Characters" DstPort 4 } Line { SrcBlock "SMWODataI_gwin1_sm3" SrcPort 1 DstBlock "SMWODataI_gwin1_force_sm3" DstPort 1 } Line { SrcBlock "SMWODataI_gwin1_force_sm3" SrcPort 1 DstBlock "SMWO_LCD_Characters" DstPort 5 } Line { SrcBlock "SMWOWE_gwin1_sm3" SrcPort 1 DstBlock "SMWO_LCD_Characters" DstPort 6 } Line { SrcBlock "From_Register1_0_opb5" SrcPort 1 DstBlock "Mux3" DstPort 6 } Line { SrcBlock "From_Register2_0_opb6" SrcPort 1 DstBlock "Mux3" DstPort 9 } Line { SrcBlock "From_Register3_0_opb7" SrcPort 1 DstBlock "Mux3" DstPort 3 } Line { SrcBlock "From_Register4_0_opb8" SrcPort 1 DstBlock "Concat6" DstPort 1 } Annotation { Name "Address the RAM as 3 2 1 0 7 6 5 4 ..." Position [916, 405] UseDisplayTextAsClickCallback off } Annotation { Name "We invert the first bit because 0 means com" "mand and 1 is data\nThe user inputs the opposite in the PowerPC" Position [2337, 488] UseDisplayTextAsClickCallback off } } } Block { BlockType "S-Function" Name "Delay" Ports [1, 1] Position [515, 42, 575, 98] CopyFcn "xlBlockMoveCallback(gcbh);" DeleteFcn "xlDestroyGui(gcbh);" LoadFcn "xlBlockLoadCallback(gcbh);" ModelCloseFcn "xlDestroyGui(gcbh);" PreSaveFcn "xlBlockPreSaveCallback(gcbh);" PostSaveFcn "xlBlockPostSaveCallback(gcbh);" DestroyFcn "xlDestroyGui(gcbh);" OpenFcn "xlOpenGui(gcbh, @xlmagicenablement, -1)" CloseFcn "xlDestroyGui(gcbh);" MoveFcn "xlBlockMoveCallback(gcbh);" FunctionName "sysgen" Parameters "ptable_" MaskType "Xilinx Delay Block" MaskDescription "Hardware notes: A delay line is a chain, each l" "ink of which is an SRL16 followed by a flip-flop. If register retiming is ena" "bled, the delay line is a chain of flip-flops." MaskHelp "eval('xlWeb(xlhtmldoclink(''Delay''))');" MaskPromptString " | |Provide enable port|Latency| |Override with" " doubles| |Enable register retiming| |Define FPGA area for resource estimatio" "n|FPGA area [slices, FFs, BRAMs, LUTs, IOBs, emb. mults, TBUFs]| | | | | | | " "| " MaskStyleString "edit,edit,checkbox,edit,edit,checkbox,edit,chec" "kbox,edit,checkbox,edit,edit,edit,edit,edit,edit,edit,edit,edit" MaskTunableValueString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on" ",on,on,on" MaskCallbackString "||||||||||||||||||" MaskEnableString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on" ",on,on,on" MaskVisibilityString "off,off,on,on,off,on,off,on,off,on,on,off,off,o" "ff,off,off,off,off,off" MaskToolTipString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on" ",on,on,on" MaskVarAliasString ",,,,,,,,,,,,,,,,,," MaskVariables "infoedit=&1;en_sgadvanced=&2;en=@3;latency=@4;d" "bl_ovrd_sgadvanced=&5;dbl_ovrd=@6;reg_retiming_sgadvanced=&7;reg_retiming=@8;" "xl_use_area_sgadvanced=&9;xl_use_area=@10;xl_area=@11;has_advanced_control=@1" "2;sggui_pos=&13;block_type=&14;block_version=&15;sg_icon_stat=&16;sg_mask_dis" "play=&17;sg_list_contents=&18;sg_blockgui_xml=&19;" MaskInitialization "try\n tmp_gcb = gcb;\n tmp_gcbh = gcbh;\n xl" "MungeMaskParams;\n block_config = 'Sysgen:Delay_config';\n serialized_decla" "rations = '{''dbl_ovrd''=>''Int'',''en''=>''Int'',''latency''=>''Int'',''reg_" "retiming''=>''Int''}';\n ptable_ = xlblockprep(get_param(tmp_gcb, 'MaskWSVar" "iables'));\ncatch\n global xl_report_errors;\n if(~isempty(xl_report_errors" ") && xl_report_errors)\n e = regexprep(lasterr, '\\n', '\\nError: ');\n " " disp(['Error: While running MaskInit code on block ' tmp_gcb ': ' e]);\n " "error(e);\n end\nend\n" MaskDisplay "fprintf('','COMMENT: begin icon graphics');\npa" "tch([0 60 60 0 ],[0 0 56 56 ],[0.77 0.82 0.91]);\npatch([15 6 19 6 15 30 34 3" "8 54 41 29 20 32 20 29 41 54 38 34 30 15 ],[6 15 28 41 50 50 46 50 50 37 49 4" "0 28 16 7 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 0 60 60 0 ],[0 56 56 0 " "0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin " "icon text');\ncolor('black');disp('z^{-4}','texmode','on');\nfprintf('','COMM" "ENT: end icon text');\n" MaskSelfModifiable on MaskIconFrame off MaskIconOpaque on MaskIconRotate "none" MaskIconUnits "autoscale" MaskValueString "Hardware notes: A delay line is a chain, each l" "ink of which is an SRL16 followed by a flip-flop. If register retiming is ena" "bled, the delay line is a chain of flip-flops.||off|4||off||off||off|[0,0,0,0" ",0,0,0]|0|20,20,356,256|delay|8.2.02|60,56,1,1,white,blue,0,c2217bc4|fprintf(" "'','COMMENT: begin icon graphics');\npatch([0 60 60 0 ],[0 0 56 56 ],[0.77 0." "82 0.91]);\npatch([15 6 19 6 15 30 34 38 54 41 29 20 32 20 29 41 54 38 34 30 " "15 ],[6 15 28 41 50 50 46 50 50 37 49 40 28 16 7 19 6 6 10 6 6 ],[0.98 0.96 0" ".92]);\nplot([0 0 60 60 0 ],[0 56 56 0 0 ]);\nfprintf('','COMMENT: end icon g" "raphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');disp('z^{" "-4}','texmode','on');\nfprintf('','COMMENT: end icon text');\n||\n \n \n \n" " \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n " "\n \n \n \n \n \n" "\n" MaskTabNameString ",,,,,,,,,,,,,,,,,," } Block { BlockType From Name "From_Register1_1_opb10" Position [550, 235, 595, 285] GotoTag "in_10" } Block { BlockType From Name "From_Register2_1_opb11" Position [550, 325, 595, 375] GotoTag "in_11" } Block { BlockType From Name "From_Register3_1_opb12" Position [585, 470, 630, 520] GotoTag "in_12" } Block { BlockType From Name "From_Register4_1_opb13" Position [1175, 440, 1220, 490] GotoTag "in_13" } Block { BlockType From Name "From_Register5_0_opb14" Position [245, 410, 290, 460] GotoTag "in_14" } Block { BlockType From Name "From_Register6_opb15" Position [425, 45, 470, 95] GotoTag "in_15" } Block { BlockType From Name "From_Register7_opb16" Position [585, 680, 630, 730] GotoTag "in_16" } Block { BlockType From Name "From_Register_opb9" Position [165, 900, 210, 950] GotoTag "in_9" } Block { BlockType "S-Function" Name "Logical1" Ports [2, 1] Position [255, 855, 310, 915] CopyFcn "xlBlockMoveCallback(gcbh);" DeleteFcn "xlDestroyGui(gcbh);" LoadFcn "xlBlockLoadCallback(gcbh);" ModelCloseFcn "xlDestroyGui(gcbh);" PreSaveFcn "xlBlockPreSaveCallback(gcbh);" PostSaveFcn "xlBlockPostSaveCallback(gcbh);" DestroyFcn "xlDestroyGui(gcbh);" OpenFcn "xlOpenGui(gcbh, @logicalenablement, -1)" CloseFcn "xlDestroyGui(gcbh);" MoveFcn "xlBlockMoveCallback(gcbh);" FunctionName "sysgen" Parameters "ptable_" MaskType "Xilinx Logical Block Block" MaskDescription "Xilinx Logical Block" MaskHelp "eval('xlWeb(xlhtmldoclink(''Logical''))');" MaskPromptString " |Logical function |Number of inputs | |Provid" "e enable port|Latency| |Precision| |Output Type|Number of bits|Binary point| " "|Align binary point| |Override with doubles| |Define FPGA area for resource e" "stimation|FPGA area [slices, FFs, BRAMs, LUTs, IOBs, emb. mults, TBUFs]| | | " "| | | | | | | " MaskStyleString "edit,popup(AND|NAND|OR|NOR|XOR|XNOR),edit,edit," "checkbox,edit,edit,popup(Full|User Defined),edit,popup(Signed (2's comp)|Uns" "igned),edit,edit,edit,checkbox,edit,checkbox,edit,checkbox,edit,edit,edit,edi" "t,edit,edit,edit,edit,edit,edit,edit" MaskTunableValueString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on" ",on,on,on,on,on,on,on,on,on,on,on,on,on" MaskCallbackString "||||||||||||||||||||||||||||" MaskEnableString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on" ",on,on,on,on,on,on,on,on,on,on,on,on,on" MaskVisibilityString "off,on,on,off,on,on,off,on,off,on,on,on,off,on," "off,on,off,on,on,off,off,off,off,off,off,off,off,off,off" MaskToolTipString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on" ",on,on,on,on,on,on,on,on,on,on,on,on,on" MaskVarAliasString ",,,,,,,,,,,,,,,,,,,,,,,,,,,," MaskVariables "logical_function_sgadvanced=&1;logical_function" "=@2;inputs=@3;en_sgadvanced=&4;en=@5;latency=@6;precision_sgadvanced=&7;preci" "sion=@8;arith_type_sgadvanced=&9;arith_type=@10;n_bits=@11;bin_pt=@12;align_b" "p_sgadvanced=&13;align_bp=@14;dbl_ovrd_sgadvanced=&15;dbl_ovrd=@16;xl_use_are" "a_sgadvanced=&17;xl_use_area=@18;xl_area=@19;overflow=@20;quantization=@21;ha" "s_advanced_control=@22;sggui_pos=&23;block_type=&24;block_version=&25;sg_icon" "_stat=&26;sg_mask_display=&27;sg_list_contents=&28;sg_blockgui_xml=&29;" MaskInitialization "try\n tmp_gcb = gcb;\n tmp_gcbh = gcbh;\n xl" "MungeMaskParams;\n block_config = 'Sysgen:Logical_config';\n serialized_dec" "larations = '{''arith_type''=>''Int'',''bin_pt''=>''Int'',''en''=>''Int'',''i" "nputs''=>''Int'',''latency''=>''Int'',''logical_function''=>''Int'',''n_bits'" "'=>''Int'',''precision''=>''Int'',''sim_engine''=>''Int'',''sysgen_root''=>''" "String''}';\n xllogical_init();\n ptable_ = xlblockprep(get_param(tmp_gcb, " "'MaskWSVariables'));\ncatch\n global xl_report_errors;\n if(~isempty(xl_rep" "ort_errors) && xl_report_errors)\n e = regexprep(lasterr, '\\n', '\\nError" ": ');\n disp(['Error: While running MaskInit code on block ' tmp_gcb ': ' " "e]);\n error(e);\n end\nend\n" MaskDisplay "fprintf('','COMMENT: begin icon graphics');\npa" "tch([0 55 55 0 ],[0 0 60 60 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13 28 32 3" "6 52 40 28 19 32 19 28 40 52 36 32 28 13 ],[8 17 30 43 52 52 48 52 52 40 52 4" "3 30 17 8 20 8 8 12 8 8 ],[0.98 0.96 0.92]);\nplot([0 0 55 55 0 ],[0 60 60 0 " "0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin " "icon text');\ncolor('black');disp('\\newlineor\\newlinez^{-0}','texmode','on'" ");\nfprintf('','COMMENT: end icon text');\n" MaskSelfModifiable on MaskIconFrame off MaskIconOpaque on MaskIconRotate "none" MaskIconUnits "autoscale" MaskValueString "|OR|2||off|0||Full||Unsigned|16|0||on||off||off" "|[0,0,0,0,0,0,0]|||0|-1,-1,-1,-1|logical|8.2.02|55,60,2,1,white,blue,0,f4a658" "42|fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 ],[0 0 60 60" " ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13 28 32 36 52 40 28 19 32 19 28 40 5" "2 36 32 28 13 ],[8 17 30 43 52 52 48 52 52 40 52 43 30 17 8 20 8 8 12 8 8 ],[" "0.98 0.96 0.92]);\nplot([0 0 55 55 0 ],[0 60 60 0 0 ]);\nfprintf('','COMMENT:" " end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black'" ");disp('\\newlineor\\newlinez^{-0}','texmode','on');\nfprintf('','COMMENT: en" "d icon text');\n||\n \n \n \n \n \n \n \n \n \n" " \n \n \n <" "tab name=\"basictab\" label=\"Basic\" >\n \n \n \n \n " " \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n " "\n \n \n \n \n \n \n \n " " \n \n \n \n \n" " \n \n \n \n \n \n \n \n \n \n\n" MaskTabNameString ",,,,,,,,,,,,,,,,,,,,,,,,,,,," } Block { BlockType SubSystem Name "Memory Mapped I_O" Ports [] Position [124, 205, 164, 265] TreatAsAtomicUnit off MinAlgLoopOccurrences off RTWSystemCode "Auto" MaskHideContents off System { Name "Memory Mapped I_O" Location [198, 167, 1360, 994] Open off ModelBrowserVisibility off ModelBrowserWidth 200 ScreenColor "white" PaperOrientation "landscape" PaperPositionMode "auto" PaperType "usletter" PaperUnits "inches" ZoomFactor "100" Block { BlockType Reference Name "Constant2" Ports [0, 1] Position [1015, 1050, 1050, 1070] ShowName off SourceBlock "xbsIndex_r4/Constant" SourceType "Xilinx Constant Block Block" arith_type "Unsigned" const "0" n_bits "1" bin_pt "0" explicit_period on period "1" dsp48_infoedit "The use of this block for DSP48 instruction" "s is deprecated. Please use the Opmode block." equ "P=C" opselect "C" inp2 "PCIN>>17" opr "+" inp1 "P" carry "CIN" dbl_ovrd off has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "constant" block_version "VER_STRING_GOES_HERE" sg_icon_stat "35,20,0,1,white,blue,0,c7c04a0c" sg_mask_display "fprintf('','COMMENT: begin icon graphics ')" ";\npatch([0 35 35 0 ],[0 0 20 20 ],[0.77 0.82 0.91]);\npatch([12 9 14 9 12 17" " 18 19 25 21 17 14 19 14 17 21 25 19 18 17 12 ],[2 5 10 15 18 18 17 18 18 14 " "18 15 10 5 2 6 2 2 3 2 2 ],[0.98 0.96 0.92]);\nplot([0 0 35 35 0 ],[0 20 20 0" " 0 ]);\nfprintf('','COMMENT: end icon graphics');\n\nfprintf('','COMMENT: beg" "in icon text ');\ncolor('black');port_label('output',1,'0');\nfprintf('','COM" "MENT: end icon text');\nfprintf('','COMMENT: Make no changes above this line " "-- machine generated code. ');\n" } Block { BlockType From Name "From" Position [50, 610, 85, 630] CloseFcn "tagdialog Close" GotoTag "ps" Port { PortNumber 1 Name "decode" PropagatedSignals "opb addr" RTWStorageClass "Auto" DataLoggingNameMode "SignalName" } } Block { BlockType Goto Name "Goto" Position [275, 28, 315, 52] NamePlacement "alternate" ShowName off GotoTag "addr" TagVisibility "global" } Block { BlockType Goto Name "Goto1" Position [275, 145, 320, 165] ShowName off GotoTag "a_valid" TagVisibility "global" } Block { BlockType SubSystem Name "IP2OPB_IF" Ports [5] Position [1240, 911, 1320, 1079] TreatAsAtomicUnit off MinAlgLoopOccurrences off RTWSystemCode "Auto" MaskHideContents off System { Name "IP2OPB_IF" Location [175, 313, 603, 832] Open off ModelBrowserVisibility off ModelBrowserWidth 200 ScreenColor "white" PaperOrientation "landscape" PaperPositionMode "auto" PaperType "usletter" PaperUnits "inches" ZoomFactor "100" Block { BlockType Inport Name "SGP_DBus_In" Position [60, 33, 90, 47] IconDisplay "Port number" } Block { BlockType Inport Name "SGP_xferAck_In" Position [60, 128, 90, 142] Port "2" IconDisplay "Port number" } Block { BlockType Inport Name "SGP_retry_In" Position [60, 193, 90, 207] Port "3" IconDisplay "Port number" } Block { BlockType Inport Name "SGP_toutSup_In" Position [60, 253, 90, 267] Port "4" IconDisplay "Port number" } Block { BlockType Inport Name "SGP_errAck_In" Position [60, 313, 90, 327] Port "5" IconDisplay "Port number" } Block { BlockType Goto Name "Goto6" Position [280, 52, 345, 68] ShowName off GotoTag "SGP_DBus" TagVisibility "global" } Block { BlockType Goto Name "Goto7" Position [280, 127, 345, 143] ShowName off GotoTag "SGP_xferAck" TagVisibility "global" } Block { BlockType Reference Name "Register" Ports [3, 1] Position [140, 32, 175, 88] ShowName off SourceBlock "xbsIndex_r4/Register" SourceType "Xilinx Register Block" init "0" rst "on" en "on" dbl_ovrd "off" xl_use_area "off" xl_area "[0, 0, 0, 0, 0, 0, 0]" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "register" block_version "VER_STRING_GOES_HERE" sg_icon_stat "35,56,3,1,white,blue,0,923c1847" sg_mask_display "fprintf('','COMMENT: begin icon graphic" "s ');\npatch([0 35 35 0 ],[0 0 56 56 ],[0.77 0.82 0.91]);\npatch([8 2 10 2 8 " "17 20 23 33 25 18 13 21 13 18 25 33 23 20 17 8 ],[14 20 28 36 42 42 39 42 42 " "34 41 36 28 20 15 22 14 14 17 14 14 ],[0.98 0.96 0.92]);\nplot([0 0 35 35 0 ]" ",[0 56 56 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\n\nfprintf('','C" "OMMENT: begin icon text ');\ncolor('black');port_label('input',1,'d');\ncolor" "('black');port_label('input',2,'rst');\ncolor('black');port_label('input',3,'" "en');\ncolor('black');port_label('output',1,'q');\ncolor('black');disp('\\bf{" "z^{-1}}','texmode','on');\nfprintf('','COMMENT: end icon text');\n" } Block { BlockType Reference Name "Register1" Ports [1, 1] Position [140, 107, 175, 163] ShowName off SourceBlock "xbsIndex_r4/Register" SourceType "Xilinx Register Block" init "0" rst "off" en "off" dbl_ovrd "off" xl_use_area "off" xl_area "[0, 0, 0, 0, 0, 0, 0]" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "register" block_version "VER_STRING_GOES_HERE" sg_icon_stat "35,56,1,1,white,blue,0,ac6b57db" sg_mask_display "fprintf('','COMMENT: begin icon graphic" "s ');\npatch([0 35 35 0 ],[0 0 56 56 ],[0.77 0.82 0.91]);\npatch([8 2 10 2 8 " "17 20 23 33 25 18 13 21 13 18 25 33 23 20 17 8 ],[14 20 28 36 42 42 39 42 42 " "34 41 36 28 20 15 22 14 14 17 14 14 ],[0.98 0.96 0.92]);\nplot([0 0 35 35 0 ]" ",[0 56 56 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\n\nfprintf('','C" "OMMENT: begin icon text ');\ncolor('black');port_label('input',1,'d');\ncolor" "('black');port_label('output',1,'q');\ncolor('black');disp('\\bf{z^{-1}}','te" "xmode','on');\nfprintf('','COMMENT: end icon text');\n" } Block { BlockType Reference Name "Register2" Ports [1, 1] Position [140, 172, 175, 228] ShowName off SourceBlock "xbsIndex_r4/Register" SourceType "Xilinx Register Block" init "0" rst "off" en "off" dbl_ovrd "off" xl_use_area "off" xl_area "[0, 0, 0, 0, 0, 0, 0]" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "register" block_version "VER_STRING_GOES_HERE" sg_icon_stat "35,56,1,1,white,blue,0,ac6b57db" sg_mask_display "fprintf('','COMMENT: begin icon graphic" "s ');\npatch([0 35 35 0 ],[0 0 56 56 ],[0.77 0.82 0.91]);\npatch([8 2 10 2 8 " "17 20 23 33 25 18 13 21 13 18 25 33 23 20 17 8 ],[14 20 28 36 42 42 39 42 42 " "34 41 36 28 20 15 22 14 14 17 14 14 ],[0.98 0.96 0.92]);\nplot([0 0 35 35 0 ]" ",[0 56 56 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\n\nfprintf('','C" "OMMENT: begin icon text ');\ncolor('black');port_label('input',1,'d');\ncolor" "('black');port_label('output',1,'q');\ncolor('black');disp('\\bf{z^{-1}}','te" "xmode','on');\nfprintf('','COMMENT: end icon text');\n" } Block { BlockType Reference Name "Register3" Ports [1, 1] Position [140, 232, 175, 288] ShowName off SourceBlock "xbsIndex_r4/Register" SourceType "Xilinx Register Block" init "0" rst "off" en "off" dbl_ovrd "off" xl_use_area "off" xl_area "[0, 0, 0, 0, 0, 0, 0]" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "register" block_version "VER_STRING_GOES_HERE" sg_icon_stat "35,56,1,1,white,blue,0,ac6b57db" sg_mask_display "fprintf('','COMMENT: begin icon graphic" "s ');\npatch([0 35 35 0 ],[0 0 56 56 ],[0.77 0.82 0.91]);\npatch([8 2 10 2 8 " "17 20 23 33 25 18 13 21 13 18 25 33 23 20 17 8 ],[14 20 28 36 42 42 39 42 42 " "34 41 36 28 20 15 22 14 14 17 14 14 ],[0.98 0.96 0.92]);\nplot([0 0 35 35 0 ]" ",[0 56 56 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\n\nfprintf('','C" "OMMENT: begin icon text ');\ncolor('black');port_label('input',1,'d');\ncolor" "('black');port_label('output',1,'q');\ncolor('black');disp('\\bf{z^{-1}}','te" "xmode','on');\nfprintf('','COMMENT: end icon text');\n" } Block { BlockType Reference Name "Register4" Ports [1, 1] Position [140, 292, 175, 348] ShowName off SourceBlock "xbsIndex_r4/Register" SourceType "Xilinx Register Block" init "0" rst "off" en "off" dbl_ovrd "off" xl_use_area "off" xl_area "[0, 0, 0, 0, 0, 0, 0]" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "register" block_version "VER_STRING_GOES_HERE" sg_icon_stat "35,56,1,1,white,blue,0,ac6b57db" sg_mask_display "fprintf('','COMMENT: begin icon graphic" "s ');\npatch([0 35 35 0 ],[0 0 56 56 ],[0.77 0.82 0.91]);\npatch([8 2 10 2 8 " "17 20 23 33 25 18 13 21 13 18 25 33 23 20 17 8 ],[14 20 28 36 42 42 39 42 42 " "34 41 36 28 20 15 22 14 14 17 14 14 ],[0.98 0.96 0.92]);\nplot([0 0 35 35 0 ]" ",[0 56 56 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\n\nfprintf('','C" "OMMENT: begin icon text ');\ncolor('black');port_label('input',1,'d');\ncolor" "('black');port_label('output',1,'q');\ncolor('black');disp('\\bf{z^{-1}}','te" "xmode','on');\nfprintf('','COMMENT: end icon text');\n" } Block { BlockType Reference Name "SGP_DBus" Ports [1, 1] Position [205, 53, 255, 67] SourceBlock "xbsIndex_r4/Gateway Out" SourceType "Xilinx Gateway Out Block" infoedit "Gateway out block. Converts Xilinx fix" "ed point inputs into ouputs of type Simulink integer, double, or fixed point." "

Hardware notes: In hardware these blocks become top level output ports" " or are discarded, depending on how they are configured." hdl_port "on" timing_constraint "None" locs_specified "off" LOCs "{}" xl_use_area "off" xl_area "[0, 0, 0, 0, 0, 0, 0]" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "gatewayout" block_version "VER_STRING_GOES_HERE" sg_icon_stat "50,14,1,1,white,yellow,0,f0cec300" sg_mask_display "fprintf('','COMMENT: begin icon graphic" "s ');\npatch([0 50 50 0 ],[0 0 14 14 ],[0.95 0.93 0.65]);\npatch([21 19 22 19" " 21 25 26 27 31 28 25 23 26 23 25 28 31 27 26 25 21 ],[2 4 7 10 12 12 11 12 1" "2 9 12 10 7 4 2 5 2 2 3 2 2 ],[0.98 0.96 0.92]);\nplot([0 0 50 50 0 ],[0 14 1" "4 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\n\nfprintf('','COMMENT: " "begin icon text ');\ncolor('black');port_label('input',1,' ');\ncolor('black'" ");port_label('output',1,'\\fontsize{11pt}\\bf Out ','texmode','on');\nfprintf" "('','COMMENT: end icon text');\nfprintf('','COMMENT: Make no changes above th" "is line -- machine generated code. ');\n" } Block { BlockType Reference Name "SGP_errAck" Ports [1, 1] Position [205, 313, 255, 327] SourceBlock "xbsIndex_r4/Gateway Out" SourceType "Xilinx Gateway Out Block" infoedit "Gateway out block. Converts Xilinx fix" "ed point inputs into ouputs of type Simulink integer, double, or fixed point." "

Hardware notes: In hardware these blocks become top level output ports" " or are discarded, depending on how they are configured." hdl_port "on" timing_constraint "None" locs_specified "off" LOCs "{}" xl_use_area "off" xl_area "[0, 0, 0, 0, 0, 0, 0]" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "gatewayout" block_version "VER_STRING_GOES_HERE" sg_icon_stat "50,14,1,1,white,yellow,0,f0cec300" sg_mask_display "fprintf('','COMMENT: begin icon graphic" "s ');\npatch([0 50 50 0 ],[0 0 14 14 ],[0.95 0.93 0.65]);\npatch([21 19 22 19" " 21 25 26 27 31 28 25 23 26 23 25 28 31 27 26 25 21 ],[2 4 7 10 12 12 11 12 1" "2 9 12 10 7 4 2 5 2 2 3 2 2 ],[0.98 0.96 0.92]);\nplot([0 0 50 50 0 ],[0 14 1" "4 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\n\nfprintf('','COMMENT: " "begin icon text ');\ncolor('black');port_label('input',1,' ');\ncolor('black'" ");port_label('output',1,'\\fontsize{11pt}\\bf Out ','texmode','on');\nfprintf" "('','COMMENT: end icon text');\nfprintf('','COMMENT: Make no changes above th" "is line -- machine generated code. ');\n" } Block { BlockType Reference Name "SGP_retry" Ports [1, 1] Position [205, 193, 255, 207] SourceBlock "xbsIndex_r4/Gateway Out" SourceType "Xilinx Gateway Out Block" infoedit "Gateway out block. Converts Xilinx fix" "ed point inputs into ouputs of type Simulink integer, double, or fixed point." "

Hardware notes: In hardware these blocks become top level output ports" " or are discarded, depending on how they are configured." hdl_port "on" timing_constraint "None" locs_specified "off" LOCs "{}" xl_use_area "off" xl_area "[0, 0, 0, 0, 0, 0, 0]" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "gatewayout" block_version "VER_STRING_GOES_HERE" sg_icon_stat "50,14,1,1,white,yellow,0,f0cec300" sg_mask_display "fprintf('','COMMENT: begin icon graphic" "s ');\npatch([0 50 50 0 ],[0 0 14 14 ],[0.95 0.93 0.65]);\npatch([21 19 22 19" " 21 25 26 27 31 28 25 23 26 23 25 28 31 27 26 25 21 ],[2 4 7 10 12 12 11 12 1" "2 9 12 10 7 4 2 5 2 2 3 2 2 ],[0.98 0.96 0.92]);\nplot([0 0 50 50 0 ],[0 14 1" "4 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\n\nfprintf('','COMMENT: " "begin icon text ');\ncolor('black');port_label('input',1,' ');\ncolor('black'" ");port_label('output',1,'\\fontsize{11pt}\\bf Out ','texmode','on');\nfprintf" "('','COMMENT: end icon text');\nfprintf('','COMMENT: Make no changes above th" "is line -- machine generated code. ');\n" } Block { BlockType Reference Name "SGP_toutSup" Ports [1, 1] Position [205, 253, 255, 267] SourceBlock "xbsIndex_r4/Gateway Out" SourceType "Xilinx Gateway Out Block" infoedit "Gateway out block. Converts Xilinx fix" "ed point inputs into ouputs of type Simulink integer, double, or fixed point." "

Hardware notes: In hardware these blocks become top level output ports" " or are discarded, depending on how they are configured." hdl_port "on" timing_constraint "None" locs_specified "off" LOCs "{}" xl_use_area "off" xl_area "[0, 0, 0, 0, 0, 0, 0]" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "gatewayout" block_version "VER_STRING_GOES_HERE" sg_icon_stat "50,14,1,1,white,yellow,0,f0cec300" sg_mask_display "fprintf('','COMMENT: begin icon graphic" "s ');\npatch([0 50 50 0 ],[0 0 14 14 ],[0.95 0.93 0.65]);\npatch([21 19 22 19" " 21 25 26 27 31 28 25 23 26 23 25 28 31 27 26 25 21 ],[2 4 7 10 12 12 11 12 1" "2 9 12 10 7 4 2 5 2 2 3 2 2 ],[0.98 0.96 0.92]);\nplot([0 0 50 50 0 ],[0 14 1" "4 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\n\nfprintf('','COMMENT: " "begin icon text ');\ncolor('black');port_label('input',1,' ');\ncolor('black'" ");port_label('output',1,'\\fontsize{11pt}\\bf Out ','texmode','on');\nfprintf" "('','COMMENT: end icon text');\nfprintf('','COMMENT: Make no changes above th" "is line -- machine generated code. ');\n" } Block { BlockType Reference Name "SGP_xferAck" Ports [1, 1] Position [205, 128, 255, 142] SourceBlock "xbsIndex_r4/Gateway Out" SourceType "Xilinx Gateway Out Block" infoedit "Gateway out block. Converts Xilinx fix" "ed point inputs into ouputs of type Simulink integer, double, or fixed point." "

Hardware notes: In hardware these blocks become top level output ports" " or are discarded, depending on how they are configured." hdl_port "on" timing_constraint "None" locs_specified "off" LOCs "{}" xl_use_area "off" xl_area "[0, 0, 0, 0, 0, 0, 0]" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "gatewayout" block_version "VER_STRING_GOES_HERE" sg_icon_stat "50,14,1,1,white,yellow,0,f0cec300" sg_mask_display "fprintf('','COMMENT: begin icon graphic" "s ');\npatch([0 50 50 0 ],[0 0 14 14 ],[0.95 0.93 0.65]);\npatch([21 19 22 19" " 21 25 26 27 31 28 25 23 26 23 25 28 31 27 26 25 21 ],[2 4 7 10 12 12 11 12 1" "2 9 12 10 7 4 2 5 2 2 3 2 2 ],[0.98 0.96 0.92]);\nplot([0 0 50 50 0 ],[0 14 1" "4 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\n\nfprintf('','COMMENT: " "begin icon text ');\ncolor('black');port_label('input',1,' ');\ncolor('black'" ");port_label('output',1,'\\fontsize{11pt}\\bf Out ','texmode','on');\nfprintf" "('','COMMENT: end icon text');\nfprintf('','COMMENT: Make no changes above th" "is line -- machine generated code. ');\n" } Block { BlockType Terminator Name "Terminator" Position [280, 190, 300, 210] ShowName off } Block { BlockType Terminator Name "Terminator1" Position [280, 250, 300, 270] ShowName off } Block { BlockType Terminator Name "Terminator2" Position [280, 310, 300, 330] ShowName off } Line { SrcBlock "SGP_errAck" SrcPort 1 DstBlock "Terminator2" DstPort 1 } Line { SrcBlock "SGP_toutSup" SrcPort 1 DstBlock "Terminator1" DstPort 1 } Line { SrcBlock "SGP_retry" SrcPort 1 DstBlock "Terminator" DstPort 1 } Line { SrcBlock "Register1" SrcPort 1 Points [5, 0] Branch { Points [0, -40; -70, 0; 0, -35] DstBlock "Register" DstPort 2 } Branch { DstBlock "SGP_xferAck" DstPort 1 } } Line { SrcBlock "SGP_errAck_In" SrcPort 1 DstBlock "Register4" DstPort 1 } Line { SrcBlock "SGP_toutSup_In" SrcPort 1 DstBlock "Register3" DstPort 1 } Line { SrcBlock "SGP_retry_In" SrcPort 1 DstBlock "Register2" DstPort 1 } Line { SrcBlock "SGP_xferAck_In" SrcPort 1 Points [30, 0] Branch { DstBlock "Register1" DstPort 1 } Branch { DstBlock "Register" DstPort 3 } } Line { SrcBlock "SGP_DBus_In" SrcPort 1 DstBlock "Register" DstPort 1 } Line { SrcBlock "SGP_DBus" SrcPort 1 DstBlock "Goto6" DstPort 1 } Line { SrcBlock "SGP_xferAck" SrcPort 1 DstBlock "Goto7" DstPort 1 } Line { SrcBlock "Register2" SrcPort 1 DstBlock "SGP_retry" DstPort 1 } Line { SrcBlock "Register3" SrcPort 1 DstBlock "SGP_toutSup" DstPort 1 } Line { SrcBlock "Register4" SrcPort 1 DstBlock "SGP_errAck" DstPort 1 } Line { SrcBlock "Register" SrcPort 1 DstBlock "SGP_DBus" DstPort 1 } } } Block { BlockType SubSystem Name "OPB2IP_IF" Ports [0, 7] Position [20, 252, 115, 408] TreatAsAtomicUnit off MinAlgLoopOccurrences off RTWSystemCode "Auto" MaskHideContents off MaskIconFrame on MaskIconOpaque on MaskIconRotate "none" MaskIconUnits "autoscale" Port { PortNumber 1 Name "opb addr" RTWStorageClass "Auto" DataLoggingNameMode "SignalName" } Port { PortNumber 2 Name "opb select" RTWStorageClass "Auto" DataLoggingNameMode "SignalName" } Port { PortNumber 4 Name "opb data" RTWStorageClass "Auto" DataLoggingNameMode "SignalName" } Port { PortNumber 6 Name "opb reset" RTWStorageClass "Auto" DataLoggingNameMode "SignalName" } Port { PortNumber 7 Name "opb read" RTWStorageClass "Auto" DataLoggingNameMode "SignalName" } System { Name "OPB2IP_IF" Location [2, 74, 1014, 744] Open off ModelBrowserVisibility off ModelBrowserWidth 200 ScreenColor "white" PaperOrientation "landscape" PaperPositionMode "auto" PaperType "usletter" PaperUnits "inches" ZoomFactor "100" Block { BlockType From Name "From2" Position [15, 46, 85, 64] ShowName off CloseFcn "tagdialog Close" GotoTag "OPB_ABus" } Block { BlockType From Name "From3" Position [15, 106, 85, 124] ShowName off CloseFcn "tagdialog Close" GotoTag "OPB_BE" } Block { BlockType From Name "From4" Position [15, 166, 85, 184] ShowName off CloseFcn "tagdialog Close" GotoTag "OPB_DBus" } Block { BlockType From Name "From5" Position [15, 226, 85, 244] ShowName off CloseFcn "tagdialog Close" GotoTag "OPB_RNW" } Block { BlockType From Name "From6" Position [15, 286, 85, 304] ShowName off CloseFcn "tagdialog Close" GotoTag "OPB_select" } Block { BlockType From Name "From7" Position [15, 346, 85, 364] ShowName off CloseFcn "tagdialog Close" GotoTag "OPB_seqAddr" } Block { BlockType From Name "From8" Position [15, 401, 85, 419] ShowName off CloseFcn "tagdialog Close" GotoTag "OPB_rst" } Block { BlockType Reference Name "OPB_ABus" Ports [1, 1] Position [110, 48, 160, 62] SourceBlock "xbsIndex_r4/Gateway In" SourceType "Xilinx Gateway In Block" infoedit "Gateway in block. Converts inputs of t" "ype Simulink integer, double and fixed point to Xilinx fixed point type.

<" "P>Hardware notes: In hardware these blocks become top level input ports." arith_type "Unsigned" n_bits "32" bin_pt "0" quantization "Round (unbiased: +/- Inf)" overflow "Saturate" period "1" dbl_ovrd "off" timing_constraint "None" locs_specified "off" LOCs "{}" xl_use_area "off" xl_area "[0, 0, 0, 0, 0, 0, 0]" has_advanced_control "0" sggui_pos "20,20,356,432" block_type "gatewayin" block_version "VER_STRING_GOES_HERE" sg_icon_stat "50,14,1,1,white,yellow,0,4bb76ffd" sg_mask_display "fprintf('','COMMENT: begin icon graphic" "s ');\npatch([0 50 50 0 ],[0 0 14 14 ],[0.95 0.93 0.65]);\npatch([21 19 22 19" " 21 25 26 27 31 28 25 23 26 23 25 28 31 27 26 25 21 ],[2 4 7 10 12 12 11 12 1" "2 9 12 10 7 4 2 5 2 2 3 2 2 ],[0.98 0.96 0.92]);\nplot([0 0 50 50 0 ],[0 14 1" "4 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\n\nfprintf('','COMMENT: " "begin icon text ');\ncolor('black');port_label('input',1,'\\fontsize{11pt}\\b" "f In ','texmode','on');\ncolor('black');port_label('output',1,' ');\nfprintf(" "'','COMMENT: end icon text');\nfprintf('','COMMENT: Make no changes above thi" "s line -- machine generated code. ');\n" } Block { BlockType Reference Name "OPB_BE" Ports [1, 1] Position [110, 108, 160, 122] SourceBlock "xbsIndex_r4/Gateway In" SourceType "Xilinx Gateway In Block" infoedit "Gateway in block. Converts inputs of t" "ype Simulink integer, double and fixed point to Xilinx fixed point type.

<" "P>Hardware notes: In hardware these blocks become top level input ports." arith_type "Unsigned" n_bits "4" bin_pt "0" quantization "Round (unbiased: +/- Inf)" overflow "Saturate" period "1" dbl_ovrd "off" timing_constraint "None" locs_specified "off" LOCs "{}" xl_use_area "off" xl_area "[0, 0, 0, 0, 0, 0, 0]" has_advanced_control "0" sggui_pos "20,20,356,432" block_type "gatewayin" block_version "VER_STRING_GOES_HERE" sg_icon_stat "50,14,1,1,white,yellow,0,4bb76ffd" sg_mask_display "fprintf('','COMMENT: begin icon graphic" "s ');\npatch([0 50 50 0 ],[0 0 14 14 ],[0.95 0.93 0.65]);\npatch([21 19 22 19" " 21 25 26 27 31 28 25 23 26 23 25 28 31 27 26 25 21 ],[2 4 7 10 12 12 11 12 1" "2 9 12 10 7 4 2 5 2 2 3 2 2 ],[0.98 0.96 0.92]);\nplot([0 0 50 50 0 ],[0 14 1" "4 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\n\nfprintf('','COMMENT: " "begin icon text ');\ncolor('black');port_label('input',1,'\\fontsize{11pt}\\b" "f In ','texmode','on');\ncolor('black');port_label('output',1,' ');\nfprintf(" "'','COMMENT: end icon text');\nfprintf('','COMMENT: Make no changes above thi" "s line -- machine generated code. ');\n" } Block { BlockType Reference Name "OPB_DBus" Ports [1, 1] Position [110, 168, 160, 182] SourceBlock "xbsIndex_r4/Gateway In" SourceType "Xilinx Gateway In Block" infoedit "Gateway in block. Converts inputs of t" "ype Simulink integer, double and fixed point to Xilinx fixed point type.

<" "P>Hardware notes: In hardware these blocks become top level input ports." arith_type "Unsigned" n_bits "32" bin_pt "0" quantization "Round (unbiased: +/- Inf)" overflow "Saturate" period "1" dbl_ovrd "off" timing_constraint "None" locs_specified "off" LOCs "{}" xl_use_area "off" xl_area "[0, 0, 0, 0, 0, 0, 0]" has_advanced_control "0" sggui_pos "20,20,356,432" block_type "gatewayin" block_version "VER_STRING_GOES_HERE" sg_icon_stat "50,14,1,1,white,yellow,0,4bb76ffd" sg_mask_display "fprintf('','COMMENT: begin icon graphic" "s ');\npatch([0 50 50 0 ],[0 0 14 14 ],[0.95 0.93 0.65]);\npatch([21 19 22 19" " 21 25 26 27 31 28 25 23 26 23 25 28 31 27 26 25 21 ],[2 4 7 10 12 12 11 12 1" "2 9 12 10 7 4 2 5 2 2 3 2 2 ],[0.98 0.96 0.92]);\nplot([0 0 50 50 0 ],[0 14 1" "4 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\n\nfprintf('','COMMENT: " "begin icon text ');\ncolor('black');port_label('input',1,'\\fontsize{11pt}\\b" "f In ','texmode','on');\ncolor('black');port_label('output',1,' ');\nfprintf(" "'','COMMENT: end icon text');\nfprintf('','COMMENT: Make no changes above thi" "s line -- machine generated code. ');\n" } Block { BlockType Reference Name "OPB_RNW" Ports [1, 1] Position [110, 228, 160, 242] SourceBlock "xbsIndex_r4/Gateway In" SourceType "Xilinx Gateway In Block" infoedit "Gateway in block. Converts inputs of t" "ype Simulink integer, double and fixed point to Xilinx fixed point type.

<" "P>Hardware notes: In hardware these blocks become top level input ports." arith_type "Boolean" n_bits "1" bin_pt "0" quantization "Round (unbiased: +/- Inf)" overflow "Saturate" period "1" dbl_ovrd "off" timing_constraint "None" locs_specified "off" LOCs "{}" xl_use_area "off" xl_area "[0, 0, 0, 0, 0, 0, 0]" has_advanced_control "0" sggui_pos "20,20,356,432" block_type "gatewayin" block_version "VER_STRING_GOES_HERE" sg_icon_stat "50,14,1,1,white,yellow,0,4bb76ffd" sg_mask_display "fprintf('','COMMENT: begin icon graphic" "s ');\npatch([0 50 50 0 ],[0 0 14 14 ],[0.95 0.93 0.65]);\npatch([21 19 22 19" " 21 25 26 27 31 28 25 23 26 23 25 28 31 27 26 25 21 ],[2 4 7 10 12 12 11 12 1" "2 9 12 10 7 4 2 5 2 2 3 2 2 ],[0.98 0.96 0.92]);\nplot([0 0 50 50 0 ],[0 14 1" "4 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\n\nfprintf('','COMMENT: " "begin icon text ');\ncolor('black');port_label('input',1,'\\fontsize{11pt}\\b" "f In ','texmode','on');\ncolor('black');port_label('output',1,' ');\nfprintf(" "'','COMMENT: end icon text');\nfprintf('','COMMENT: Make no changes above thi" "s line -- machine generated code. ');\n" } Block { BlockType Reference Name "OPB_Select" Ports [1, 1] Position [110, 288, 160, 302] SourceBlock "xbsIndex_r4/Gateway In" SourceType "Xilinx Gateway In Block" infoedit "Gateway in block. Converts inputs of t" "ype Simulink integer, double and fixed point to Xilinx fixed point type.

<" "P>Hardware notes: In hardware these blocks become top level input ports." arith_type "Boolean" n_bits "1" bin_pt "0" quantization "Round (unbiased: +/- Inf)" overflow "Saturate" period "1" dbl_ovrd "off" timing_constraint "None" locs_specified "off" LOCs "{}" xl_use_area "off" xl_area "[0, 0, 0, 0, 0, 0, 0]" has_advanced_control "0" sggui_pos "20,20,356,432" block_type "gatewayin" block_version "VER_STRING_GOES_HERE" sg_icon_stat "50,14,1,1,white,yellow,0,4bb76ffd" sg_mask_display "fprintf('','COMMENT: begin icon graphic" "s ');\npatch([0 50 50 0 ],[0 0 14 14 ],[0.95 0.93 0.65]);\npatch([21 19 22 19" " 21 25 26 27 31 28 25 23 26 23 25 28 31 27 26 25 21 ],[2 4 7 10 12 12 11 12 1" "2 9 12 10 7 4 2 5 2 2 3 2 2 ],[0.98 0.96 0.92]);\nplot([0 0 50 50 0 ],[0 14 1" "4 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\n\nfprintf('','COMMENT: " "begin icon text ');\ncolor('black');port_label('input',1,'\\fontsize{11pt}\\b" "f In ','texmode','on');\ncolor('black');port_label('output',1,' ');\nfprintf(" "'','COMMENT: end icon text');\nfprintf('','COMMENT: Make no changes above thi" "s line -- machine generated code. ');\n" } Block { BlockType Reference Name "OPB_rst" Ports [1, 1] Position [110, 403, 160, 417] SourceBlock "xbsIndex_r4/Gateway In" SourceType "Xilinx Gateway In Block" infoedit "Gateway in block. Converts inputs of t" "ype Simulink integer, double and fixed point to Xilinx fixed point type.

<" "P>Hardware notes: In hardware these blocks become top level input ports." arith_type "Boolean" n_bits "1" bin_pt "0" quantization "Round (unbiased: +/- Inf)" overflow "Saturate" period "1" dbl_ovrd "off" timing_constraint "None" locs_specified "off" LOCs "{}" xl_use_area "off" xl_area "[0, 0, 0, 0, 0, 0, 0]" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "gatewayin" block_version "VER_STRING_GOES_HERE" sg_icon_stat "50,14,1,1,white,yellow,0,4bb76ffd" sg_mask_display "fprintf('','COMMENT: begin icon graphic" "s ');\npatch([0 50 50 0 ],[0 0 14 14 ],[0.95 0.93 0.65]);\npatch([21 19 22 19" " 21 25 26 27 31 28 25 23 26 23 25 28 31 27 26 25 21 ],[2 4 7 10 12 12 11 12 1" "2 9 12 10 7 4 2 5 2 2 3 2 2 ],[0.98 0.96 0.92]);\nplot([0 0 50 50 0 ],[0 14 1" "4 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\n\nfprintf('','COMMENT: " "begin icon text ');\ncolor('black');port_label('input',1,'\\fontsize{11pt}\\b" "f In ','texmode','on');\ncolor('black');port_label('output',1,' ');\nfprintf(" "'','COMMENT: end icon text');\nfprintf('','COMMENT: Make no changes above thi" "s line -- machine generated code. ');\n" } Block { BlockType Reference Name "OPB_seqAddr" Ports [1, 1] Position [110, 348, 160, 362] SourceBlock "xbsIndex_r4/Gateway In" SourceType "Xilinx Gateway In Block" infoedit "Gateway in block. Converts inputs of t" "ype Simulink integer, double and fixed point to Xilinx fixed point type.

<" "P>Hardware notes: In hardware these blocks become top level input ports." arith_type "Boolean" n_bits "1" bin_pt "0" quantization "Round (unbiased: +/- Inf)" overflow "Saturate" period "1" dbl_ovrd "off" timing_constraint "None" locs_specified "off" LOCs "{}" xl_use_area "off" xl_area "[0, 0, 0, 0, 0, 0, 0]" has_advanced_control "0" sggui_pos "20,20,356,432" block_type "gatewayin" block_version "VER_STRING_GOES_HERE" sg_icon_stat "50,14,1,1,white,yellow,0,4bb76ffd" sg_mask_display "fprintf('','COMMENT: begin icon graphic" "s ');\npatch([0 50 50 0 ],[0 0 14 14 ],[0.95 0.93 0.65]);\npatch([21 19 22 19" " 21 25 26 27 31 28 25 23 26 23 25 28 31 27 26 25 21 ],[2 4 7 10 12 12 11 12 1" "2 9 12 10 7 4 2 5 2 2 3 2 2 ],[0.98 0.96 0.92]);\nplot([0 0 50 50 0 ],[0 14 1" "4 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\n\nfprintf('','COMMENT: " "begin icon text ');\ncolor('black');port_label('input',1,'\\fontsize{11pt}\\b" "f In ','texmode','on');\ncolor('black');port_label('output',1,' ');\nfprintf(" "'','COMMENT: end icon text');\nfprintf('','COMMENT: Make no changes above thi" "s line -- machine generated code. ');\n" } Block { BlockType Reference Name "Register" Ports [2, 1] Position [265, 40, 300, 95] ShowName off SourceBlock "xbsIndex_r4/Register" SourceType "Xilinx Register Block" init "0" rst "on" en "off" dbl_ovrd "off" xl_use_area "off" xl_area "[0, 0, 0, 0, 0, 0, 0]" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "register" block_version "VER_STRING_GOES_HERE" sg_icon_stat "35,55,2,1,white,blue,0,b6caf0d3" sg_mask_display "fprintf('','COMMENT: begin icon graphic" "s ');\npatch([0 35 35 0 ],[0 0 55 55 ],[0.77 0.82 0.91]);\npatch([8 2 10 2 8 " "17 20 23 33 25 18 13 21 13 18 25 33 23 20 17 8 ],[14 20 28 36 42 42 39 42 42 " "34 41 36 28 20 15 22 14 14 17 14 14 ],[0.98 0.96 0.92]);\nplot([0 0 35 35 0 ]" ",[0 55 55 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\n\nfprintf('','C" "OMMENT: begin icon text ');\ncolor('black');port_label('input',1,'d');\ncolor" "('black');port_label('input',2,'rst');\ncolor('black');port_label('output',1," "'q');\ncolor('black');disp('\\bf{z^{-1}}','texmode','on');\nfprintf('','COMME" "NT: end icon text');\n" } Block { BlockType Reference Name "Register1" Ports [2, 1] Position [265, 100, 300, 155] ShowName off SourceBlock "xbsIndex_r4/Register" SourceType "Xilinx Register Block" init "0" rst "on" en "off" dbl_ovrd "off" xl_use_area "off" xl_area "[0, 0, 0, 0, 0, 0, 0]" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "register" block_version "VER_STRING_GOES_HERE" sg_icon_stat "35,55,2,1,white,blue,0,b6caf0d3" sg_mask_display "fprintf('','COMMENT: begin icon graphic" "s ');\npatch([0 35 35 0 ],[0 0 55 55 ],[0.77 0.82 0.91]);\npatch([8 2 10 2 8 " "17 20 23 33 25 18 13 21 13 18 25 33 23 20 17 8 ],[14 20 28 36 42 42 39 42 42 " "34 41 36 28 20 15 22 14 14 17 14 14 ],[0.98 0.96 0.92]);\nplot([0 0 35 35 0 ]" ",[0 55 55 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\n\nfprintf('','C" "OMMENT: begin icon text ');\ncolor('black');port_label('input',1,'d');\ncolor" "('black');port_label('input',2,'rst');\ncolor('black');port_label('output',1," "'q');\ncolor('black');disp('\\bf{z^{-1}}','texmode','on');\nfprintf('','COMME" "NT: end icon text');\n" } Block { BlockType Reference Name "Register2" Ports [2, 1] Position [265, 160, 300, 215] ShowName off SourceBlock "xbsIndex_r4/Register" SourceType "Xilinx Register Block" init "0" rst "on" en "off" dbl_ovrd "off" xl_use_area "off" xl_area "[0, 0, 0, 0, 0, 0, 0]" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "register" block_version "VER_STRING_GOES_HERE" sg_icon_stat "35,55,2,1,white,blue,0,b6caf0d3" sg_mask_display "fprintf('','COMMENT: begin icon graphic" "s ');\npatch([0 35 35 0 ],[0 0 55 55 ],[0.77 0.82 0.91]);\npatch([8 2 10 2 8 " "17 20 23 33 25 18 13 21 13 18 25 33 23 20 17 8 ],[14 20 28 36 42 42 39 42 42 " "34 41 36 28 20 15 22 14 14 17 14 14 ],[0.98 0.96 0.92]);\nplot([0 0 35 35 0 ]" ",[0 55 55 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\n\nfprintf('','C" "OMMENT: begin icon text ');\ncolor('black');port_label('input',1,'d');\ncolor" "('black');port_label('input',2,'rst');\ncolor('black');port_label('output',1," "'q');\ncolor('black');disp('\\bf{z^{-1}}','texmode','on');\nfprintf('','COMME" "NT: end icon text');\n" } Block { BlockType Reference Name "Register3" Ports [2, 1] Position [265, 220, 300, 275] ShowName off SourceBlock "xbsIndex_r4/Register" SourceType "Xilinx Register Block" init "0" rst "on" en "off" dbl_ovrd "off" xl_use_area "off" xl_area "[0, 0, 0, 0, 0, 0, 0]" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "register" block_version "VER_STRING_GOES_HERE" sg_icon_stat "35,55,2,1,white,blue,0,b6caf0d3" sg_mask_display "fprintf('','COMMENT: begin icon graphic" "s ');\npatch([0 35 35 0 ],[0 0 55 55 ],[0.77 0.82 0.91]);\npatch([8 2 10 2 8 " "17 20 23 33 25 18 13 21 13 18 25 33 23 20 17 8 ],[14 20 28 36 42 42 39 42 42 " "34 41 36 28 20 15 22 14 14 17 14 14 ],[0.98 0.96 0.92]);\nplot([0 0 35 35 0 ]" ",[0 55 55 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\n\nfprintf('','C" "OMMENT: begin icon text ');\ncolor('black');port_label('input',1,'d');\ncolor" "('black');port_label('input',2,'rst');\ncolor('black');port_label('output',1," "'q');\ncolor('black');disp('\\bf{z^{-1}}','texmode','on');\nfprintf('','COMME" "NT: end icon text');\n" } Block { BlockType Reference Name "Register4" Ports [2, 1] Position [265, 280, 300, 335] ShowName off SourceBlock "xbsIndex_r4/Register" SourceType "Xilinx Register Block" init "0" rst "on" en "off" dbl_ovrd "off" xl_use_area "off" xl_area "[0, 0, 0, 0, 0, 0, 0]" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "register" block_version "VER_STRING_GOES_HERE" sg_icon_stat "35,55,2,1,white,blue,0,b6caf0d3" sg_mask_display "fprintf('','COMMENT: begin icon graphic" "s ');\npatch([0 35 35 0 ],[0 0 55 55 ],[0.77 0.82 0.91]);\npatch([8 2 10 2 8 " "17 20 23 33 25 18 13 21 13 18 25 33 23 20 17 8 ],[14 20 28 36 42 42 39 42 42 " "34 41 36 28 20 15 22 14 14 17 14 14 ],[0.98 0.96 0.92]);\nplot([0 0 35 35 0 ]" ",[0 55 55 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\n\nfprintf('','C" "OMMENT: begin icon text ');\ncolor('black');port_label('input',1,'d');\ncolor" "('black');port_label('input',2,'rst');\ncolor('black');port_label('output',1," "'q');\ncolor('black');disp('\\bf{z^{-1}}','texmode','on');\nfprintf('','COMME" "NT: end icon text');\n" } Block { BlockType Reference Name "Register5" Ports [2, 1] Position [265, 340, 300, 395] ShowName off SourceBlock "xbsIndex_r4/Register" SourceType "Xilinx Register Block" init "0" rst "on" en "off" dbl_ovrd "off" xl_use_area "off" xl_area "[0, 0, 0, 0, 0, 0, 0]" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "register" block_version "VER_STRING_GOES_HERE" sg_icon_stat "35,55,2,1,white,blue,0,b6caf0d3" sg_mask_display "fprintf('','COMMENT: begin icon graphic" "s ');\npatch([0 35 35 0 ],[0 0 55 55 ],[0.77 0.82 0.91]);\npatch([8 2 10 2 8 " "17 20 23 33 25 18 13 21 13 18 25 33 23 20 17 8 ],[14 20 28 36 42 42 39 42 42 " "34 41 36 28 20 15 22 14 14 17 14 14 ],[0.98 0.96 0.92]);\nplot([0 0 35 35 0 ]" ",[0 55 55 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\n\nfprintf('','C" "OMMENT: begin icon text ');\ncolor('black');port_label('input',1,'d');\ncolor" "('black');port_label('input',2,'rst');\ncolor('black');port_label('output',1," "'q');\ncolor('black');disp('\\bf{z^{-1}}','texmode','on');\nfprintf('','COMME" "NT: end icon text');\n" } Block { BlockType SubSystem Name "signal inputs" Ports [] Position [540, 30, 580, 90] TreatAsAtomicUnit off MinAlgLoopOccurrences off RTWSystemCode "Auto" MaskHideContents off System { Name "signal inputs" Location [212, 506, 421, 840] Open off ModelBrowserVisibility off ModelBrowserWidth 200 ScreenColor "white" PaperOrientation "landscape" PaperPositionMode "auto" PaperType "usletter" PaperUnits "inches" ZoomFactor "100" Block { BlockType Constant Name "Constant" Position [25, 25, 55, 55] } Block { BlockType Constant Name "Constant1" Position [25, 115, 55, 145] } Block { BlockType Constant Name "Constant2" Position [25, 160, 55, 190] } Block { BlockType Constant Name "Constant3" Position [25, 205, 55, 235] } Block { BlockType Constant Name "Constant4" Position [25, 245, 55, 275] } Block { BlockType Constant Name "Constant5" Position [25, 290, 55, 320] } Block { BlockType Constant Name "Constant6" Position [25, 70, 55, 100] } Block { BlockType Goto Name "Goto" Position [115, 30, 200, 50] GotoTag "OPB_ABus" TagVisibility "global" } Block { BlockType Goto Name "Goto1" Position [115, 120, 200, 140] GotoTag "OPB_DBus" TagVisibility "global" } Block { BlockType Goto Name "Goto2" Position [115, 165, 200, 185] GotoTag "OPB_RNW" TagVisibility "global" } Block { BlockType Goto Name "Goto3" Position [115, 210, 200, 230] GotoTag "OPB_select" TagVisibility "global" } Block { BlockType Goto Name "Goto4" Position [115, 250, 200, 270] GotoTag "OPB_seqAddr" TagVisibility "global" } Block { BlockType Goto Name "Goto5" Position [115, 295, 200, 315] GotoTag "OPB_rst" TagVisibility "global" } Block { BlockType Goto Name "Goto6" Position [115, 75, 200, 95] GotoTag "OPB_BE" TagVisibility "global" } Line { SrcBlock "Constant6" SrcPort 1 DstBlock "Goto6" DstPort 1 } Line { SrcBlock "Constant5" SrcPort 1 DstBlock "Goto5" DstPort 1 } Line { SrcBlock "Constant4" SrcPort 1 DstBlock "Goto4" DstPort 1 } Line { SrcBlock "Constant3" SrcPort 1 DstBlock "Goto3" DstPort 1 } Line { SrcBlock "Constant2" SrcPort 1 DstBlock "Goto2" DstPort 1 } Line { SrcBlock "Constant1" SrcPort 1 DstBlock "Goto1" DstPort 1 } Line { SrcBlock "Constant" SrcPort 1 DstBlock "Goto" DstPort 1 } } } Block { BlockType Outport Name "OPB_ABus_Reg" Position [340, 63, 370, 77] IconDisplay "Port number" BusOutputAsStruct off } Block { BlockType Outport Name "OPB_Select_Reg" Position [340, 303, 370, 317] Port "2" IconDisplay "Port number" BusOutputAsStruct off } Block { BlockType Outport Name "OPB_BE_Reg" Position [340, 123, 370, 137] Port "3" IconDisplay "Port number" BusOutputAsStruct off } Block { BlockType Outport Name "OPB_DBus_Reg" Position [340, 183, 370, 197] Port "4" IconDisplay "Port number" BusOutputAsStruct off } Block { BlockType Outport Name "OPB_seqAddr_Reg" Position [340, 363, 370, 377] Port "5" IconDisplay "Port number" BusOutputAsStruct off } Block { BlockType Outport Name "OPB_Reset" Position [340, 403, 370, 417] Port "6" IconDisplay "Port number" BusOutputAsStruct off } Block { BlockType Outport Name "OPB_RNW_Reg" Position [340, 243, 370, 257] Port "7" IconDisplay "Port number" BusOutputAsStruct off } Line { SrcBlock "Register4" SrcPort 1 Points [0, 0] DstBlock "OPB_Select_Reg" DstPort 1 } Line { SrcBlock "OPB_Select" SrcPort 1 DstBlock "Register4" DstPort 1 } Line { SrcBlock "OPB_BE" SrcPort 1 DstBlock "Register1" DstPort 1 } Line { SrcBlock "OPB_ABus" SrcPort 1 DstBlock "Register" DstPort 1 } Line { SrcBlock "From6" SrcPort 1 DstBlock "OPB_Select" DstPort 1 } Line { SrcBlock "From7" SrcPort 1 DstBlock "OPB_seqAddr" DstPort 1 } Line { SrcBlock "From8" SrcPort 1 DstBlock "OPB_rst" DstPort 1 } Line { SrcBlock "From5" SrcPort 1 DstBlock "OPB_RNW" DstPort 1 } Line { SrcBlock "From4" SrcPort 1 DstBlock "OPB_DBus" DstPort 1 } Line { SrcBlock "From3" SrcPort 1 DstBlock "OPB_BE" DstPort 1 } Line { SrcBlock "From2" SrcPort 1 DstBlock "OPB_ABus" DstPort 1 } Line { SrcBlock "Register" SrcPort 1 Points [0, 0] DstBlock "OPB_ABus_Reg" DstPort 1 } Line { SrcBlock "OPB_rst" SrcPort 1 Points [10, 0] Branch { Points [0, -30] Branch { Points [0, -60] Branch { DstBlock "Register4" DstPort 2 } Branch { Points [0, -60] Branch { Points [0, -60] Branch { Points [0, -60] Branch { DstBlock "Register1" DstPort 2 } Branch { Points [0, -60] DstBlock "Register" DstPort 2 } } Branch { DstBlock "Register2" DstPort 2 } } Branch { DstBlock "Register3" DstPort 2 } } } Branch { DstBlock "Register5" DstPort 2 } } Branch { DstBlock "OPB_Reset" DstPort 1 } } Line { SrcBlock "Register5" SrcPort 1 Points [0, 0] DstBlock "OPB_seqAddr_Reg" DstPort 1 } Line { SrcBlock "Register3" SrcPort 1 Points [0, 0] DstBlock "OPB_RNW_Reg" DstPort 1 } Line { SrcBlock "Register2" SrcPort 1 Points [0, 0] DstBlock "OPB_DBus_Reg" DstPort 1 } Line { SrcBlock "Register1" SrcPort 1 Points [0, 0] DstBlock "OPB_BE_Reg" DstPort 1 } Line { SrcBlock "OPB_seqAddr" SrcPort 1 DstBlock "Register5" DstPort 1 } Line { SrcBlock "OPB_RNW" SrcPort 1 DstBlock "Register3" DstPort 1 } Line { SrcBlock "OPB_DBus" SrcPort 1 DstBlock "Register2" DstPort 1 } } } Block { BlockType Goto Name "SMWODataI_0" Position [270, 472, 325, 528] GotoTag "SMWODataI_0" TagVisibility "global" } Block { BlockType Goto Name "SMWODataI_1" Position [270, 307, 325, 363] GotoTag "SMWODataI_1" TagVisibility "global" } Block { BlockType Goto Name "SMWODataI_2" Position [270, 392, 325, 448] GotoTag "SMWODataI_2" TagVisibility "global" } Block { BlockType Terminator Name "Terminator" Position [290, 195, 305, 215] ShowName off } Block { BlockType Terminator Name "Terminator2" Position [290, 560, 305, 580] ShowName off } Block { BlockType SubSystem Name "ack_gen" Ports [2, 1] Position [270, 610, 320, 650] TreatAsAtomicUnit off MinAlgLoopOccurrences off RTWSystemCode "Auto" MaskHideContents off System { Name "ack_gen" Location [361, 442, 713, 649] Open off ModelBrowserVisibility off ModelBrowserWidth 200 ScreenColor "white" PaperOrientation "landscape" PaperPositionMode "auto" PaperType "usletter" PaperUnits "inches" ZoomFactor "100" Block { BlockType Inport Name "ps" Position [15, 53, 45, 67] IconDisplay "Port number" } Block { BlockType Inport Name "rst" Position [15, 133, 45, 147] Port "2" IconDisplay "Port number" } Block { BlockType Reference Name "Inverter1" Ports [1, 1] Position [135, 132, 165, 148] ShowName off SourceBlock "xbsIndex_r4/Inverter" SourceType "Xilinx Inverter Block" infoedit "Bitwise logical negation (one's complem" "ent) operator." en "off" latency "0" dbl_ovrd "off" xl_use_area "off" xl_area "[0, 0, 0, 0, 0, 0, 0]" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "inv" block_version "VER_STRING_GOES_HERE" sg_icon_stat "30,16,1,1,white,blue,0,1ab4a85f" sg_mask_display "fprintf('','COMMENT: begin icon graphic" "s ');\npatch([0 30 30 0 ],[0 0 16 16 ],[0.77 0.82 0.91]);\npatch([11 8 12 8 1" "1 15 16 17 22 18 15 13 17 13 15 18 22 17 16 15 11 ],[2 5 9 13 16 16 15 16 16 " "12 15 13 9 5 3 6 2 2 3 2 2 ],[0.98 0.96 0.92]);\nplot([0 0 30 30 0 ],[0 16 16" " 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\n\nfprintf('','COMMENT: b" "egin icon text ');\ncolor('black');disp('not');\nfprintf('','COMMENT: end ico" "n text');\n" } Block { BlockType Reference Name "Inverter2" Ports [1, 1] Position [135, 92, 165, 108] ShowName off SourceBlock "xbsIndex_r4/Inverter" SourceType "Xilinx Inverter Block" infoedit "Bitwise logical negation (one's complem" "ent) operator." en "off" latency "0" dbl_ovrd "off" xl_use_area "off" xl_area "[0, 0, 0, 0, 0, 0, 0]" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "inv" block_version "VER_STRING_GOES_HERE" sg_icon_stat "30,16,1,1,white,blue,0,1ab4a85f" sg_mask_display "fprintf('','COMMENT: begin icon graphic" "s ');\npatch([0 30 30 0 ],[0 0 16 16 ],[0.77 0.82 0.91]);\npatch([11 8 12 8 1" "1 15 16 17 22 18 15 13 17 13 15 18 22 17 16 15 11 ],[2 5 9 13 16 16 15 16 16 " "12 15 13 9 5 3 6 2 2 3 2 2 ],[0.98 0.96 0.92]);\nplot([0 0 30 30 0 ],[0 16 16" " 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\n\nfprintf('','COMMENT: b" "egin icon text ');\ncolor('black');disp('not');\nfprintf('','COMMENT: end ico" "n text');\n" } Block { BlockType Reference Name "Logical" Ports [3, 1] Position [195, 40, 245, 160] ShowName off SourceBlock "xbsIndex_r4/Logical" SourceType "Xilinx Logical Block Block" logical_function "AND" inputs "3" en "off" latency "0" precision "Full" arith_type "Unsigned" n_bits "8" bin_pt "2" align_bp "on" dbl_ovrd "off" xl_use_area "off" xl_area "[0, 0, 0, 0, 0, 0, 0]" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "logical" block_version "VER_STRING_GOES_HERE" sg_icon_stat "50,120,3,1,white,blue,0,5c2bfaa2" sg_mask_display "fprintf('','COMMENT: begin icon graphic" "s ');\npatch([0 50 50 0 ],[0 0 120 120 ],[0.77 0.82 0.91]);\npatch([11 3 15 3" " 11 24 28 32 46 35 24 16 28 16 24 35 46 32 28 24 11 ],[40 48 60 72 80 80 76 8" "0 80 69 80 72 60 48 40 51 40 40 44 40 40 ],[0.98 0.96 0.92]);\nplot([0 0 50 5" "0 0 ],[0 120 120 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\n\nfprint" "f('','COMMENT: begin icon text ');\ncolor('black');disp('\\newlineand\\newlin" "ez^{-0}','texmode','on');\nfprintf('','COMMENT: end icon text');\n" } Block { BlockType Reference Name "Register" Ports [1, 1] Position [80, 85, 115, 115] ShowName off SourceBlock "xbsIndex_r4/Register" SourceType "Xilinx Register Block" init "0" rst "off" en "off" dbl_ovrd "off" xl_use_area "off" xl_area "[0, 0, 0, 0, 0, 0, 0]" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "register" block_version "VER_STRING_GOES_HERE" sg_icon_stat "35,30,1,1,white,blue,0,ac6b57db" sg_mask_display "fprintf('','COMMENT: begin icon graphic" "s ');\npatch([0 35 35 0 ],[0 0 30 30 ],[0.77 0.82 0.91]);\npatch([10 5 12 5 1" "0 18 20 22 30 23 17 12 18 12 17 23 30 22 20 18 10 ],[3 8 15 22 27 27 25 27 27" " 20 26 21 15 9 4 10 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 0 35 35 0 ],[0 30" " 30 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\n\nfprintf('','COMMENT" ": begin icon text ');\ncolor('black');port_label('input',1,'d');\ncolor('blac" "k');port_label('output',1,'q');\ncolor('black');disp('\\bf{z^{-1}}','texmode'" ",'on');\nfprintf('','COMMENT: end icon text');\n" } Block { BlockType Outport Name "ack" Position [280, 93, 310, 107] IconDisplay "Port number" BusOutputAsStruct off } Line { SrcBlock "Logical" SrcPort 1 DstBlock "ack" DstPort 1 } Line { SrcBlock "rst" SrcPort 1 DstBlock "Inverter1" DstPort 1 } Line { SrcBlock "Inverter1" SrcPort 1 DstBlock "Logical" DstPort 3 } Line { SrcBlock "Register" SrcPort 1 DstBlock "Inverter2" DstPort 1 } Line { SrcBlock "Inverter2" SrcPort 1 DstBlock "Logical" DstPort 2 } Line { SrcBlock "ps" SrcPort 1 Points [5, 0] Branch { Points [0, 40] DstBlock "Register" DstPort 1 } Branch { DstBlock "Logical" DstPort 1 } } } } Block { BlockType SubSystem Name "en_gen" Ports [3, 19] Position [475, 305, 565, 1045] TreatAsAtomicUnit off MinAlgLoopOccurrences off RTWSystemCode "Auto" MaskHideContents off System { Name "en_gen" Location [594, 227, 1218, 922] Open off ModelBrowserVisibility off ModelBrowserWidth 200 ScreenColor "white" PaperOrientation "landscape" PaperPositionMode "auto" PaperType "usletter" PaperUnits "inches" ZoomFactor "100" Block { BlockType Inport Name "ack_in" Position [265, 353, 295, 367] IconDisplay "Port number" } Block { BlockType Inport Name "addr" Position [30, 583, 60, 597] Port "2" IconDisplay "Port number" } Block { BlockType Inport Name "rnw" Position [185, 363, 215, 377] Port "3" IconDisplay "Port number" } Block { BlockType Reference Name "AddrSlice" Ports [1, 1] Position [95, 548, 140, 572] SourceBlock "xbsIndex_r4/Slice" SourceType "Xilinx Bit Slice Extractor Block" infoedit "Extracts a given range of bits from eac" "h input sample and presents it at the output. The output type is ordinarily " "unsigned with binary point at zero, but can be Boolean when the slice is one " "bit wide.

Hardware notes: In hardware this block costs nothing." nbits "14" boolean_output off mode "Lower Bit Location + Width" bit1 "0" base1 "MSB of Input" bit0 "2" base0 "LSB of Input" dbl_ovrd off has_advanced_control "0" sggui_pos "20,20,516,446" block_type "slice" block_version "VER_STRING_GOES_HERE" sg_icon_stat "45,24,1,1,white,blue,0,fe24a11e" sg_mask_display "fprintf('','COMMENT: begin icon graphic" "s ');\npatch([0 45 45 0 ],[0 0 24 24 ],[0.77 0.82 0.91]);\npatch([16 12 18 12" " 16 22 24 26 33 28 23 19 25 19 23 28 33 26 24 22 16 ],[3 7 13 19 23 23 21 23 " "23 18 23 19 13 7 3 8 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 0 45 45 0 ],[0 2" "4 24 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\n\nfprintf('','COMMEN" "T: begin icon text ');\ncolor('black');port_label('output',1,'[a:b]');\nfprin" "tf('','COMMENT: end icon text');\n" } Block { BlockType Reference Name "BankSelect_slice" Ports [1, 1] Position [95, 603, 140, 627] SourceBlock "xbsIndex_r4/Slice" SourceType "Xilinx Bit Slice Extractor Block" infoedit "Extracts a given range of bits from eac" "h input sample and presents it at the output. The output type is ordinarily " "unsigned with binary point at zero, but can be Boolean when the slice is one " "bit wide.

Hardware notes: In hardware this block costs nothing." nbits "4" boolean_output off mode "Lower Bit Location + Width" bit1 "0" base1 "MSB of Input" bit0 "16" base0 "LSB of Input" dbl_ovrd off has_advanced_control "0" sggui_pos "20,20,516,446" block_type "slice" block_version "VER_STRING_GOES_HERE" sg_icon_stat "45,24,1,1,white,blue,0,fe24a11e" sg_mask_display "fprintf('','COMMENT: begin icon graphic" "s ');\npatch([0 45 45 0 ],[0 0 24 24 ],[0.77 0.82 0.91]);\npatch([16 12 18 12" " 16 22 24 26 33 28 23 19 25 19 23 28 33 26 24 22 16 ],[3 7 13 19 23 23 21 23 " "23 18 23 19 13 7 3 8 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 0 45 45 0 ],[0 2" "4 24 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\n\nfprintf('','COMMEN" "T: begin icon text ');\ncolor('black');port_label('output',1,'[a:b]');\nfprin" "tf('','COMMENT: end icon text');\n" } Block { BlockType Reference Name "BaseAddress_const" Ports [0, 1] Position [20, 654, 65, 686] SourceBlock "xbsIndex_r4/Constant" SourceType "Xilinx Constant Block Block" arith_type "Unsigned" const "0" n_bits "32" bin_pt "0" explicit_period off period "1" dsp48_infoedit "The use of this block for DSP48 instruc" "tions is deprecated. Please use the Opmode block." equ "P=C" opselect "C" inp2 "PCIN>>17" opr "+" inp1 "P" carry "CIN" dbl_ovrd off has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "constant" block_version "VER_STRING_GOES_HERE" sg_icon_stat "45,32,0,1,white,blue,0,c7c04a0c" sg_mask_display "fprintf('','COMMENT: begin icon graphic" "s ');\npatch([0 45 45 0 ],[0 0 32 32 ],[0.77 0.82 0.91]);\npatch([14 9 16 9 1" "4 22 24 26 35 28 21 16 23 16 21 28 35 26 24 22 14 ],[3 8 15 22 27 27 25 27 27" " 20 27 22 15 8 3 10 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 0 45 45 0 ],[0 32" " 32 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\n\nfprintf('','COMMENT" ": begin icon text ');\ncolor('black');port_label('output',1,'0');\nfprintf(''" ",'COMMENT: end icon text');\nfprintf('','COMMENT: Make no changes above this " "line -- machine generated code. ');\n" } Block { BlockType Reference Name "BaseAddress_slice" Ports [1, 1] Position [95, 658, 140, 682] SourceBlock "xbsIndex_r4/Slice" SourceType "Xilinx Bit Slice Extractor Block" infoedit "Extracts a given range of bits from eac" "h input sample and presents it at the output. The output type is ordinarily " "unsigned with binary point at zero, but can be Boolean when the slice is one " "bit wide.

Hardware notes: In hardware this block costs nothing." nbits "4" boolean_output off mode "Lower Bit Location + Width" bit1 "0" base1 "MSB of Input" bit0 "16" base0 "LSB of Input" dbl_ovrd off has_advanced_control "0" sggui_pos "20,20,516,446" block_type "slice" block_version "VER_STRING_GOES_HERE" sg_icon_stat "45,24,1,1,white,blue,0,fe24a11e" sg_mask_display "fprintf('','COMMENT: begin icon graphic" "s ');\npatch([0 45 45 0 ],[0 0 24 24 ],[0.77 0.82 0.91]);\npatch([16 12 18 12" " 16 22 24 26 33 28 23 19 25 19 23 28 33 26 24 22 16 ],[3 7 13 19 23 23 21 23 " "23 18 23 19 13 7 3 8 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 0 45 45 0 ],[0 2" "4 24 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\n\nfprintf('','COMMEN" "T: begin icon text ');\ncolor('black');port_label('output',1,'[a:b]');\nfprin" "tf('','COMMENT: end icon text');\n" } Block { BlockType Reference Name "Concat" Ports [3, 1] Position [495, 586, 535, 654] SourceBlock "xbsIndex_r4/Concat" SourceType "Xilinx Bus Concatenator Block" infoedit "Concatenates two or more inputs. Outpu" "t will be cast to an unsigned value with the binary point at zero." num_inputs "3" dbl_ovrd off has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "concat" block_version "VER_STRING_GOES_HERE" sg_icon_stat "40,68,3,1,white,blue,0,25d2176d" sg_mask_display "fprintf('','COMMENT: begin icon graphic" "s ');\npatch([0 40 40 0 ],[0 0 68 68 ],[0.77 0.82 0.91]);\npatch([10 3 12 3 1" "0 21 24 27 38 29 20 14 24 14 20 29 38 27 24 21 10 ],[18 25 34 43 50 50 47 50 " "50 41 50 44 34 24 18 27 18 18 21 18 18 ],[0.98 0.96 0.92]);\nplot([0 0 40 40 " "0 ],[0 68 68 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\n\nfprintf(''" ",'COMMENT: begin icon text ');\ncolor('black');port_label('input',1,'hi');\nc" "olor('black');port_label('input',3,'lo');\nfprintf('','COMMENT: end icon text" "');\n" } Block { BlockType Goto Name "SMWOAddr_gwin1_sm3" Position [190, 570, 210, 590] GotoTag "SMWOAddr_2" TagVisibility "global" } Block { BlockType Goto Name "SMWOAddr_gwin_sm1" Position [190, 470, 210, 490] GotoTag "SMWOAddr_0" TagVisibility "global" } Block { BlockType Goto Name "SMWOAddr_gwin_sm2" Position [190, 520, 210, 540] GotoTag "SMWOAddr_1" TagVisibility "global" } Block { BlockType Goto Name "SMWOWE_gwin1_sm3" Position [430, 225, 450, 245] GotoTag "SMWOWE_2" TagVisibility "global" } Block { BlockType Goto Name "SMWOWE_gwin_sm1" Position [430, 480, 450, 500] GotoTag "SMWOWE_0" TagVisibility "global" } Block { BlockType Goto Name "SMWOWE_gwin_sm2" Position [430, 405, 450, 425] GotoTag "SMWOWE_1" TagVisibility "global" } Block { BlockType Reference Name "Slice" Ports [1, 1] Position [415, 778, 460, 802] SourceBlock "xbsIndex_r4/Slice" SourceType "Xilinx Bit Slice Extractor Block" infoedit "Extracts a given range of bits from eac" "h input sample and presents it at the output. The output type is ordinarily " "unsigned with binary point at zero, but can be Boolean when the slice is one " "bit wide.

Hardware notes: In hardware this block costs nothing." nbits "5" boolean_output off mode "Lower Bit Location + Width" bit1 "0" base1 "MSB of Input" bit0 "2" base0 "LSB of Input" dbl_ovrd off has_advanced_control "0" sggui_pos "20,20,516,446" block_type "slice" block_version "VER_STRING_GOES_HERE" sg_icon_stat "45,24,1,1,white,blue,0,fe24a11e" sg_mask_display "fprintf('','COMMENT: begin icon graphic" "s ');\npatch([0 45 45 0 ],[0 0 24 24 ],[0.77 0.82 0.91]);\npatch([16 12 18 12" " 16 22 24 26 33 28 23 19 25 19 23 28 33 26 24 22 16 ],[3 7 13 19 23 23 21 23 " "23 18 23 19 13 7 3 8 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 0 45 45 0 ],[0 2" "4 24 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\n\nfprintf('','COMMEN" "T: begin icon text ');\ncolor('black');port_label('output',1,'[a:b]');\nfprin" "tf('','COMMENT: end icon text');\n" } Block { BlockType Reference Name "Write_const_0" Ports [0, 1] Position [495, 49, 540, 81] SourceBlock "xbsIndex_r4/Constant" SourceType "Xilinx Constant Block Block" arith_type "Unsigned" const "64" n_bits "7" bin_pt "0" explicit_period off period "1" dsp48_infoedit "The use of this block for DSP48 instruc" "tions is deprecated. Please use the Opmode block." equ "P=C" opselect "C" inp2 "PCIN>>17" opr "+" inp1 "P" carry "CIN" dbl_ovrd off has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "constant" block_version "VER_STRING_GOES_HERE" sg_icon_stat "45,32,0,1,white,blue,0,a048bc31" sg_mask_display "fprintf('','COMMENT: begin icon graphic" "s ');\npatch([0 45 45 0 ],[0 0 32 32 ],[0.77 0.82 0.91]);\npatch([14 9 16 9 1" "4 22 24 26 35 28 21 16 23 16 21 28 35 26 24 22 14 ],[3 8 15 22 27 27 25 27 27" " 20 27 22 15 8 3 10 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 0 45 45 0 ],[0 32" " 32 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\n\nfprintf('','COMMENT" ": begin icon text ');\ncolor('black');port_label('output',1,'64');\nfprintf('" "','COMMENT: end icon text');\nfprintf('','COMMENT: Make no changes above this" " line -- machine generated code. ');\n" } Block { BlockType Reference Name "Write_const_1" Ports [0, 1] Position [495, 119, 540, 151] SourceBlock "xbsIndex_r4/Constant" SourceType "Xilinx Constant Block Block" arith_type "Unsigned" const "65" n_bits "7" bin_pt "0" explicit_period off period "1" dsp48_infoedit "The use of this block for DSP48 instruc" "tions is deprecated. Please use the Opmode block." equ "P=C" opselect "C" inp2 "PCIN>>17" opr "+" inp1 "P" carry "CIN" dbl_ovrd off has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "constant" block_version "VER_STRING_GOES_HERE" sg_icon_stat "45,32,0,1,white,blue,0,835dedaa" sg_mask_display "fprintf('','COMMENT: begin icon graphic" "s ');\npatch([0 45 45 0 ],[0 0 32 32 ],[0.77 0.82 0.91]);\npatch([14 9 16 9 1" "4 22 24 26 35 28 21 16 23 16 21 28 35 26 24 22 14 ],[3 8 15 22 27 27 25 27 27" " 20 27 22 15 8 3 10 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 0 45 45 0 ],[0 32" " 32 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\n\nfprintf('','COMMENT" ": begin icon text ');\ncolor('black');port_label('output',1,'65');\nfprintf('" "','COMMENT: end icon text');\nfprintf('','COMMENT: Make no changes above this" " line -- machine generated code. ');\n" } Block { BlockType Reference Name "Write_const_10" Ports [0, 1] Position [495, 194, 540, 226] SourceBlock "xbsIndex_r4/Constant" SourceType "Xilinx Constant Block Block" arith_type "Unsigned" const "74" n_bits "7" bin_pt "0" explicit_period off period "1" dsp48_infoedit "The use of this block for DSP48 instruc" "tions is deprecated. Please use the Opmode block." equ "P=C" opselect "C" inp2 "PCIN>>17" opr "+" inp1 "P" carry "CIN" dbl_ovrd off has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "constant" block_version "VER_STRING_GOES_HERE" sg_icon_stat "45,32,0,1,white,blue,0,e1d38e53" sg_mask_display "fprintf('','COMMENT: begin icon graphic" "s ');\npatch([0 45 45 0 ],[0 0 32 32 ],[0.77 0.82 0.91]);\npatch([14 9 16 9 1" "4 22 24 26 35 28 21 16 23 16 21 28 35 26 24 22 14 ],[3 8 15 22 27 27 25 27 27" " 20 27 22 15 8 3 10 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 0 45 45 0 ],[0 32" " 32 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\n\nfprintf('','COMMENT" ": begin icon text ');\ncolor('black');port_label('output',1,'74');\nfprintf('" "','COMMENT: end icon text');\nfprintf('','COMMENT: Make no changes above this" " line -- machine generated code. ');\n" } Block { BlockType Reference Name "Write_const_11" Ports [0, 1] Position [495, 269, 540, 301] SourceBlock "xbsIndex_r4/Constant" SourceType "Xilinx Constant Block Block" arith_type "Unsigned" const "75" n_bits "7" bin_pt "0" explicit_period off period "1" dsp48_infoedit "The use of this block for DSP48 instruc" "tions is deprecated. Please use the Opmode block." equ "P=C" opselect "C" inp2 "PCIN>>17" opr "+" inp1 "P" carry "CIN" dbl_ovrd off has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "constant" block_version "VER_STRING_GOES_HERE" sg_icon_stat "45,32,0,1,white,blue,0,2b98a862" sg_mask_display "fprintf('','COMMENT: begin icon graphic" "s ');\npatch([0 45 45 0 ],[0 0 32 32 ],[0.77 0.82 0.91]);\npatch([14 9 16 9 1" "4 22 24 26 35 28 21 16 23 16 21 28 35 26 24 22 14 ],[3 8 15 22 27 27 25 27 27" " 20 27 22 15 8 3 10 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 0 45 45 0 ],[0 32" " 32 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\n\nfprintf('','COMMENT" ": begin icon text ');\ncolor('black');port_label('output',1,'75');\nfprintf('" "','COMMENT: end icon text');\nfprintf('','COMMENT: Make no changes above this" " line -- machine generated code. ');\n" } Block { BlockType Reference Name "Write_const_12" Ports [0, 1] Position [495, 334, 540, 366] SourceBlock "xbsIndex_r4/Constant" SourceType "Xilinx Constant Block Block" arith_type "Unsigned" const "76" n_bits "7" bin_pt "0" explicit_period off period "1" dsp48_infoedit "The use of this block for DSP48 instruc" "tions is deprecated. Please use the Opmode block." equ "P=C" opselect "C" inp2 "PCIN>>17" opr "+" inp1 "P" carry "CIN" dbl_ovrd off has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "constant" block_version "VER_STRING_GOES_HERE" sg_icon_stat "45,32,0,1,white,blue,0,6378473b" sg_mask_display "fprintf('','COMMENT: begin icon graphic" "s ');\npatch([0 45 45 0 ],[0 0 32 32 ],[0.77 0.82 0.91]);\npatch([14 9 16 9 1" "4 22 24 26 35 28 21 16 23 16 21 28 35 26 24 22 14 ],[3 8 15 22 27 27 25 27 27" " 20 27 22 15 8 3 10 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 0 45 45 0 ],[0 32" " 32 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\n\nfprintf('','COMMENT" ": begin icon text ');\ncolor('black');port_label('output',1,'76');\nfprintf('" "','COMMENT: end icon text');\nfprintf('','COMMENT: Make no changes above this" " line -- machine generated code. ');\n" } Block { BlockType Reference Name "Write_const_13" Ports [0, 1] Position [495, 399, 540, 431] SourceBlock "xbsIndex_r4/Constant" SourceType "Xilinx Constant Block Block" arith_type "Unsigned" const "77" n_bits "7" bin_pt "0" explicit_period off period "1" dsp48_infoedit "The use of this block for DSP48 instruc" "tions is deprecated. Please use the Opmode block." equ "P=C" opselect "C" inp2 "PCIN>>17" opr "+" inp1 "P" carry "CIN" dbl_ovrd off has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "constant" block_version "VER_STRING_GOES_HERE" sg_icon_stat "45,32,0,1,white,blue,0,a79e58ae" sg_mask_display "fprintf('','COMMENT: begin icon graphic" "s ');\npatch([0 45 45 0 ],[0 0 32 32 ],[0.77 0.82 0.91]);\npatch([14 9 16 9 1" "4 22 24 26 35 28 21 16 23 16 21 28 35 26 24 22 14 ],[3 8 15 22 27 27 25 27 27" " 20 27 22 15 8 3 10 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 0 45 45 0 ],[0 32" " 32 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\n\nfprintf('','COMMENT" ": begin icon text ');\ncolor('black');port_label('output',1,'77');\nfprintf('" "','COMMENT: end icon text');\nfprintf('','COMMENT: Make no changes above this" " line -- machine generated code. ');\n" } Block { BlockType Reference Name "Write_const_14" Ports [0, 1] Position [495, 459, 540, 491] SourceBlock "xbsIndex_r4/Constant" SourceType "Xilinx Constant Block Block" arith_type "Unsigned" const "78" n_bits "7" bin_pt "0" explicit_period off period "1" dsp48_infoedit "The use of this block for DSP48 instruc" "tions is deprecated. Please use the Opmode block." equ "P=C" opselect "C" inp2 "PCIN>>17" opr "+" inp1 "P" carry "CIN" dbl_ovrd off has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "constant" block_version "VER_STRING_GOES_HERE" sg_icon_stat "45,32,0,1,white,blue,0,23308aa1" sg_mask_display "fprintf('','COMMENT: begin icon graphic" "s ');\npatch([0 45 45 0 ],[0 0 32 32 ],[0.77 0.82 0.91]);\npatch([14 9 16 9 1" "4 22 24 26 35 28 21 16 23 16 21 28 35 26 24 22 14 ],[3 8 15 22 27 27 25 27 27" " 20 27 22 15 8 3 10 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 0 45 45 0 ],[0 32" " 32 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\n\nfprintf('','COMMENT" ": begin icon text ');\ncolor('black');port_label('output',1,'78');\nfprintf('" "','COMMENT: end icon text');\nfprintf('','COMMENT: Make no changes above this" " line -- machine generated code. ');\n" } Block { BlockType Reference Name "Write_const_15" Ports [0, 1] Position [495, 524, 540, 556] SourceBlock "xbsIndex_r4/Constant" SourceType "Xilinx Constant Block Block" arith_type "Unsigned" const "79" n_bits "7" bin_pt "0" explicit_period off period "1" dsp48_infoedit "The use of this block for DSP48 instruc" "tions is deprecated. Please use the Opmode block." equ "P=C" opselect "C" inp2 "PCIN>>17" opr "+" inp1 "P" carry "CIN" dbl_ovrd off has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "constant" block_version "VER_STRING_GOES_HERE" sg_icon_stat "45,32,0,1,white,blue,0,c4cb7a82" sg_mask_display "fprintf('','COMMENT: begin icon graphic" "s ');\npatch([0 45 45 0 ],[0 0 32 32 ],[0.77 0.82 0.91]);\npatch([14 9 16 9 1" "4 22 24 26 35 28 21 16 23 16 21 28 35 26 24 22 14 ],[3 8 15 22 27 27 25 27 27" " 20 27 22 15 8 3 10 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 0 45 45 0 ],[0 32" " 32 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\n\nfprintf('','COMMENT" ": begin icon text ');\ncolor('black');port_label('output',1,'79');\nfprintf('" "','COMMENT: end icon text');\nfprintf('','COMMENT: Make no changes above this" " line -- machine generated code. ');\n" } Block { BlockType Reference Name "Write_const_16" Ports [0, 1] Position [495, 684, 540, 716] SourceBlock "xbsIndex_r4/Constant" SourceType "Xilinx Constant Block Block" arith_type "Unsigned" const "80" n_bits "7" bin_pt "0" explicit_period off period "1" dsp48_infoedit "The use of this block for DSP48 instruc" "tions is deprecated. Please use the Opmode block." equ "P=C" opselect "C" inp2 "PCIN>>17" opr "+" inp1 "P" carry "CIN" dbl_ovrd off has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "constant" block_version "VER_STRING_GOES_HERE" sg_icon_stat "45,32,0,1,white,blue,0,bbd6ca4e" sg_mask_display "fprintf('','COMMENT: begin icon graphic" "s ');\npatch([0 45 45 0 ],[0 0 32 32 ],[0.77 0.82 0.91]);\npatch([14 9 16 9 1" "4 22 24 26 35 28 21 16 23 16 21 28 35 26 24 22 14 ],[3 8 15 22 27 27 25 27 27" " 20 27 22 15 8 3 10 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 0 45 45 0 ],[0 32" " 32 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\n\nfprintf('','COMMENT" ": begin icon text ');\ncolor('black');port_label('output',1,'80');\nfprintf('" "','COMMENT: end icon text');\nfprintf('','COMMENT: Make no changes above this" " line -- machine generated code. ');\n" } Block { BlockType Reference Name "Write_const_2" Ports [0, 1] Position [495, 744, 540, 776] SourceBlock "xbsIndex_r4/Constant" SourceType "Xilinx Constant Block Block" arith_type "Unsigned" const "66" n_bits "7" bin_pt "0" explicit_period off period "1" dsp48_infoedit "The use of this block for DSP48 instruc" "tions is deprecated. Please use the Opmode block." equ "P=C" opselect "C" inp2 "PCIN>>17" opr "+" inp1 "P" carry "CIN" dbl_ovrd off has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "constant" block_version "VER_STRING_GOES_HERE" sg_icon_stat "45,32,0,1,white,blue,0,dd0f10c8" sg_mask_display "fprintf('','COMMENT: begin icon graphic" "s ');\npatch([0 45 45 0 ],[0 0 32 32 ],[0.77 0.82 0.91]);\npatch([14 9 16 9 1" "4 22 24 26 35 28 21 16 23 16 21 28 35 26 24 22 14 ],[3 8 15 22 27 27 25 27 27" " 20 27 22 15 8 3 10 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 0 45 45 0 ],[0 32" " 32 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\n\nfprintf('','COMMENT" ": begin icon text ');\ncolor('black');port_label('output',1,'66');\nfprintf('" "','COMMENT: end icon text');\nfprintf('','COMMENT: Make no changes above this" " line -- machine generated code. ');\n" } Block { BlockType Reference Name "Write_const_3" Ports [0, 1] Position [495, 809, 540, 841] SourceBlock "xbsIndex_r4/Constant" SourceType "Xilinx Constant Block Block" arith_type "Unsigned" const "67" n_bits "7" bin_pt "0" explicit_period off period "1" dsp48_infoedit "The use of this block for DSP48 instruc" "tions is deprecated. Please use the Opmode block." equ "P=C" opselect "C" inp2 "PCIN>>17" opr "+" inp1 "P" carry "CIN" dbl_ovrd off has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "constant" block_version "VER_STRING_GOES_HERE" sg_icon_stat "45,32,0,1,white,blue,0,dc7a0ebf" sg_mask_display "fprintf('','COMMENT: begin icon graphic" "s ');\npatch([0 45 45 0 ],[0 0 32 32 ],[0.77 0.82 0.91]);\npatch([14 9 16 9 1" "4 22 24 26 35 28 21 16 23 16 21 28 35 26 24 22 14 ],[3 8 15 22 27 27 25 27 27" " 20 27 22 15 8 3 10 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 0 45 45 0 ],[0 32" " 32 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\n\nfprintf('','COMMENT" ": begin icon text ');\ncolor('black');port_label('output',1,'67');\nfprintf('" "','COMMENT: end icon text');\nfprintf('','COMMENT: Make no changes above this" " line -- machine generated code. ');\n" } Block { BlockType Reference Name "Write_const_4" Ports [0, 1] Position [495, 869, 540, 901] SourceBlock "xbsIndex_r4/Constant" SourceType "Xilinx Constant Block Block" arith_type "Unsigned" const "68" n_bits "7" bin_pt "0" explicit_period off period "1" dsp48_infoedit "The use of this block for DSP48 instruc" "tions is deprecated. Please use the Opmode block." equ "P=C" opselect "C" inp2 "PCIN>>17" opr "+" inp1 "P" carry "CIN" dbl_ovrd off has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "constant" block_version "VER_STRING_GOES_HERE" sg_icon_stat "45,32,0,1,white,blue,0,ca3be464" sg_mask_display "fprintf('','COMMENT: begin icon graphic" "s ');\npatch([0 45 45 0 ],[0 0 32 32 ],[0.77 0.82 0.91]);\npatch([14 9 16 9 1" "4 22 24 26 35 28 21 16 23 16 21 28 35 26 24 22 14 ],[3 8 15 22 27 27 25 27 27" " 20 27 22 15 8 3 10 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 0 45 45 0 ],[0 32" " 32 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\n\nfprintf('','COMMENT" ": begin icon text ');\ncolor('black');port_label('output',1,'68');\nfprintf('" "','COMMENT: end icon text');\nfprintf('','COMMENT: Make no changes above this" " line -- machine generated code. ');\n" } Block { BlockType Reference Name "Write_const_5" Ports [0, 1] Position [495, 934, 540, 966] SourceBlock "xbsIndex_r4/Constant" SourceType "Xilinx Constant Block Block" arith_type "Unsigned" const "69" n_bits "7" bin_pt "0" explicit_period off period "1" dsp48_infoedit "The use of this block for DSP48 instruc" "tions is deprecated. Please use the Opmode block." equ "P=C" opselect "C" inp2 "PCIN>>17" opr "+" inp1 "P" carry "CIN" dbl_ovrd off has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "constant" block_version "VER_STRING_GOES_HERE" sg_icon_stat "45,32,0,1,white,blue,0,2e1ff397" sg_mask_display "fprintf('','COMMENT: begin icon graphic" "s ');\npatch([0 45 45 0 ],[0 0 32 32 ],[0.77 0.82 0.91]);\npatch([14 9 16 9 1" "4 22 24 26 35 28 21 16 23 16 21 28 35 26 24 22 14 ],[3 8 15 22 27 27 25 27 27" " 20 27 22 15 8 3 10 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 0 45 45 0 ],[0 32" " 32 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\n\nfprintf('','COMMENT" ": begin icon text ');\ncolor('black');port_label('output',1,'69');\nfprintf('" "','COMMENT: end icon text');\nfprintf('','COMMENT: Make no changes above this" " line -- machine generated code. ');\n" } Block { BlockType Reference Name "Write_const_6" Ports [0, 1] Position [495, 999, 540, 1031] SourceBlock "xbsIndex_r4/Constant" SourceType "Xilinx Constant Block Block" arith_type "Unsigned" const "70" n_bits "7" bin_pt "0" explicit_period off period "1" dsp48_infoedit "The use of this block for DSP48 instruc" "tions is deprecated. Please use the Opmode block." equ "P=C" opselect "C" inp2 "PCIN>>17" opr "+" inp1 "P" carry "CIN" dbl_ovrd off has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "constant" block_version "VER_STRING_GOES_HERE" sg_icon_stat "45,32,0,1,white,blue,0,49de5ad3" sg_mask_display "fprintf('','COMMENT: begin icon graphic" "s ');\npatch([0 45 45 0 ],[0 0 32 32 ],[0.77 0.82 0.91]);\npatch([14 9 16 9 1" "4 22 24 26 35 28 21 16 23 16 21 28 35 26 24 22 14 ],[3 8 15 22 27 27 25 27 27" " 20 27 22 15 8 3 10 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 0 45 45 0 ],[0 32" " 32 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\n\nfprintf('','COMMENT" ": begin icon text ');\ncolor('black');port_label('output',1,'70');\nfprintf('" "','COMMENT: end icon text');\nfprintf('','COMMENT: Make no changes above this" " line -- machine generated code. ');\n" } Block { BlockType Reference Name "Write_const_7" Ports [0, 1] Position [495, 1074, 540, 1106] SourceBlock "xbsIndex_r4/Constant" SourceType "Xilinx Constant Block Block" arith_type "Unsigned" const "71" n_bits "7" bin_pt "0" explicit_period off period "1" dsp48_infoedit "The use of this block for DSP48 instruc" "tions is deprecated. Please use the Opmode block." equ "P=C" opselect "C" inp2 "PCIN>>17" opr "+" inp1 "P" carry "CIN" dbl_ovrd off has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "constant" block_version "VER_STRING_GOES_HERE" sg_icon_stat "45,32,0,1,white,blue,0,abbc8b21" sg_mask_display "fprintf('','COMMENT: begin icon graphic" "s ');\npatch([0 45 45 0 ],[0 0 32 32 ],[0.77 0.82 0.91]);\npatch([14 9 16 9 1" "4 22 24 26 35 28 21 16 23 16 21 28 35 26 24 22 14 ],[3 8 15 22 27 27 25 27 27" " 20 27 22 15 8 3 10 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 0 45 45 0 ],[0 32" " 32 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\n\nfprintf('','COMMENT" ": begin icon text ');\ncolor('black');port_label('output',1,'71');\nfprintf('" "','COMMENT: end icon text');\nfprintf('','COMMENT: Make no changes above this" " line -- machine generated code. ');\n" } Block { BlockType Reference Name "Write_const_8" Ports [0, 1] Position [495, 1149, 540, 1181] SourceBlock "xbsIndex_r4/Constant" SourceType "Xilinx Constant Block Block" arith_type "Unsigned" const "72" n_bits "7" bin_pt "0" explicit_period off period "1" dsp48_infoedit "The use of this block for DSP48 instruc" "tions is deprecated. Please use the Opmode block." equ "P=C" opselect "C" inp2 "PCIN>>17" opr "+" inp1 "P" carry "CIN" dbl_ovrd off has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "constant" block_version "VER_STRING_GOES_HERE" sg_icon_stat "45,32,0,1,white,blue,0,fb103772" sg_mask_display "fprintf('','COMMENT: begin icon graphic" "s ');\npatch([0 45 45 0 ],[0 0 32 32 ],[0.77 0.82 0.91]);\npatch([14 9 16 9 1" "4 22 24 26 35 28 21 16 23 16 21 28 35 26 24 22 14 ],[3 8 15 22 27 27 25 27 27" " 20 27 22 15 8 3 10 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 0 45 45 0 ],[0 32" " 32 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\n\nfprintf('','COMMENT" ": begin icon text ');\ncolor('black');port_label('output',1,'72');\nfprintf('" "','COMMENT: end icon text');\nfprintf('','COMMENT: Make no changes above this" " line -- machine generated code. ');\n" } Block { BlockType Reference Name "Write_const_9" Ports [0, 1] Position [495, 1219, 540, 1251] SourceBlock "xbsIndex_r4/Constant" SourceType "Xilinx Constant Block Block" arith_type "Unsigned" const "73" n_bits "7" bin_pt "0" explicit_period off period "1" dsp48_infoedit "The use of this block for DSP48 instruc" "tions is deprecated. Please use the Opmode block." equ "P=C" opselect "C" inp2 "PCIN>>17" opr "+" inp1 "P" carry "CIN" dbl_ovrd off has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "constant" block_version "VER_STRING_GOES_HERE" sg_icon_stat "45,32,0,1,white,blue,0,31f97e9c" sg_mask_display "fprintf('','COMMENT: begin icon graphic" "s ');\npatch([0 45 45 0 ],[0 0 32 32 ],[0.77 0.82 0.91]);\npatch([14 9 16 9 1" "4 22 24 26 35 28 21 16 23 16 21 28 35 26 24 22 14 ],[3 8 15 22 27 27 25 27 27" " 20 27 22 15 8 3 10 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 0 45 45 0 ],[0 32" " 32 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\n\nfprintf('','COMMENT" ": begin icon text ');\ncolor('black');port_label('output',1,'73');\nfprintf('" "','COMMENT: end icon text');\nfprintf('','COMMENT: Make no changes above this" " line -- machine generated code. ');\n" } Block { BlockType Reference Name "Write_rel_0" Ports [2, 1] Position [570, 28, 615, 72] SourceBlock "xbsIndex_r4/Relational" SourceType "Xilinx Arithmetic Relational Operator B" "lock" mode "a=b" en off latency "0" dbl_ovrd off xl_use_area off xl_area "[0, 0, 0, 0, 0, 0, 0]" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "relational" block_version "VER_STRING_GOES_HERE" sg_icon_stat "45,44,2,1,white,blue,0,1b68ef8e" sg_mask_display "fprintf('','COMMENT: begin icon graphic" "s ');\npatch([0 45 45 0 ],[0 0 44 44 ],[0.77 0.82 0.91]);\npatch([10 3 13 3 1" "0 22 25 28 40 30 21 14 23 14 21 30 40 28 25 22 10 ],[5 12 22 32 39 39 36 39 3" "9 29 38 31 22 13 6 15 5 5 8 5 5 ],[0.98 0.96 0.92]);\nplot([0 0 45 45 0 ],[0 " "44 44 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\n\nfprintf('','COMME" "NT: begin icon text ');\ncolor('black');port_label('input',1,'a');\ncolor('bl" "ack');port_label('input',2,'b');\ncolor('black');disp('\\newline\\bf{a=b}\\ne" "wlinez^{-0}','texmode','on');\nfprintf('','COMMENT: end icon text');\n" } Block { BlockType Reference Name "Write_rel_1" Ports [2, 1] Position [570, 103, 615, 147] SourceBlock "xbsIndex_r4/Relational" SourceType "Xilinx Arithmetic Relational Operator B" "lock" mode "a=b" en off latency "0" dbl_ovrd off xl_use_area off xl_area "[0, 0, 0, 0, 0, 0, 0]" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "relational" block_version "VER_STRING_GOES_HERE" sg_icon_stat "45,44,2,1,white,blue,0,1b68ef8e" sg_mask_display "fprintf('','COMMENT: begin icon graphic" "s ');\npatch([0 45 45 0 ],[0 0 44 44 ],[0.77 0.82 0.91]);\npatch([10 3 13 3 1" "0 22 25 28 40 30 21 14 23 14 21 30 40 28 25 22 10 ],[5 12 22 32 39 39 36 39 3" "9 29 38 31 22 13 6 15 5 5 8 5 5 ],[0.98 0.96 0.92]);\nplot([0 0 45 45 0 ],[0 " "44 44 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\n\nfprintf('','COMME" "NT: begin icon text ');\ncolor('black');port_label('input',1,'a');\ncolor('bl" "ack');port_label('input',2,'b');\ncolor('black');disp('\\newline\\bf{a=b}\\ne" "wlinez^{-0}','texmode','on');\nfprintf('','COMMENT: end icon text');\n" } Block { BlockType Reference Name "Write_rel_10" Ports [2, 1] Position [570, 178, 615, 222] SourceBlock "xbsIndex_r4/Relational" SourceType "Xilinx Arithmetic Relational Operator B" "lock" mode "a=b" en off latency "0" dbl_ovrd off xl_use_area off xl_area "[0, 0, 0, 0, 0, 0, 0]" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "relational" block_version "VER_STRING_GOES_HERE" sg_icon_stat "45,44,2,1,white,blue,0,1b68ef8e" sg_mask_display "fprintf('','COMMENT: begin icon graphic" "s ');\npatch([0 45 45 0 ],[0 0 44 44 ],[0.77 0.82 0.91]);\npatch([10 3 13 3 1" "0 22 25 28 40 30 21 14 23 14 21 30 40 28 25 22 10 ],[5 12 22 32 39 39 36 39 3" "9 29 38 31 22 13 6 15 5 5 8 5 5 ],[0.98 0.96 0.92]);\nplot([0 0 45 45 0 ],[0 " "44 44 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\n\nfprintf('','COMME" "NT: begin icon text ');\ncolor('black');port_label('input',1,'a');\ncolor('bl" "ack');port_label('input',2,'b');\ncolor('black');disp('\\newline\\bf{a=b}\\ne" "wlinez^{-0}','texmode','on');\nfprintf('','COMMENT: end icon text');\n" } Block { BlockType Reference Name "Write_rel_11" Ports [2, 1] Position [570, 248, 615, 292] SourceBlock "xbsIndex_r4/Relational" SourceType "Xilinx Arithmetic Relational Operator B" "lock" mode "a=b" en off latency "0" dbl_ovrd off xl_use_area off xl_area "[0, 0, 0, 0, 0, 0, 0]" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "relational" block_version "VER_STRING_GOES_HERE" sg_icon_stat "45,44,2,1,white,blue,0,1b68ef8e" sg_mask_display "fprintf('','COMMENT: begin icon graphic" "s ');\npatch([0 45 45 0 ],[0 0 44 44 ],[0.77 0.82 0.91]);\npatch([10 3 13 3 1" "0 22 25 28 40 30 21 14 23 14 21 30 40 28 25 22 10 ],[5 12 22 32 39 39 36 39 3" "9 29 38 31 22 13 6 15 5 5 8 5 5 ],[0.98 0.96 0.92]);\nplot([0 0 45 45 0 ],[0 " "44 44 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\n\nfprintf('','COMME" "NT: begin icon text ');\ncolor('black');port_label('input',1,'a');\ncolor('bl" "ack');port_label('input',2,'b');\ncolor('black');disp('\\newline\\bf{a=b}\\ne" "wlinez^{-0}','texmode','on');\nfprintf('','COMMENT: end icon text');\n" } Block { BlockType Reference Name "Write_rel_12" Ports [2, 1] Position [570, 323, 615, 367] SourceBlock "xbsIndex_r4/Relational" SourceType "Xilinx Arithmetic Relational Operator B" "lock" mode "a=b" en off latency "0" dbl_ovrd off xl_use_area off xl_area "[0, 0, 0, 0, 0, 0, 0]" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "relational" block_version "VER_STRING_GOES_HERE" sg_icon_stat "45,44,2,1,white,blue,0,1b68ef8e" sg_mask_display "fprintf('','COMMENT: begin icon graphic" "s ');\npatch([0 45 45 0 ],[0 0 44 44 ],[0.77 0.82 0.91]);\npatch([10 3 13 3 1" "0 22 25 28 40 30 21 14 23 14 21 30 40 28 25 22 10 ],[5 12 22 32 39 39 36 39 3" "9 29 38 31 22 13 6 15 5 5 8 5 5 ],[0.98 0.96 0.92]);\nplot([0 0 45 45 0 ],[0 " "44 44 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\n\nfprintf('','COMME" "NT: begin icon text ');\ncolor('black');port_label('input',1,'a');\ncolor('bl" "ack');port_label('input',2,'b');\ncolor('black');disp('\\newline\\bf{a=b}\\ne" "wlinez^{-0}','texmode','on');\nfprintf('','COMMENT: end icon text');\n" } Block { BlockType Reference Name "Write_rel_13" Ports [2, 1] Position [570, 398, 615, 442] SourceBlock "xbsIndex_r4/Relational" SourceType "Xilinx Arithmetic Relational Operator B" "lock" mode "a=b" en off latency "0" dbl_ovrd off xl_use_area off xl_area "[0, 0, 0, 0, 0, 0, 0]" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "relational" block_version "VER_STRING_GOES_HERE" sg_icon_stat "45,44,2,1,white,blue,0,1b68ef8e" sg_mask_display "fprintf('','COMMENT: begin icon graphic" "s ');\npatch([0 45 45 0 ],[0 0 44 44 ],[0.77 0.82 0.91]);\npatch([10 3 13 3 1" "0 22 25 28 40 30 21 14 23 14 21 30 40 28 25 22 10 ],[5 12 22 32 39 39 36 39 3" "9 29 38 31 22 13 6 15 5 5 8 5 5 ],[0.98 0.96 0.92]);\nplot([0 0 45 45 0 ],[0 " "44 44 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\n\nfprintf('','COMME" "NT: begin icon text ');\ncolor('black');port_label('input',1,'a');\ncolor('bl" "ack');port_label('input',2,'b');\ncolor('black');disp('\\newline\\bf{a=b}\\ne" "wlinez^{-0}','texmode','on');\nfprintf('','COMMENT: end icon text');\n" } Block { BlockType Reference Name "Write_rel_14" Ports [2, 1] Position [570, 468, 615, 512] SourceBlock "xbsIndex_r4/Relational" SourceType "Xilinx Arithmetic Relational Operator B" "lock" mode "a=b" en off latency "0" dbl_ovrd off xl_use_area off xl_area "[0, 0, 0, 0, 0, 0, 0]" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "relational" block_version "VER_STRING_GOES_HERE" sg_icon_stat "45,44,2,1,white,blue,0,1b68ef8e" sg_mask_display "fprintf('','COMMENT: begin icon graphic" "s ');\npatch([0 45 45 0 ],[0 0 44 44 ],[0.77 0.82 0.91]);\npatch([10 3 13 3 1" "0 22 25 28 40 30 21 14 23 14 21 30 40 28 25 22 10 ],[5 12 22 32 39 39 36 39 3" "9 29 38 31 22 13 6 15 5 5 8 5 5 ],[0.98 0.96 0.92]);\nplot([0 0 45 45 0 ],[0 " "44 44 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\n\nfprintf('','COMME" "NT: begin icon text ');\ncolor('black');port_label('input',1,'a');\ncolor('bl" "ack');port_label('input',2,'b');\ncolor('black');disp('\\newline\\bf{a=b}\\ne" "wlinez^{-0}','texmode','on');\nfprintf('','COMMENT: end icon text');\n" } Block { BlockType Reference Name "Write_rel_15" Ports [2, 1] Position [570, 543, 615, 587] SourceBlock "xbsIndex_r4/Relational" SourceType "Xilinx Arithmetic Relational Operator B" "lock" mode "a=b" en off latency "0" dbl_ovrd off xl_use_area off xl_area "[0, 0, 0, 0, 0, 0, 0]" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "relational" block_version "VER_STRING_GOES_HERE" sg_icon_stat "45,44,2,1,white,blue,0,1b68ef8e" sg_mask_display "fprintf('','COMMENT: begin icon graphic" "s ');\npatch([0 45 45 0 ],[0 0 44 44 ],[0.77 0.82 0.91]);\npatch([10 3 13 3 1" "0 22 25 28 40 30 21 14 23 14 21 30 40 28 25 22 10 ],[5 12 22 32 39 39 36 39 3" "9 29 38 31 22 13 6 15 5 5 8 5 5 ],[0.98 0.96 0.92]);\nplot([0 0 45 45 0 ],[0 " "44 44 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\n\nfprintf('','COMME" "NT: begin icon text ');\ncolor('black');port_label('input',1,'a');\ncolor('bl" "ack');port_label('input',2,'b');\ncolor('black');disp('\\newline\\bf{a=b}\\ne" "wlinez^{-0}','texmode','on');\nfprintf('','COMMENT: end icon text');\n" } Block { BlockType Reference Name "Write_rel_16" Ports [2, 1] Position [570, 618, 615, 662] SourceBlock "xbsIndex_r4/Relational" SourceType "Xilinx Arithmetic Relational Operator B" "lock" mode "a=b" en off latency "0" dbl_ovrd off xl_use_area off xl_area "[0, 0, 0, 0, 0, 0, 0]" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "relational" block_version "VER_STRING_GOES_HERE" sg_icon_stat "45,44,2,1,white,blue,0,1b68ef8e" sg_mask_display "fprintf('','COMMENT: begin icon graphic" "s ');\npatch([0 45 45 0 ],[0 0 44 44 ],[0.77 0.82 0.91]);\npatch([10 3 13 3 1" "0 22 25 28 40 30 21 14 23 14 21 30 40 28 25 22 10 ],[5 12 22 32 39 39 36 39 3" "9 29 38 31 22 13 6 15 5 5 8 5 5 ],[0.98 0.96 0.92]);\nplot([0 0 45 45 0 ],[0 " "44 44 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\n\nfprintf('','COMME" "NT: begin icon text ');\ncolor('black');port_label('input',1,'a');\ncolor('bl" "ack');port_label('input',2,'b');\ncolor('black');disp('\\newline\\bf{a=b}\\ne" "wlinez^{-0}','texmode','on');\nfprintf('','COMMENT: end icon text');\n" } Block { BlockType Reference Name "Write_rel_2" Ports [2, 1] Position [570, 688, 615, 732] SourceBlock "xbsIndex_r4/Relational" SourceType "Xilinx Arithmetic Relational Operator B" "lock" mode "a=b" en off latency "0" dbl_ovrd off xl_use_area off xl_area "[0, 0, 0, 0, 0, 0, 0]" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "relational" block_version "VER_STRING_GOES_HERE" sg_icon_stat "45,44,2,1,white,blue,0,1b68ef8e" sg_mask_display "fprintf('','COMMENT: begin icon graphic" "s ');\npatch([0 45 45 0 ],[0 0 44 44 ],[0.77 0.82 0.91]);\npatch([10 3 13 3 1" "0 22 25 28 40 30 21 14 23 14 21 30 40 28 25 22 10 ],[5 12 22 32 39 39 36 39 3" "9 29 38 31 22 13 6 15 5 5 8 5 5 ],[0.98 0.96 0.92]);\nplot([0 0 45 45 0 ],[0 " "44 44 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\n\nfprintf('','COMME" "NT: begin icon text ');\ncolor('black');port_label('input',1,'a');\ncolor('bl" "ack');port_label('input',2,'b');\ncolor('black');disp('\\newline\\bf{a=b}\\ne" "wlinez^{-0}','texmode','on');\nfprintf('','COMMENT: end icon text');\n" } Block { BlockType Reference Name "Write_rel_3" Ports [2, 1] Position [570, 763, 615, 807] SourceBlock "xbsIndex_r4/Relational" SourceType "Xilinx Arithmetic Relational Operator B" "lock" mode "a=b" en off latency "0" dbl_ovrd off xl_use_area off xl_area "[0, 0, 0, 0, 0, 0, 0]" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "relational" block_version "VER_STRING_GOES_HERE" sg_icon_stat "45,44,2,1,white,blue,0,1b68ef8e" sg_mask_display "fprintf('','COMMENT: begin icon graphic" "s ');\npatch([0 45 45 0 ],[0 0 44 44 ],[0.77 0.82 0.91]);\npatch([10 3 13 3 1" "0 22 25 28 40 30 21 14 23 14 21 30 40 28 25 22 10 ],[5 12 22 32 39 39 36 39 3" "9 29 38 31 22 13 6 15 5 5 8 5 5 ],[0.98 0.96 0.92]);\nplot([0 0 45 45 0 ],[0 " "44 44 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\n\nfprintf('','COMME" "NT: begin icon text ');\ncolor('black');port_label('input',1,'a');\ncolor('bl" "ack');port_label('input',2,'b');\ncolor('black');disp('\\newline\\bf{a=b}\\ne" "wlinez^{-0}','texmode','on');\nfprintf('','COMMENT: end icon text');\n" } Block { BlockType Reference Name "Write_rel_4" Ports [2, 1] Position [570, 838, 615, 882] SourceBlock "xbsIndex_r4/Relational" SourceType "Xilinx Arithmetic Relational Operator B" "lock" mode "a=b" en off latency "0" dbl_ovrd off xl_use_area off xl_area "[0, 0, 0, 0, 0, 0, 0]" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "relational" block_version "VER_STRING_GOES_HERE" sg_icon_stat "45,44,2,1,white,blue,0,1b68ef8e" sg_mask_display "fprintf('','COMMENT: begin icon graphic" "s ');\npatch([0 45 45 0 ],[0 0 44 44 ],[0.77 0.82 0.91]);\npatch([10 3 13 3 1" "0 22 25 28 40 30 21 14 23 14 21 30 40 28 25 22 10 ],[5 12 22 32 39 39 36 39 3" "9 29 38 31 22 13 6 15 5 5 8 5 5 ],[0.98 0.96 0.92]);\nplot([0 0 45 45 0 ],[0 " "44 44 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\n\nfprintf('','COMME" "NT: begin icon text ');\ncolor('black');port_label('input',1,'a');\ncolor('bl" "ack');port_label('input',2,'b');\ncolor('black');disp('\\newline\\bf{a=b}\\ne" "wlinez^{-0}','texmode','on');\nfprintf('','COMMENT: end icon text');\n" } Block { BlockType Reference Name "Write_rel_5" Ports [2, 1] Position [570, 908, 615, 952] SourceBlock "xbsIndex_r4/Relational" SourceType "Xilinx Arithmetic Relational Operator B" "lock" mode "a=b" en off latency "0" dbl_ovrd off xl_use_area off xl_area "[0, 0, 0, 0, 0, 0, 0]" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "relational" block_version "VER_STRING_GOES_HERE" sg_icon_stat "45,44,2,1,white,blue,0,1b68ef8e" sg_mask_display "fprintf('','COMMENT: begin icon graphic" "s ');\npatch([0 45 45 0 ],[0 0 44 44 ],[0.77 0.82 0.91]);\npatch([10 3 13 3 1" "0 22 25 28 40 30 21 14 23 14 21 30 40 28 25 22 10 ],[5 12 22 32 39 39 36 39 3" "9 29 38 31 22 13 6 15 5 5 8 5 5 ],[0.98 0.96 0.92]);\nplot([0 0 45 45 0 ],[0 " "44 44 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\n\nfprintf('','COMME" "NT: begin icon text ');\ncolor('black');port_label('input',1,'a');\ncolor('bl" "ack');port_label('input',2,'b');\ncolor('black');disp('\\newline\\bf{a=b}\\ne" "wlinez^{-0}','texmode','on');\nfprintf('','COMMENT: end icon text');\n" } Block { BlockType Reference Name "Write_rel_6" Ports [2, 1] Position [570, 983, 615, 1027] SourceBlock "xbsIndex_r4/Relational" SourceType "Xilinx Arithmetic Relational Operator B" "lock" mode "a=b" en off latency "0" dbl_ovrd off xl_use_area off xl_area "[0, 0, 0, 0, 0, 0, 0]" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "relational" block_version "VER_STRING_GOES_HERE" sg_icon_stat "45,44,2,1,white,blue,0,1b68ef8e" sg_mask_display "fprintf('','COMMENT: begin icon graphic" "s ');\npatch([0 45 45 0 ],[0 0 44 44 ],[0.77 0.82 0.91]);\npatch([10 3 13 3 1" "0 22 25 28 40 30 21 14 23 14 21 30 40 28 25 22 10 ],[5 12 22 32 39 39 36 39 3" "9 29 38 31 22 13 6 15 5 5 8 5 5 ],[0.98 0.96 0.92]);\nplot([0 0 45 45 0 ],[0 " "44 44 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\n\nfprintf('','COMME" "NT: begin icon text ');\ncolor('black');port_label('input',1,'a');\ncolor('bl" "ack');port_label('input',2,'b');\ncolor('black');disp('\\newline\\bf{a=b}\\ne" "wlinez^{-0}','texmode','on');\nfprintf('','COMMENT: end icon text');\n" } Block { BlockType Reference Name "Write_rel_7" Ports [2, 1] Position [570, 1058, 615, 1102] SourceBlock "xbsIndex_r4/Relational" SourceType "Xilinx Arithmetic Relational Operator B" "lock" mode "a=b" en off latency "0" dbl_ovrd off xl_use_area off xl_area "[0, 0, 0, 0, 0, 0, 0]" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "relational" block_version "VER_STRING_GOES_HERE" sg_icon_stat "45,44,2,1,white,blue,0,1b68ef8e" sg_mask_display "fprintf('','COMMENT: begin icon graphic" "s ');\npatch([0 45 45 0 ],[0 0 44 44 ],[0.77 0.82 0.91]);\npatch([10 3 13 3 1" "0 22 25 28 40 30 21 14 23 14 21 30 40 28 25 22 10 ],[5 12 22 32 39 39 36 39 3" "9 29 38 31 22 13 6 15 5 5 8 5 5 ],[0.98 0.96 0.92]);\nplot([0 0 45 45 0 ],[0 " "44 44 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\n\nfprintf('','COMME" "NT: begin icon text ');\ncolor('black');port_label('input',1,'a');\ncolor('bl" "ack');port_label('input',2,'b');\ncolor('black');disp('\\newline\\bf{a=b}\\ne" "wlinez^{-0}','texmode','on');\nfprintf('','COMMENT: end icon text');\n" } Block { BlockType Reference Name "Write_rel_8" Ports [2, 1] Position [570, 1128, 615, 1172] SourceBlock "xbsIndex_r4/Relational" SourceType "Xilinx Arithmetic Relational Operator B" "lock" mode "a=b" en off latency "0" dbl_ovrd off xl_use_area off xl_area "[0, 0, 0, 0, 0, 0, 0]" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "relational" block_version "VER_STRING_GOES_HERE" sg_icon_stat "45,44,2,1,white,blue,0,1b68ef8e" sg_mask_display "fprintf('','COMMENT: begin icon graphic" "s ');\npatch([0 45 45 0 ],[0 0 44 44 ],[0.77 0.82 0.91]);\npatch([10 3 13 3 1" "0 22 25 28 40 30 21 14 23 14 21 30 40 28 25 22 10 ],[5 12 22 32 39 39 36 39 3" "9 29 38 31 22 13 6 15 5 5 8 5 5 ],[0.98 0.96 0.92]);\nplot([0 0 45 45 0 ],[0 " "44 44 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\n\nfprintf('','COMME" "NT: begin icon text ');\ncolor('black');port_label('input',1,'a');\ncolor('bl" "ack');port_label('input',2,'b');\ncolor('black');disp('\\newline\\bf{a=b}\\ne" "wlinez^{-0}','texmode','on');\nfprintf('','COMMENT: end icon text');\n" } Block { BlockType Reference Name "Write_rel_9" Ports [2, 1] Position [570, 1203, 615, 1247] SourceBlock "xbsIndex_r4/Relational" SourceType "Xilinx Arithmetic Relational Operator B" "lock" mode "a=b" en off latency "0" dbl_ovrd off xl_use_area off xl_area "[0, 0, 0, 0, 0, 0, 0]" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "relational" block_version "VER_STRING_GOES_HERE" sg_icon_stat "45,44,2,1,white,blue,0,1b68ef8e" sg_mask_display "fprintf('','COMMENT: begin icon graphic" "s ');\npatch([0 45 45 0 ],[0 0 44 44 ],[0.77 0.82 0.91]);\npatch([10 3 13 3 1" "0 22 25 28 40 30 21 14 23 14 21 30 40 28 25 22 10 ],[5 12 22 32 39 39 36 39 3" "9 29 38 31 22 13 6 15 5 5 8 5 5 ],[0.98 0.96 0.92]);\nplot([0 0 45 45 0 ],[0 " "44 44 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\n\nfprintf('','COMME" "NT: begin icon text ');\ncolor('black');port_label('input',1,'a');\ncolor('bl" "ack');port_label('input',2,'b');\ncolor('black');disp('\\newline\\bf{a=b}\\ne" "wlinez^{-0}','texmode','on');\nfprintf('','COMMENT: end icon text');\n" } Block { BlockType Reference Name "addr_const_0" Ports [0, 1] Position [175, 764, 220, 796] SourceBlock "xbsIndex_r4/Constant" SourceType "Xilinx Constant Block Block" arith_type "Unsigned" const "0" n_bits "4" bin_pt "0" explicit_period off period "1" dsp48_infoedit "The use of this block for DSP48 instruc" "tions is deprecated. Please use the Opmode block." equ "P=C" opselect "C" inp2 "PCIN>>17" opr "+" inp1 "P" carry "CIN" dbl_ovrd off has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "constant" block_version "VER_STRING_GOES_HERE" sg_icon_stat "45,32,0,1,white,blue,0,c7c04a0c" sg_mask_display "fprintf('','COMMENT: begin icon graphic" "s ');\npatch([0 45 45 0 ],[0 0 32 32 ],[0.77 0.82 0.91]);\npatch([14 9 16 9 1" "4 22 24 26 35 28 21 16 23 16 21 28 35 26 24 22 14 ],[3 8 15 22 27 27 25 27 27" " 20 27 22 15 8 3 10 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 0 45 45 0 ],[0 32" " 32 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\n\nfprintf('','COMMENT" ": begin icon text ');\ncolor('black');port_label('output',1,'0');\nfprintf(''" ",'COMMENT: end icon text');\nfprintf('','COMMENT: Make no changes above this " "line -- machine generated code. ');\n" } Block { BlockType Reference Name "addr_const_1" Ports [0, 1] Position [175, 699, 220, 731] SourceBlock "xbsIndex_r4/Constant" SourceType "Xilinx Constant Block Block" arith_type "Unsigned" const "1" n_bits "4" bin_pt "0" explicit_period off period "1" dsp48_infoedit "The use of this block for DSP48 instruc" "tions is deprecated. Please use the Opmode block." equ "P=C" opselect "C" inp2 "PCIN>>17" opr "+" inp1 "P" carry "CIN" dbl_ovrd off has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "constant" block_version "VER_STRING_GOES_HERE" sg_icon_stat "45,32,0,1,white,blue,0,85613821" sg_mask_display "fprintf('','COMMENT: begin icon graphic" "s ');\npatch([0 45 45 0 ],[0 0 32 32 ],[0.77 0.82 0.91]);\npatch([14 9 16 9 1" "4 22 24 26 35 28 21 16 23 16 21 28 35 26 24 22 14 ],[3 8 15 22 27 27 25 27 27" " 20 27 22 15 8 3 10 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 0 45 45 0 ],[0 32" " 32 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\n\nfprintf('','COMMENT" ": begin icon text ');\ncolor('black');port_label('output',1,'1');\nfprintf(''" ",'COMMENT: end icon text');\nfprintf('','COMMENT: Make no changes above this " "line -- machine generated code. ');\n" } Block { BlockType Reference Name "addr_const_2" Ports [0, 1] Position [175, 409, 220, 441] SourceBlock "xbsIndex_r4/Constant" SourceType "Xilinx Constant Block Block" arith_type "Unsigned" const "2" n_bits "4" bin_pt "0" explicit_period off period "1" dsp48_infoedit "The use of this block for DSP48 instruc" "tions is deprecated. Please use the Opmode block." equ "P=C" opselect "C" inp2 "PCIN>>17" opr "+" inp1 "P" carry "CIN" dbl_ovrd off has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "constant" block_version "VER_STRING_GOES_HERE" sg_icon_stat "45,32,0,1,white,blue,0,74f6f06a" sg_mask_display "fprintf('','COMMENT: begin icon graphic" "s ');\npatch([0 45 45 0 ],[0 0 32 32 ],[0.77 0.82 0.91]);\npatch([14 9 16 9 1" "4 22 24 26 35 28 21 16 23 16 21 28 35 26 24 22 14 ],[3 8 15 22 27 27 25 27 27" " 20 27 22 15 8 3 10 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 0 45 45 0 ],[0 32" " 32 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\n\nfprintf('','COMMENT" ": begin icon text ');\ncolor('black');port_label('output',1,'2');\nfprintf(''" ",'COMMENT: end icon text');\nfprintf('','COMMENT: Make no changes above this " "line -- machine generated code. ');\n" } Block { BlockType Reference Name "addr_const_3" Ports [0, 1] Position [175, 299, 220, 331] SourceBlock "xbsIndex_r4/Constant" SourceType "Xilinx Constant Block Block" arith_type "Unsigned" const "3" n_bits "4" bin_pt "0" explicit_period off period "1" dsp48_infoedit "The use of this block for DSP48 instruc" "tions is deprecated. Please use the Opmode block." equ "P=C" opselect "C" inp2 "PCIN>>17" opr "+" inp1 "P" carry "CIN" dbl_ovrd off has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "constant" block_version "VER_STRING_GOES_HERE" sg_icon_stat "45,32,0,1,white,blue,0,22938a96" sg_mask_display "fprintf('','COMMENT: begin icon graphic" "s ');\npatch([0 45 45 0 ],[0 0 32 32 ],[0.77 0.82 0.91]);\npatch([14 9 16 9 1" "4 22 24 26 35 28 21 16 23 16 21 28 35 26 24 22 14 ],[3 8 15 22 27 27 25 27 27" " 20 27 22 15 8 3 10 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 0 45 45 0 ],[0 32" " 32 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\n\nfprintf('','COMMENT" ": begin icon text ');\ncolor('black');port_label('output',1,'3');\nfprintf(''" ",'COMMENT: end icon text');\nfprintf('','COMMENT: Make no changes above this " "line -- machine generated code. ');\n" } Block { BlockType Reference Name "addr_rel_0" Ports [2, 1] Position [255, 743, 300, 787] SourceBlock "xbsIndex_r4/Relational" SourceType "Xilinx Arithmetic Relational Operator B" "lock" mode "a=b" en off latency "0" dbl_ovrd off xl_use_area off xl_area "[0, 0, 0, 0, 0, 0, 0]" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "relational" block_version "VER_STRING_GOES_HERE" sg_icon_stat "45,44,2,1,white,blue,0,1b68ef8e" sg_mask_display "fprintf('','COMMENT: begin icon graphic" "s ');\npatch([0 45 45 0 ],[0 0 44 44 ],[0.77 0.82 0.91]);\npatch([10 3 13 3 1" "0 22 25 28 40 30 21 14 23 14 21 30 40 28 25 22 10 ],[5 12 22 32 39 39 36 39 3" "9 29 38 31 22 13 6 15 5 5 8 5 5 ],[0.98 0.96 0.92]);\nplot([0 0 45 45 0 ],[0 " "44 44 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\n\nfprintf('','COMME" "NT: begin icon text ');\ncolor('black');port_label('input',1,'a');\ncolor('bl" "ack');port_label('input',2,'b');\ncolor('black');disp('\\newline\\bf{a=b}\\ne" "wlinez^{-0}','texmode','on');\nfprintf('','COMMENT: end icon text');\n" } Block { BlockType Reference Name "addr_rel_1" Ports [2, 1] Position [255, 668, 300, 712] SourceBlock "xbsIndex_r4/Relational" SourceType "Xilinx Arithmetic Relational Operator B" "lock" mode "a=b" en off latency "0" dbl_ovrd off xl_use_area off xl_area "[0, 0, 0, 0, 0, 0, 0]" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "relational" block_version "VER_STRING_GOES_HERE" sg_icon_stat "45,44,2,1,white,blue,0,1b68ef8e" sg_mask_display "fprintf('','COMMENT: begin icon graphic" "s ');\npatch([0 45 45 0 ],[0 0 44 44 ],[0.77 0.82 0.91]);\npatch([10 3 13 3 1" "0 22 25 28 40 30 21 14 23 14 21 30 40 28 25 22 10 ],[5 12 22 32 39 39 36 39 3" "9 29 38 31 22 13 6 15 5 5 8 5 5 ],[0.98 0.96 0.92]);\nplot([0 0 45 45 0 ],[0 " "44 44 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\n\nfprintf('','COMME" "NT: begin icon text ');\ncolor('black');port_label('input',1,'a');\ncolor('bl" "ack');port_label('input',2,'b');\ncolor('black');disp('\\newline\\bf{a=b}\\ne" "wlinez^{-0}','texmode','on');\nfprintf('','COMMENT: end icon text');\n" } Block { BlockType Reference Name "addr_rel_2" Ports [2, 1] Position [255, 598, 300, 642] SourceBlock "xbsIndex_r4/Relational" SourceType "Xilinx Arithmetic Relational Operator B" "lock" mode "a=b" en off latency "0" dbl_ovrd off xl_use_area off xl_area "[0, 0, 0, 0, 0, 0, 0]" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "relational" block_version "VER_STRING_GOES_HERE" sg_icon_stat "45,44,2,1,white,blue,0,1b68ef8e" sg_mask_display "fprintf('','COMMENT: begin icon graphic" "s ');\npatch([0 45 45 0 ],[0 0 44 44 ],[0.77 0.82 0.91]);\npatch([10 3 13 3 1" "0 22 25 28 40 30 21 14 23 14 21 30 40 28 25 22 10 ],[5 12 22 32 39 39 36 39 3" "9 29 38 31 22 13 6 15 5 5 8 5 5 ],[0.98 0.96 0.92]);\nplot([0 0 45 45 0 ],[0 " "44 44 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\n\nfprintf('','COMME" "NT: begin icon text ');\ncolor('black');port_label('input',1,'a');\ncolor('bl" "ack');port_label('input',2,'b');\ncolor('black');disp('\\newline\\bf{a=b}\\ne" "wlinez^{-0}','texmode','on');\nfprintf('','COMMENT: end icon text');\n" } Block { BlockType Reference Name "addr_rel_3" Ports [2, 1] Position [255, 278, 300, 322] SourceBlock "xbsIndex_r4/Relational" SourceType "Xilinx Arithmetic Relational Operator B" "lock" mode "a=b" en off latency "0" dbl_ovrd off xl_use_area off xl_area "[0, 0, 0, 0, 0, 0, 0]" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "relational" block_version "VER_STRING_GOES_HERE" sg_icon_stat "45,44,2,1,white,blue,0,1b68ef8e" sg_mask_display "fprintf('','COMMENT: begin icon graphic" "s ');\npatch([0 45 45 0 ],[0 0 44 44 ],[0.77 0.82 0.91]);\npatch([10 3 13 3 1" "0 22 25 28 40 30 21 14 23 14 21 30 40 28 25 22 10 ],[5 12 22 32 39 39 36 39 3" "9 29 38 31 22 13 6 15 5 5 8 5 5 ],[0.98 0.96 0.92]);\nplot([0 0 45 45 0 ],[0 " "44 44 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\n\nfprintf('','COMME" "NT: begin icon text ');\ncolor('black');port_label('input',1,'a');\ncolor('bl" "ack');port_label('input',2,'b');\ncolor('black');disp('\\newline\\bf{a=b}\\ne" "wlinez^{-0}','texmode','on');\nfprintf('','COMMENT: end icon text');\n" } Block { BlockType Reference Name "addr_sub" Ports [2, 1] Position [175, 617, 225, 668] SourceBlock "xbsIndex_r4/AddSub" SourceType "Xilinx Adder/Subtractor Block" mode "Subtraction" use_carryin off use_carryout off en off latency "0" precision "User Defined" arith_type "Unsigned" n_bits "4" bin_pt "0" quantization "Truncate" overflow "Wrap" dbl_ovrd off use_behavioral_HDL off pipelined off use_rpm on xl_use_area off xl_area "[0,0,0,0,0,0,0]" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "addsub" block_version "VER_STRING_GOES_HERE" sg_icon_stat "50,51,2,1,white,blue,0,f28631c4" sg_mask_display "fprintf('','COMMENT: begin icon graphic" "s ');\npatch([0 50 50 0 ],[0 0 51 51 ],[0.77 0.82 0.91]);\npatch([11 3 15 3 1" "1 24 28 32 46 35 24 16 28 16 24 35 46 32 28 24 11 ],[6 14 26 38 46 46 42 46 4" "6 35 46 38 26 14 6 17 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 0 50 50 0 ],[0" " 51 51 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\n\nfprintf('','COMM" "ENT: begin icon text ');\ncolor('black');port_label('input',1,'a');\ncolor('b" "lack');port_label('input',2,'b');\ncolor('black');port_label('output',1,'\\bf" "{a - b}','texmode','on');\nfprintf('','COMMENT: end icon text');\n" } Block { BlockType Reference Name "and_SM_1" Ports [3, 1] Position [340, 468, 385, 512] SourceBlock "xbsIndex_r4/Logical" SourceType "Xilinx Logical Block Block" logical_function "AND" inputs "3" en off latency "0" precision "Full" arith_type "Unsigned" n_bits "16" bin_pt "0" align_bp on dbl_ovrd off xl_use_area off xl_area "[0,0,0,0,0,0,0]" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "logical" block_version "VER_STRING_GOES_HERE" sg_icon_stat "45,44,3,1,white,blue,0,5c2bfaa2" sg_mask_display "fprintf('','COMMENT: begin icon graphic" "s ');\npatch([0 45 45 0 ],[0 0 44 44 ],[0.77 0.82 0.91]);\npatch([10 3 13 3 1" "0 22 25 28 40 30 21 14 23 14 21 30 40 28 25 22 10 ],[5 12 22 32 39 39 36 39 3" "9 29 38 31 22 13 6 15 5 5 8 5 5 ],[0.98 0.96 0.92]);\nplot([0 0 45 45 0 ],[0 " "44 44 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\n\nfprintf('','COMME" "NT: begin icon text ');\ncolor('black');disp('\\newlineand\\newlinez^{-0}','t" "exmode','on');\nfprintf('','COMMENT: end icon text');\n" } Block { BlockType Reference Name "and_SM_2" Ports [3, 1] Position [340, 393, 385, 437] SourceBlock "xbsIndex_r4/Logical" SourceType "Xilinx Logical Block Block" logical_function "AND" inputs "3" en off latency "0" precision "Full" arith_type "Unsigned" n_bits "16" bin_pt "0" align_bp on dbl_ovrd off xl_use_area off xl_area "[0,0,0,0,0,0,0]" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "logical" block_version "VER_STRING_GOES_HERE" sg_icon_stat "45,44,3,1,white,blue,0,5c2bfaa2" sg_mask_display "fprintf('','COMMENT: begin icon graphic" "s ');\npatch([0 45 45 0 ],[0 0 44 44 ],[0.77 0.82 0.91]);\npatch([10 3 13 3 1" "0 22 25 28 40 30 21 14 23 14 21 30 40 28 25 22 10 ],[5 12 22 32 39 39 36 39 3" "9 29 38 31 22 13 6 15 5 5 8 5 5 ],[0.98 0.96 0.92]);\nplot([0 0 45 45 0 ],[0 " "44 44 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\n\nfprintf('','COMME" "NT: begin icon text ');\ncolor('black');disp('\\newlineand\\newlinez^{-0}','t" "exmode','on');\nfprintf('','COMMENT: end icon text');\n" } Block { BlockType Reference Name "and_SM_3" Ports [3, 1] Position [340, 213, 385, 257] SourceBlock "xbsIndex_r4/Logical" SourceType "Xilinx Logical Block Block" logical_function "AND" inputs "3" en off latency "0" precision "Full" arith_type "Unsigned" n_bits "16" bin_pt "0" align_bp on dbl_ovrd off xl_use_area off xl_area "[0,0,0,0,0,0,0]" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "logical" block_version "VER_STRING_GOES_HERE" sg_icon_stat "45,44,3,1,white,blue,0,5c2bfaa2" sg_mask_display "fprintf('','COMMENT: begin icon graphic" "s ');\npatch([0 45 45 0 ],[0 0 44 44 ],[0.77 0.82 0.91]);\npatch([10 3 13 3 1" "0 22 25 28 40 30 21 14 23 14 21 30 40 28 25 22 10 ],[5 12 22 32 39 39 36 39 3" "9 29 38 31 22 13 6 15 5 5 8 5 5 ],[0.98 0.96 0.92]);\nplot([0 0 45 45 0 ],[0 " "44 44 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\n\nfprintf('','COMME" "NT: begin icon text ');\ncolor('black');disp('\\newlineand\\newlinez^{-0}','t" "exmode','on');\nfprintf('','COMMENT: end icon text');\n" } Block { BlockType Reference Name "not_SM_1" Ports [1, 1] Position [255, 467, 305, 503] SourceBlock "xbsIndex_r4/Inverter" SourceType "Xilinx Inverter Block" infoedit "Bitwise logical negation (one's complem" "ent) operator." en off latency "0" dbl_ovrd off xl_use_area off xl_area "[0,0,0,0,0,0,0]" has_advanced_control "0" sggui_pos "20,20,432,222" block_type "inv" block_version "VER_STRING_GOES_HERE" sg_icon_stat "50,36,1,1,white,blue,0,1ab4a85f" sg_mask_display "fprintf('','COMMENT: begin icon graphic" "s ');\npatch([0 50 50 0 ],[0 0 36 36 ],[0.77 0.82 0.91]);\npatch([15 9 17 9 1" "5 25 28 31 41 33 25 19 27 19 25 33 41 31 28 25 15 ],[4 10 18 26 32 32 29 32 3" "2 24 32 26 18 10 4 12 4 4 7 4 4 ],[0.98 0.96 0.92]);\nplot([0 0 50 50 0 ],[0 " "36 36 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\n\nfprintf('','COMME" "NT: begin icon text ');\ncolor('black');disp('not');\nfprintf('','COMMENT: en" "d icon text');\n" } Block { BlockType Reference Name "not_SM_2" Ports [1, 1] Position [255, 397, 305, 433] SourceBlock "xbsIndex_r4/Inverter" SourceType "Xilinx Inverter Block" infoedit "Bitwise logical negation (one's complem" "ent) operator." en off latency "0" dbl_ovrd off xl_use_area off xl_area "[0,0,0,0,0,0,0]" has_advanced_control "0" sggui_pos "20,20,432,222" block_type "inv" block_version "VER_STRING_GOES_HERE" sg_icon_stat "50,36,1,1,white,blue,0,1ab4a85f" sg_mask_display "fprintf('','COMMENT: begin icon graphic" "s ');\npatch([0 50 50 0 ],[0 0 36 36 ],[0.77 0.82 0.91]);\npatch([15 9 17 9 1" "5 25 28 31 41 33 25 19 27 19 25 33 41 31 28 25 15 ],[4 10 18 26 32 32 29 32 3" "2 24 32 26 18 10 4 12 4 4 7 4 4 ],[0.98 0.96 0.92]);\nplot([0 0 50 50 0 ],[0 " "36 36 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\n\nfprintf('','COMME" "NT: begin icon text ');\ncolor('black');disp('not');\nfprintf('','COMMENT: en" "d icon text');\n" } Block { BlockType Reference Name "not_SM_3" Ports [1, 1] Position [255, 212, 305, 248] SourceBlock "xbsIndex_r4/Inverter" SourceType "Xilinx Inverter Block" infoedit "Bitwise logical negation (one's complem" "ent) operator." en off latency "0" dbl_ovrd off xl_use_area off xl_area "[0,0,0,0,0,0,0]" has_advanced_control "0" sggui_pos "20,20,432,222" block_type "inv" block_version "VER_STRING_GOES_HERE" sg_icon_stat "50,36,1,1,white,blue,0,1ab4a85f" sg_mask_display "fprintf('','COMMENT: begin icon graphic" "s ');\npatch([0 50 50 0 ],[0 0 36 36 ],[0.77 0.82 0.91]);\npatch([15 9 17 9 1" "5 25 28 31 41 33 25 19 27 19 25 33 41 31 28 25 15 ],[4 10 18 26 32 32 29 32 3" "2 24 32 26 18 10 4 12 4 4 7 4 4 ],[0.98 0.96 0.92]);\nplot([0 0 50 50 0 ],[0 " "36 36 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\n\nfprintf('','COMME" "NT: begin icon text ');\ncolor('black');disp('not');\nfprintf('','COMMENT: en" "d icon text');\n" } Block { BlockType Reference Name "not_reg" Ports [1, 1] Position [335, 678, 385, 712] SourceBlock "xbsIndex_r4/Inverter" SourceType "Xilinx Inverter Block" infoedit "Bitwise logical negation (one's complem" "ent) operator." en off latency "0" dbl_ovrd off xl_use_area off xl_area "[0,0,0,0,0,0,0]" has_advanced_control "0" sggui_pos "20,20,432,222" block_type "inv" block_version "VER_STRING_GOES_HERE" sg_icon_stat "50,34,1,1,white,blue,0,1ab4a85f" sg_mask_display "fprintf('','COMMENT: begin icon graphic" "s ');\npatch([0 50 50 0 ],[0 0 34 34 ],[0.77 0.82 0.91]);\npatch([16 10 18 10" " 16 25 27 29 39 31 24 19 27 19 24 31 39 29 27 25 16 ],[4 10 18 26 32 32 30 32" " 32 24 31 26 18 10 5 12 4 4 6 4 4 ],[0.98 0.96 0.92]);\nplot([0 0 50 50 0 ],[" "0 34 34 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\n\nfprintf('','COM" "MENT: begin icon text ');\ncolor('black');disp('not');\nfprintf('','COMMENT: " "end icon text');\n" } Block { BlockType Reference Name "or" Ports [2, 1] Position [415, 598, 460, 642] SourceBlock "xbsIndex_r4/Logical" SourceType "Xilinx Logical Block Block" logical_function "OR" inputs "2" en off latency "0" precision "Full" arith_type "Unsigned" n_bits "16" bin_pt "0" align_bp on dbl_ovrd off xl_use_area off xl_area "[0,0,0,0,0,0,0]" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "logical" block_version "VER_STRING_GOES_HERE" sg_icon_stat "45,44,2,1,white,blue,0,f4a65842" sg_mask_display "fprintf('','COMMENT: begin icon graphic" "s ');\npatch([0 45 45 0 ],[0 0 44 44 ],[0.77 0.82 0.91]);\npatch([10 3 13 3 1" "0 22 25 28 40 30 21 14 23 14 21 30 40 28 25 22 10 ],[5 12 22 32 39 39 36 39 3" "9 29 38 31 22 13 6 15 5 5 8 5 5 ],[0.98 0.96 0.92]);\nplot([0 0 45 45 0 ],[0 " "44 44 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\n\nfprintf('','COMME" "NT: begin icon text ');\ncolor('black');disp('\\newlineor\\newlinez^{-0}','te" "xmode','on');\nfprintf('','COMMENT: end icon text');\n" } Block { BlockType Outport Name "ack_out" Position [345, 348, 375, 362] IconDisplay "Port number" BusOutputAsStruct off } Block { BlockType Outport Name "addr_out" Position [105, 508, 135, 522] Port "2" IconDisplay "Port number" BusOutputAsStruct off } Block { BlockType Outport Name "in_0_we" Position [645, 40, 665, 60] Port "3" IconDisplay "Port number" } Block { BlockType Outport Name "in_1_we" Position [645, 115, 665, 135] Port "4" IconDisplay "Port number" } Block { BlockType Outport Name "in_2_we" Position [645, 700, 665, 720] Port "5" IconDisplay "Port number" } Block { BlockType Outport Name "in_3_we" Position [645, 775, 665, 795] Port "6" IconDisplay "Port number" } Block { BlockType Outport Name "in_4_we" Position [645, 850, 665, 870] Port "7" IconDisplay "Port number" } Block { BlockType Outport Name "in_5_we" Position [645, 920, 665, 940] Port "8" IconDisplay "Port number" } Block { BlockType Outport Name "in_6_we" Position [645, 995, 665, 1015] Port "9" IconDisplay "Port number" } Block { BlockType Outport Name "in_7_we" Position [645, 1070, 665, 1090] Port "10" IconDisplay "Port number" } Block { BlockType Outport Name "in_8_we" Position [645, 1140, 665, 1160] Port "11" IconDisplay "Port number" } Block { BlockType Outport Name "in_9_we" Position [645, 1215, 665, 1235] Port "12" IconDisplay "Port number" } Block { BlockType Outport Name "in_10_we" Position [645, 190, 665, 210] Port "13" IconDisplay "Port number" } Block { BlockType Outport Name "in_11_we" Position [645, 260, 665, 280] Port "14" IconDisplay "Port number" } Block { BlockType Outport Name "in_12_we" Position [645, 335, 665, 355] Port "15" IconDisplay "Port number" } Block { BlockType Outport Name "in_13_we" Position [645, 410, 665, 430] Port "16" IconDisplay "Port number" } Block { BlockType Outport Name "in_14_we" Position [645, 480, 665, 500] Port "17" IconDisplay "Port number" } Block { BlockType Outport Name "in_15_we" Position [645, 555, 665, 575] Port "18" IconDisplay "Port number" } Block { BlockType Outport Name "in_16_we" Position [645, 630, 665, 650] Port "19" IconDisplay "Port number" } Line { SrcBlock "ack_in" SrcPort 1 Points [0, 0] Branch { Points [20, 0; 0, -140] DstBlock "and_SM_3" DstPort 1 } Branch { Points [10, 0; 0, 40] DstBlock "and_SM_2" DstPort 1 } Branch { Points [20, 0; 0, 115] DstBlock "and_SM_1" DstPort 1 } Branch { Points [25, 0; 0, 30; 155, 0] DstBlock "Concat" DstPort 1 } Branch { Points [15, 0; 0, -5] DstBlock "ack_out" DstPort 1 } } Line { SrcBlock "addr" SrcPort 1 Points [0, 0] Branch { Points [5, 0; 0, 25] DstBlock "BankSelect_slice" DstPort 1 } Branch { Points [5, 0; 0, -30] DstBlock "AddrSlice" DstPort 1 } Branch { Points [120, 0; 0, 20; 50, 0; 0, 130; 165, 0] DstBlock "Slice" DstPort 1 } Branch { Points [10, 0; 0, -75] DstBlock "addr_out" DstPort 1 } } Line { SrcBlock "Concat" SrcPort 1 Points [0, 0] Branch { Points [5, 0; 0, 10] DstBlock "Write_rel_16" DstPort 1 } Branch { Points [5, 0; 0, -65] DstBlock "Write_rel_15" DstPort 1 } Branch { Points [10, 0; 0, -140] DstBlock "Write_rel_14" DstPort 1 } Branch { Points [10, 0; 0, -210] DstBlock "Write_rel_13" DstPort 1 } Branch { Points [10, 0; 0, -285] DstBlock "Write_rel_12" DstPort 1 } Branch { Points [10, 0; 0, -360] DstBlock "Write_rel_11" DstPort 1 } Branch { Points [10, 0; 0, -430] DstBlock "Write_rel_10" DstPort 1 } Branch { Points [10, 0; 0, 595] DstBlock "Write_rel_9" DstPort 1 } Branch { Points [10, 0; 0, 520] DstBlock "Write_rel_8" DstPort 1 } Branch { Points [10, 0; 0, 450] DstBlock "Write_rel_7" DstPort 1 } Branch { Points [10, 0; 0, 375] DstBlock "Write_rel_6" DstPort 1 } Branch { Points [10, 0; 0, 300] DstBlock "Write_rel_5" DstPort 1 } Branch { Points [10, 0; 0, 230] DstBlock "Write_rel_4" DstPort 1 } Branch { Points [10, 0; 0, 155] DstBlock "Write_rel_3" DstPort 1 } Branch { Points [10, 0; 0, 80] DstBlock "Write_rel_2" DstPort 1 } Branch { Points [10, 0; 0, -505] DstBlock "Write_rel_1" DstPort 1 } Branch { Points [10, 0; 0, -580] DstBlock "Write_rel_0" DstPort 1 } } Line { SrcBlock "AddrSlice" SrcPort 1 Points [0, 0] Branch { Points [15, 0; 0, 20] DstBlock "SMWOAddr_gwin1_sm3" DstPort 1 } Branch { Points [15, 0; 0, -30] DstBlock "SMWOAddr_gwin_sm2" DstPort 1 } Branch { Points [15, 0; 0, -80] DstBlock "SMWOAddr_gwin_sm1" DstPort 1 } } Line { SrcBlock "addr_sub" SrcPort 1 Points [0, 0] Branch { Points [5, 0; 0, -355] DstBlock "addr_rel_3" DstPort 1 } Branch { Points [5, 0; 0, -35] DstBlock "addr_rel_2" DstPort 1 } Branch { Points [5, 0; 0, 35] DstBlock "addr_rel_1" DstPort 1 } Branch { Points [5, 0; 0, 110] DstBlock "addr_rel_0" DstPort 1 } } Line { SrcBlock "rnw" SrcPort 1 Points [0, 0] Branch { Points [10, 0; 0, -140] DstBlock "not_SM_3" DstPort 1 } Branch { Points [10, 0; 0, 45] DstBlock "not_SM_2" DstPort 1 } Branch { Points [10, 0; 0, 115] DstBlock "not_SM_1" DstPort 1 } Branch { Points [40, 0; 0, 20; 140, 0] DstBlock "or" DstPort 1 } } Line { SrcBlock "and_SM_3" SrcPort 1 DstBlock "SMWOWE_gwin1_sm3" DstPort 1 } Line { SrcBlock "addr_rel_3" SrcPort 1 Points [20, 0] DstBlock "and_SM_3" DstPort 3 } Line { SrcBlock "not_SM_3" SrcPort 1 Points [15, 0] DstBlock "and_SM_3" DstPort 2 } Line { SrcBlock "addr_const_3" SrcPort 1 Points [15, 0] DstBlock "addr_rel_3" DstPort 2 } Line { SrcBlock "and_SM_2" SrcPort 1 DstBlock "SMWOWE_gwin_sm2" DstPort 1 } Line { SrcBlock "addr_rel_2" SrcPort 1 Points [15, 0; 0, -190] DstBlock "and_SM_2" DstPort 3 } Line { SrcBlock "not_SM_2" SrcPort 1 DstBlock "and_SM_2" DstPort 2 } Line { SrcBlock "addr_const_2" SrcPort 1 Points [10, 0; 0, 205] DstBlock "addr_rel_2" DstPort 2 } Line { SrcBlock "and_SM_1" SrcPort 1 DstBlock "SMWOWE_gwin_sm1" DstPort 1 } Line { SrcBlock "addr_rel_1" SrcPort 1 Points [10, 0; 0, -185] DstBlock "and_SM_1" DstPort 3 } Line { SrcBlock "not_SM_1" SrcPort 1 Points [15, 0] DstBlock "and_SM_1" DstPort 2 } Line { SrcBlock "addr_const_1" SrcPort 1 Points [15, 0] DstBlock "addr_rel_1" DstPort 2 } Line { SrcBlock "or" SrcPort 1 DstBlock "Concat" DstPort 2 } Line { SrcBlock "not_reg" SrcPort 1 Points [10, 0] DstBlock "or" DstPort 2 } Line { SrcBlock "addr_rel_0" SrcPort 1 Points [15, 0] DstBlock "not_reg" DstPort 1 } Line { SrcBlock "addr_const_0" SrcPort 1 Points [5, 0; 0, -5] DstBlock "addr_rel_0" DstPort 2 } Line { SrcBlock "BaseAddress_slice" SrcPort 1 Points [5, 0; 0, -15] DstBlock "addr_sub" DstPort 2 } Line { SrcBlock "BankSelect_slice" SrcPort 1 Points [5, 0; 0, 15] DstBlock "addr_sub" DstPort 1 } Line { SrcBlock "BaseAddress_const" SrcPort 1 DstBlock "BaseAddress_slice" DstPort 1 } Line { SrcBlock "Write_rel_16" SrcPort 1 DstBlock "in_16_we" DstPort 1 } Line { SrcBlock "Write_const_16" SrcPort 1 Points [5, 0; 0, -50] DstBlock "Write_rel_16" DstPort 2 } Line { SrcBlock "Write_rel_15" SrcPort 1 DstBlock "in_15_we" DstPort 1 } Line { SrcBlock "Write_const_15" SrcPort 1 Points [5, 0; 0, 35] DstBlock "Write_rel_15" DstPort 2 } Line { SrcBlock "Write_rel_14" SrcPort 1 DstBlock "in_14_we" DstPort 1 } Line { SrcBlock "Write_const_14" SrcPort 1 Points [5, 0; 0, 25] DstBlock "Write_rel_14" DstPort 2 } Line { SrcBlock "Write_rel_13" SrcPort 1 DstBlock "in_13_we" DstPort 1 } Line { SrcBlock "Write_const_13" SrcPort 1 Points [5, 0; 0, 15] DstBlock "Write_rel_13" DstPort 2 } Line { SrcBlock "Write_rel_12" SrcPort 1 DstBlock "in_12_we" DstPort 1 } Line { SrcBlock "Write_const_12" SrcPort 1 Points [10, 0] DstBlock "Write_rel_12" DstPort 2 } Line { SrcBlock "Write_rel_11" SrcPort 1 DstBlock "in_11_we" DstPort 1 } Line { SrcBlock "Write_const_11" SrcPort 1 Points [10, 0] DstBlock "Write_rel_11" DstPort 2 } Line { SrcBlock "Write_rel_10" SrcPort 1 DstBlock "in_10_we" DstPort 1 } Line { SrcBlock "Write_const_10" SrcPort 1 DstBlock "Write_rel_10" DstPort 2 } Line { SrcBlock "Write_rel_9" SrcPort 1 DstBlock "in_9_we" DstPort 1 } Line { SrcBlock "Write_const_9" SrcPort 1 DstBlock "Write_rel_9" DstPort 2 } Line { SrcBlock "Write_rel_8" SrcPort 1 DstBlock "in_8_we" DstPort 1 } Line { SrcBlock "Write_const_8" SrcPort 1 Points [10, 0] DstBlock "Write_rel_8" DstPort 2 } Line { SrcBlock "Write_rel_7" SrcPort 1 DstBlock "in_7_we" DstPort 1 } Line { SrcBlock "Write_const_7" SrcPort 1 DstBlock "Write_rel_7" DstPort 2 } Line { SrcBlock "Write_rel_6" SrcPort 1 DstBlock "in_6_we" DstPort 1 } Line { SrcBlock "Write_const_6" SrcPort 1 DstBlock "Write_rel_6" DstPort 2 } Line { SrcBlock "Write_rel_5" SrcPort 1 DstBlock "in_5_we" DstPort 1 } Line { SrcBlock "Write_const_5" SrcPort 1 Points [10, 0] DstBlock "Write_rel_5" DstPort 2 } Line { SrcBlock "Write_rel_4" SrcPort 1 DstBlock "in_4_we" DstPort 1 } Line { SrcBlock "Write_const_4" SrcPort 1 Points [10, 0] DstBlock "Write_rel_4" DstPort 2 } Line { SrcBlock "Write_rel_3" SrcPort 1 DstBlock "in_3_we" DstPort 1 } Line { SrcBlock "Write_const_3" SrcPort 1 Points [10, 0] DstBlock "Write_rel_3" DstPort 2 } Line { SrcBlock "Write_rel_2" SrcPort 1 DstBlock "in_2_we" DstPort 1 } Line { SrcBlock "Write_const_2" SrcPort 1 Points [10, 0] DstBlock "Write_rel_2" DstPort 2 } Line { SrcBlock "Write_rel_1" SrcPort 1 DstBlock "in_1_we" DstPort 1 } Line { SrcBlock "Write_const_1" SrcPort 1 DstBlock "Write_rel_1" DstPort 2 } Line { SrcBlock "Write_rel_0" SrcPort 1 DstBlock "in_0_we" DstPort 1 } Line { SrcBlock "Write_const_0" SrcPort 1 Points [10, 0] DstBlock "Write_rel_0" DstPort 2 } Line { SrcBlock "Slice" SrcPort 1 Points [5, 0; 0, -150] DstBlock "Concat" DstPort 3 } } } Block { BlockType SubSystem Name "mem_if_in" Ports [18, 17] Position [715, 325, 825, 1065] TreatAsAtomicUnit off MinAlgLoopOccurrences off RTWSystemCode "Auto" MaskHideContents off System { Name "mem_if_in" Location [18, 508, 742, 972] Open off ModelBrowserVisibility off ModelBrowserWidth 200 ScreenColor "white" PaperOrientation "landscape" PaperPositionMode "auto" PaperType "usletter" PaperUnits "inches" ZoomFactor "100" Block { BlockType Inport Name "opb_dbus" Position [20, 1038, 50, 1052] IconDisplay "Port number" } Block { BlockType Inport Name "in_0_we" Position [160, 100, 180, 120] Port "2" IconDisplay "Port number" } Block { BlockType Inport Name "in_1_we" Position [160, 225, 180, 245] Port "3" IconDisplay "Port number" } Block { BlockType Inport Name "in_2_we" Position [160, 1205, 180, 1225] Port "4" IconDisplay "Port number" } Block { BlockType Inport Name "in_3_we" Position [160, 1330, 180, 1350] Port "5" IconDisplay "Port number" } Block { BlockType Inport Name "in_4_we" Position [160, 1455, 180, 1475] Port "6" IconDisplay "Port number" } Block { BlockType Inport Name "in_5_we" Position [160, 1580, 180, 1600] Port "7" IconDisplay "Port number" } Block { BlockType Inport Name "in_6_we" Position [160, 1705, 180, 1725] Port "8" IconDisplay "Port number" } Block { BlockType Inport Name "in_7_we" Position [160, 1825, 180, 1845] Port "9" IconDisplay "Port number" } Block { BlockType Inport Name "in_8_we" Position [160, 1950, 180, 1970] Port "10" IconDisplay "Port number" } Block { BlockType Inport Name "in_9_we" Position [160, 2075, 180, 2095] Port "11" IconDisplay "Port number" } Block { BlockType Inport Name "in_10_we" Position [160, 350, 180, 370] Port "12" IconDisplay "Port number" } Block { BlockType Inport Name "in_11_we" Position [160, 475, 180, 495] Port "13" IconDisplay "Port number" } Block { BlockType Inport Name "in_12_we" Position [160, 595, 180, 615] Port "14" IconDisplay "Port number" } Block { BlockType Inport Name "in_13_we" Position [160, 720, 180, 740] Port "15" IconDisplay "Port number" } Block { BlockType Inport Name "in_14_we" Position [160, 845, 180, 865] Port "16" IconDisplay "Port number" } Block { BlockType Inport Name "in_15_we" Position [160, 970, 180, 990] Port "17" IconDisplay "Port number" } Block { BlockType Inport Name "in_16_we" Position [160, 1090, 180, 1110] Port "18" IconDisplay "Port number" } Block { BlockType Reference Name "Convert_0" Ports [1, 1] Position [155, 39, 185, 71] SourceBlock "xbsIndex_r4/Convert" SourceType "Xilinx Type Converter Block" infoedit "Hardware notes: rounding and saturating" " require hardware resources; truncating and wrapping do not." arith_type "Unsigned" n_bits "9" bin_pt "0" quantization "Truncate" overflow "Wrap" latency "0" dbl_ovrd off pipeline off xl_use_area off xl_area "[0, 0, 0, 0, 0, 0, 0]" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "convert" block_version "VER_STRING_GOES_HERE" sg_icon_stat "30,32,1,1,white,blue,0,0eb6e735" sg_mask_display "fprintf('','COMMENT: begin icon graphic" "s ');\npatch([0 30 30 0 ],[0 0 32 32 ],[0.77 0.82 0.91]);\npatch([7 2 9 2 7 1" "5 17 19 27 20 14 9 15 9 14 20 27 19 17 15 7 ],[4 9 16 23 28 28 26 28 28 21 27" " 22 16 10 5 11 4 4 6 4 4 ],[0.98 0.96 0.92]);\nplot([0 0 30 30 0 ],[0 32 32 0" " 0 ]);\nfprintf('','COMMENT: end icon graphics');\n\nfprintf('','COMMENT: beg" "in icon text ');\ncolor('black');port_label('output',1,'cast');\nfprintf('','" "COMMENT: end icon text');\n" } Block { BlockType Reference Name "Convert_1" Ports [1, 1] Position [155, 164, 185, 196] SourceBlock "xbsIndex_r4/Convert" SourceType "Xilinx Type Converter Block" infoedit "Hardware notes: rounding and saturating" " require hardware resources; truncating and wrapping do not." arith_type "Unsigned" n_bits "9" bin_pt "0" quantization "Truncate" overflow "Wrap" latency "0" dbl_ovrd off pipeline off xl_use_area off xl_area "[0, 0, 0, 0, 0, 0, 0]" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "convert" block_version "VER_STRING_GOES_HERE" sg_icon_stat "30,32,1,1,white,blue,0,0eb6e735" sg_mask_display "fprintf('','COMMENT: begin icon graphic" "s ');\npatch([0 30 30 0 ],[0 0 32 32 ],[0.77 0.82 0.91]);\npatch([7 2 9 2 7 1" "5 17 19 27 20 14 9 15 9 14 20 27 19 17 15 7 ],[4 9 16 23 28 28 26 28 28 21 27" " 22 16 10 5 11 4 4 6 4 4 ],[0.98 0.96 0.92]);\nplot([0 0 30 30 0 ],[0 32 32 0" " 0 ]);\nfprintf('','COMMENT: end icon graphics');\n\nfprintf('','COMMENT: beg" "in icon text ');\ncolor('black');port_label('output',1,'cast');\nfprintf('','" "COMMENT: end icon text');\n" } Block { BlockType Reference Name "Convert_10" Ports [1, 1] Position [155, 289, 185, 321] SourceBlock "xbsIndex_r4/Convert" SourceType "Xilinx Type Converter Block" infoedit "Hardware notes: rounding and saturating" " require hardware resources; truncating and wrapping do not." arith_type "Unsigned" n_bits "2" bin_pt "0" quantization "Truncate" overflow "Wrap" latency "0" dbl_ovrd off pipeline off xl_use_area off xl_area "[0, 0, 0, 0, 0, 0, 0]" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "convert" block_version "VER_STRING_GOES_HERE" sg_icon_stat "30,32,1,1,white,blue,0,0eb6e735" sg_mask_display "fprintf('','COMMENT: begin icon graphic" "s ');\npatch([0 30 30 0 ],[0 0 32 32 ],[0.77 0.82 0.91]);\npatch([7 2 9 2 7 1" "5 17 19 27 20 14 9 15 9 14 20 27 19 17 15 7 ],[4 9 16 23 28 28 26 28 28 21 27" " 22 16 10 5 11 4 4 6 4 4 ],[0.98 0.96 0.92]);\nplot([0 0 30 30 0 ],[0 32 32 0" " 0 ]);\nfprintf('','COMMENT: end icon graphics');\n\nfprintf('','COMMENT: beg" "in icon text ');\ncolor('black');port_label('output',1,'cast');\nfprintf('','" "COMMENT: end icon text');\n" } Block { BlockType Reference Name "Convert_11" Ports [1, 1] Position [155, 409, 185, 441] SourceBlock "xbsIndex_r4/Convert" SourceType "Xilinx Type Converter Block" infoedit "Hardware notes: rounding and saturating" " require hardware resources; truncating and wrapping do not." arith_type "Unsigned" n_bits "1" bin_pt "0" quantization "Truncate" overflow "Wrap" latency "0" dbl_ovrd off pipeline off xl_use_area off xl_area "[0, 0, 0, 0, 0, 0, 0]" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "convert" block_version "VER_STRING_GOES_HERE" sg_icon_stat "30,32,1,1,white,blue,0,0eb6e735" sg_mask_display "fprintf('','COMMENT: begin icon graphic" "s ');\npatch([0 30 30 0 ],[0 0 32 32 ],[0.77 0.82 0.91]);\npatch([7 2 9 2 7 1" "5 17 19 27 20 14 9 15 9 14 20 27 19 17 15 7 ],[4 9 16 23 28 28 26 28 28 21 27" " 22 16 10 5 11 4 4 6 4 4 ],[0.98 0.96 0.92]);\nplot([0 0 30 30 0 ],[0 32 32 0" " 0 ]);\nfprintf('','COMMENT: end icon graphics');\n\nfprintf('','COMMENT: beg" "in icon text ');\ncolor('black');port_label('output',1,'cast');\nfprintf('','" "COMMENT: end icon text');\n" } Block { BlockType Reference Name "Convert_12" Ports [1, 1] Position [155, 534, 185, 566] SourceBlock "xbsIndex_r4/Convert" SourceType "Xilinx Type Converter Block" infoedit "Hardware notes: rounding and saturating" " require hardware resources; truncating and wrapping do not." arith_type "Unsigned" n_bits "8" bin_pt "0" quantization "Truncate" overflow "Wrap" latency "0" dbl_ovrd off pipeline off xl_use_area off xl_area "[0, 0, 0, 0, 0, 0, 0]" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "convert" block_version "VER_STRING_GOES_HERE" sg_icon_stat "30,32,1,1,white,blue,0,0eb6e735" sg_mask_display "fprintf('','COMMENT: begin icon graphic" "s ');\npatch([0 30 30 0 ],[0 0 32 32 ],[0.77 0.82 0.91]);\npatch([7 2 9 2 7 1" "5 17 19 27 20 14 9 15 9 14 20 27 19 17 15 7 ],[4 9 16 23 28 28 26 28 28 21 27" " 22 16 10 5 11 4 4 6 4 4 ],[0.98 0.96 0.92]);\nplot([0 0 30 30 0 ],[0 32 32 0" " 0 ]);\nfprintf('','COMMENT: end icon graphics');\n\nfprintf('','COMMENT: beg" "in icon text ');\ncolor('black');port_label('output',1,'cast');\nfprintf('','" "COMMENT: end icon text');\n" } Block { BlockType Reference Name "Convert_13" Ports [1, 1] Position [155, 659, 185, 691] SourceBlock "xbsIndex_r4/Convert" SourceType "Xilinx Type Converter Block" infoedit "Hardware notes: rounding and saturating" " require hardware resources; truncating and wrapping do not." arith_type "Unsigned" n_bits "1" bin_pt "0" quantization "Truncate" overflow "Wrap" latency "0" dbl_ovrd off pipeline off xl_use_area off xl_area "[0, 0, 0, 0, 0, 0, 0]" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "convert" block_version "VER_STRING_GOES_HERE" sg_icon_stat "30,32,1,1,white,blue,0,0eb6e735" sg_mask_display "fprintf('','COMMENT: begin icon graphic" "s ');\npatch([0 30 30 0 ],[0 0 32 32 ],[0.77 0.82 0.91]);\npatch([7 2 9 2 7 1" "5 17 19 27 20 14 9 15 9 14 20 27 19 17 15 7 ],[4 9 16 23 28 28 26 28 28 21 27" " 22 16 10 5 11 4 4 6 4 4 ],[0.98 0.96 0.92]);\nplot([0 0 30 30 0 ],[0 32 32 0" " 0 ]);\nfprintf('','COMMENT: end icon graphics');\n\nfprintf('','COMMENT: beg" "in icon text ');\ncolor('black');port_label('output',1,'cast');\nfprintf('','" "COMMENT: end icon text');\n" } Block { BlockType Reference Name "Convert_14" Ports [1, 1] Position [155, 784, 185, 816] SourceBlock "xbsIndex_r4/Convert" SourceType "Xilinx Type Converter Block" infoedit "Hardware notes: rounding and saturating" " require hardware resources; truncating and wrapping do not." arith_type "Unsigned" n_bits "1" bin_pt "0" quantization "Truncate" overflow "Wrap" latency "0" dbl_ovrd off pipeline off xl_use_area off xl_area "[0, 0, 0, 0, 0, 0, 0]" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "convert" block_version "VER_STRING_GOES_HERE" sg_icon_stat "30,32,1,1,white,blue,0,0eb6e735" sg_mask_display "fprintf('','COMMENT: begin icon graphic" "s ');\npatch([0 30 30 0 ],[0 0 32 32 ],[0.77 0.82 0.91]);\npatch([7 2 9 2 7 1" "5 17 19 27 20 14 9 15 9 14 20 27 19 17 15 7 ],[4 9 16 23 28 28 26 28 28 21 27" " 22 16 10 5 11 4 4 6 4 4 ],[0.98 0.96 0.92]);\nplot([0 0 30 30 0 ],[0 32 32 0" " 0 ]);\nfprintf('','COMMENT: end icon graphics');\n\nfprintf('','COMMENT: beg" "in icon text ');\ncolor('black');port_label('output',1,'cast');\nfprintf('','" "COMMENT: end icon text');\n" } Block { BlockType Reference Name "Convert_15" Ports [1, 1] Position [155, 909, 185, 941] SourceBlock "xbsIndex_r4/Convert" SourceType "Xilinx Type Converter Block" infoedit "Hardware notes: rounding and saturating" " require hardware resources; truncating and wrapping do not." arith_type "Unsigned" n_bits "1" bin_pt "0" quantization "Truncate" overflow "Wrap" latency "0" dbl_ovrd off pipeline off xl_use_area off xl_area "[0, 0, 0, 0, 0, 0, 0]" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "convert" block_version "VER_STRING_GOES_HERE" sg_icon_stat "30,32,1,1,white,blue,0,0eb6e735" sg_mask_display "fprintf('','COMMENT: begin icon graphic" "s ');\npatch([0 30 30 0 ],[0 0 32 32 ],[0.77 0.82 0.91]);\npatch([7 2 9 2 7 1" "5 17 19 27 20 14 9 15 9 14 20 27 19 17 15 7 ],[4 9 16 23 28 28 26 28 28 21 27" " 22 16 10 5 11 4 4 6 4 4 ],[0.98 0.96 0.92]);\nplot([0 0 30 30 0 ],[0 32 32 0" " 0 ]);\nfprintf('','COMMENT: end icon graphics');\n\nfprintf('','COMMENT: beg" "in icon text ');\ncolor('black');port_label('output',1,'cast');\nfprintf('','" "COMMENT: end icon text');\n" } Block { BlockType Reference Name "Convert_16" Ports [1, 1] Position [155, 1029, 185, 1061] SourceBlock "xbsIndex_r4/Convert" SourceType "Xilinx Type Converter Block" infoedit "Hardware notes: rounding and saturating" " require hardware resources; truncating and wrapping do not." arith_type "Unsigned" n_bits "1" bin_pt "0" quantization "Truncate" overflow "Wrap" latency "0" dbl_ovrd off pipeline off xl_use_area off xl_area "[0, 0, 0, 0, 0, 0, 0]" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "convert" block_version "VER_STRING_GOES_HERE" sg_icon_stat "30,32,1,1,white,blue,0,0eb6e735" sg_mask_display "fprintf('','COMMENT: begin icon graphic" "s ');\npatch([0 30 30 0 ],[0 0 32 32 ],[0.77 0.82 0.91]);\npatch([7 2 9 2 7 1" "5 17 19 27 20 14 9 15 9 14 20 27 19 17 15 7 ],[4 9 16 23 28 28 26 28 28 21 27" " 22 16 10 5 11 4 4 6 4 4 ],[0.98 0.96 0.92]);\nplot([0 0 30 30 0 ],[0 32 32 0" " 0 ]);\nfprintf('','COMMENT: end icon graphics');\n\nfprintf('','COMMENT: beg" "in icon text ');\ncolor('black');port_label('output',1,'cast');\nfprintf('','" "COMMENT: end icon text');\n" } Block { BlockType Reference Name "Convert_2" Ports [1, 1] Position [155, 1139, 185, 1171] SourceBlock "xbsIndex_r4/Convert" SourceType "Xilinx Type Converter Block" infoedit "Hardware notes: rounding and saturating" " require hardware resources; truncating and wrapping do not." arith_type "Unsigned" n_bits "9" bin_pt "0" quantization "Truncate" overflow "Wrap" latency "0" dbl_ovrd off pipeline off xl_use_area off xl_area "[0, 0, 0, 0, 0, 0, 0]" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "convert" block_version "VER_STRING_GOES_HERE" sg_icon_stat "30,32,1,1,white,blue,0,0eb6e735" sg_mask_display "fprintf('','COMMENT: begin icon graphic" "s ');\npatch([0 30 30 0 ],[0 0 32 32 ],[0.77 0.82 0.91]);\npatch([7 2 9 2 7 1" "5 17 19 27 20 14 9 15 9 14 20 27 19 17 15 7 ],[4 9 16 23 28 28 26 28 28 21 27" " 22 16 10 5 11 4 4 6 4 4 ],[0.98 0.96 0.92]);\nplot([0 0 30 30 0 ],[0 32 32 0" " 0 ]);\nfprintf('','COMMENT: end icon graphics');\n\nfprintf('','COMMENT: beg" "in icon text ');\ncolor('black');port_label('output',1,'cast');\nfprintf('','" "COMMENT: end icon text');\n" } Block { BlockType Reference Name "Convert_3" Ports [1, 1] Position [155, 1264, 185, 1296] SourceBlock "xbsIndex_r4/Convert" SourceType "Xilinx Type Converter Block" infoedit "Hardware notes: rounding and saturating" " require hardware resources; truncating and wrapping do not." arith_type "Unsigned" n_bits "9" bin_pt "0" quantization "Truncate" overflow "Wrap" latency "0" dbl_ovrd off pipeline off xl_use_area off xl_area "[0, 0, 0, 0, 0, 0, 0]" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "convert" block_version "VER_STRING_GOES_HERE" sg_icon_stat "30,32,1,1,white,blue,0,0eb6e735" sg_mask_display "fprintf('','COMMENT: begin icon graphic" "s ');\npatch([0 30 30 0 ],[0 0 32 32 ],[0.77 0.82 0.91]);\npatch([7 2 9 2 7 1" "5 17 19 27 20 14 9 15 9 14 20 27 19 17 15 7 ],[4 9 16 23 28 28 26 28 28 21 27" " 22 16 10 5 11 4 4 6 4 4 ],[0.98 0.96 0.92]);\nplot([0 0 30 30 0 ],[0 32 32 0" " 0 ]);\nfprintf('','COMMENT: end icon graphics');\n\nfprintf('','COMMENT: beg" "in icon text ');\ncolor('black');port_label('output',1,'cast');\nfprintf('','" "COMMENT: end icon text');\n" } Block { BlockType Reference Name "Convert_4" Ports [1, 1] Position [155, 1389, 185, 1421] SourceBlock "xbsIndex_r4/Convert" SourceType "Xilinx Type Converter Block" infoedit "Hardware notes: rounding and saturating" " require hardware resources; truncating and wrapping do not." arith_type "Unsigned" n_bits "9" bin_pt "0" quantization "Truncate" overflow "Wrap" latency "0" dbl_ovrd off pipeline off xl_use_area off xl_area "[0, 0, 0, 0, 0, 0, 0]" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "convert" block_version "VER_STRING_GOES_HERE" sg_icon_stat "30,32,1,1,white,blue,0,0eb6e735" sg_mask_display "fprintf('','COMMENT: begin icon graphic" "s ');\npatch([0 30 30 0 ],[0 0 32 32 ],[0.77 0.82 0.91]);\npatch([7 2 9 2 7 1" "5 17 19 27 20 14 9 15 9 14 20 27 19 17 15 7 ],[4 9 16 23 28 28 26 28 28 21 27" " 22 16 10 5 11 4 4 6 4 4 ],[0.98 0.96 0.92]);\nplot([0 0 30 30 0 ],[0 32 32 0" " 0 ]);\nfprintf('','COMMENT: end icon graphics');\n\nfprintf('','COMMENT: beg" "in icon text ');\ncolor('black');port_label('output',1,'cast');\nfprintf('','" "COMMENT: end icon text');\n" } Block { BlockType Reference Name "Convert_5" Ports [1, 1] Position [155, 1509, 185, 1541] SourceBlock "xbsIndex_r4/Convert" SourceType "Xilinx Type Converter Block" infoedit "Hardware notes: rounding and saturating" " require hardware resources; truncating and wrapping do not." arith_type "Unsigned" n_bits "9" bin_pt "0" quantization "Truncate" overflow "Wrap" latency "0" dbl_ovrd off pipeline off xl_use_area off xl_area "[0, 0, 0, 0, 0, 0, 0]" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "convert" block_version "VER_STRING_GOES_HERE" sg_icon_stat "30,32,1,1,white,blue,0,0eb6e735" sg_mask_display "fprintf('','COMMENT: begin icon graphic" "s ');\npatch([0 30 30 0 ],[0 0 32 32 ],[0.77 0.82 0.91]);\npatch([7 2 9 2 7 1" "5 17 19 27 20 14 9 15 9 14 20 27 19 17 15 7 ],[4 9 16 23 28 28 26 28 28 21 27" " 22 16 10 5 11 4 4 6 4 4 ],[0.98 0.96 0.92]);\nplot([0 0 30 30 0 ],[0 32 32 0" " 0 ]);\nfprintf('','COMMENT: end icon graphics');\n\nfprintf('','COMMENT: beg" "in icon text ');\ncolor('black');port_label('output',1,'cast');\nfprintf('','" "COMMENT: end icon text');\n" } Block { BlockType Reference Name "Convert_6" Ports [1, 1] Position [155, 1634, 185, 1666] SourceBlock "xbsIndex_r4/Convert" SourceType "Xilinx Type Converter Block" infoedit "Hardware notes: rounding and saturating" " require hardware resources; truncating and wrapping do not." arith_type "Unsigned" n_bits "9" bin_pt "0" quantization "Truncate" overflow "Wrap" latency "0" dbl_ovrd off pipeline off xl_use_area off xl_area "[0, 0, 0, 0, 0, 0, 0]" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "convert" block_version "VER_STRING_GOES_HERE" sg_icon_stat "30,32,1,1,white,blue,0,0eb6e735" sg_mask_display "fprintf('','COMMENT: begin icon graphic" "s ');\npatch([0 30 30 0 ],[0 0 32 32 ],[0.77 0.82 0.91]);\npatch([7 2 9 2 7 1" "5 17 19 27 20 14 9 15 9 14 20 27 19 17 15 7 ],[4 9 16 23 28 28 26 28 28 21 27" " 22 16 10 5 11 4 4 6 4 4 ],[0.98 0.96 0.92]);\nplot([0 0 30 30 0 ],[0 32 32 0" " 0 ]);\nfprintf('','COMMENT: end icon graphics');\n\nfprintf('','COMMENT: beg" "in icon text ');\ncolor('black');port_label('output',1,'cast');\nfprintf('','" "COMMENT: end icon text');\n" } Block { BlockType Reference Name "Convert_7" Ports [1, 1] Position [155, 1759, 185, 1791] SourceBlock "xbsIndex_r4/Convert" SourceType "Xilinx Type Converter Block" infoedit "Hardware notes: rounding and saturating" " require hardware resources; truncating and wrapping do not." arith_type "Unsigned" n_bits "9" bin_pt "0" quantization "Truncate" overflow "Wrap" latency "0" dbl_ovrd off pipeline off xl_use_area off xl_area "[0, 0, 0, 0, 0, 0, 0]" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "convert" block_version "VER_STRING_GOES_HERE" sg_icon_stat "30,32,1,1,white,blue,0,0eb6e735" sg_mask_display "fprintf('','COMMENT: begin icon graphic" "s ');\npatch([0 30 30 0 ],[0 0 32 32 ],[0.77 0.82 0.91]);\npatch([7 2 9 2 7 1" "5 17 19 27 20 14 9 15 9 14 20 27 19 17 15 7 ],[4 9 16 23 28 28 26 28 28 21 27" " 22 16 10 5 11 4 4 6 4 4 ],[0.98 0.96 0.92]);\nplot([0 0 30 30 0 ],[0 32 32 0" " 0 ]);\nfprintf('','COMMENT: end icon graphics');\n\nfprintf('','COMMENT: beg" "in icon text ');\ncolor('black');port_label('output',1,'cast');\nfprintf('','" "COMMENT: end icon text');\n" } Block { BlockType Reference Name "Convert_8" Ports [1, 1] Position [155, 1884, 185, 1916] SourceBlock "xbsIndex_r4/Convert" SourceType "Xilinx Type Converter Block" infoedit "Hardware notes: rounding and saturating" " require hardware resources; truncating and wrapping do not." arith_type "Unsigned" n_bits "3" bin_pt "0" quantization "Truncate" overflow "Wrap" latency "0" dbl_ovrd off pipeline off xl_use_area off xl_area "[0, 0, 0, 0, 0, 0, 0]" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "convert" block_version "VER_STRING_GOES_HERE" sg_icon_stat "30,32,1,1,white,blue,0,0eb6e735" sg_mask_display "fprintf('','COMMENT: begin icon graphic" "s ');\npatch([0 30 30 0 ],[0 0 32 32 ],[0.77 0.82 0.91]);\npatch([7 2 9 2 7 1" "5 17 19 27 20 14 9 15 9 14 20 27 19 17 15 7 ],[4 9 16 23 28 28 26 28 28 21 27" " 22 16 10 5 11 4 4 6 4 4 ],[0.98 0.96 0.92]);\nplot([0 0 30 30 0 ],[0 32 32 0" " 0 ]);\nfprintf('','COMMENT: end icon graphics');\n\nfprintf('','COMMENT: beg" "in icon text ');\ncolor('black');port_label('output',1,'cast');\nfprintf('','" "COMMENT: end icon text');\n" } Block { BlockType Reference Name "Convert_9" Ports [1, 1] Position [155, 2009, 185, 2041] SourceBlock "xbsIndex_r4/Convert" SourceType "Xilinx Type Converter Block" infoedit "Hardware notes: rounding and saturating" " require hardware resources; truncating and wrapping do not." arith_type "Unsigned" n_bits "1" bin_pt "0" quantization "Truncate" overflow "Wrap" latency "0" dbl_ovrd off pipeline off xl_use_area off xl_area "[0, 0, 0, 0, 0, 0, 0]" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "convert" block_version "VER_STRING_GOES_HERE" sg_icon_stat "30,32,1,1,white,blue,0,0eb6e735" sg_mask_display "fprintf('','COMMENT: begin icon graphic" "s ');\npatch([0 30 30 0 ],[0 0 32 32 ],[0.77 0.82 0.91]);\npatch([7 2 9 2 7 1" "5 17 19 27 20 14 9 15 9 14 20 27 19 17 15 7 ],[4 9 16 23 28 28 26 28 28 21 27" " 22 16 10 5 11 4 4 6 4 4 ],[0.98 0.96 0.92]);\nplot([0 0 30 30 0 ],[0 32 32 0" " 0 ]);\nfprintf('','COMMENT: end icon graphics');\n\nfprintf('','COMMENT: beg" "in icon text ');\ncolor('black');port_label('output',1,'cast');\nfprintf('','" "COMMENT: end icon text');\n" } Block { BlockType Goto Name "From_Register1_0_opb5" Position [295, 1520, 315, 1540] GotoTag "in_5" TagVisibility "global" } Block { BlockType Reference Name "From_Register1_0_opb5_5" Ports [2, 1] Position [215, 1520, 260, 1615] SourceBlock "xbsIndex_r4/Register" SourceType "Xilinx Register Block" init "hex2dec('175')" rst off en on dbl_ovrd off xl_use_area off xl_area "[0, 0, 0, 0, 0, 0, 0]" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "register" block_version "VER_STRING_GOES_HERE" sg_icon_stat "45,95,2,1,white,blue,0,cc3303a0" sg_mask_display "fprintf('','COMMENT: begin icon graphic" "s ');\npatch([0 45 45 0 ],[0 0 95 95 ],[0.77 0.82 0.91]);\npatch([10 3 14 3 1" "0 22 25 28 41 31 21 14 25 14 21 31 41 28 25 22 10 ],[30 37 48 59 66 66 63 66 " "66 56 66 59 48 37 30 40 30 30 33 30 30 ],[0.98 0.96 0.92]);\nplot([0 0 45 45 " "0 ],[0 95 95 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\n\nfprintf(''" ",'COMMENT: begin icon text ');\ncolor('black');port_label('input',1,'d');\nco" "lor('black');port_label('input',2,'en');\ncolor('black');port_label('output'," "1,'q');\ncolor('black');disp('\\bf{z^{-1}}','texmode','on');\nfprintf('','COM" "MENT: end icon text');\n" } Block { BlockType Goto Name "From_Register1_1_opb10" Position [295, 285, 315, 305] GotoTag "in_10" TagVisibility "global" } Block { BlockType Reference Name "From_Register1_1_opb10_10" Ports [2, 1] Position [215, 280, 260, 375] SourceBlock "xbsIndex_r4/Register" SourceType "Xilinx Register Block" init "0" rst off en on dbl_ovrd off xl_use_area off xl_area "[0, 0, 0, 0, 0, 0, 0]" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "register" block_version "VER_STRING_GOES_HERE" sg_icon_stat "45,95,2,1,white,blue,0,cc3303a0" sg_mask_display "fprintf('','COMMENT: begin icon graphic" "s ');\npatch([0 45 45 0 ],[0 0 95 95 ],[0.77 0.82 0.91]);\npatch([10 3 14 3 1" "0 22 25 28 41 31 21 14 25 14 21 31 41 28 25 22 10 ],[30 37 48 59 66 66 63 66 " "66 56 66 59 48 37 30 40 30 30 33 30 30 ],[0.98 0.96 0.92]);\nplot([0 0 45 45 " "0 ],[0 95 95 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\n\nfprintf(''" ",'COMMENT: begin icon text ');\ncolor('black');port_label('input',1,'d');\nco" "lor('black');port_label('input',2,'en');\ncolor('black');port_label('output'," "1,'q');\ncolor('black');disp('\\bf{z^{-1}}','texmode','on');\nfprintf('','COM" "MENT: end icon text');\n" } Block { BlockType Goto Name "From_Register1_opb0" Position [295, 45, 315, 65] GotoTag "in_0" TagVisibility "global" } Block { BlockType Reference Name "From_Register1_opb0_0" Ports [2, 1] Position [215, 30, 260, 125] SourceBlock "xbsIndex_r4/Register" SourceType "Xilinx Register Block" init "0" rst off en on dbl_ovrd off xl_use_area off xl_area "[0, 0, 0, 0, 0, 0, 0]" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "register" block_version "VER_STRING_GOES_HERE" sg_icon_stat "45,95,2,1,white,blue,0,cc3303a0" sg_mask_display "fprintf('','COMMENT: begin icon graphic" "s ');\npatch([0 45 45 0 ],[0 0 95 95 ],[0.77 0.82 0.91]);\npatch([10 3 14 3 1" "0 22 25 28 41 31 21 14 25 14 21 31 41 28 25 22 10 ],[30 37 48 59 66 66 63 66 " "66 56 66 59 48 37 30 40 30 30 33 30 30 ],[0.98 0.96 0.92]);\nplot([0 0 45 45 " "0 ],[0 95 95 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\n\nfprintf(''" ",'COMMENT: begin icon text ');\ncolor('black');port_label('input',1,'d');\nco" "lor('black');port_label('input',2,'en');\ncolor('black');port_label('output'," "1,'q');\ncolor('black');disp('\\bf{z^{-1}}','texmode','on');\nfprintf('','COM" "MENT: end icon text');\n" } Block { BlockType Goto Name "From_Register2_0_opb6" Position [295, 1640, 315, 1660] GotoTag "in_6" TagVisibility "global" } Block { BlockType Reference Name "From_Register2_0_opb6_6" Ports [2, 1] Position [215, 1640, 260, 1735] SourceBlock "xbsIndex_r4/Register" SourceType "Xilinx Register Block" init "hex2dec('15C')" rst off en on dbl_ovrd off xl_use_area off xl_area "[0, 0, 0, 0, 0, 0, 0]" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "register" block_version "VER_STRING_GOES_HERE" sg_icon_stat "45,95,2,1,white,blue,0,cc3303a0" sg_mask_display "fprintf('','COMMENT: begin icon graphic" "s ');\npatch([0 45 45 0 ],[0 0 95 95 ],[0.77 0.82 0.91]);\npatch([10 3 14 3 1" "0 22 25 28 41 31 21 14 25 14 21 31 41 28 25 22 10 ],[30 37 48 59 66 66 63 66 " "66 56 66 59 48 37 30 40 30 30 33 30 30 ],[0.98 0.96 0.92]);\nplot([0 0 45 45 " "0 ],[0 95 95 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\n\nfprintf(''" ",'COMMENT: begin icon text ');\ncolor('black');port_label('input',1,'d');\nco" "lor('black');port_label('input',2,'en');\ncolor('black');port_label('output'," "1,'q');\ncolor('black');disp('\\bf{z^{-1}}','texmode','on');\nfprintf('','COM" "MENT: end icon text');\n" } Block { BlockType Goto Name "From_Register2_1_opb11" Position [295, 415, 315, 435] GotoTag "in_11" TagVisibility "global" } Block { BlockType Reference Name "From_Register2_1_opb11_11" Ports [2, 1] Position [215, 400, 260, 495] SourceBlock "xbsIndex_r4/Register" SourceType "Xilinx Register Block" init "0" rst off en on dbl_ovrd off xl_use_area off xl_area "[0, 0, 0, 0, 0, 0, 0]" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "register" block_version "VER_STRING_GOES_HERE" sg_icon_stat "45,95,2,1,white,blue,0,cc3303a0" sg_mask_display "fprintf('','COMMENT: begin icon graphic" "s ');\npatch([0 45 45 0 ],[0 0 95 95 ],[0.77 0.82 0.91]);\npatch([10 3 14 3 1" "0 22 25 28 41 31 21 14 25 14 21 31 41 28 25 22 10 ],[30 37 48 59 66 66 63 66 " "66 56 66 59 48 37 30 40 30 30 33 30 30 ],[0.98 0.96 0.92]);\nplot([0 0 45 45 " "0 ],[0 95 95 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\n\nfprintf(''" ",'COMMENT: begin icon text ');\ncolor('black');port_label('input',1,'d');\nco" "lor('black');port_label('input',2,'en');\ncolor('black');port_label('output'," "1,'q');\ncolor('black');disp('\\bf{z^{-1}}','texmode','on');\nfprintf('','COM" "MENT: end icon text');\n" } Block { BlockType Goto Name "From_Register2_opb1" Position [295, 175, 315, 195] GotoTag "in_1" TagVisibility "global" } Block { BlockType Reference Name "From_Register2_opb1_1" Ports [2, 1] Position [215, 155, 260, 250] SourceBlock "xbsIndex_r4/Register" SourceType "Xilinx Register Block" init "131" rst off en on dbl_ovrd off xl_use_area off xl_area "[0, 0, 0, 0, 0, 0, 0]" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "register" block_version "VER_STRING_GOES_HERE" sg_icon_stat "45,95,2,1,white,blue,0,cc3303a0" sg_mask_display "fprintf('','COMMENT: begin icon graphic" "s ');\npatch([0 45 45 0 ],[0 0 95 95 ],[0.77 0.82 0.91]);\npatch([10 3 14 3 1" "0 22 25 28 41 31 21 14 25 14 21 31 41 28 25 22 10 ],[30 37 48 59 66 66 63 66 " "66 56 66 59 48 37 30 40 30 30 33 30 30 ],[0.98 0.96 0.92]);\nplot([0 0 45 45 " "0 ],[0 95 95 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\n\nfprintf(''" ",'COMMENT: begin icon text ');\ncolor('black');port_label('input',1,'d');\nco" "lor('black');port_label('input',2,'en');\ncolor('black');port_label('output'," "1,'q');\ncolor('black');disp('\\bf{z^{-1}}','texmode','on');\nfprintf('','COM" "MENT: end icon text');\n" } Block { BlockType Goto Name "From_Register3_0_opb7" Position [295, 1765, 315, 1785] GotoTag "in_7" TagVisibility "global" } Block { BlockType Reference Name "From_Register3_0_opb7_7" Ports [2, 1] Position [215, 1765, 260, 1860] SourceBlock "xbsIndex_r4/Register" SourceType "Xilinx Register Block" init "hex2dec('115')" rst off en on dbl_ovrd off xl_use_area off xl_area "[0, 0, 0, 0, 0, 0, 0]" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "register" block_version "VER_STRING_GOES_HERE" sg_icon_stat "45,95,2,1,white,blue,0,cc3303a0" sg_mask_display "fprintf('','COMMENT: begin icon graphic" "s ');\npatch([0 45 45 0 ],[0 0 95 95 ],[0.77 0.82 0.91]);\npatch([10 3 14 3 1" "0 22 25 28 41 31 21 14 25 14 21 31 41 28 25 22 10 ],[30 37 48 59 66 66 63 66 " "66 56 66 59 48 37 30 40 30 30 33 30 30 ],[0.98 0.96 0.92]);\nplot([0 0 45 45 " "0 ],[0 95 95 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\n\nfprintf(''" ",'COMMENT: begin icon text ');\ncolor('black');port_label('input',1,'d');\nco" "lor('black');port_label('input',2,'en');\ncolor('black');port_label('output'," "1,'q');\ncolor('black');disp('\\bf{z^{-1}}','texmode','on');\nfprintf('','COM" "MENT: end icon text');\n" } Block { BlockType Goto Name "From_Register3_1_opb12" Position [295, 540, 315, 560] GotoTag "in_12" TagVisibility "global" } Block { BlockType Reference Name "From_Register3_1_opb12_12" Ports [2, 1] Position [215, 525, 260, 620] SourceBlock "xbsIndex_r4/Register" SourceType "Xilinx Register Block" init "10" rst off en on dbl_ovrd off xl_use_area off xl_area "[0, 0, 0, 0, 0, 0, 0]" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "register" block_version "VER_STRING_GOES_HERE" sg_icon_stat "45,95,2,1,white,blue,0,cc3303a0" sg_mask_display "fprintf('','COMMENT: begin icon graphic" "s ');\npatch([0 45 45 0 ],[0 0 95 95 ],[0.77 0.82 0.91]);\npatch([10 3 14 3 1" "0 22 25 28 41 31 21 14 25 14 21 31 41 28 25 22 10 ],[30 37 48 59 66 66 63 66 " "66 56 66 59 48 37 30 40 30 30 33 30 30 ],[0.98 0.96 0.92]);\nplot([0 0 45 45 " "0 ],[0 95 95 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\n\nfprintf(''" ",'COMMENT: begin icon text ');\ncolor('black');port_label('input',1,'d');\nco" "lor('black');port_label('input',2,'en');\ncolor('black');port_label('output'," "1,'q');\ncolor('black');disp('\\bf{z^{-1}}','texmode','on');\nfprintf('','COM" "MENT: end icon text');\n" } Block { BlockType Goto Name "From_Register3_opb2" Position [295, 1160, 315, 1180] GotoTag "in_2" TagVisibility "global" } Block { BlockType Reference Name "From_Register3_opb2_2" Ports [2, 1] Position [215, 1145, 260, 1240] SourceBlock "xbsIndex_r4/Register" SourceType "Xilinx Register Block" init "0" rst off en on dbl_ovrd off xl_use_area off xl_area "[0, 0, 0, 0, 0, 0, 0]" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "register" block_version "VER_STRING_GOES_HERE" sg_icon_stat "45,95,2,1,white,blue,0,cc3303a0" sg_mask_display "fprintf('','COMMENT: begin icon graphic" "s ');\npatch([0 45 45 0 ],[0 0 95 95 ],[0.77 0.82 0.91]);\npatch([10 3 14 3 1" "0 22 25 28 41 31 21 14 25 14 21 31 41 28 25 22 10 ],[30 37 48 59 66 66 63 66 " "66 56 66 59 48 37 30 40 30 30 33 30 30 ],[0.98 0.96 0.92]);\nplot([0 0 45 45 " "0 ],[0 95 95 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\n\nfprintf(''" ",'COMMENT: begin icon text ');\ncolor('black');port_label('input',1,'d');\nco" "lor('black');port_label('input',2,'en');\ncolor('black');port_label('output'," "1,'q');\ncolor('black');disp('\\bf{z^{-1}}','texmode','on');\nfprintf('','COM" "MENT: end icon text');\n" } Block { BlockType Goto Name "From_Register4_0_opb8" Position [295, 1900, 315, 1920] GotoTag "in_8" TagVisibility "global" } Block { BlockType Reference Name "From_Register4_0_opb8_8" Ports [2, 1] Position [215, 1890, 260, 1985] SourceBlock "xbsIndex_r4/Register" SourceType "Xilinx Register Block" init "0" rst off en on dbl_ovrd off xl_use_area off xl_area "[0, 0, 0, 0, 0, 0, 0]" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "register" block_version "VER_STRING_GOES_HERE" sg_icon_stat "45,95,2,1,white,blue,0,cc3303a0" sg_mask_display "fprintf('','COMMENT: begin icon graphic" "s ');\npatch([0 45 45 0 ],[0 0 95 95 ],[0.77 0.82 0.91]);\npatch([10 3 14 3 1" "0 22 25 28 41 31 21 14 25 14 21 31 41 28 25 22 10 ],[30 37 48 59 66 66 63 66 " "66 56 66 59 48 37 30 40 30 30 33 30 30 ],[0.98 0.96 0.92]);\nplot([0 0 45 45 " "0 ],[0 95 95 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\n\nfprintf(''" ",'COMMENT: begin icon text ');\ncolor('black');port_label('input',1,'d');\nco" "lor('black');port_label('input',2,'en');\ncolor('black');port_label('output'," "1,'q');\ncolor('black');disp('\\bf{z^{-1}}','texmode','on');\nfprintf('','COM" "MENT: end icon text');\n" } Block { BlockType Goto Name "From_Register4_1_opb13" Position [295, 675, 315, 695] GotoTag "in_13" TagVisibility "global" } Block { BlockType Reference Name "From_Register4_1_opb13_13" Ports [2, 1] Position [215, 650, 260, 745] SourceBlock "xbsIndex_r4/Register" SourceType "Xilinx Register Block" init "0" rst off en on dbl_ovrd off xl_use_area off xl_area "[0, 0, 0, 0, 0, 0, 0]" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "register" block_version "VER_STRING_GOES_HERE" sg_icon_stat "45,95,2,1,white,blue,0,cc3303a0" sg_mask_display "fprintf('','COMMENT: begin icon graphic" "s ');\npatch([0 45 45 0 ],[0 0 95 95 ],[0.77 0.82 0.91]);\npatch([10 3 14 3 1" "0 22 25 28 41 31 21 14 25 14 21 31 41 28 25 22 10 ],[30 37 48 59 66 66 63 66 " "66 56 66 59 48 37 30 40 30 30 33 30 30 ],[0.98 0.96 0.92]);\nplot([0 0 45 45 " "0 ],[0 95 95 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\n\nfprintf(''" ",'COMMENT: begin icon text ');\ncolor('black');port_label('input',1,'d');\nco" "lor('black');port_label('input',2,'en');\ncolor('black');port_label('output'," "1,'q');\ncolor('black');disp('\\bf{z^{-1}}','texmode','on');\nfprintf('','COM" "MENT: end icon text');\n" } Block { BlockType Goto Name "From_Register4_opb3" Position [295, 1295, 315, 1315] GotoTag "in_3" TagVisibility "global" } Block { BlockType Reference Name "From_Register4_opb3_3" Ports [2, 1] Position [215, 1270, 260, 1365] SourceBlock "xbsIndex_r4/Register" SourceType "Xilinx Register Block" init "0" rst off en on dbl_ovrd off xl_use_area off xl_area "[0, 0, 0, 0, 0, 0, 0]" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "register" block_version "VER_STRING_GOES_HERE" sg_icon_stat "45,95,2,1,white,blue,0,cc3303a0" sg_mask_display "fprintf('','COMMENT: begin icon graphic" "s ');\npatch([0 45 45 0 ],[0 0 95 95 ],[0.77 0.82 0.91]);\npatch([10 3 14 3 1" "0 22 25 28 41 31 21 14 25 14 21 31 41 28 25 22 10 ],[30 37 48 59 66 66 63 66 " "66 56 66 59 48 37 30 40 30 30 33 30 30 ],[0.98 0.96 0.92]);\nplot([0 0 45 45 " "0 ],[0 95 95 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\n\nfprintf(''" ",'COMMENT: begin icon text ');\ncolor('black');port_label('input',1,'d');\nco" "lor('black');port_label('input',2,'en');\ncolor('black');port_label('output'," "1,'q');\ncolor('black');disp('\\bf{z^{-1}}','texmode','on');\nfprintf('','COM" "MENT: end icon text');\n" } Block { BlockType Goto Name "From_Register5_0_opb14" Position [295, 775, 315, 795] GotoTag "in_14" TagVisibility "global" } Block { BlockType Reference Name "From_Register5_0_opb14_14" Ports [2, 1] Position [215, 775, 260, 870] SourceBlock "xbsIndex_r4/Register" SourceType "Xilinx Register Block" init "0" rst off en on dbl_ovrd off xl_use_area off xl_area "[0, 0, 0, 0, 0, 0, 0]" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "register" block_version "VER_STRING_GOES_HERE" sg_icon_stat "45,95,2,1,white,blue,0,cc3303a0" sg_mask_display "fprintf('','COMMENT: begin icon graphic" "s ');\npatch([0 45 45 0 ],[0 0 95 95 ],[0.77 0.82 0.91]);\npatch([10 3 14 3 1" "0 22 25 28 41 31 21 14 25 14 21 31 41 28 25 22 10 ],[30 37 48 59 66 66 63 66 " "66 56 66 59 48 37 30 40 30 30 33 30 30 ],[0.98 0.96 0.92]);\nplot([0 0 45 45 " "0 ],[0 95 95 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\n\nfprintf(''" ",'COMMENT: begin icon text ');\ncolor('black');port_label('input',1,'d');\nco" "lor('black');port_label('input',2,'en');\ncolor('black');port_label('output'," "1,'q');\ncolor('black');disp('\\bf{z^{-1}}','texmode','on');\nfprintf('','COM" "MENT: end icon text');\n" } Block { BlockType Goto Name "From_Register5_opb4" Position [295, 1395, 315, 1415] GotoTag "in_4" TagVisibility "global" } Block { BlockType Reference Name "From_Register5_opb4_4" Ports [2, 1] Position [215, 1395, 260, 1490] SourceBlock "xbsIndex_r4/Register" SourceType "Xilinx Register Block" init "131" rst off en on dbl_ovrd off xl_use_area off xl_area "[0, 0, 0, 0, 0, 0, 0]" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "register" block_version "VER_STRING_GOES_HERE" sg_icon_stat "45,95,2,1,white,blue,0,cc3303a0" sg_mask_display "fprintf('','COMMENT: begin icon graphic" "s ');\npatch([0 45 45 0 ],[0 0 95 95 ],[0.77 0.82 0.91]);\npatch([10 3 14 3 1" "0 22 25 28 41 31 21 14 25 14 21 31 41 28 25 22 10 ],[30 37 48 59 66 66 63 66 " "66 56 66 59 48 37 30 40 30 30 33 30 30 ],[0.98 0.96 0.92]);\nplot([0 0 45 45 " "0 ],[0 95 95 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\n\nfprintf(''" ",'COMMENT: begin icon text ');\ncolor('black');port_label('input',1,'d');\nco" "lor('black');port_label('input',2,'en');\ncolor('black');port_label('output'," "1,'q');\ncolor('black');disp('\\bf{z^{-1}}','texmode','on');\nfprintf('','COM" "MENT: end icon text');\n" } Block { BlockType Goto Name "From_Register6_opb15" Position [295, 910, 315, 930] GotoTag "in_15" TagVisibility "global" } Block { BlockType Reference Name "From_Register6_opb15_15" Ports [2, 1] Position [215, 900, 260, 995] SourceBlock "xbsIndex_r4/Register" SourceType "Xilinx Register Block" init "1" rst off en on dbl_ovrd off xl_use_area off xl_area "[0, 0, 0, 0, 0, 0, 0]" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "register" block_version "VER_STRING_GOES_HERE" sg_icon_stat "45,95,2,1,white,blue,0,cc3303a0" sg_mask_display "fprintf('','COMMENT: begin icon graphic" "s ');\npatch([0 45 45 0 ],[0 0 95 95 ],[0.77 0.82 0.91]);\npatch([10 3 14 3 1" "0 22 25 28 41 31 21 14 25 14 21 31 41 28 25 22 10 ],[30 37 48 59 66 66 63 66 " "66 56 66 59 48 37 30 40 30 30 33 30 30 ],[0.98 0.96 0.92]);\nplot([0 0 45 45 " "0 ],[0 95 95 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\n\nfprintf(''" ",'COMMENT: begin icon text ');\ncolor('black');port_label('input',1,'d');\nco" "lor('black');port_label('input',2,'en');\ncolor('black');port_label('output'," "1,'q');\ncolor('black');disp('\\bf{z^{-1}}','texmode','on');\nfprintf('','COM" "MENT: end icon text');\n" } Block { BlockType Goto Name "From_Register7_opb16" Position [295, 1035, 315, 1055] GotoTag "in_16" TagVisibility "global" } Block { BlockType Reference Name "From_Register7_opb16_16" Ports [2, 1] Position [215, 1020, 260, 1115] SourceBlock "xbsIndex_r4/Register" SourceType "Xilinx Register Block" init "1" rst off en on dbl_ovrd off xl_use_area off xl_area "[0, 0, 0, 0, 0, 0, 0]" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "register" block_version "VER_STRING_GOES_HERE" sg_icon_stat "45,95,2,1,white,blue,0,cc3303a0" sg_mask_display "fprintf('','COMMENT: begin icon graphic" "s ');\npatch([0 45 45 0 ],[0 0 95 95 ],[0.77 0.82 0.91]);\npatch([10 3 14 3 1" "0 22 25 28 41 31 21 14 25 14 21 31 41 28 25 22 10 ],[30 37 48 59 66 66 63 66 " "66 56 66 59 48 37 30 40 30 30 33 30 30 ],[0.98 0.96 0.92]);\nplot([0 0 45 45 " "0 ],[0 95 95 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\n\nfprintf(''" ",'COMMENT: begin icon text ');\ncolor('black');port_label('input',1,'d');\nco" "lor('black');port_label('input',2,'en');\ncolor('black');port_label('output'," "1,'q');\ncolor('black');disp('\\bf{z^{-1}}','texmode','on');\nfprintf('','COM" "MENT: end icon text');\n" } Block { BlockType Goto Name "From_Register_opb9" Position [295, 2025, 315, 2045] GotoTag "in_9" TagVisibility "global" } Block { BlockType Reference Name "From_Register_opb9_9" Ports [2, 1] Position [215, 2015, 260, 2110] SourceBlock "xbsIndex_r4/Register" SourceType "Xilinx Register Block" init "0" rst off en on dbl_ovrd off xl_use_area off xl_area "[0, 0, 0, 0, 0, 0, 0]" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "register" block_version "VER_STRING_GOES_HERE" sg_icon_stat "45,95,2,1,white,blue,0,cc3303a0" sg_mask_display "fprintf('','COMMENT: begin icon graphic" "s ');\npatch([0 45 45 0 ],[0 0 95 95 ],[0.77 0.82 0.91]);\npatch([10 3 14 3 1" "0 22 25 28 41 31 21 14 25 14 21 31 41 28 25 22 10 ],[30 37 48 59 66 66 63 66 " "66 56 66 59 48 37 30 40 30 30 33 30 30 ],[0.98 0.96 0.92]);\nplot([0 0 45 45 " "0 ],[0 95 95 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\n\nfprintf(''" ",'COMMENT: begin icon text ');\ncolor('black');port_label('input',1,'d');\nco" "lor('black');port_label('input',2,'en');\ncolor('black');port_label('output'," "1,'q');\ncolor('black');disp('\\bf{z^{-1}}','texmode','on');\nfprintf('','COM" "MENT: end icon text');\n" } Block { BlockType Reference Name "Reinterpret_0" Ports [1, 1] Position [85, 99, 125, 131] SourceBlock "xbsIndex_r4/Reinterpret" SourceType "Xilinx Type Reinterpreter Block" infoedit "Changes type of samples without alterin" "g their binary representation.

Hardware notes: In hardware this block co" "sts nothing.

Example: Suppose the input is 6 bits wide, signed, with 2 " "fractional bits, and the output is forced to unsigned with 0 fractional bits." " Then an input of -2.0 (1110.00 in binary 2's complement) becomes an output " "of 56 (111000 in binary)." force_arith_type on arith_type "Unsigned" force_bin_pt on bin_pt "0" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "cast" block_version "VER_STRING_GOES_HERE" sg_icon_stat "40,32,1,1,white,blue,0,8982c1db" sg_mask_display "fprintf('','COMMENT: begin icon graphic" "s');\npatch([0 50 50 0 ],[0 0 32 32 ],[0.77 0.82 0.91]);\npatch([16 11 18 11 " "16 24 26 28 37 30 23 18 25 18 23 30 37 28 26 24 16 ],[3 8 15 22 27 27 25 27 2" "7 20 27 22 15 8 3 10 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 0 50 50 0 ],[0 3" "2 32 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT:" " begin icon text');\ncolor('black');disp('reinterpret');\nfprintf('','COMMENT" ": end icon text');\n" } Block { BlockType Reference Name "Reinterpret_1" Ports [1, 1] Position [85, 224, 125, 256] SourceBlock "xbsIndex_r4/Reinterpret" SourceType "Xilinx Type Reinterpreter Block" infoedit "Changes type of samples without alterin" "g their binary representation.

Hardware notes: In hardware this block co" "sts nothing.

Example: Suppose the input is 6 bits wide, signed, with 2 " "fractional bits, and the output is forced to unsigned with 0 fractional bits." " Then an input of -2.0 (1110.00 in binary 2's complement) becomes an output " "of 56 (111000 in binary)." force_arith_type on arith_type "Unsigned" force_bin_pt on bin_pt "0" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "cast" block_version "VER_STRING_GOES_HERE" sg_icon_stat "40,32,1,1,white,blue,0,8982c1db" sg_mask_display "fprintf('','COMMENT: begin icon graphic" "s');\npatch([0 50 50 0 ],[0 0 32 32 ],[0.77 0.82 0.91]);\npatch([16 11 18 11 " "16 24 26 28 37 30 23 18 25 18 23 30 37 28 26 24 16 ],[3 8 15 22 27 27 25 27 2" "7 20 27 22 15 8 3 10 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 0 50 50 0 ],[0 3" "2 32 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT:" " begin icon text');\ncolor('black');disp('reinterpret');\nfprintf('','COMMENT" ": end icon text');\n" } Block { BlockType Reference Name "Reinterpret_10" Ports [1, 1] Position [85, 349, 125, 381] SourceBlock "xbsIndex_r4/Reinterpret" SourceType "Xilinx Type Reinterpreter Block" infoedit "Changes type of samples without alterin" "g their binary representation.

Hardware notes: In hardware this block co" "sts nothing.

Example: Suppose the input is 6 bits wide, signed, with 2 " "fractional bits, and the output is forced to unsigned with 0 fractional bits." " Then an input of -2.0 (1110.00 in binary 2's complement) becomes an output " "of 56 (111000 in binary)." force_arith_type on arith_type "Unsigned" force_bin_pt on bin_pt "0" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "cast" block_version "VER_STRING_GOES_HERE" sg_icon_stat "40,32,1,1,white,blue,0,8982c1db" sg_mask_display "fprintf('','COMMENT: begin icon graphic" "s');\npatch([0 50 50 0 ],[0 0 32 32 ],[0.77 0.82 0.91]);\npatch([16 11 18 11 " "16 24 26 28 37 30 23 18 25 18 23 30 37 28 26 24 16 ],[3 8 15 22 27 27 25 27 2" "7 20 27 22 15 8 3 10 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 0 50 50 0 ],[0 3" "2 32 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT:" " begin icon text');\ncolor('black');disp('reinterpret');\nfprintf('','COMMENT" ": end icon text');\n" } Block { BlockType Reference Name "Reinterpret_11" Ports [1, 1] Position [85, 469, 125, 501] SourceBlock "xbsIndex_r4/Reinterpret" SourceType "Xilinx Type Reinterpreter Block" infoedit "Changes type of samples without alterin" "g their binary representation.

Hardware notes: In hardware this block co" "sts nothing.

Example: Suppose the input is 6 bits wide, signed, with 2 " "fractional bits, and the output is forced to unsigned with 0 fractional bits." " Then an input of -2.0 (1110.00 in binary 2's complement) becomes an output " "of 56 (111000 in binary)." force_arith_type on arith_type "Unsigned" force_bin_pt on bin_pt "0" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "cast" block_version "VER_STRING_GOES_HERE" sg_icon_stat "40,32,1,1,white,blue,0,8982c1db" sg_mask_display "fprintf('','COMMENT: begin icon graphic" "s');\npatch([0 50 50 0 ],[0 0 32 32 ],[0.77 0.82 0.91]);\npatch([16 11 18 11 " "16 24 26 28 37 30 23 18 25 18 23 30 37 28 26 24 16 ],[3 8 15 22 27 27 25 27 2" "7 20 27 22 15 8 3 10 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 0 50 50 0 ],[0 3" "2 32 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT:" " begin icon text');\ncolor('black');disp('reinterpret');\nfprintf('','COMMENT" ": end icon text');\n" } Block { BlockType Reference Name "Reinterpret_12" Ports [1, 1] Position [85, 594, 125, 626] SourceBlock "xbsIndex_r4/Reinterpret" SourceType "Xilinx Type Reinterpreter Block" infoedit "Changes type of samples without alterin" "g their binary representation.

Hardware notes: In hardware this block co" "sts nothing.

Example: Suppose the input is 6 bits wide, signed, with 2 " "fractional bits, and the output is forced to unsigned with 0 fractional bits." " Then an input of -2.0 (1110.00 in binary 2's complement) becomes an output " "of 56 (111000 in binary)." force_arith_type on arith_type "Unsigned" force_bin_pt on bin_pt "0" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "cast" block_version "VER_STRING_GOES_HERE" sg_icon_stat "40,32,1,1,white,blue,0,8982c1db" sg_mask_display "fprintf('','COMMENT: begin icon graphic" "s');\npatch([0 50 50 0 ],[0 0 32 32 ],[0.77 0.82 0.91]);\npatch([16 11 18 11 " "16 24 26 28 37 30 23 18 25 18 23 30 37 28 26 24 16 ],[3 8 15 22 27 27 25 27 2" "7 20 27 22 15 8 3 10 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 0 50 50 0 ],[0 3" "2 32 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT:" " begin icon text');\ncolor('black');disp('reinterpret');\nfprintf('','COMMENT" ": end icon text');\n" } Block { BlockType Reference Name "Reinterpret_13" Ports [1, 1] Position [85, 714, 125, 746] SourceBlock "xbsIndex_r4/Reinterpret" SourceType "Xilinx Type Reinterpreter Block" infoedit "Changes type of samples without alterin" "g their binary representation.

Hardware notes: In hardware this block co" "sts nothing.

Example: Suppose the input is 6 bits wide, signed, with 2 " "fractional bits, and the output is forced to unsigned with 0 fractional bits." " Then an input of -2.0 (1110.00 in binary 2's complement) becomes an output " "of 56 (111000 in binary)." force_arith_type on arith_type "Unsigned" force_bin_pt on bin_pt "0" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "cast" block_version "VER_STRING_GOES_HERE" sg_icon_stat "40,32,1,1,white,blue,0,8982c1db" sg_mask_display "fprintf('','COMMENT: begin icon graphic" "s');\npatch([0 50 50 0 ],[0 0 32 32 ],[0.77 0.82 0.91]);\npatch([16 11 18 11 " "16 24 26 28 37 30 23 18 25 18 23 30 37 28 26 24 16 ],[3 8 15 22 27 27 25 27 2" "7 20 27 22 15 8 3 10 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 0 50 50 0 ],[0 3" "2 32 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT:" " begin icon text');\ncolor('black');disp('reinterpret');\nfprintf('','COMMENT" ": end icon text');\n" } Block { BlockType Reference Name "Reinterpret_14" Ports [1, 1] Position [85, 829, 125, 861] SourceBlock "xbsIndex_r4/Reinterpret" SourceType "Xilinx Type Reinterpreter Block" infoedit "Changes type of samples without alterin" "g their binary representation.

Hardware notes: In hardware this block co" "sts nothing.

Example: Suppose the input is 6 bits wide, signed, with 2 " "fractional bits, and the output is forced to unsigned with 0 fractional bits." " Then an input of -2.0 (1110.00 in binary 2's complement) becomes an output " "of 56 (111000 in binary)." force_arith_type on arith_type "Unsigned" force_bin_pt on bin_pt "0" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "cast" block_version "VER_STRING_GOES_HERE" sg_icon_stat "40,32,1,1,white,blue,0,8982c1db" sg_mask_display "fprintf('','COMMENT: begin icon graphic" "s');\npatch([0 50 50 0 ],[0 0 32 32 ],[0.77 0.82 0.91]);\npatch([16 11 18 11 " "16 24 26 28 37 30 23 18 25 18 23 30 37 28 26 24 16 ],[3 8 15 22 27 27 25 27 2" "7 20 27 22 15 8 3 10 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 0 50 50 0 ],[0 3" "2 32 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT:" " begin icon text');\ncolor('black');disp('reinterpret');\nfprintf('','COMMENT" ": end icon text');\n" } Block { BlockType Reference Name "Reinterpret_15" Ports [1, 1] Position [85, 934, 125, 966] SourceBlock "xbsIndex_r4/Reinterpret" SourceType "Xilinx Type Reinterpreter Block" infoedit "Changes type of samples without alterin" "g their binary representation.

Hardware notes: In hardware this block co" "sts nothing.

Example: Suppose the input is 6 bits wide, signed, with 2 " "fractional bits, and the output is forced to unsigned with 0 fractional bits." " Then an input of -2.0 (1110.00 in binary 2's complement) becomes an output " "of 56 (111000 in binary)." force_arith_type on arith_type "Unsigned" force_bin_pt on bin_pt "0" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "cast" block_version "VER_STRING_GOES_HERE" sg_icon_stat "40,32,1,1,white,blue,0,8982c1db" sg_mask_display "fprintf('','COMMENT: begin icon graphic" "s');\npatch([0 50 50 0 ],[0 0 32 32 ],[0.77 0.82 0.91]);\npatch([16 11 18 11 " "16 24 26 28 37 30 23 18 25 18 23 30 37 28 26 24 16 ],[3 8 15 22 27 27 25 27 2" "7 20 27 22 15 8 3 10 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 0 50 50 0 ],[0 3" "2 32 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT:" " begin icon text');\ncolor('black');disp('reinterpret');\nfprintf('','COMMENT" ": end icon text');\n" } Block { BlockType Reference Name "Reinterpret_16" Ports [1, 1] Position [85, 1029, 125, 1061] SourceBlock "xbsIndex_r4/Reinterpret" SourceType "Xilinx Type Reinterpreter Block" infoedit "Changes type of samples without alterin" "g their binary representation.

Hardware notes: In hardware this block co" "sts nothing.

Example: Suppose the input is 6 bits wide, signed, with 2 " "fractional bits, and the output is forced to unsigned with 0 fractional bits." " Then an input of -2.0 (1110.00 in binary 2's complement) becomes an output " "of 56 (111000 in binary)." force_arith_type on arith_type "Unsigned" force_bin_pt on bin_pt "0" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "cast" block_version "VER_STRING_GOES_HERE" sg_icon_stat "40,32,1,1,white,blue,0,8982c1db" sg_mask_display "fprintf('','COMMENT: begin icon graphic" "s');\npatch([0 50 50 0 ],[0 0 32 32 ],[0.77 0.82 0.91]);\npatch([16 11 18 11 " "16 24 26 28 37 30 23 18 25 18 23 30 37 28 26 24 16 ],[3 8 15 22 27 27 25 27 2" "7 20 27 22 15 8 3 10 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 0 50 50 0 ],[0 3" "2 32 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT:" " begin icon text');\ncolor('black');disp('reinterpret');\nfprintf('','COMMENT" ": end icon text');\n" } Block { BlockType Reference Name "Reinterpret_2" Ports [1, 1] Position [85, 1089, 125, 1121] SourceBlock "xbsIndex_r4/Reinterpret" SourceType "Xilinx Type Reinterpreter Block" infoedit "Changes type of samples without alterin" "g their binary representation.

Hardware notes: In hardware this block co" "sts nothing.

Example: Suppose the input is 6 bits wide, signed, with 2 " "fractional bits, and the output is forced to unsigned with 0 fractional bits." " Then an input of -2.0 (1110.00 in binary 2's complement) becomes an output " "of 56 (111000 in binary)." force_arith_type on arith_type "Unsigned" force_bin_pt on bin_pt "0" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "cast" block_version "VER_STRING_GOES_HERE" sg_icon_stat "40,32,1,1,white,blue,0,8982c1db" sg_mask_display "fprintf('','COMMENT: begin icon graphic" "s');\npatch([0 50 50 0 ],[0 0 32 32 ],[0.77 0.82 0.91]);\npatch([16 11 18 11 " "16 24 26 28 37 30 23 18 25 18 23 30 37 28 26 24 16 ],[3 8 15 22 27 27 25 27 2" "7 20 27 22 15 8 3 10 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 0 50 50 0 ],[0 3" "2 32 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT:" " begin icon text');\ncolor('black');disp('reinterpret');\nfprintf('','COMMENT" ": end icon text');\n" } Block { BlockType Reference Name "Reinterpret_3" Ports [1, 1] Position [85, 1159, 125, 1191] SourceBlock "xbsIndex_r4/Reinterpret" SourceType "Xilinx Type Reinterpreter Block" infoedit "Changes type of samples without alterin" "g their binary representation.

Hardware notes: In hardware this block co" "sts nothing.

Example: Suppose the input is 6 bits wide, signed, with 2 " "fractional bits, and the output is forced to unsigned with 0 fractional bits." " Then an input of -2.0 (1110.00 in binary 2's complement) becomes an output " "of 56 (111000 in binary)." force_arith_type on arith_type "Unsigned" force_bin_pt on bin_pt "0" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "cast" block_version "VER_STRING_GOES_HERE" sg_icon_stat "40,32,1,1,white,blue,0,8982c1db" sg_mask_display "fprintf('','COMMENT: begin icon graphic" "s');\npatch([0 50 50 0 ],[0 0 32 32 ],[0.77 0.82 0.91]);\npatch([16 11 18 11 " "16 24 26 28 37 30 23 18 25 18 23 30 37 28 26 24 16 ],[3 8 15 22 27 27 25 27 2" "7 20 27 22 15 8 3 10 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 0 50 50 0 ],[0 3" "2 32 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT:" " begin icon text');\ncolor('black');disp('reinterpret');\nfprintf('','COMMENT" ": end icon text');\n" } Block { BlockType Reference Name "Reinterpret_4" Ports [1, 1] Position [85, 1229, 125, 1261] SourceBlock "xbsIndex_r4/Reinterpret" SourceType "Xilinx Type Reinterpreter Block" infoedit "Changes type of samples without alterin" "g their binary representation.

Hardware notes: In hardware this block co" "sts nothing.

Example: Suppose the input is 6 bits wide, signed, with 2 " "fractional bits, and the output is forced to unsigned with 0 fractional bits." " Then an input of -2.0 (1110.00 in binary 2's complement) becomes an output " "of 56 (111000 in binary)." force_arith_type on arith_type "Unsigned" force_bin_pt on bin_pt "0" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "cast" block_version "VER_STRING_GOES_HERE" sg_icon_stat "40,32,1,1,white,blue,0,8982c1db" sg_mask_display "fprintf('','COMMENT: begin icon graphic" "s');\npatch([0 50 50 0 ],[0 0 32 32 ],[0.77 0.82 0.91]);\npatch([16 11 18 11 " "16 24 26 28 37 30 23 18 25 18 23 30 37 28 26 24 16 ],[3 8 15 22 27 27 25 27 2" "7 20 27 22 15 8 3 10 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 0 50 50 0 ],[0 3" "2 32 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT:" " begin icon text');\ncolor('black');disp('reinterpret');\nfprintf('','COMMENT" ": end icon text');\n" } Block { BlockType Reference Name "Reinterpret_5" Ports [1, 1] Position [85, 1304, 125, 1336] SourceBlock "xbsIndex_r4/Reinterpret" SourceType "Xilinx Type Reinterpreter Block" infoedit "Changes type of samples without alterin" "g their binary representation.

Hardware notes: In hardware this block co" "sts nothing.

Example: Suppose the input is 6 bits wide, signed, with 2 " "fractional bits, and the output is forced to unsigned with 0 fractional bits." " Then an input of -2.0 (1110.00 in binary 2's complement) becomes an output " "of 56 (111000 in binary)." force_arith_type on arith_type "Unsigned" force_bin_pt on bin_pt "0" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "cast" block_version "VER_STRING_GOES_HERE" sg_icon_stat "40,32,1,1,white,blue,0,8982c1db" sg_mask_display "fprintf('','COMMENT: begin icon graphic" "s');\npatch([0 50 50 0 ],[0 0 32 32 ],[0.77 0.82 0.91]);\npatch([16 11 18 11 " "16 24 26 28 37 30 23 18 25 18 23 30 37 28 26 24 16 ],[3 8 15 22 27 27 25 27 2" "7 20 27 22 15 8 3 10 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 0 50 50 0 ],[0 3" "2 32 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT:" " begin icon text');\ncolor('black');disp('reinterpret');\nfprintf('','COMMENT" ": end icon text');\n" } Block { BlockType Reference Name "Reinterpret_6" Ports [1, 1] Position [85, 1399, 125, 1431] SourceBlock "xbsIndex_r4/Reinterpret" SourceType "Xilinx Type Reinterpreter Block" infoedit "Changes type of samples without alterin" "g their binary representation.

Hardware notes: In hardware this block co" "sts nothing.

Example: Suppose the input is 6 bits wide, signed, with 2 " "fractional bits, and the output is forced to unsigned with 0 fractional bits." " Then an input of -2.0 (1110.00 in binary 2's complement) becomes an output " "of 56 (111000 in binary)." force_arith_type on arith_type "Unsigned" force_bin_pt on bin_pt "0" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "cast" block_version "VER_STRING_GOES_HERE" sg_icon_stat "40,32,1,1,white,blue,0,8982c1db" sg_mask_display "fprintf('','COMMENT: begin icon graphic" "s');\npatch([0 50 50 0 ],[0 0 32 32 ],[0.77 0.82 0.91]);\npatch([16 11 18 11 " "16 24 26 28 37 30 23 18 25 18 23 30 37 28 26 24 16 ],[3 8 15 22 27 27 25 27 2" "7 20 27 22 15 8 3 10 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 0 50 50 0 ],[0 3" "2 32 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT:" " begin icon text');\ncolor('black');disp('reinterpret');\nfprintf('','COMMENT" ": end icon text');\n" } Block { BlockType Reference Name "Reinterpret_7" Ports [1, 1] Position [85, 1519, 125, 1551] SourceBlock "xbsIndex_r4/Reinterpret" SourceType "Xilinx Type Reinterpreter Block" infoedit "Changes type of samples without alterin" "g their binary representation.

Hardware notes: In hardware this block co" "sts nothing.

Example: Suppose the input is 6 bits wide, signed, with 2 " "fractional bits, and the output is forced to unsigned with 0 fractional bits." " Then an input of -2.0 (1110.00 in binary 2's complement) becomes an output " "of 56 (111000 in binary)." force_arith_type on arith_type "Unsigned" force_bin_pt on bin_pt "0" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "cast" block_version "VER_STRING_GOES_HERE" sg_icon_stat "40,32,1,1,white,blue,0,8982c1db" sg_mask_display "fprintf('','COMMENT: begin icon graphic" "s');\npatch([0 50 50 0 ],[0 0 32 32 ],[0.77 0.82 0.91]);\npatch([16 11 18 11 " "16 24 26 28 37 30 23 18 25 18 23 30 37 28 26 24 16 ],[3 8 15 22 27 27 25 27 2" "7 20 27 22 15 8 3 10 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 0 50 50 0 ],[0 3" "2 32 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT:" " begin icon text');\ncolor('black');disp('reinterpret');\nfprintf('','COMMENT" ": end icon text');\n" } Block { BlockType Reference Name "Reinterpret_8" Ports [1, 1] Position [85, 1704, 125, 1736] SourceBlock "xbsIndex_r4/Reinterpret" SourceType "Xilinx Type Reinterpreter Block" infoedit "Changes type of samples without alterin" "g their binary representation.

Hardware notes: In hardware this block co" "sts nothing.

Example: Suppose the input is 6 bits wide, signed, with 2 " "fractional bits, and the output is forced to unsigned with 0 fractional bits." " Then an input of -2.0 (1110.00 in binary 2's complement) becomes an output " "of 56 (111000 in binary)." force_arith_type on arith_type "Unsigned" force_bin_pt on bin_pt "0" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "cast" block_version "VER_STRING_GOES_HERE" sg_icon_stat "40,32,1,1,white,blue,0,8982c1db" sg_mask_display "fprintf('','COMMENT: begin icon graphic" "s');\npatch([0 50 50 0 ],[0 0 32 32 ],[0.77 0.82 0.91]);\npatch([16 11 18 11 " "16 24 26 28 37 30 23 18 25 18 23 30 37 28 26 24 16 ],[3 8 15 22 27 27 25 27 2" "7 20 27 22 15 8 3 10 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 0 50 50 0 ],[0 3" "2 32 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT:" " begin icon text');\ncolor('black');disp('reinterpret');\nfprintf('','COMMENT" ": end icon text');\n" } Block { BlockType Reference Name "Reinterpret_9" Ports [1, 1] Position [85, 2004, 125, 2036] SourceBlock "xbsIndex_r4/Reinterpret" SourceType "Xilinx Type Reinterpreter Block" infoedit "Changes type of samples without alterin" "g their binary representation.

Hardware notes: In hardware this block co" "sts nothing.

Example: Suppose the input is 6 bits wide, signed, with 2 " "fractional bits, and the output is forced to unsigned with 0 fractional bits." " Then an input of -2.0 (1110.00 in binary 2's complement) becomes an output " "of 56 (111000 in binary)." force_arith_type on arith_type "Unsigned" force_bin_pt on bin_pt "0" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "cast" block_version "VER_STRING_GOES_HERE" sg_icon_stat "40,32,1,1,white,blue,0,8982c1db" sg_mask_display "fprintf('','COMMENT: begin icon graphic" "s');\npatch([0 50 50 0 ],[0 0 32 32 ],[0.77 0.82 0.91]);\npatch([16 11 18 11 " "16 24 26 28 37 30 23 18 25 18 23 30 37 28 26 24 16 ],[3 8 15 22 27 27 25 27 2" "7 20 27 22 15 8 3 10 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 0 50 50 0 ],[0 3" "2 32 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT:" " begin icon text');\ncolor('black');disp('reinterpret');\nfprintf('','COMMENT" ": end icon text');\n" } Block { BlockType Outport Name "in_0_data" Position [295, 95, 315, 115] IconDisplay "Port number" } Block { BlockType Outport Name "in_1_data" Position [295, 225, 315, 245] Port "2" IconDisplay "Port number" } Block { BlockType Outport Name "in_2_data" Position [295, 1210, 315, 1230] Port "3" IconDisplay "Port number" } Block { BlockType Outport Name "in_3_data" Position [295, 1345, 315, 1365] Port "4" IconDisplay "Port number" } Block { BlockType Outport Name "in_4_data" Position [295, 1445, 315, 1465] Port "5" IconDisplay "Port number" } Block { BlockType Outport Name "in_5_data" Position [295, 1565, 315, 1585] Port "6" IconDisplay "Port number" } Block { BlockType Outport Name "in_6_data" Position [295, 1690, 315, 1710] Port "7" IconDisplay "Port number" } Block { BlockType Outport Name "in_7_data" Position [295, 1815, 315, 1835] Port "8" IconDisplay "Port number" } Block { BlockType Outport Name "in_8_data" Position [295, 1950, 315, 1970] Port "9" IconDisplay "Port number" } Block { BlockType Outport Name "in_9_data" Position [295, 2075, 315, 2095] Port "10" IconDisplay "Port number" } Block { BlockType Outport Name "in_10_data" Position [295, 335, 315, 355] Port "11" IconDisplay "Port number" } Block { BlockType Outport Name "in_11_data" Position [295, 465, 315, 485] Port "12" IconDisplay "Port number" } Block { BlockType Outport Name "in_12_data" Position [295, 590, 315, 610] Port "13" IconDisplay "Port number" } Block { BlockType Outport Name "in_13_data" Position [295, 725, 315, 745] Port "14" IconDisplay "Port number" } Block { BlockType Outport Name "in_14_data" Position [295, 825, 315, 845] Port "15" IconDisplay "Port number" } Block { BlockType Outport Name "in_15_data" Position [295, 960, 315, 980] Port "16" IconDisplay "Port number" } Block { BlockType Outport Name "in_16_data" Position [295, 1085, 315, 1105] Port "17" IconDisplay "Port number" } Line { SrcBlock "From_Register1_opb0_0" SrcPort 1 Points [0, 0] Branch { Points [5, 0; 0, 25] DstBlock "in_0_data" DstPort 1 } Branch { Points [5, 0; 0, -25] DstBlock "From_Register1_opb0" DstPort 1 } } Line { SrcBlock "opb_dbus" SrcPort 1 Points [0, 0] Branch { DstBlock "Reinterpret_16" DstPort 1 } Branch { Points [5, 0; 0, -95] DstBlock "Reinterpret_15" DstPort 1 } Branch { Points [5, 0; 0, -200] DstBlock "Reinterpret_14" DstPort 1 } Branch { Points [5, 0; 0, -315] DstBlock "Reinterpret_13" DstPort 1 } Branch { Points [5, 0; 0, -435] DstBlock "Reinterpret_12" DstPort 1 } Branch { Points [5, 0; 0, -560] DstBlock "Reinterpret_11" DstPort 1 } Branch { Points [5, 0; 0, -680] DstBlock "Reinterpret_10" DstPort 1 } Branch { Points [5, 0; 0, 975] DstBlock "Reinterpret_9" DstPort 1 } Branch { Points [5, 0; 0, 675] DstBlock "Reinterpret_8" DstPort 1 } Branch { Points [5, 0; 0, 490] DstBlock "Reinterpret_7" DstPort 1 } Branch { Points [5, 0; 0, 370] DstBlock "Reinterpret_6" DstPort 1 } Branch { Points [5, 0; 0, 275] DstBlock "Reinterpret_5" DstPort 1 } Branch { Points [5, 0; 0, 200] DstBlock "Reinterpret_4" DstPort 1 } Branch { Points [5, 0; 0, 130] DstBlock "Reinterpret_3" DstPort 1 } Branch { Points [5, 0; 0, 60] DstBlock "Reinterpret_2" DstPort 1 } Branch { Points [5, 0; 0, -805] DstBlock "Reinterpret_1" DstPort 1 } Branch { Points [5, 0; 0, -930] DstBlock "Reinterpret_0" DstPort 1 } } Line { SrcBlock "From_Register2_opb1_1" SrcPort 1 Points [0, 0] Branch { Points [5, 0; 0, 30] DstBlock "in_1_data" DstPort 1 } Branch { Points [5, 0; 0, -20] DstBlock "From_Register2_opb1" DstPort 1 } } Line { SrcBlock "From_Register3_opb2_2" SrcPort 1 Points [0, 0] Branch { Points [5, 0; 0, 25] DstBlock "in_2_data" DstPort 1 } Branch { Points [5, 0; 0, -25] DstBlock "From_Register3_opb2" DstPort 1 } } Line { SrcBlock "From_Register4_opb3_3" SrcPort 1 Points [0, 0] Branch { Points [5, 0; 0, 35] DstBlock "in_3_data" DstPort 1 } Branch { Points [5, 0; 0, -15] DstBlock "From_Register4_opb3" DstPort 1 } } Line { SrcBlock "From_Register5_opb4_4" SrcPort 1 Points [0, 0] Branch { Points [5, 0; 0, 10] DstBlock "in_4_data" DstPort 1 } Branch { Points [5, 0; 0, -40] DstBlock "From_Register5_opb4" DstPort 1 } } Line { SrcBlock "From_Register1_0_opb5_5" SrcPort 1 Points [0, 0] Branch { Points [5, 0; 0, 5] DstBlock "in_5_data" DstPort 1 } Branch { Points [5, 0; 0, -40] DstBlock "From_Register1_0_opb5" DstPort 1 } } Line { SrcBlock "From_Register2_0_opb6_6" SrcPort 1 Points [0, 0] Branch { Points [5, 0; 0, 10] DstBlock "in_6_data" DstPort 1 } Branch { Points [5, 0; 0, -40] DstBlock "From_Register2_0_opb6" DstPort 1 } } Line { SrcBlock "From_Register3_0_opb7_7" SrcPort 1 Points [0, 0] Branch { Points [5, 0; 0, 10] DstBlock "in_7_data" DstPort 1 } Branch { Points [5, 0; 0, -40] DstBlock "From_Register3_0_opb7" DstPort 1 } } Line { SrcBlock "From_Register4_0_opb8_8" SrcPort 1 Points [0, 0] Branch { Points [5, 0; 0, 20] DstBlock "in_8_data" DstPort 1 } Branch { Points [5, 0; 0, -30] DstBlock "From_Register4_0_opb8" DstPort 1 } } Line { SrcBlock "From_Register_opb9_9" SrcPort 1 Points [0, 0] Branch { Points [5, 0; 0, 20] DstBlock "in_9_data" DstPort 1 } Branch { Points [5, 0; 0, -30] DstBlock "From_Register_opb9" DstPort 1 } } Line { SrcBlock "From_Register1_1_opb10_10" SrcPort 1 Points [0, 0] Branch { Points [5, 0; 0, 15] DstBlock "in_10_data" DstPort 1 } Branch { Points [5, 0; 0, -35] DstBlock "From_Register1_1_opb10" DstPort 1 } } Line { SrcBlock "From_Register2_1_opb11_11" SrcPort 1 Points [0, 0] Branch { Points [5, 0; 0, 25] DstBlock "in_11_data" DstPort 1 } Branch { Points [5, 0; 0, -25] DstBlock "From_Register2_1_opb11" DstPort 1 } } Line { SrcBlock "From_Register3_1_opb12_12" SrcPort 1 Points [0, 0] Branch { Points [5, 0; 0, 25] DstBlock "in_12_data" DstPort 1 } Branch { Points [5, 0; 0, -25] DstBlock "From_Register3_1_opb12" DstPort 1 } } Line { SrcBlock "From_Register4_1_opb13_13" SrcPort 1 Points [0, 0] Branch { Points [5, 0; 0, 35] DstBlock "in_13_data" DstPort 1 } Branch { Points [5, 0; 0, -15] DstBlock "From_Register4_1_opb13" DstPort 1 } } Line { SrcBlock "From_Register5_0_opb14_14" SrcPort 1 Points [0, 0] Branch { Points [5, 0; 0, 10] DstBlock "in_14_data" DstPort 1 } Branch { Points [5, 0; 0, -40] DstBlock "From_Register5_0_opb14" DstPort 1 } } Line { SrcBlock "From_Register6_opb15_15" SrcPort 1 Points [0, 0] Branch { Points [5, 0; 0, 20] DstBlock "in_15_data" DstPort 1 } Branch { Points [5, 0; 0, -30] DstBlock "From_Register6_opb15" DstPort 1 } } Line { SrcBlock "From_Register7_opb16_16" SrcPort 1 Points [0, 0] Branch { Points [5, 0; 0, 25] DstBlock "in_16_data" DstPort 1 } Branch { Points [5, 0; 0, -25] DstBlock "From_Register7_opb16" DstPort 1 } } Line { SrcBlock "in_16_we" SrcPort 1 Points [5, 0; 0, -10] DstBlock "From_Register7_opb16_16" DstPort 2 } Line { SrcBlock "Convert_16" SrcPort 1 DstBlock "From_Register7_opb16_16" DstPort 1 } Line { SrcBlock "Reinterpret_16" SrcPort 1 DstBlock "Convert_16" DstPort 1 } Line { SrcBlock "in_15_we" SrcPort 1 Points [5, 0; 0, -10] DstBlock "From_Register6_opb15_15" DstPort 2 } Line { SrcBlock "Convert_15" SrcPort 1 DstBlock "From_Register6_opb15_15" DstPort 1 } Line { SrcBlock "Reinterpret_15" SrcPort 1 Points [10, 0] DstBlock "Convert_15" DstPort 1 } Line { SrcBlock "in_14_we" SrcPort 1 Points [5, 0; 0, -10] DstBlock "From_Register5_0_opb14_14" DstPort 2 } Line { SrcBlock "Convert_14" SrcPort 1 DstBlock "From_Register5_0_opb14_14" DstPort 1 } Line { SrcBlock "Reinterpret_14" SrcPort 1 Points [10, 0] DstBlock "Convert_14" DstPort 1 } Line { SrcBlock "in_13_we" SrcPort 1 Points [5, 0; 0, -10] DstBlock "From_Register4_1_opb13_13" DstPort 2 } Line { SrcBlock "Convert_13" SrcPort 1 DstBlock "From_Register4_1_opb13_13" DstPort 1 } Line { SrcBlock "Reinterpret_13" SrcPort 1 Points [10, 0] DstBlock "Convert_13" DstPort 1 } Line { SrcBlock "in_12_we" SrcPort 1 Points [5, 0; 0, -10] DstBlock "From_Register3_1_opb12_12" DstPort 2 } Line { SrcBlock "Convert_12" SrcPort 1 DstBlock "From_Register3_1_opb12_12" DstPort 1 } Line { SrcBlock "Reinterpret_12" SrcPort 1 Points [10, 0] DstBlock "Convert_12" DstPort 1 } Line { SrcBlock "in_11_we" SrcPort 1 Points [5, 0; 0, -15] DstBlock "From_Register2_1_opb11_11" DstPort 2 } Line { SrcBlock "Convert_11" SrcPort 1 DstBlock "From_Register2_1_opb11_11" DstPort 1 } Line { SrcBlock "Reinterpret_11" SrcPort 1 Points [10, 0] DstBlock "Convert_11" DstPort 1 } Line { SrcBlock "in_10_we" SrcPort 1 Points [5, 0; 0, -10] DstBlock "From_Register1_1_opb10_10" DstPort 2 } Line { SrcBlock "Convert_10" SrcPort 1 DstBlock "From_Register1_1_opb10_10" DstPort 1 } Line { SrcBlock "Reinterpret_10" SrcPort 1 Points [10, 0] DstBlock "Convert_10" DstPort 1 } Line { SrcBlock "in_9_we" SrcPort 1 DstBlock "From_Register_opb9_9" DstPort 2 } Line { SrcBlock "Convert_9" SrcPort 1 Points [5, 0; 0, 15] DstBlock "From_Register_opb9_9" DstPort 1 } Line { SrcBlock "Reinterpret_9" SrcPort 1 Points [10, 0] DstBlock "Convert_9" DstPort 1 } Line { SrcBlock "in_8_we" SrcPort 1 DstBlock "From_Register4_0_opb8_8" DstPort 2 } Line { SrcBlock "Convert_8" SrcPort 1 Points [5, 0; 0, 15] DstBlock "From_Register4_0_opb8_8" DstPort 1 } Line { SrcBlock "Reinterpret_8" SrcPort 1 Points [5, 0; 0, 180] DstBlock "Convert_8" DstPort 1 } Line { SrcBlock "in_7_we" SrcPort 1 DstBlock "From_Register3_0_opb7_7" DstPort 2 } Line { SrcBlock "Convert_7" SrcPort 1 Points [5, 0; 0, 15] DstBlock "From_Register3_0_opb7_7" DstPort 1 } Line { SrcBlock "Reinterpret_7" SrcPort 1 Points [5, 0; 0, 240] DstBlock "Convert_7" DstPort 1 } Line { SrcBlock "in_6_we" SrcPort 1 Points [5, 0; 0, -5] DstBlock "From_Register2_0_opb6_6" DstPort 2 } Line { SrcBlock "Convert_6" SrcPort 1 Points [5, 0; 0, 15] DstBlock "From_Register2_0_opb6_6" DstPort 1 } Line { SrcBlock "Reinterpret_6" SrcPort 1 Points [5, 0; 0, 235] DstBlock "Convert_6" DstPort 1 } Line { SrcBlock "in_5_we" SrcPort 1 DstBlock "From_Register1_0_opb5_5" DstPort 2 } Line { SrcBlock "Convert_5" SrcPort 1 Points [5, 0; 0, 20] DstBlock "From_Register1_0_opb5_5" DstPort 1 } Line { SrcBlock "Reinterpret_5" SrcPort 1 Points [5, 0; 0, 205] DstBlock "Convert_5" DstPort 1 } Line { SrcBlock "in_4_we" SrcPort 1 DstBlock "From_Register5_opb4_4" DstPort 2 } Line { SrcBlock "Convert_4" SrcPort 1 Points [5, 0; 0, 15] DstBlock "From_Register5_opb4_4" DstPort 1 } Line { SrcBlock "Reinterpret_4" SrcPort 1 Points [5, 0; 0, 160] DstBlock "Convert_4" DstPort 1 } Line { SrcBlock "in_3_we" SrcPort 1 DstBlock "From_Register4_opb3_3" DstPort 2 } Line { SrcBlock "Convert_3" SrcPort 1 Points [5, 0; 0, 15] DstBlock "From_Register4_opb3_3" DstPort 1 } Line { SrcBlock "Reinterpret_3" SrcPort 1 Points [10, 0] DstBlock "Convert_3" DstPort 1 } Line { SrcBlock "in_2_we" SrcPort 1 DstBlock "From_Register3_opb2_2" DstPort 2 } Line { SrcBlock "Convert_2" SrcPort 1 Points [5, 0; 0, 15] DstBlock "From_Register3_opb2_2" DstPort 1 } Line { SrcBlock "Reinterpret_2" SrcPort 1 Points [10, 0] DstBlock "Convert_2" DstPort 1 } Line { SrcBlock "in_1_we" SrcPort 1 Points [5, 0; 0, -10] DstBlock "From_Register2_opb1_1" DstPort 2 } Line { SrcBlock "Convert_1" SrcPort 1 DstBlock "From_Register2_opb1_1" DstPort 1 } Line { SrcBlock "Reinterpret_1" SrcPort 1 Points [10, 0] DstBlock "Convert_1" DstPort 1 } Line { SrcBlock "in_0_we" SrcPort 1 Points [5, 0; 0, -10] DstBlock "From_Register1_opb0_0" DstPort 2 } Line { SrcBlock "Convert_0" SrcPort 1 DstBlock "From_Register1_opb0_0" DstPort 1 } Line { SrcBlock "Reinterpret_0" SrcPort 1 Points [5, 0; 0, -60] DstBlock "Convert_0" DstPort 1 } } } Block { BlockType SubSystem Name "mem_if_out" Ports [19, 2] Position [980, 280, 1090, 1020] TreatAsAtomicUnit off MinAlgLoopOccurrences off RTWSystemCode "Auto" MaskHideContents off System { Name "mem_if_out" Location [2, 84, 1138, 971] Open off ModelBrowserVisibility off ModelBrowserWidth 200 ScreenColor "white" PaperOrientation "landscape" PaperPositionMode "auto" PaperType "usletter" PaperUnits "inches" ZoomFactor "100" Block { BlockType Inport Name "ack_in" Position [395, 1273, 425, 1287] IconDisplay "Port number" } Block { BlockType Inport Name "addr" Position [200, 38, 230, 52] Port "2" IconDisplay "Port number" } Block { BlockType Inport Name "in_0_data" Position [205, 150, 225, 170] Port "3" IconDisplay "Port number" } Block { BlockType Inport Name "in_1_data" Position [205, 215, 225, 235] Port "4" IconDisplay "Port number" } Block { BlockType Inport Name "in_2_data" Position [205, 275, 225, 295] Port "5" IconDisplay "Port number" } Block { BlockType Inport Name "in_3_data" Position [205, 340, 225, 360] Port "6" IconDisplay "Port number" } Block { BlockType Inport Name "in_4_data" Position [205, 400, 225, 420] Port "7" IconDisplay "Port number" } Block { BlockType Inport Name "in_5_data" Position [205, 465, 225, 485] Port "8" IconDisplay "Port number" } Block { BlockType Inport Name "in_6_data" Position [205, 525, 225, 545] Port "9" IconDisplay "Port number" } Block { BlockType Inport Name "in_7_data" Position [205, 590, 225, 610] Port "10" IconDisplay "Port number" } Block { BlockType Inport Name "in_8_data" Position [205, 655, 225, 675] Port "11" IconDisplay "Port number" } Block { BlockType Inport Name "in_9_data" Position [205, 715, 225, 735] Port "12" IconDisplay "Port number" } Block { BlockType Inport Name "in_10_data" Position [205, 780, 225, 800] Port "13" IconDisplay "Port number" } Block { BlockType Inport Name "in_11_data" Position [205, 840, 225, 860] Port "14" IconDisplay "Port number" } Block { BlockType Inport Name "in_12_data" Position [205, 905, 225, 925] Port "15" IconDisplay "Port number" } Block { BlockType Inport Name "in_13_data" Position [205, 965, 225, 985] Port "16" IconDisplay "Port number" } Block { BlockType Inport Name "in_14_data" Position [205, 1030, 225, 1050] Port "17" IconDisplay "Port number" } Block { BlockType Inport Name "in_15_data" Position [205, 1090, 225, 1110] Port "18" IconDisplay "Port number" } Block { BlockType Inport Name "in_16_data" Position [205, 1155, 225, 1175] Port "19" IconDisplay "Port number" } Block { BlockType Reference Name "Delay" Ports [1, 1] Position [585, 1256, 635, 1304] SourceBlock "xbsIndex_r4/Delay" SourceType "Xilinx Delay Block" infoedit "Hardware notes: A delay line is a chain" ", each link of which is an SRL16 followed by a flip-flop. If register retimin" "g is enabled, the delay line is a chain of flip-flops." en off latency "0" dbl_ovrd off reg_retiming off xl_use_area off xl_area "[0, 0, 0, 0, 0, 0, 0]" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "delay" block_version "VER_STRING_GOES_HERE" sg_icon_stat "50,48,1,1,white,blue,0,d74ee26d" sg_mask_display "fprintf('','COMMENT: begin icon graphic" "s ');\npatch([0 50 50 0 ],[0 0 48 48 ],[0.77 0.82 0.91]);\npatch([12 4 15 4 1" "2 25 28 31 45 34 24 17 28 17 24 34 45 31 28 25 12 ],[5 13 24 35 43 43 40 43 4" "3 32 42 35 24 13 6 16 5 5 8 5 5 ],[0.98 0.96 0.92]);\nplot([0 0 50 50 0 ],[0 " "48 48 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\n\nfprintf('','COMME" "NT: begin icon text ');\ncolor('black');disp('z^{-0}','texmode','on');\nfprin" "tf('','COMMENT: end icon text');\n" } Block { BlockType Reference Name "Slice" Ports [1, 1] Position [390, 33, 435, 57] SourceBlock "xbsIndex_r4/Slice" SourceType "Xilinx Bit Slice Extractor Block" infoedit "Extracts a given range of bits from eac" "h input sample and presents it at the output. The output type is ordinarily " "unsigned with binary point at zero, but can be Boolean when the slice is one " "bit wide.

Hardware notes: In hardware this block costs nothing." nbits "5" boolean_output off mode "Lower Bit Location + Width" bit1 "0" base1 "MSB of Input" bit0 "2" base0 "LSB of Input" dbl_ovrd off has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "slice" block_version "VER_STRING_GOES_HERE" sg_icon_stat "45,24,1,1,white,blue,0,fe24a11e" sg_mask_display "fprintf('','COMMENT: begin icon graphic" "s ');\npatch([0 45 45 0 ],[0 0 24 24 ],[0.77 0.82 0.91]);\npatch([16 12 18 12" " 16 22 24 26 33 28 23 19 25 19 23 28 33 26 24 22 16 ],[3 7 13 19 23 23 21 23 " "23 18 23 19 13 7 3 8 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 0 45 45 0 ],[0 2" "4 24 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\n\nfprintf('','COMMEN" "T: begin icon text ');\ncolor('black');port_label('output',1,'[a:b]');\nfprin" "tf('','COMMENT: end icon text');\n" } Block { BlockType From Name "To_Register_opb0" Position [20, 1195, 40, 1215] GotoTag "out_17" } Block { BlockType Reference Name "To_Register_opb0_17" Ports [2, 1] Position [195, 1202, 240, 1253] SourceBlock "xbsIndex_r4/Register" SourceType "Xilinx Register Block" init "0" rst off en on dbl_ovrd off xl_use_area off xl_area "[0, 0, 0, 0, 0, 0, 0]" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "register" block_version "VER_STRING_GOES_HERE" sg_icon_stat "45,51,2,1,white,blue,0,cc3303a0" sg_mask_display "fprintf('','COMMENT: begin icon graphic" "s ');\npatch([0 45 45 0 ],[0 0 51 51 ],[0.77 0.82 0.91]);\npatch([10 3 14 3 1" "0 22 25 28 41 31 21 14 25 14 21 31 41 28 25 22 10 ],[8 15 26 37 44 44 41 44 4" "4 34 44 37 26 15 8 18 8 8 11 8 8 ],[0.98 0.96 0.92]);\nplot([0 0 45 45 0 ],[0" " 51 51 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\n\nfprintf('','COMM" "ENT: begin icon text ');\ncolor('black');port_label('input',1,'d');\ncolor('b" "lack');port_label('input',2,'en');\ncolor('black');port_label('output',1,'q')" ";\ncolor('black');disp('\\bf{z^{-1}}','texmode','on');\nfprintf('','COMMENT: " "end icon text');\n" } Block { BlockType Reference Name "a_correction_0" Ports [2, 1] Position [585, 62, 635, 113] SourceBlock "xbsIndex_r4/AddSub" SourceType "Xilinx Adder/Subtractor Block" mode "Subtraction" use_carryin off use_carryout off en off latency "0" precision "User Defined" arith_type "Unsigned" n_bits "5" bin_pt "0" quantization "Truncate" overflow "Wrap" dbl_ovrd off use_behavioral_HDL on pipelined off use_rpm on xl_use_area off xl_area "[0, 0, 0, 0, 0, 0, 0]" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "addsub" block_version "VER_STRING_GOES_HERE" sg_icon_stat "50,51,2,1,white,blue,0,f28631c4" sg_mask_display "fprintf('','COMMENT: begin icon graphic" "s ');\npatch([0 50 50 0 ],[0 0 51 51 ],[0.77 0.82 0.91]);\npatch([11 3 15 3 1" "1 24 28 32 46 35 24 16 28 16 24 35 46 32 28 24 11 ],[6 14 26 38 46 46 42 46 4" "6 35 46 38 26 14 6 17 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 0 50 50 0 ],[0" " 51 51 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\n\nfprintf('','COMM" "ENT: begin icon text ');\ncolor('black');port_label('input',1,'a');\ncolor('b" "lack');port_label('input',2,'b');\ncolor('black');port_label('output',1,'\\bf" "{a - b}','texmode','on');\nfprintf('','COMMENT: end icon text');\n" } Block { BlockType Reference Name "cast_0" Ports [1, 1] Position [590, 157, 630, 183] SourceBlock "xbsIndex_r4/Convert" SourceType "Xilinx Type Converter Block" infoedit "Hardware notes: rounding and saturating" " require hardware resources; truncating and wrapping do not." arith_type "Unsigned" n_bits "32" bin_pt "0" quantization "Truncate" overflow "Wrap" latency "0" dbl_ovrd off pipeline off xl_use_area off xl_area "[0, 0, 0, 0, 0, 0, 0]" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "convert" block_version "VER_STRING_GOES_HERE" sg_icon_stat "40,26,1,1,white,blue,0,0eb6e735" sg_mask_display "fprintf('','COMMENT: begin icon graphic" "s ');\npatch([0 40 40 0 ],[0 0 26 26 ],[0.77 0.82 0.91]);\npatch([13 9 15 9 1" "3 20 22 24 31 25 19 15 21 15 19 25 31 24 22 20 13 ],[3 7 13 19 23 23 21 23 23" " 17 23 19 13 7 3 9 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 0 40 40 0 ],[0 26 " "26 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\n\nfprintf('','COMMENT:" " begin icon text ');\ncolor('black');port_label('output',1,'cast');\nfprintf(" "'','COMMENT: end icon text');\n" } Block { BlockType Reference Name "cast_1" Ports [1, 1] Position [590, 217, 630, 243] SourceBlock "xbsIndex_r4/Convert" SourceType "Xilinx Type Converter Block" infoedit "Hardware notes: rounding and saturating" " require hardware resources; truncating and wrapping do not." arith_type "Unsigned" n_bits "32" bin_pt "0" quantization "Truncate" overflow "Wrap" latency "0" dbl_ovrd off pipeline off xl_use_area off xl_area "[0, 0, 0, 0, 0, 0, 0]" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "convert" block_version "VER_STRING_GOES_HERE" sg_icon_stat "40,26,1,1,white,blue,0,0eb6e735" sg_mask_display "fprintf('','COMMENT: begin icon graphic" "s ');\npatch([0 40 40 0 ],[0 0 26 26 ],[0.77 0.82 0.91]);\npatch([13 9 15 9 1" "3 20 22 24 31 25 19 15 21 15 19 25 31 24 22 20 13 ],[3 7 13 19 23 23 21 23 23" " 17 23 19 13 7 3 9 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 0 40 40 0 ],[0 26 " "26 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\n\nfprintf('','COMMENT:" " begin icon text ');\ncolor('black');port_label('output',1,'cast');\nfprintf(" "'','COMMENT: end icon text');\n" } Block { BlockType Reference Name "cast_10" Ports [1, 1] Position [590, 767, 630, 793] SourceBlock "xbsIndex_r4/Convert" SourceType "Xilinx Type Converter Block" infoedit "Hardware notes: rounding and saturating" " require hardware resources; truncating and wrapping do not." arith_type "Unsigned" n_bits "32" bin_pt "0" quantization "Truncate" overflow "Wrap" latency "0" dbl_ovrd off pipeline off xl_use_area off xl_area "[0, 0, 0, 0, 0, 0, 0]" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "convert" block_version "VER_STRING_GOES_HERE" sg_icon_stat "40,26,1,1,white,blue,0,0eb6e735" sg_mask_display "fprintf('','COMMENT: begin icon graphic" "s ');\npatch([0 40 40 0 ],[0 0 26 26 ],[0.77 0.82 0.91]);\npatch([13 9 15 9 1" "3 20 22 24 31 25 19 15 21 15 19 25 31 24 22 20 13 ],[3 7 13 19 23 23 21 23 23" " 17 23 19 13 7 3 9 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 0 40 40 0 ],[0 26 " "26 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\n\nfprintf('','COMMENT:" " begin icon text ');\ncolor('black');port_label('output',1,'cast');\nfprintf(" "'','COMMENT: end icon text');\n" } Block { BlockType Reference Name "cast_11" Ports [1, 1] Position [590, 822, 630, 848] SourceBlock "xbsIndex_r4/Convert" SourceType "Xilinx Type Converter Block" infoedit "Hardware notes: rounding and saturating" " require hardware resources; truncating and wrapping do not." arith_type "Unsigned" n_bits "32" bin_pt "0" quantization "Truncate" overflow "Wrap" latency "0" dbl_ovrd off pipeline off xl_use_area off xl_area "[0, 0, 0, 0, 0, 0, 0]" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "convert" block_version "VER_STRING_GOES_HERE" sg_icon_stat "40,26,1,1,white,blue,0,0eb6e735" sg_mask_display "fprintf('','COMMENT: begin icon graphic" "s ');\npatch([0 40 40 0 ],[0 0 26 26 ],[0.77 0.82 0.91]);\npatch([13 9 15 9 1" "3 20 22 24 31 25 19 15 21 15 19 25 31 24 22 20 13 ],[3 7 13 19 23 23 21 23 23" " 17 23 19 13 7 3 9 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 0 40 40 0 ],[0 26 " "26 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\n\nfprintf('','COMMENT:" " begin icon text ');\ncolor('black');port_label('output',1,'cast');\nfprintf(" "'','COMMENT: end icon text');\n" } Block { BlockType Reference Name "cast_12" Ports [1, 1] Position [590, 877, 630, 903] SourceBlock "xbsIndex_r4/Convert" SourceType "Xilinx Type Converter Block" infoedit "Hardware notes: rounding and saturating" " require hardware resources; truncating and wrapping do not." arith_type "Unsigned" n_bits "32" bin_pt "0" quantization "Truncate" overflow "Wrap" latency "0" dbl_ovrd off pipeline off xl_use_area off xl_area "[0, 0, 0, 0, 0, 0, 0]" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "convert" block_version "VER_STRING_GOES_HERE" sg_icon_stat "40,26,1,1,white,blue,0,0eb6e735" sg_mask_display "fprintf('','COMMENT: begin icon graphic" "s ');\npatch([0 40 40 0 ],[0 0 26 26 ],[0.77 0.82 0.91]);\npatch([13 9 15 9 1" "3 20 22 24 31 25 19 15 21 15 19 25 31 24 22 20 13 ],[3 7 13 19 23 23 21 23 23" " 17 23 19 13 7 3 9 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 0 40 40 0 ],[0 26 " "26 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\n\nfprintf('','COMMENT:" " begin icon text ');\ncolor('black');port_label('output',1,'cast');\nfprintf(" "'','COMMENT: end icon text');\n" } Block { BlockType Reference Name "cast_13" Ports [1, 1] Position [590, 932, 630, 958] SourceBlock "xbsIndex_r4/Convert" SourceType "Xilinx Type Converter Block" infoedit "Hardware notes: rounding and saturating" " require hardware resources; truncating and wrapping do not." arith_type "Unsigned" n_bits "32" bin_pt "0" quantization "Truncate" overflow "Wrap" latency "0" dbl_ovrd off pipeline off xl_use_area off xl_area "[0, 0, 0, 0, 0, 0, 0]" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "convert" block_version "VER_STRING_GOES_HERE" sg_icon_stat "40,26,1,1,white,blue,0,0eb6e735" sg_mask_display "fprintf('','COMMENT: begin icon graphic" "s ');\npatch([0 40 40 0 ],[0 0 26 26 ],[0.77 0.82 0.91]);\npatch([13 9 15 9 1" "3 20 22 24 31 25 19 15 21 15 19 25 31 24 22 20 13 ],[3 7 13 19 23 23 21 23 23" " 17 23 19 13 7 3 9 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 0 40 40 0 ],[0 26 " "26 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\n\nfprintf('','COMMENT:" " begin icon text ');\ncolor('black');port_label('output',1,'cast');\nfprintf(" "'','COMMENT: end icon text');\n" } Block { BlockType Reference Name "cast_14" Ports [1, 1] Position [590, 987, 630, 1013] SourceBlock "xbsIndex_r4/Convert" SourceType "Xilinx Type Converter Block" infoedit "Hardware notes: rounding and saturating" " require hardware resources; truncating and wrapping do not." arith_type "Unsigned" n_bits "32" bin_pt "0" quantization "Truncate" overflow "Wrap" latency "0" dbl_ovrd off pipeline off xl_use_area off xl_area "[0, 0, 0, 0, 0, 0, 0]" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "convert" block_version "VER_STRING_GOES_HERE" sg_icon_stat "40,26,1,1,white,blue,0,0eb6e735" sg_mask_display "fprintf('','COMMENT: begin icon graphic" "s ');\npatch([0 40 40 0 ],[0 0 26 26 ],[0.77 0.82 0.91]);\npatch([13 9 15 9 1" "3 20 22 24 31 25 19 15 21 15 19 25 31 24 22 20 13 ],[3 7 13 19 23 23 21 23 23" " 17 23 19 13 7 3 9 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 0 40 40 0 ],[0 26 " "26 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\n\nfprintf('','COMMENT:" " begin icon text ');\ncolor('black');port_label('output',1,'cast');\nfprintf(" "'','COMMENT: end icon text');\n" } Block { BlockType Reference Name "cast_15" Ports [1, 1] Position [590, 1047, 630, 1073] SourceBlock "xbsIndex_r4/Convert" SourceType "Xilinx Type Converter Block" infoedit "Hardware notes: rounding and saturating" " require hardware resources; truncating and wrapping do not." arith_type "Unsigned" n_bits "32" bin_pt "0" quantization "Truncate" overflow "Wrap" latency "0" dbl_ovrd off pipeline off xl_use_area off xl_area "[0, 0, 0, 0, 0, 0, 0]" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "convert" block_version "VER_STRING_GOES_HERE" sg_icon_stat "40,26,1,1,white,blue,0,0eb6e735" sg_mask_display "fprintf('','COMMENT: begin icon graphic" "s ');\npatch([0 40 40 0 ],[0 0 26 26 ],[0.77 0.82 0.91]);\npatch([13 9 15 9 1" "3 20 22 24 31 25 19 15 21 15 19 25 31 24 22 20 13 ],[3 7 13 19 23 23 21 23 23" " 17 23 19 13 7 3 9 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 0 40 40 0 ],[0 26 " "26 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\n\nfprintf('','COMMENT:" " begin icon text ');\ncolor('black');port_label('output',1,'cast');\nfprintf(" "'','COMMENT: end icon text');\n" } Block { BlockType Reference Name "cast_16" Ports [1, 1] Position [590, 1107, 630, 1133] SourceBlock "xbsIndex_r4/Convert" SourceType "Xilinx Type Converter Block" infoedit "Hardware notes: rounding and saturating" " require hardware resources; truncating and wrapping do not." arith_type "Unsigned" n_bits "32" bin_pt "0" quantization "Truncate" overflow "Wrap" latency "0" dbl_ovrd off pipeline off xl_use_area off xl_area "[0, 0, 0, 0, 0, 0, 0]" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "convert" block_version "VER_STRING_GOES_HERE" sg_icon_stat "40,26,1,1,white,blue,0,0eb6e735" sg_mask_display "fprintf('','COMMENT: begin icon graphic" "s ');\npatch([0 40 40 0 ],[0 0 26 26 ],[0.77 0.82 0.91]);\npatch([13 9 15 9 1" "3 20 22 24 31 25 19 15 21 15 19 25 31 24 22 20 13 ],[3 7 13 19 23 23 21 23 23" " 17 23 19 13 7 3 9 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 0 40 40 0 ],[0 26 " "26 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\n\nfprintf('','COMMENT:" " begin icon text ');\ncolor('black');port_label('output',1,'cast');\nfprintf(" "'','COMMENT: end icon text');\n" } Block { BlockType Reference Name "cast_17" Ports [1, 1] Position [590, 1172, 630, 1198] SourceBlock "xbsIndex_r4/Convert" SourceType "Xilinx Type Converter Block" infoedit "Hardware notes: rounding and saturating" " require hardware resources; truncating and wrapping do not." arith_type "Unsigned" n_bits "32" bin_pt "0" quantization "Truncate" overflow "Wrap" latency "0" dbl_ovrd off pipeline off xl_use_area off xl_area "[0, 0, 0, 0, 0, 0, 0]" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "convert" block_version "VER_STRING_GOES_HERE" sg_icon_stat "40,26,1,1,white,blue,0,0eb6e735" sg_mask_display "fprintf('','COMMENT: begin icon graphic" "s ');\npatch([0 40 40 0 ],[0 0 26 26 ],[0.77 0.82 0.91]);\npatch([13 9 15 9 1" "3 20 22 24 31 25 19 15 21 15 19 25 31 24 22 20 13 ],[3 7 13 19 23 23 21 23 23" " 17 23 19 13 7 3 9 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 0 40 40 0 ],[0 26 " "26 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\n\nfprintf('','COMMENT:" " begin icon text ');\ncolor('black');port_label('output',1,'cast');\nfprintf(" "'','COMMENT: end icon text');\n" } Block { BlockType Reference Name "cast_2" Ports [1, 1] Position [590, 282, 630, 308] SourceBlock "xbsIndex_r4/Convert" SourceType "Xilinx Type Converter Block" infoedit "Hardware notes: rounding and saturating" " require hardware resources; truncating and wrapping do not." arith_type "Unsigned" n_bits "32" bin_pt "0" quantization "Truncate" overflow "Wrap" latency "0" dbl_ovrd off pipeline off xl_use_area off xl_area "[0, 0, 0, 0, 0, 0, 0]" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "convert" block_version "VER_STRING_GOES_HERE" sg_icon_stat "40,26,1,1,white,blue,0,0eb6e735" sg_mask_display "fprintf('','COMMENT: begin icon graphic" "s ');\npatch([0 40 40 0 ],[0 0 26 26 ],[0.77 0.82 0.91]);\npatch([13 9 15 9 1" "3 20 22 24 31 25 19 15 21 15 19 25 31 24 22 20 13 ],[3 7 13 19 23 23 21 23 23" " 17 23 19 13 7 3 9 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 0 40 40 0 ],[0 26 " "26 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\n\nfprintf('','COMMENT:" " begin icon text ');\ncolor('black');port_label('output',1,'cast');\nfprintf(" "'','COMMENT: end icon text');\n" } Block { BlockType Reference Name "cast_3" Ports [1, 1] Position [590, 342, 630, 368] SourceBlock "xbsIndex_r4/Convert" SourceType "Xilinx Type Converter Block" infoedit "Hardware notes: rounding and saturating" " require hardware resources; truncating and wrapping do not." arith_type "Unsigned" n_bits "32" bin_pt "0" quantization "Truncate" overflow "Wrap" latency "0" dbl_ovrd off pipeline off xl_use_area off xl_area "[0, 0, 0, 0, 0, 0, 0]" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "convert" block_version "VER_STRING_GOES_HERE" sg_icon_stat "40,26,1,1,white,blue,0,0eb6e735" sg_mask_display "fprintf('','COMMENT: begin icon graphic" "s ');\npatch([0 40 40 0 ],[0 0 26 26 ],[0.77 0.82 0.91]);\npatch([13 9 15 9 1" "3 20 22 24 31 25 19 15 21 15 19 25 31 24 22 20 13 ],[3 7 13 19 23 23 21 23 23" " 17 23 19 13 7 3 9 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 0 40 40 0 ],[0 26 " "26 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\n\nfprintf('','COMMENT:" " begin icon text ');\ncolor('black');port_label('output',1,'cast');\nfprintf(" "'','COMMENT: end icon text');\n" } Block { BlockType Reference Name "cast_4" Ports [1, 1] Position [590, 407, 630, 433] SourceBlock "xbsIndex_r4/Convert" SourceType "Xilinx Type Converter Block" infoedit "Hardware notes: rounding and saturating" " require hardware resources; truncating and wrapping do not." arith_type "Unsigned" n_bits "32" bin_pt "0" quantization "Truncate" overflow "Wrap" latency "0" dbl_ovrd off pipeline off xl_use_area off xl_area "[0, 0, 0, 0, 0, 0, 0]" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "convert" block_version "VER_STRING_GOES_HERE" sg_icon_stat "40,26,1,1,white,blue,0,0eb6e735" sg_mask_display "fprintf('','COMMENT: begin icon graphic" "s ');\npatch([0 40 40 0 ],[0 0 26 26 ],[0.77 0.82 0.91]);\npatch([13 9 15 9 1" "3 20 22 24 31 25 19 15 21 15 19 25 31 24 22 20 13 ],[3 7 13 19 23 23 21 23 23" " 17 23 19 13 7 3 9 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 0 40 40 0 ],[0 26 " "26 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\n\nfprintf('','COMMENT:" " begin icon text ');\ncolor('black');port_label('output',1,'cast');\nfprintf(" "'','COMMENT: end icon text');\n" } Block { BlockType Reference Name "cast_5" Ports [1, 1] Position [590, 467, 630, 493] SourceBlock "xbsIndex_r4/Convert" SourceType "Xilinx Type Converter Block" infoedit "Hardware notes: rounding and saturating" " require hardware resources; truncating and wrapping do not." arith_type "Unsigned" n_bits "32" bin_pt "0" quantization "Truncate" overflow "Wrap" latency "0" dbl_ovrd off pipeline off xl_use_area off xl_area "[0, 0, 0, 0, 0, 0, 0]" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "convert" block_version "VER_STRING_GOES_HERE" sg_icon_stat "40,26,1,1,white,blue,0,0eb6e735" sg_mask_display "fprintf('','COMMENT: begin icon graphic" "s ');\npatch([0 40 40 0 ],[0 0 26 26 ],[0.77 0.82 0.91]);\npatch([13 9 15 9 1" "3 20 22 24 31 25 19 15 21 15 19 25 31 24 22 20 13 ],[3 7 13 19 23 23 21 23 23" " 17 23 19 13 7 3 9 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 0 40 40 0 ],[0 26 " "26 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\n\nfprintf('','COMMENT:" " begin icon text ');\ncolor('black');port_label('output',1,'cast');\nfprintf(" "'','COMMENT: end icon text');\n" } Block { BlockType Reference Name "cast_6" Ports [1, 1] Position [590, 532, 630, 558] SourceBlock "xbsIndex_r4/Convert" SourceType "Xilinx Type Converter Block" infoedit "Hardware notes: rounding and saturating" " require hardware resources; truncating and wrapping do not." arith_type "Unsigned" n_bits "32" bin_pt "0" quantization "Truncate" overflow "Wrap" latency "0" dbl_ovrd off pipeline off xl_use_area off xl_area "[0, 0, 0, 0, 0, 0, 0]" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "convert" block_version "VER_STRING_GOES_HERE" sg_icon_stat "40,26,1,1,white,blue,0,0eb6e735" sg_mask_display "fprintf('','COMMENT: begin icon graphic" "s ');\npatch([0 40 40 0 ],[0 0 26 26 ],[0.77 0.82 0.91]);\npatch([13 9 15 9 1" "3 20 22 24 31 25 19 15 21 15 19 25 31 24 22 20 13 ],[3 7 13 19 23 23 21 23 23" " 17 23 19 13 7 3 9 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 0 40 40 0 ],[0 26 " "26 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\n\nfprintf('','COMMENT:" " begin icon text ');\ncolor('black');port_label('output',1,'cast');\nfprintf(" "'','COMMENT: end icon text');\n" } Block { BlockType Reference Name "cast_7" Ports [1, 1] Position [590, 592, 630, 618] SourceBlock "xbsIndex_r4/Convert" SourceType "Xilinx Type Converter Block" infoedit "Hardware notes: rounding and saturating" " require hardware resources; truncating and wrapping do not." arith_type "Unsigned" n_bits "32" bin_pt "0" quantization "Truncate" overflow "Wrap" latency "0" dbl_ovrd off pipeline off xl_use_area off xl_area "[0, 0, 0, 0, 0, 0, 0]" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "convert" block_version "VER_STRING_GOES_HERE" sg_icon_stat "40,26,1,1,white,blue,0,0eb6e735" sg_mask_display "fprintf('','COMMENT: begin icon graphic" "s ');\npatch([0 40 40 0 ],[0 0 26 26 ],[0.77 0.82 0.91]);\npatch([13 9 15 9 1" "3 20 22 24 31 25 19 15 21 15 19 25 31 24 22 20 13 ],[3 7 13 19 23 23 21 23 23" " 17 23 19 13 7 3 9 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 0 40 40 0 ],[0 26 " "26 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\n\nfprintf('','COMMENT:" " begin icon text ');\ncolor('black');port_label('output',1,'cast');\nfprintf(" "'','COMMENT: end icon text');\n" } Block { BlockType Reference Name "cast_8" Ports [1, 1] Position [590, 652, 630, 678] SourceBlock "xbsIndex_r4/Convert" SourceType "Xilinx Type Converter Block" infoedit "Hardware notes: rounding and saturating" " require hardware resources; truncating and wrapping do not." arith_type "Unsigned" n_bits "32" bin_pt "0" quantization "Truncate" overflow "Wrap" latency "0" dbl_ovrd off pipeline off xl_use_area off xl_area "[0, 0, 0, 0, 0, 0, 0]" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "convert" block_version "VER_STRING_GOES_HERE" sg_icon_stat "40,26,1,1,white,blue,0,0eb6e735" sg_mask_display "fprintf('','COMMENT: begin icon graphic" "s ');\npatch([0 40 40 0 ],[0 0 26 26 ],[0.77 0.82 0.91]);\npatch([13 9 15 9 1" "3 20 22 24 31 25 19 15 21 15 19 25 31 24 22 20 13 ],[3 7 13 19 23 23 21 23 23" " 17 23 19 13 7 3 9 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 0 40 40 0 ],[0 26 " "26 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\n\nfprintf('','COMMENT:" " begin icon text ');\ncolor('black');port_label('output',1,'cast');\nfprintf(" "'','COMMENT: end icon text');\n" } Block { BlockType Reference Name "cast_9" Ports [1, 1] Position [590, 712, 630, 738] SourceBlock "xbsIndex_r4/Convert" SourceType "Xilinx Type Converter Block" infoedit "Hardware notes: rounding and saturating" " require hardware resources; truncating and wrapping do not." arith_type "Unsigned" n_bits "32" bin_pt "0" quantization "Truncate" overflow "Wrap" latency "0" dbl_ovrd off pipeline off xl_use_area off xl_area "[0, 0, 0, 0, 0, 0, 0]" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "convert" block_version "VER_STRING_GOES_HERE" sg_icon_stat "40,26,1,1,white,blue,0,0eb6e735" sg_mask_display "fprintf('','COMMENT: begin icon graphic" "s ');\npatch([0 40 40 0 ],[0 0 26 26 ],[0.77 0.82 0.91]);\npatch([13 9 15 9 1" "3 20 22 24 31 25 19 15 21 15 19 25 31 24 22 20 13 ],[3 7 13 19 23 23 21 23 23" " 17 23 19 13 7 3 9 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 0 40 40 0 ],[0 26 " "26 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\n\nfprintf('','COMMENT:" " begin icon text ');\ncolor('black');port_label('output',1,'cast');\nfprintf(" "'','COMMENT: end icon text');\n" } Block { BlockType Reference Name "const_0" Ports [0, 1] Position [390, 85, 435, 115] SourceBlock "xbsIndex_r4/Constant" SourceType "Xilinx Constant Block Block" arith_type "Unsigned" const "0" n_bits "1" bin_pt "0" explicit_period off period "1" dsp48_infoedit "The use of this block for DSP48 instruc" "tions is deprecated. Please use the Opmode block." equ "P=C" opselect "C" inp2 "PCIN>>17" opr "+" inp1 "P" carry "CIN" dbl_ovrd off has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "constant" block_version "VER_STRING_GOES_HERE" sg_icon_stat "45,30,0,1,white,blue,0,c7c04a0c" sg_mask_display "fprintf('','COMMENT: begin icon graphic" "s ');\npatch([0 45 45 0 ],[0 0 30 30 ],[0.77 0.82 0.91]);\npatch([15 10 17 10" " 15 23 25 27 35 28 22 17 23 17 22 28 35 27 25 23 15 ],[3 8 15 22 27 27 25 27 " "27 20 26 21 15 9 4 10 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 0 45 45 0 ],[0 " "30 30 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\n\nfprintf('','COMME" "NT: begin icon text ');\ncolor('black');port_label('output',1,'0');\nfprintf(" "'','COMMENT: end icon text');\nfprintf('','COMMENT: Make no changes above thi" "s line -- machine generated code. ');\n" } Block { BlockType From Name "enable_To_Register_opb0" Position [20, 1245, 40, 1265] GotoTag "en_out_17" } Block { BlockType Reference Name "force_0" Ports [1, 1] Position [390, 144, 430, 176] SourceBlock "xbsIndex_r4/Reinterpret" SourceType "Xilinx Type Reinterpreter Block" infoedit "Changes type of samples without alterin" "g their binary representation.

Hardware notes: In hardware this block co" "sts nothing.

Example: Suppose the input is 6 bits wide, signed, with 2 " "fractional bits, and the output is forced to unsigned with 0 fractional bits." " Then an input of -2.0 (1110.00 in binary 2's complement) becomes an output " "of 56 (111000 in binary)." force_arith_type on arith_type "Unsigned" force_bin_pt on bin_pt "0" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "cast" block_version "VER_STRING_GOES_HERE" sg_icon_stat "40,32,1,1,white,blue,0,8982c1db" sg_mask_display "fprintf('','COMMENT: begin icon graphic" "s');\npatch([0 50 50 0 ],[0 0 32 32 ],[0.77 0.82 0.91]);\npatch([16 11 18 11 " "16 24 26 28 37 30 23 18 25 18 23 30 37 28 26 24 16 ],[3 8 15 22 27 27 25 27 2" "7 20 27 22 15 8 3 10 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 0 50 50 0 ],[0 3" "2 32 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT:" " begin icon text');\ncolor('black');disp('reinterpret');\nfprintf('','COMMENT" ": end icon text');\n" } Block { BlockType Reference Name "force_1" Ports [1, 1] Position [390, 209, 430, 241] SourceBlock "xbsIndex_r4/Reinterpret" SourceType "Xilinx Type Reinterpreter Block" infoedit "Changes type of samples without alterin" "g their binary representation.

Hardware notes: In hardware this block co" "sts nothing.

Example: Suppose the input is 6 bits wide, signed, with 2 " "fractional bits, and the output is forced to unsigned with 0 fractional bits." " Then an input of -2.0 (1110.00 in binary 2's complement) becomes an output " "of 56 (111000 in binary)." force_arith_type on arith_type "Unsigned" force_bin_pt on bin_pt "0" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "cast" block_version "VER_STRING_GOES_HERE" sg_icon_stat "40,32,1,1,white,blue,0,8982c1db" sg_mask_display "fprintf('','COMMENT: begin icon graphic" "s');\npatch([0 50 50 0 ],[0 0 32 32 ],[0.77 0.82 0.91]);\npatch([16 11 18 11 " "16 24 26 28 37 30 23 18 25 18 23 30 37 28 26 24 16 ],[3 8 15 22 27 27 25 27 2" "7 20 27 22 15 8 3 10 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 0 50 50 0 ],[0 3" "2 32 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT:" " begin icon text');\ncolor('black');disp('reinterpret');\nfprintf('','COMMENT" ": end icon text');\n" } Block { BlockType Reference Name "force_10" Ports [1, 1] Position [390, 774, 430, 806] SourceBlock "xbsIndex_r4/Reinterpret" SourceType "Xilinx Type Reinterpreter Block" infoedit "Changes type of samples without alterin" "g their binary representation.

Hardware notes: In hardware this block co" "sts nothing.

Example: Suppose the input is 6 bits wide, signed, with 2 " "fractional bits, and the output is forced to unsigned with 0 fractional bits." " Then an input of -2.0 (1110.00 in binary 2's complement) becomes an output " "of 56 (111000 in binary)." force_arith_type on arith_type "Unsigned" force_bin_pt on bin_pt "0" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "cast" block_version "VER_STRING_GOES_HERE" sg_icon_stat "40,32,1,1,white,blue,0,8982c1db" sg_mask_display "fprintf('','COMMENT: begin icon graphic" "s');\npatch([0 50 50 0 ],[0 0 32 32 ],[0.77 0.82 0.91]);\npatch([16 11 18 11 " "16 24 26 28 37 30 23 18 25 18 23 30 37 28 26 24 16 ],[3 8 15 22 27 27 25 27 2" "7 20 27 22 15 8 3 10 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 0 50 50 0 ],[0 3" "2 32 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT:" " begin icon text');\ncolor('black');disp('reinterpret');\nfprintf('','COMMENT" ": end icon text');\n" } Block { BlockType Reference Name "force_11" Ports [1, 1] Position [390, 834, 430, 866] SourceBlock "xbsIndex_r4/Reinterpret" SourceType "Xilinx Type Reinterpreter Block" infoedit "Changes type of samples without alterin" "g their binary representation.

Hardware notes: In hardware this block co" "sts nothing.

Example: Suppose the input is 6 bits wide, signed, with 2 " "fractional bits, and the output is forced to unsigned with 0 fractional bits." " Then an input of -2.0 (1110.00 in binary 2's complement) becomes an output " "of 56 (111000 in binary)." force_arith_type on arith_type "Unsigned" force_bin_pt on bin_pt "0" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "cast" block_version "VER_STRING_GOES_HERE" sg_icon_stat "40,32,1,1,white,blue,0,8982c1db" sg_mask_display "fprintf('','COMMENT: begin icon graphic" "s');\npatch([0 50 50 0 ],[0 0 32 32 ],[0.77 0.82 0.91]);\npatch([16 11 18 11 " "16 24 26 28 37 30 23 18 25 18 23 30 37 28 26 24 16 ],[3 8 15 22 27 27 25 27 2" "7 20 27 22 15 8 3 10 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 0 50 50 0 ],[0 3" "2 32 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT:" " begin icon text');\ncolor('black');disp('reinterpret');\nfprintf('','COMMENT" ": end icon text');\n" } Block { BlockType Reference Name "force_12" Ports [1, 1] Position [390, 899, 430, 931] SourceBlock "xbsIndex_r4/Reinterpret" SourceType "Xilinx Type Reinterpreter Block" infoedit "Changes type of samples without alterin" "g their binary representation.

Hardware notes: In hardware this block co" "sts nothing.

Example: Suppose the input is 6 bits wide, signed, with 2 " "fractional bits, and the output is forced to unsigned with 0 fractional bits." " Then an input of -2.0 (1110.00 in binary 2's complement) becomes an output " "of 56 (111000 in binary)." force_arith_type on arith_type "Unsigned" force_bin_pt on bin_pt "0" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "cast" block_version "VER_STRING_GOES_HERE" sg_icon_stat "40,32,1,1,white,blue,0,8982c1db" sg_mask_display "fprintf('','COMMENT: begin icon graphic" "s');\npatch([0 50 50 0 ],[0 0 32 32 ],[0.77 0.82 0.91]);\npatch([16 11 18 11 " "16 24 26 28 37 30 23 18 25 18 23 30 37 28 26 24 16 ],[3 8 15 22 27 27 25 27 2" "7 20 27 22 15 8 3 10 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 0 50 50 0 ],[0 3" "2 32 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT:" " begin icon text');\ncolor('black');disp('reinterpret');\nfprintf('','COMMENT" ": end icon text');\n" } Block { BlockType Reference Name "force_13" Ports [1, 1] Position [390, 959, 430, 991] SourceBlock "xbsIndex_r4/Reinterpret" SourceType "Xilinx Type Reinterpreter Block" infoedit "Changes type of samples without alterin" "g their binary representation.

Hardware notes: In hardware this block co" "sts nothing.

Example: Suppose the input is 6 bits wide, signed, with 2 " "fractional bits, and the output is forced to unsigned with 0 fractional bits." " Then an input of -2.0 (1110.00 in binary 2's complement) becomes an output " "of 56 (111000 in binary)." force_arith_type on arith_type "Unsigned" force_bin_pt on bin_pt "0" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "cast" block_version "VER_STRING_GOES_HERE" sg_icon_stat "40,32,1,1,white,blue,0,8982c1db" sg_mask_display "fprintf('','COMMENT: begin icon graphic" "s');\npatch([0 50 50 0 ],[0 0 32 32 ],[0.77 0.82 0.91]);\npatch([16 11 18 11 " "16 24 26 28 37 30 23 18 25 18 23 30 37 28 26 24 16 ],[3 8 15 22 27 27 25 27 2" "7 20 27 22 15 8 3 10 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 0 50 50 0 ],[0 3" "2 32 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT:" " begin icon text');\ncolor('black');disp('reinterpret');\nfprintf('','COMMENT" ": end icon text');\n" } Block { BlockType Reference Name "force_14" Ports [1, 1] Position [390, 1024, 430, 1056] SourceBlock "xbsIndex_r4/Reinterpret" SourceType "Xilinx Type Reinterpreter Block" infoedit "Changes type of samples without alterin" "g their binary representation.

Hardware notes: In hardware this block co" "sts nothing.

Example: Suppose the input is 6 bits wide, signed, with 2 " "fractional bits, and the output is forced to unsigned with 0 fractional bits." " Then an input of -2.0 (1110.00 in binary 2's complement) becomes an output " "of 56 (111000 in binary)." force_arith_type on arith_type "Unsigned" force_bin_pt on bin_pt "0" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "cast" block_version "VER_STRING_GOES_HERE" sg_icon_stat "40,32,1,1,white,blue,0,8982c1db" sg_mask_display "fprintf('','COMMENT: begin icon graphic" "s');\npatch([0 50 50 0 ],[0 0 32 32 ],[0.77 0.82 0.91]);\npatch([16 11 18 11 " "16 24 26 28 37 30 23 18 25 18 23 30 37 28 26 24 16 ],[3 8 15 22 27 27 25 27 2" "7 20 27 22 15 8 3 10 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 0 50 50 0 ],[0 3" "2 32 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT:" " begin icon text');\ncolor('black');disp('reinterpret');\nfprintf('','COMMENT" ": end icon text');\n" } Block { BlockType Reference Name "force_15" Ports [1, 1] Position [390, 1084, 430, 1116] SourceBlock "xbsIndex_r4/Reinterpret" SourceType "Xilinx Type Reinterpreter Block" infoedit "Changes type of samples without alterin" "g their binary representation.

Hardware notes: In hardware this block co" "sts nothing.

Example: Suppose the input is 6 bits wide, signed, with 2 " "fractional bits, and the output is forced to unsigned with 0 fractional bits." " Then an input of -2.0 (1110.00 in binary 2's complement) becomes an output " "of 56 (111000 in binary)." force_arith_type on arith_type "Unsigned" force_bin_pt on bin_pt "0" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "cast" block_version "VER_STRING_GOES_HERE" sg_icon_stat "40,32,1,1,white,blue,0,8982c1db" sg_mask_display "fprintf('','COMMENT: begin icon graphic" "s');\npatch([0 50 50 0 ],[0 0 32 32 ],[0.77 0.82 0.91]);\npatch([16 11 18 11 " "16 24 26 28 37 30 23 18 25 18 23 30 37 28 26 24 16 ],[3 8 15 22 27 27 25 27 2" "7 20 27 22 15 8 3 10 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 0 50 50 0 ],[0 3" "2 32 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT:" " begin icon text');\ncolor('black');disp('reinterpret');\nfprintf('','COMMENT" ": end icon text');\n" } Block { BlockType Reference Name "force_16" Ports [1, 1] Position [390, 1149, 430, 1181] SourceBlock "xbsIndex_r4/Reinterpret" SourceType "Xilinx Type Reinterpreter Block" infoedit "Changes type of samples without alterin" "g their binary representation.

Hardware notes: In hardware this block co" "sts nothing.

Example: Suppose the input is 6 bits wide, signed, with 2 " "fractional bits, and the output is forced to unsigned with 0 fractional bits." " Then an input of -2.0 (1110.00 in binary 2's complement) becomes an output " "of 56 (111000 in binary)." force_arith_type on arith_type "Unsigned" force_bin_pt on bin_pt "0" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "cast" block_version "VER_STRING_GOES_HERE" sg_icon_stat "40,32,1,1,white,blue,0,8982c1db" sg_mask_display "fprintf('','COMMENT: begin icon graphic" "s');\npatch([0 50 50 0 ],[0 0 32 32 ],[0.77 0.82 0.91]);\npatch([16 11 18 11 " "16 24 26 28 37 30 23 18 25 18 23 30 37 28 26 24 16 ],[3 8 15 22 27 27 25 27 2" "7 20 27 22 15 8 3 10 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 0 50 50 0 ],[0 3" "2 32 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT:" " begin icon text');\ncolor('black');disp('reinterpret');\nfprintf('','COMMENT" ": end icon text');\n" } Block { BlockType Reference Name "force_17" Ports [1, 1] Position [390, 1209, 430, 1241] SourceBlock "xbsIndex_r4/Reinterpret" SourceType "Xilinx Type Reinterpreter Block" infoedit "Changes type of samples without alterin" "g their binary representation.

Hardware notes: In hardware this block co" "sts nothing.

Example: Suppose the input is 6 bits wide, signed, with 2 " "fractional bits, and the output is forced to unsigned with 0 fractional bits." " Then an input of -2.0 (1110.00 in binary 2's complement) becomes an output " "of 56 (111000 in binary)." force_arith_type on arith_type "Unsigned" force_bin_pt on bin_pt "0" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "cast" block_version "VER_STRING_GOES_HERE" sg_icon_stat "40,32,1,1,white,blue,0,8982c1db" sg_mask_display "fprintf('','COMMENT: begin icon graphic" "s');\npatch([0 50 50 0 ],[0 0 32 32 ],[0.77 0.82 0.91]);\npatch([16 11 18 11 " "16 24 26 28 37 30 23 18 25 18 23 30 37 28 26 24 16 ],[3 8 15 22 27 27 25 27 2" "7 20 27 22 15 8 3 10 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 0 50 50 0 ],[0 3" "2 32 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT:" " begin icon text');\ncolor('black');disp('reinterpret');\nfprintf('','COMMENT" ": end icon text');\n" } Block { BlockType Reference Name "force_2" Ports [1, 1] Position [390, 269, 430, 301] SourceBlock "xbsIndex_r4/Reinterpret" SourceType "Xilinx Type Reinterpreter Block" infoedit "Changes type of samples without alterin" "g their binary representation.

Hardware notes: In hardware this block co" "sts nothing.

Example: Suppose the input is 6 bits wide, signed, with 2 " "fractional bits, and the output is forced to unsigned with 0 fractional bits." " Then an input of -2.0 (1110.00 in binary 2's complement) becomes an output " "of 56 (111000 in binary)." force_arith_type on arith_type "Unsigned" force_bin_pt on bin_pt "0" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "cast" block_version "VER_STRING_GOES_HERE" sg_icon_stat "40,32,1,1,white,blue,0,8982c1db" sg_mask_display "fprintf('','COMMENT: begin icon graphic" "s');\npatch([0 50 50 0 ],[0 0 32 32 ],[0.77 0.82 0.91]);\npatch([16 11 18 11 " "16 24 26 28 37 30 23 18 25 18 23 30 37 28 26 24 16 ],[3 8 15 22 27 27 25 27 2" "7 20 27 22 15 8 3 10 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 0 50 50 0 ],[0 3" "2 32 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT:" " begin icon text');\ncolor('black');disp('reinterpret');\nfprintf('','COMMENT" ": end icon text');\n" } Block { BlockType Reference Name "force_3" Ports [1, 1] Position [390, 334, 430, 366] SourceBlock "xbsIndex_r4/Reinterpret" SourceType "Xilinx Type Reinterpreter Block" infoedit "Changes type of samples without alterin" "g their binary representation.

Hardware notes: In hardware this block co" "sts nothing.

Example: Suppose the input is 6 bits wide, signed, with 2 " "fractional bits, and the output is forced to unsigned with 0 fractional bits." " Then an input of -2.0 (1110.00 in binary 2's complement) becomes an output " "of 56 (111000 in binary)." force_arith_type on arith_type "Unsigned" force_bin_pt on bin_pt "0" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "cast" block_version "VER_STRING_GOES_HERE" sg_icon_stat "40,32,1,1,white,blue,0,8982c1db" sg_mask_display "fprintf('','COMMENT: begin icon graphic" "s');\npatch([0 50 50 0 ],[0 0 32 32 ],[0.77 0.82 0.91]);\npatch([16 11 18 11 " "16 24 26 28 37 30 23 18 25 18 23 30 37 28 26 24 16 ],[3 8 15 22 27 27 25 27 2" "7 20 27 22 15 8 3 10 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 0 50 50 0 ],[0 3" "2 32 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT:" " begin icon text');\ncolor('black');disp('reinterpret');\nfprintf('','COMMENT" ": end icon text');\n" } Block { BlockType Reference Name "force_4" Ports [1, 1] Position [390, 394, 430, 426] SourceBlock "xbsIndex_r4/Reinterpret" SourceType "Xilinx Type Reinterpreter Block" infoedit "Changes type of samples without alterin" "g their binary representation.

Hardware notes: In hardware this block co" "sts nothing.

Example: Suppose the input is 6 bits wide, signed, with 2 " "fractional bits, and the output is forced to unsigned with 0 fractional bits." " Then an input of -2.0 (1110.00 in binary 2's complement) becomes an output " "of 56 (111000 in binary)." force_arith_type on arith_type "Unsigned" force_bin_pt on bin_pt "0" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "cast" block_version "VER_STRING_GOES_HERE" sg_icon_stat "40,32,1,1,white,blue,0,8982c1db" sg_mask_display "fprintf('','COMMENT: begin icon graphic" "s');\npatch([0 50 50 0 ],[0 0 32 32 ],[0.77 0.82 0.91]);\npatch([16 11 18 11 " "16 24 26 28 37 30 23 18 25 18 23 30 37 28 26 24 16 ],[3 8 15 22 27 27 25 27 2" "7 20 27 22 15 8 3 10 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 0 50 50 0 ],[0 3" "2 32 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT:" " begin icon text');\ncolor('black');disp('reinterpret');\nfprintf('','COMMENT" ": end icon text');\n" } Block { BlockType Reference Name "force_5" Ports [1, 1] Position [390, 459, 430, 491] SourceBlock "xbsIndex_r4/Reinterpret" SourceType "Xilinx Type Reinterpreter Block" infoedit "Changes type of samples without alterin" "g their binary representation.

Hardware notes: In hardware this block co" "sts nothing.

Example: Suppose the input is 6 bits wide, signed, with 2 " "fractional bits, and the output is forced to unsigned with 0 fractional bits." " Then an input of -2.0 (1110.00 in binary 2's complement) becomes an output " "of 56 (111000 in binary)." force_arith_type on arith_type "Unsigned" force_bin_pt on bin_pt "0" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "cast" block_version "VER_STRING_GOES_HERE" sg_icon_stat "40,32,1,1,white,blue,0,8982c1db" sg_mask_display "fprintf('','COMMENT: begin icon graphic" "s');\npatch([0 50 50 0 ],[0 0 32 32 ],[0.77 0.82 0.91]);\npatch([16 11 18 11 " "16 24 26 28 37 30 23 18 25 18 23 30 37 28 26 24 16 ],[3 8 15 22 27 27 25 27 2" "7 20 27 22 15 8 3 10 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 0 50 50 0 ],[0 3" "2 32 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT:" " begin icon text');\ncolor('black');disp('reinterpret');\nfprintf('','COMMENT" ": end icon text');\n" } Block { BlockType Reference Name "force_6" Ports [1, 1] Position [390, 519, 430, 551] SourceBlock "xbsIndex_r4/Reinterpret" SourceType "Xilinx Type Reinterpreter Block" infoedit "Changes type of samples without alterin" "g their binary representation.

Hardware notes: In hardware this block co" "sts nothing.

Example: Suppose the input is 6 bits wide, signed, with 2 " "fractional bits, and the output is forced to unsigned with 0 fractional bits." " Then an input of -2.0 (1110.00 in binary 2's complement) becomes an output " "of 56 (111000 in binary)." force_arith_type on arith_type "Unsigned" force_bin_pt on bin_pt "0" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "cast" block_version "VER_STRING_GOES_HERE" sg_icon_stat "40,32,1,1,white,blue,0,8982c1db" sg_mask_display "fprintf('','COMMENT: begin icon graphic" "s');\npatch([0 50 50 0 ],[0 0 32 32 ],[0.77 0.82 0.91]);\npatch([16 11 18 11 " "16 24 26 28 37 30 23 18 25 18 23 30 37 28 26 24 16 ],[3 8 15 22 27 27 25 27 2" "7 20 27 22 15 8 3 10 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 0 50 50 0 ],[0 3" "2 32 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT:" " begin icon text');\ncolor('black');disp('reinterpret');\nfprintf('','COMMENT" ": end icon text');\n" } Block { BlockType Reference Name "force_7" Ports [1, 1] Position [390, 584, 430, 616] SourceBlock "xbsIndex_r4/Reinterpret" SourceType "Xilinx Type Reinterpreter Block" infoedit "Changes type of samples without alterin" "g their binary representation.

Hardware notes: In hardware this block co" "sts nothing.

Example: Suppose the input is 6 bits wide, signed, with 2 " "fractional bits, and the output is forced to unsigned with 0 fractional bits." " Then an input of -2.0 (1110.00 in binary 2's complement) becomes an output " "of 56 (111000 in binary)." force_arith_type on arith_type "Unsigned" force_bin_pt on bin_pt "0" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "cast" block_version "VER_STRING_GOES_HERE" sg_icon_stat "40,32,1,1,white,blue,0,8982c1db" sg_mask_display "fprintf('','COMMENT: begin icon graphic" "s');\npatch([0 50 50 0 ],[0 0 32 32 ],[0.77 0.82 0.91]);\npatch([16 11 18 11 " "16 24 26 28 37 30 23 18 25 18 23 30 37 28 26 24 16 ],[3 8 15 22 27 27 25 27 2" "7 20 27 22 15 8 3 10 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 0 50 50 0 ],[0 3" "2 32 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT:" " begin icon text');\ncolor('black');disp('reinterpret');\nfprintf('','COMMENT" ": end icon text');\n" } Block { BlockType Reference Name "force_8" Ports [1, 1] Position [390, 649, 430, 681] SourceBlock "xbsIndex_r4/Reinterpret" SourceType "Xilinx Type Reinterpreter Block" infoedit "Changes type of samples without alterin" "g their binary representation.

Hardware notes: In hardware this block co" "sts nothing.

Example: Suppose the input is 6 bits wide, signed, with 2 " "fractional bits, and the output is forced to unsigned with 0 fractional bits." " Then an input of -2.0 (1110.00 in binary 2's complement) becomes an output " "of 56 (111000 in binary)." force_arith_type on arith_type "Unsigned" force_bin_pt on bin_pt "0" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "cast" block_version "VER_STRING_GOES_HERE" sg_icon_stat "40,32,1,1,white,blue,0,8982c1db" sg_mask_display "fprintf('','COMMENT: begin icon graphic" "s');\npatch([0 50 50 0 ],[0 0 32 32 ],[0.77 0.82 0.91]);\npatch([16 11 18 11 " "16 24 26 28 37 30 23 18 25 18 23 30 37 28 26 24 16 ],[3 8 15 22 27 27 25 27 2" "7 20 27 22 15 8 3 10 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 0 50 50 0 ],[0 3" "2 32 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT:" " begin icon text');\ncolor('black');disp('reinterpret');\nfprintf('','COMMENT" ": end icon text');\n" } Block { BlockType Reference Name "force_9" Ports [1, 1] Position [390, 709, 430, 741] SourceBlock "xbsIndex_r4/Reinterpret" SourceType "Xilinx Type Reinterpreter Block" infoedit "Changes type of samples without alterin" "g their binary representation.

Hardware notes: In hardware this block co" "sts nothing.

Example: Suppose the input is 6 bits wide, signed, with 2 " "fractional bits, and the output is forced to unsigned with 0 fractional bits." " Then an input of -2.0 (1110.00 in binary 2's complement) becomes an output " "of 56 (111000 in binary)." force_arith_type on arith_type "Unsigned" force_bin_pt on bin_pt "0" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "cast" block_version "VER_STRING_GOES_HERE" sg_icon_stat "40,32,1,1,white,blue,0,8982c1db" sg_mask_display "fprintf('','COMMENT: begin icon graphic" "s');\npatch([0 50 50 0 ],[0 0 32 32 ],[0.77 0.82 0.91]);\npatch([16 11 18 11 " "16 24 26 28 37 30 23 18 25 18 23 30 37 28 26 24 16 ],[3 8 15 22 27 27 25 27 2" "7 20 27 22 15 8 3 10 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 0 50 50 0 ],[0 3" "2 32 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT:" " begin icon text');\ncolor('black');disp('reinterpret');\nfprintf('','COMMENT" ": end icon text');\n" } Block { BlockType Reference Name "mux_0_17" Ports [19, 1] Position [815, 372, 860, 988] SourceBlock "xbsIndex_r4/Mux" SourceType "Xilinx Bus Multiplexer Block" inputs "18" en off latency "0" precision "Full" arith_type "Signed (2's comp)" n_bits "8" bin_pt "2" quantization "Truncate" overflow "Wrap" dbl_ovrd off xl_use_area off xl_area "[0, 0, 0, 0, 0, 0, 0]" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "mux" block_version "VER_STRING_GOES_HERE" sg_icon_stat "45,616,19,1,white,blue,3,b58993fc" sg_mask_display "fprintf('','COMMENT: begin icon graphic" "s ');\npatch([0 45 45 0 ],[0 88 528 616 ],[0.77 0.82 0.91]);\npatch([10 3 14 " "3 10 22 25 28 41 31 21 14 25 14 21 31 41 28 25 22 10 ],[290 297 308 319 326 3" "26 323 326 326 316 326 319 308 297 290 300 290 290 293 290 290 ],[0.98 0.96 0" ".92]);\nplot([0 45 45 0 0 ],[0 88 528 616 0 ]);\nfprintf('','COMMENT: end ico" "n graphics');\n\nfprintf('','COMMENT: begin icon text ');\ncolor('black');por" "t_label('input',1,'sel');\ncolor('black');port_label('input',2,'d0');\ncolor(" "'black');port_label('input',3,'d1');\ncolor('black');port_label('input',4,'d2" "');\ncolor('black');port_label('input',5,'d3');\ncolor('black');port_label('i" "nput',6,'d4');\ncolor('black');port_label('input',7,'d5');\ncolor('black');po" "rt_label('input',8,'d6');\ncolor('black');port_label('input',9,'d7');\ncolor(" "'black');port_label('input',10,'d8');\ncolor('black');port_label('input',11,'" "d9');\ncolor('black');port_label('input',12,'d10');\ncolor('black');port_labe" "l('input',13,'d11');\ncolor('black');port_label('input',14,'d12');\ncolor('bl" "ack');port_label('input',15,'d13');\ncolor('black');port_label('input',16,'d1" "4');\ncolor('black');port_label('input',17,'d15');\ncolor('black');port_label" "('input',18,'d16');\ncolor('black');port_label('input',19,'d17');\ncolor('bla" "ck');disp('\\bf{}','texmode','on');\nfprintf('','COMMENT: end icon text');\n" } Block { BlockType SubSystem Name "repeater" Ports [1, 1] Position [785, 1259, 890, 1291] TreatAsAtomicUnit off MinAlgLoopOccurrences off RTWSystemCode "Auto" MaskHideContents off System { Name "repeater" Location [378, 232, 793, 758] Open off ModelBrowserVisibility off ModelBrowserWidth 200 ScreenColor "white" PaperOrientation "landscape" PaperPositionMode "auto" PaperType "usletter" PaperUnits "inches" ZoomFactor "100" Block { BlockType Inport Name "1 bit in" Position [25, 275, 45, 295] IconDisplay "Port number" } Block { BlockType Reference Name "Concat" Ports [16, 1] Position [125, 275, 175, 500] SourceBlock "xbsIndex_r4/Concat" SourceType "Xilinx Bus Concatenator Block" infoedit "Concatenates two or more inputs. O" "utput will be cast to an unsigned value with the binary point at zero." num_inputs "16" dbl_ovrd "off" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "concat" block_version "VER_STRING_GOES_HERE" sg_icon_stat "50,225,16,1,white,blue,0,aea984b7" sg_mask_display "fprintf('','COMMENT: begin icon gra" "phics ');\npatch([0 50 50 0 ],[0 0 225 225 ],[0.77 0.82 0.91]);\npatch([11 3 " "15 3 11 24 28 32 46 35 24 16 28 16 24 35 46 32 28 24 11 ],[93 101 113 125 133" " 133 129 133 133 122 133 125 113 101 93 104 93 93 97 93 93 ],[0.98 0.96 0.92]" ");\nplot([0 0 50 50 0 ],[0 225 225 0 0 ]);\nfprintf('','COMMENT: end icon gra" "phics');\n\nfprintf('','COMMENT: begin icon text ');\ncolor('black');port_lab" "el('input',1,'hi');\ncolor('black');port_label('input',16,'lo');\nfprintf(''," "'COMMENT: end icon text');\n" } Block { BlockType Reference Name "Concat1" Ports [16, 1] Position [125, 30, 175, 255] SourceBlock "xbsIndex_r4/Concat" SourceType "Xilinx Bus Concatenator Block" infoedit "Concatenates two or more inputs. O" "utput will be cast to an unsigned value with the binary point at zero." num_inputs "16" dbl_ovrd "off" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "concat" block_version "VER_STRING_GOES_HERE" sg_icon_stat "50,225,16,1,white,blue,0,aea984b7" sg_mask_display "fprintf('','COMMENT: begin icon gra" "phics ');\npatch([0 50 50 0 ],[0 0 225 225 ],[0.77 0.82 0.91]);\npatch([11 3 " "15 3 11 24 28 32 46 35 24 16 28 16 24 35 46 32 28 24 11 ],[93 101 113 125 133" " 133 129 133 133 122 133 125 113 101 93 104 93 93 97 93 93 ],[0.98 0.96 0.92]" ");\nplot([0 0 50 50 0 ],[0 225 225 0 0 ]);\nfprintf('','COMMENT: end icon gra" "phics');\n\nfprintf('','COMMENT: begin icon text ');\ncolor('black');port_lab" "el('input',1,'hi');\ncolor('black');port_label('input',16,'lo');\nfprintf(''," "'COMMENT: end icon text');\n" } Block { BlockType Reference Name "Concat2" Ports [2, 1] Position [260, 139, 310, 366] SourceBlock "xbsIndex_r4/Concat" SourceType "Xilinx Bus Concatenator Block" infoedit "Concatenates two or more inputs. O" "utput will be cast to an unsigned value with the binary point at zero." num_inputs "2" dbl_ovrd "off" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "concat" block_version "VER_STRING_GOES_HERE" sg_icon_stat "50,227,2,1,white,blue,0,59d62d82" sg_mask_display "fprintf('','COMMENT: begin icon gra" "phics ');\npatch([0 50 50 0 ],[0 0 227 227 ],[0.77 0.82 0.91]);\npatch([11 3 " "15 3 11 24 28 32 46 35 24 16 28 16 24 35 46 32 28 24 11 ],[94 102 114 126 134" " 134 130 134 134 123 134 126 114 102 94 105 94 94 98 94 94 ],[0.98 0.96 0.92]" ");\nplot([0 0 50 50 0 ],[0 227 227 0 0 ]);\nfprintf('','COMMENT: end icon gra" "phics');\n\nfprintf('','COMMENT: begin icon text ');\ncolor('black');port_lab" "el('input',1,'hi');\ncolor('black');port_label('input',2,'lo');\nfprintf('','" "COMMENT: end icon text');\n" } Block { BlockType Outport Name "32 bits out" Position [370, 245, 390, 265] IconDisplay "Port number" BusOutputAsStruct off } Line { SrcBlock "Concat2" SrcPort 1 DstBlock "32 bits out" DstPort 1 } Line { SrcBlock "Concat" SrcPort 1 Points [45, 0; 0, -80] DstBlock "Concat2" DstPort 2 } Line { SrcBlock "Concat1" SrcPort 1 Points [30, 0; 0, 50] DstBlock "Concat2" DstPort 1 } Line { SrcBlock "1 bit in" SrcPort 1 Points [0, 0; 60, 0] Branch { Points [0, 10] Branch { DstBlock "Concat" DstPort 2 } Branch { Points [0, 10] Branch { DstBlock "Concat" DstPort 3 } Branch { Points [0, 10] Branch { DstBlock "Concat" DstPort 4 } Branch { Points [0, 5] Branch { DstBlock "Concat" DstPort 5 } Branch { Points [0, 15] Branch { DstBlock "Concat" DstPort 6 } Branch { Points [0, 10] Branch { DstBlock "Concat" DstPort 7 } Branch { Points [0, 5] Branch { DstBlock "Concat" DstPort 8 } Branch { Points [0, 15] Branch { DstBlock "Concat" DstPort 9 } Branch { Points [0, 10] Branch { DstBlock "Concat" DstPort 10 } Branch { Points [0, 5] Branch { DstBlock "Concat" DstPort 11 } Branch { Points [0, 10] Branch { DstBlock "Concat" DstPort 12 } Branch { Points [0, 10] Branch { DstBlock "Concat" DstPort 14 } Branch { Points [0, 5] Branch { DstBlock "Concat" DstPort 13 } Branch { Points [0, 15] Branch { DstBlock "Concat" DstPort 15 } Branch { DstBlock "Concat" DstPort 16 } } } } } } } } } } } } } } } Branch { Points [0, 0] Branch { DstBlock "Concat" DstPort 1 } Branch { Points [0, -75] Branch { DstBlock "Concat1" DstPort 16 } Branch { Points [0, -15] Branch { DstBlock "Concat1" DstPort 15 } Branch { Points [0, -10] Branch { DstBlock "Concat1" DstPort 14 } Branch { Points [0, -10] Branch { DstBlock "Concat1" DstPort 13 } Branch { Points [0, -10] Branch { DstBlock "Concat1" DstPort 12 } Branch { Points [0, -10] Branch { DstBlock "Concat1" DstPort 11 } Branch { Points [0, -10] Branch { DstBlock "Concat1" DstPort 10 } Branch { Points [0, -10] Branch { DstBlock "Concat1" DstPort 9 } Branch { Points [0, -10] Branch { DstBlock "Concat1" DstPort 8 } Branch { Points [0, -10] Branch { DstBlock "Concat1" DstPort 7 } Branch { Points [0, -5] Branch { DstBlock "Concat1" DstPort 6 } Branch { Points [0, -10] Branch { DstBlock "Concat1" DstPort 5 } Branch { Points [0, -15] Branch { DstBlock "Concat1" DstPort 4 } Branch { Points [0, -10] Branch { DstBlock "Concat1" DstPort 3 } Branch { Points [0, -10] Branch { DstBlock "Concat1" DstPort 2 } Branch { DstBlock "Concat1" DstPort 1 } } } } } } } } } } } } } } } } } } } } Block { BlockType Reference Name "valid_data" Ports [2, 1] Position [1045, 953, 1090, 997] SourceBlock "xbsIndex_r4/Logical" SourceType "Xilinx Logical Block Block" logical_function "AND" inputs "2" en off latency "0" precision "Full" arith_type "Unsigned" n_bits "8" bin_pt "2" align_bp on dbl_ovrd off xl_use_area off xl_area "[0, 0, 0, 0, 0, 0, 0]" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "logical" block_version "VER_STRING_GOES_HERE" sg_icon_stat "45,44,2,1,white,blue,0,087b5522" sg_mask_display "fprintf('','COMMENT: begin icon graphic" "s ');\npatch([0 45 45 0 ],[0 0 44 44 ],[0.77 0.82 0.91]);\npatch([10 3 13 3 1" "0 22 25 28 40 30 21 14 23 14 21 30 40 28 25 22 10 ],[5 12 22 32 39 39 36 39 3" "9 29 38 31 22 13 6 15 5 5 8 5 5 ],[0.98 0.96 0.92]);\nplot([0 0 45 45 0 ],[0 " "44 44 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\n\nfprintf('','COMME" "NT: begin icon text ');\ncolor('black');disp('\\newlineand\\newlinez^{-0}','t" "exmode','on');\nfprintf('','COMMENT: end icon text');\n" } Block { BlockType Outport Name "sgp_DBus" Position [1240, 973, 1270, 987] IconDisplay "Port number" BusOutputAsStruct off } Block { BlockType Outport Name "ack_out" Position [825, 1218, 855, 1232] Port "2" IconDisplay "Port number" BusOutputAsStruct off } Line { SrcBlock "Delay" SrcPort 1 Points [0, 0] Branch { Points [65, 0; 0, -5] DstBlock "repeater" DstPort 1 } Branch { Points [85, 0; 0, -55] DstBlock "ack_out" DstPort 1 } } Line { SrcBlock "mux_0_17" SrcPort 1 Points [80, 0; 0, 285] DstBlock "valid_data" DstPort 1 } Line { SrcBlock "a_correction_0" SrcPort 1 Points [160, 0] DstBlock "mux_0_17" DstPort 1 } Line { SrcBlock "const_0" SrcPort 1 DstBlock "a_correction_0" DstPort 2 } Line { SrcBlock "Slice" SrcPort 1 Points [65, 0; 0, 30] DstBlock "a_correction_0" DstPort 1 } Line { SrcBlock "cast_17" SrcPort 1 Points [165, 0] DstBlock "mux_0_17" DstPort 19 } Line { SrcBlock "cast_16" SrcPort 1 Points [160, 0; 0, -200] DstBlock "mux_0_17" DstPort 18 } Line { SrcBlock "cast_15" SrcPort 1 Points [160, 0; 0, -170] DstBlock "mux_0_17" DstPort 17 } Line { SrcBlock "cast_14" SrcPort 1 Points [160, 0; 0, -140] DstBlock "mux_0_17" DstPort 16 } Line { SrcBlock "cast_13" SrcPort 1 Points [160, 0; 0, -115] DstBlock "mux_0_17" DstPort 15 } Line { SrcBlock "cast_12" SrcPort 1 Points [160, 0; 0, -90] DstBlock "mux_0_17" DstPort 14 } Line { SrcBlock "cast_11" SrcPort 1 Points [160, 0; 0, -65] DstBlock "mux_0_17" DstPort 13 } Line { SrcBlock "cast_10" SrcPort 1 Points [160, 0; 0, -40] DstBlock "mux_0_17" DstPort 12 } Line { SrcBlock "cast_9" SrcPort 1 Points [165, 0] DstBlock "mux_0_17" DstPort 11 } Line { SrcBlock "cast_8" SrcPort 1 Points [165, 0] DstBlock "mux_0_17" DstPort 10 } Line { SrcBlock "cast_7" SrcPort 1 Points [160, 0; 0, 45] DstBlock "mux_0_17" DstPort 9 } Line { SrcBlock "cast_6" SrcPort 1 Points [160, 0; 0, 75] DstBlock "mux_0_17" DstPort 8 } Line { SrcBlock "cast_5" SrcPort 1 Points [160, 0; 0, 110] DstBlock "mux_0_17" DstPort 7 } Line { SrcBlock "cast_4" SrcPort 1 Points [160, 0; 0, 140] DstBlock "mux_0_17" DstPort 6 } Line { SrcBlock "cast_3" SrcPort 1 Points [160, 0; 0, 175] DstBlock "mux_0_17" DstPort 5 } Line { SrcBlock "cast_2" SrcPort 1 Points [160, 0; 0, 205] DstBlock "mux_0_17" DstPort 4 } Line { SrcBlock "cast_1" SrcPort 1 Points [160, 0; 0, 240] DstBlock "mux_0_17" DstPort 3 } Line { SrcBlock "cast_0" SrcPort 1 Points [160, 0; 0, 270] DstBlock "mux_0_17" DstPort 2 } Line { SrcBlock "enable_To_Register_opb0" SrcPort 1 Points [65, 0; 0, -15] DstBlock "To_Register_opb0_17" DstPort 2 } Line { SrcBlock "force_17" SrcPort 1 Points [140, 0] DstBlock "cast_17" DstPort 1 } Line { SrcBlock "To_Register_opb0_17" SrcPort 1 Points [65, 0; 0, -5] DstBlock "force_17" DstPort 1 } Line { SrcBlock "To_Register_opb0" SrcPort 1 Points [65, 0; 0, 10] DstBlock "To_Register_opb0_17" DstPort 1 } Line { SrcBlock "force_16" SrcPort 1 Points [140, 0] DstBlock "cast_16" DstPort 1 } Line { SrcBlock "in_16_data" SrcPort 1 DstBlock "force_16" DstPort 1 } Line { SrcBlock "force_15" SrcPort 1 Points [140, 0] DstBlock "cast_15" DstPort 1 } Line { SrcBlock "in_15_data" SrcPort 1 DstBlock "force_15" DstPort 1 } Line { SrcBlock "force_14" SrcPort 1 Points [140, 0] DstBlock "cast_14" DstPort 1 } Line { SrcBlock "in_14_data" SrcPort 1 DstBlock "force_14" DstPort 1 } Line { SrcBlock "force_13" SrcPort 1 Points [140, 0] DstBlock "cast_13" DstPort 1 } Line { SrcBlock "in_13_data" SrcPort 1 DstBlock "force_13" DstPort 1 } Line { SrcBlock "force_12" SrcPort 1 Points [140, 0] DstBlock "cast_12" DstPort 1 } Line { SrcBlock "in_12_data" SrcPort 1 DstBlock "force_12" DstPort 1 } Line { SrcBlock "force_11" SrcPort 1 Points [140, 0] DstBlock "cast_11" DstPort 1 } Line { SrcBlock "in_11_data" SrcPort 1 DstBlock "force_11" DstPort 1 } Line { SrcBlock "force_10" SrcPort 1 Points [140, 0] DstBlock "cast_10" DstPort 1 } Line { SrcBlock "in_10_data" SrcPort 1 DstBlock "force_10" DstPort 1 } Line { SrcBlock "force_9" SrcPort 1 DstBlock "cast_9" DstPort 1 } Line { SrcBlock "in_9_data" SrcPort 1 DstBlock "force_9" DstPort 1 } Line { SrcBlock "force_8" SrcPort 1 DstBlock "cast_8" DstPort 1 } Line { SrcBlock "in_8_data" SrcPort 1 DstBlock "force_8" DstPort 1 } Line { SrcBlock "force_7" SrcPort 1 Points [140, 0] DstBlock "cast_7" DstPort 1 } Line { SrcBlock "in_7_data" SrcPort 1 DstBlock "force_7" DstPort 1 } Line { SrcBlock "force_6" SrcPort 1 Points [140, 0] DstBlock "cast_6" DstPort 1 } Line { SrcBlock "in_6_data" SrcPort 1 DstBlock "force_6" DstPort 1 } Line { SrcBlock "force_5" SrcPort 1 Points [140, 0] DstBlock "cast_5" DstPort 1 } Line { SrcBlock "in_5_data" SrcPort 1 DstBlock "force_5" DstPort 1 } Line { SrcBlock "force_4" SrcPort 1 Points [140, 0] DstBlock "cast_4" DstPort 1 } Line { SrcBlock "in_4_data" SrcPort 1 DstBlock "force_4" DstPort 1 } Line { SrcBlock "force_3" SrcPort 1 Points [140, 0] DstBlock "cast_3" DstPort 1 } Line { SrcBlock "in_3_data" SrcPort 1 DstBlock "force_3" DstPort 1 } Line { SrcBlock "force_2" SrcPort 1 Points [140, 0] DstBlock "cast_2" DstPort 1 } Line { SrcBlock "in_2_data" SrcPort 1 DstBlock "force_2" DstPort 1 } Line { SrcBlock "force_1" SrcPort 1 Points [70, 0; 0, 5] DstBlock "cast_1" DstPort 1 } Line { SrcBlock "in_1_data" SrcPort 1 DstBlock "force_1" DstPort 1 } Line { SrcBlock "force_0" SrcPort 1 Points [70, 0; 0, 10] DstBlock "cast_0" DstPort 1 } Line { SrcBlock "in_0_data" SrcPort 1 DstBlock "force_0" DstPort 1 } Line { SrcBlock "addr" SrcPort 1 DstBlock "Slice" DstPort 1 } Line { SrcBlock "repeater" SrcPort 1 Points [65, 0; 0, -290] DstBlock "valid_data" DstPort 2 } Line { SrcBlock "valid_data" SrcPort 1 Points [65, 0; 0, 5] DstBlock "sgp_DBus" DstPort 1 } Line { SrcBlock "ack_in" SrcPort 1 DstBlock "Delay" DstPort 1 } } } Line { Name "opb data" SrcBlock "OPB2IP_IF" SrcPort 4 Points [0, 0] Branch { Labels [0, 0] Points [65, 0; 0, 90] DstBlock "SMWODataI_2" DstPort 1 } Branch { Labels [0, 0] Points [65, 0; 0, 5] DstBlock "SMWODataI_1" DstPort 1 } Branch { Labels [0, 0] Points [65, 0; 0, 170] DstBlock "SMWODataI_0" DstPort 1 } Branch { Labels [0, 0] Points [130, 0; 0, -30; 450, 0] DstBlock "mem_if_in" DstPort 1 } } Line { Name "opb addr" SrcBlock "OPB2IP_IF" SrcPort 1 Points [0, 0] Branch { Labels [1, 0] Points [335, 0; 0, 405] DstBlock "en_gen" DstPort 2 } Branch { Labels [0, 0] Points [70, 0; 0, -230] DstBlock "Goto" DstPort 1 } } Line { SrcBlock "Constant2" SrcPort 1 Points [0, 0] Branch { Points [85, 0; 0, -65] DstBlock "IP2OPB_IF" DstPort 3 } Branch { Points [85, 0; 0, -30] DstBlock "IP2OPB_IF" DstPort 4 } Branch { Points [85, 0; 0, 5] DstBlock "IP2OPB_IF" DstPort 5 } } Line { SrcBlock "mem_if_in" SrcPort 17 Points [135, 0] DstBlock "mem_if_out" DstPort 19 } Line { SrcBlock "en_gen" SrcPort 19 DstBlock "mem_if_in" DstPort 18 } Line { SrcBlock "mem_if_in" SrcPort 16 Points [130, 0; 0, -40] DstBlock "mem_if_out" DstPort 18 } Line { SrcBlock "en_gen" SrcPort 18 DstBlock "mem_if_in" DstPort 17 } Line { SrcBlock "mem_if_in" SrcPort 15 Points [130, 0; 0, -35] DstBlock "mem_if_out" DstPort 17 } Line { SrcBlock "en_gen" SrcPort 17 DstBlock "mem_if_in" DstPort 16 } Line { SrcBlock "mem_if_in" SrcPort 14 Points [135, 0] DstBlock "mem_if_out" DstPort 16 } Line { SrcBlock "en_gen" SrcPort 16 DstBlock "mem_if_in" DstPort 15 } Line { SrcBlock "mem_if_in" SrcPort 13 Points [135, 0] DstBlock "mem_if_out" DstPort 15 } Line { SrcBlock "en_gen" SrcPort 15 DstBlock "mem_if_in" DstPort 14 } Line { SrcBlock "mem_if_in" SrcPort 12 Points [135, 0] DstBlock "mem_if_out" DstPort 14 } Line { SrcBlock "en_gen" SrcPort 14 DstBlock "mem_if_in" DstPort 13 } Line { SrcBlock "mem_if_in" SrcPort 11 Points [135, 0] DstBlock "mem_if_out" DstPort 13 } Line { SrcBlock "en_gen" SrcPort 13 DstBlock "mem_if_in" DstPort 12 } Line { SrcBlock "mem_if_in" SrcPort 10 Points [135, 0] DstBlock "mem_if_out" DstPort 12 } Line { SrcBlock "en_gen" SrcPort 12 DstBlock "mem_if_in" DstPort 11 } Line { SrcBlock "mem_if_in" SrcPort 9 Points [135, 0] DstBlock "mem_if_out" DstPort 11 } Line { SrcBlock "en_gen" SrcPort 11 DstBlock "mem_if_in" DstPort 10 } Line { SrcBlock "mem_if_in" SrcPort 8 DstBlock "mem_if_out" DstPort 10 } Line { SrcBlock "en_gen" SrcPort 10 DstBlock "mem_if_in" DstPort 9 } Line { SrcBlock "mem_if_in" SrcPort 7 Points [135, 0] DstBlock "mem_if_out" DstPort 9 } Line { SrcBlock "en_gen" SrcPort 9 DstBlock "mem_if_in" DstPort 8 } Line { SrcBlock "mem_if_in" SrcPort 6 Points [135, 0] DstBlock "mem_if_out" DstPort 8 } Line { SrcBlock "en_gen" SrcPort 8 DstBlock "mem_if_in" DstPort 7 } Line { SrcBlock "mem_if_in" SrcPort 5 Points [135, 0] DstBlock "mem_if_out" DstPort 7 } Line { SrcBlock "en_gen" SrcPort 7 DstBlock "mem_if_in" DstPort 6 } Line { SrcBlock "mem_if_in" SrcPort 4 Points [135, 0] DstBlock "mem_if_out" DstPort 6 } Line { SrcBlock "en_gen" SrcPort 6 DstBlock "mem_if_in" DstPort 5 } Line { SrcBlock "mem_if_in" SrcPort 3 Points [65, 0; 0, 25] DstBlock "mem_if_out" DstPort 5 } Line { SrcBlock "en_gen" SrcPort 5 DstBlock "mem_if_in" DstPort 4 } Line { SrcBlock "mem_if_in" SrcPort 2 Points [65, 0; 0, 30] DstBlock "mem_if_out" DstPort 4 } Line { SrcBlock "en_gen" SrcPort 4 DstBlock "mem_if_in" DstPort 3 } Line { SrcBlock "mem_if_in" SrcPort 1 Points [0, 35] DstBlock "mem_if_out" DstPort 3 } Line { SrcBlock "en_gen" SrcPort 3 DstBlock "mem_if_in" DstPort 2 } Line { SrcBlock "OPB2IP_IF" SrcPort 3 Points [145, 0; 0, -105] DstBlock "Terminator" DstPort 1 } Line { Name "opb read" Labels [0, 0] SrcBlock "OPB2IP_IF" SrcPort 7 Points [130, 0; 0, 530] DstBlock "en_gen" DstPort 3 } Line { SrcBlock "OPB2IP_IF" SrcPort 5 Points [130, 0; 0, 220] DstBlock "Terminator2" DstPort 1 } Line { Name "opb reset" Labels [0, 0] SrcBlock "OPB2IP_IF" SrcPort 6 Points [130, 0; 0, 270] DstBlock "ack_gen" DstPort 2 } Line { Name "decode" Labels [0, 0] SrcBlock "From" SrcPort 1 DstBlock "ack_gen" DstPort 1 } Line { SrcBlock "ack_gen" SrcPort 1 Points [65, 0; 0, -200] DstBlock "en_gen" DstPort 1 } Line { Name "opb select" Labels [0, 0] SrcBlock "OPB2IP_IF" SrcPort 2 Points [140, 0] DstBlock "Goto1" DstPort 1 } Line { SrcBlock "en_gen" SrcPort 1 Points [395, 0] DstBlock "mem_if_out" DstPort 1 } Line { SrcBlock "en_gen" SrcPort 2 Points [125, 0; 0, -35; 270, 0] DstBlock "mem_if_out" DstPort 2 } Line { SrcBlock "mem_if_out" SrcPort 1 Points [65, 0; 0, 460] DstBlock "IP2OPB_IF" DstPort 1 } Line { SrcBlock "mem_if_out" SrcPort 2 Points [55, 0; 0, 125] DstBlock "IP2OPB_IF" DstPort 2 } } } Block { BlockType "S-Function" Name "Mux" Ports [3, 1] Position [1220, 293, 1265, 397] NamePlacement "alternate" CopyFcn "xlBlockMoveCallback(gcbh);" DeleteFcn "xlDestroyGui(gcbh);" LoadFcn "xlBlockLoadCallback(gcbh);" ModelCloseFcn "xlDestroyGui(gcbh);" PreSaveFcn "xlBlockPreSaveCallback(gcbh);" PostSaveFcn "xlBlockPostSaveCallback(gcbh);" DestroyFcn "xlDestroyGui(gcbh);" OpenFcn "xlOpenGui(gcbh, @muxenablement, -1)" CloseFcn "xlDestroyGui(gcbh);" MoveFcn "xlBlockMoveCallback(gcbh);" FunctionName "sysgen" Parameters "ptable_" MaskType "Xilinx Bus Multiplexer Block" MaskDescription "Xilinx Bus Multiplexer" MaskHelp "eval('xlWeb(xlhtmldoclink(''Mux''))');" MaskPromptString " |Number of inputs| |Provide enable port|Latenc" "y| |Precision| |Output type|Number of bits|Binary point| |Quantization| |Over" "flow| |Override with doubles| |Define FPGA area for resource estimation|FPGA " "area [slices, FFs, BRAMs, LUTs, IOBs, emb. mults, TBUFs]| | | | | | | | | " MaskStyleString "edit,popup(2|3|4|5|6|7|8|9|10|11|12|13|14|15|16" "|17|18|19|20|21|22|23|24|25|26|27|28|29|30|31|32),edit,checkbox,edit,edit,pop" "up(Full|User Defined),edit,popup(Signed (2's comp)|Unsigned),edit,edit,edit," "popup(Truncate|Round (unbiased: +/- Inf)),edit,popup(Wrap|Saturate|Flag as e" "rror),edit,checkbox,edit,checkbox,edit,edit,edit,edit,edit,edit,edit,edit,edi" "t,edit" MaskTunableValueString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on" ",on,on,on,on,on,on,on,on,on,on,on,on,on" MaskCallbackString "||||||||||||||||||||||||||||" MaskEnableString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on" ",on,on,on,on,on,on,on,on,on,on,on,on,on" MaskVisibilityString "off,on,off,on,on,off,on,off,on,on,on,off,on,off" ",on,off,on,off,on,on,off,off,off,off,off,off,off,off,off" MaskToolTipString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on" ",on,on,on,on,on,on,on,on,on,on,on,on,on" MaskVarAliasString ",,,,,,,,,,,,,,,,,,,,,,,,,,,," MaskVariables "inputs_sgadvanced=&1;inputs=@2;en_sgadvanced=&3" ";en=@4;latency=@5;precision_sgadvanced=&6;precision=@7;arith_type_sgadvanced=" "&8;arith_type=@9;n_bits=@10;bin_pt=@11;quantization_sgadvanced=&12;quantizati" "on=@13;overflow_sgadvanced=&14;overflow=@15;dbl_ovrd_sgadvanced=&16;dbl_ovrd=" "@17;xl_use_area_sgadvanced=&18;xl_use_area=@19;xl_area=@20;infoedit=@21;has_a" "dvanced_control=@22;sggui_pos=&23;block_type=&24;block_version=&25;sg_icon_st" "at=&26;sg_mask_display=&27;sg_list_contents=&28;sg_blockgui_xml=&29;" MaskInitialization "try\n tmp_gcb = gcb;\n tmp_gcbh = gcbh;\n xl" "MungeMaskParams;\n block_config = 'Sysgen:Mux_config';\n serialized_declara" "tions = '{''arith_type''=>''Int'',''bin_pt''=>''Int'',''dbl_ovrd''=>''Int'','" "'en''=>''Int'',''inputs''=>''Int'',''latency''=>''Int'',''n_bits''=>''Int'','" "'overflow''=>''Int'',''period''=>''Double'',''precision''=>''Int'',''quantiza" "tion''=>''Int'',''sim_engine''=>''Int'',''sysgen_root''=>''String''}';\n xlm" "ux_init();\n ptable_ = xlblockprep(get_param(tmp_gcb, 'MaskWSVariables'));\n" "catch\n global xl_report_errors;\n if(~isempty(xl_report_errors) && xl_repo" "rt_errors)\n e = regexprep(lasterr, '\\n', '\\nError: ');\n disp(['Erro" "r: While running MaskInit code on block ' tmp_gcb ': ' e]);\n error(e);\n " " end\nend\n" MaskDisplay "fprintf('','COMMENT: begin icon graphics');\npa" "tch([0 45 45 0 ],[0 14.8571 89.1429 104 ],[0.77 0.82 0.91]);\npatch([10 3 14 " "3 10 22 25 28 41 31 21 14 25 14 21 31 41 28 25 22 10 ],[34 41 52 63 70 70 67 " "70 70 60 70 63 52 41 34 44 34 34 37 34 34 ],[0.98 0.96 0.92]);\nplot([0 45 45" " 0 0 ],[0 14.8571 89.1429 104 0 ]);\nfprintf('','COMMENT: end icon graphics')" ";\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input'" ",1,'sel');\ncolor('black');port_label('input',2,'d0');\ncolor('black');port_l" "abel('input',3,'d1');\ncolor('black');disp('\\bf{}','texmode','on');\nfprintf" "('','COMMENT: end icon text');\n" MaskSelfModifiable on MaskIconFrame off MaskIconOpaque on MaskIconRotate "none" MaskIconUnits "autoscale" MaskValueString "|2||off|0||Full||Unsigned|16|14||Truncate||Wrap" "||off||off|[0,0,0,0,0,0,0]||0|-1,-1,-1,-1|mux|8.2.02|45,104,3,1,white,blue,3," "613f58e1|fprintf('','COMMENT: begin icon graphics');\npatch([0 45 45 0 ],[0 1" "4.8571 89.1429 104 ],[0.77 0.82 0.91]);\npatch([10 3 14 3 10 22 25 28 41 31 2" "1 14 25 14 21 31 41 28 25 22 10 ],[34 41 52 63 70 70 67 70 70 60 70 63 52 41 " "34 44 34 34 37 34 34 ],[0.98 0.96 0.92]);\nplot([0 45 45 0 0 ],[0 14.8571 89." "1429 104 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMEN" "T: begin icon text');\ncolor('black');port_label('input',1,'sel');\ncolor('bl" "ack');port_label('input',2,'d0');\ncolor('black');port_label('input',3,'d1');" "\ncolor('black');disp('\\bf{}','texmode','on');\nfprintf('','COMMENT: end ico" "n text');\n||\n \n \n \n \n \n \n \n" " \n \n \n \n " "\n \n \n \n \n \n \n \n \n \n " " \n \n \n \n \n \n \n \n \n \n \n " " \n \n \n \n \n \n \n \n \n \n \n " " \n \n \n \n \n \n \n \n \n \n \n \n \n \n <" "item value=\"Signed (2's comp)\" label=\"Signed (2's comp)\" />\n \n \n \n " " \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n " "\n \n \n \n \n \n \n" " \n \n \n \n \n \n\n" MaskTabNameString ",,,,,,,,,,,,,,,,,,,,,,,,,,,," } Block { BlockType "S-Function" Name "Mux1" Ports [3, 1] Position [1015, 353, 1060, 457] CopyFcn "xlBlockMoveCallback(gcbh);" DeleteFcn "xlDestroyGui(gcbh);" LoadFcn "xlBlockLoadCallback(gcbh);" ModelCloseFcn "xlDestroyGui(gcbh);" PreSaveFcn "xlBlockPreSaveCallback(gcbh);" PostSaveFcn "xlBlockPostSaveCallback(gcbh);" DestroyFcn "xlDestroyGui(gcbh);" OpenFcn "xlOpenGui(gcbh, @muxenablement, -1)" CloseFcn "xlDestroyGui(gcbh);" MoveFcn "xlBlockMoveCallback(gcbh);" FunctionName "sysgen" Parameters "ptable_" MaskType "Xilinx Bus Multiplexer Block" MaskDescription "Xilinx Bus Multiplexer" MaskHelp "eval('xlWeb(xlhtmldoclink(''Mux''))');" MaskPromptString " |Number of inputs| |Provide enable port|Latenc" "y| |Precision| |Output type|Number of bits|Binary point| |Quantization| |Over" "flow| |Override with doubles| |Define FPGA area for resource estimation|FPGA " "area [slices, FFs, BRAMs, LUTs, IOBs, emb. mults, TBUFs]| | | | | | | | | " MaskStyleString "edit,popup(2|3|4|5|6|7|8|9|10|11|12|13|14|15|16" "|17|18|19|20|21|22|23|24|25|26|27|28|29|30|31|32),edit,checkbox,edit,edit,pop" "up(Full|User Defined),edit,popup(Signed (2's comp)|Unsigned),edit,edit,edit," "popup(Truncate|Round (unbiased: +/- Inf)),edit,popup(Wrap|Saturate|Flag as e" "rror),edit,checkbox,edit,checkbox,edit,edit,edit,edit,edit,edit,edit,edit,edi" "t,edit" MaskTunableValueString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on" ",on,on,on,on,on,on,on,on,on,on,on,on,on" MaskCallbackString "||||||||||||||||||||||||||||" MaskEnableString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on" ",on,on,on,on,on,on,on,on,on,on,on,on,on" MaskVisibilityString "off,on,off,on,on,off,on,off,on,on,on,off,on,off" ",on,off,on,off,on,on,off,off,off,off,off,off,off,off,off" MaskToolTipString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on" ",on,on,on,on,on,on,on,on,on,on,on,on,on" MaskVarAliasString ",,,,,,,,,,,,,,,,,,,,,,,,,,,," MaskVariables "inputs_sgadvanced=&1;inputs=@2;en_sgadvanced=&3" ";en=@4;latency=@5;precision_sgadvanced=&6;precision=@7;arith_type_sgadvanced=" "&8;arith_type=@9;n_bits=@10;bin_pt=@11;quantization_sgadvanced=&12;quantizati" "on=@13;overflow_sgadvanced=&14;overflow=@15;dbl_ovrd_sgadvanced=&16;dbl_ovrd=" "@17;xl_use_area_sgadvanced=&18;xl_use_area=@19;xl_area=@20;infoedit=@21;has_a" "dvanced_control=@22;sggui_pos=&23;block_type=&24;block_version=&25;sg_icon_st" "at=&26;sg_mask_display=&27;sg_list_contents=&28;sg_blockgui_xml=&29;" MaskInitialization "try\n tmp_gcb = gcb;\n tmp_gcbh = gcbh;\n xl" "MungeMaskParams;\n block_config = 'Sysgen:Mux_config';\n serialized_declara" "tions = '{''arith_type''=>''Int'',''bin_pt''=>''Int'',''dbl_ovrd''=>''Int'','" "'en''=>''Int'',''inputs''=>''Int'',''latency''=>''Int'',''n_bits''=>''Int'','" "'overflow''=>''Int'',''period''=>''Double'',''precision''=>''Int'',''quantiza" "tion''=>''Int'',''sim_engine''=>''Int'',''sysgen_root''=>''String''}';\n xlm" "ux_init();\n ptable_ = xlblockprep(get_param(tmp_gcb, 'MaskWSVariables'));\n" "catch\n global xl_report_errors;\n if(~isempty(xl_report_errors) && xl_repo" "rt_errors)\n e = regexprep(lasterr, '\\n', '\\nError: ');\n disp(['Erro" "r: While running MaskInit code on block ' tmp_gcb ': ' e]);\n error(e);\n " " end\nend\n" MaskDisplay "fprintf('','COMMENT: begin icon graphics');\npa" "tch([0 45 45 0 ],[0 14.8571 89.1429 104 ],[0.77 0.82 0.91]);\npatch([10 3 14 " "3 10 22 25 28 41 31 21 14 25 14 21 31 41 28 25 22 10 ],[34 41 52 63 70 70 67 " "70 70 60 70 63 52 41 34 44 34 34 37 34 34 ],[0.98 0.96 0.92]);\nplot([0 45 45" " 0 0 ],[0 14.8571 89.1429 104 0 ]);\nfprintf('','COMMENT: end icon graphics')" ";\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input'" ",1,'sel');\ncolor('black');port_label('input',2,'d0');\ncolor('black');port_l" "abel('input',3,'d1');\ncolor('black');disp('\\bf{}','texmode','on');\nfprintf" "('','COMMENT: end icon text');\n" MaskSelfModifiable on MaskIconFrame off MaskIconOpaque on MaskIconRotate "none" MaskIconUnits "autoscale" MaskValueString "|2||off|0||Full||Unsigned|16|14||Truncate||Wrap" "||off||off|[0,0,0,0,0,0,0]||0|-1,-1,-1,-1|mux|8.2.02|45,104,3,1,white,blue,3," "613f58e1|fprintf('','COMMENT: begin icon graphics');\npatch([0 45 45 0 ],[0 1" "4.8571 89.1429 104 ],[0.77 0.82 0.91]);\npatch([10 3 14 3 10 22 25 28 41 31 2" "1 14 25 14 21 31 41 28 25 22 10 ],[34 41 52 63 70 70 67 70 70 60 70 63 52 41 " "34 44 34 34 37 34 34 ],[0.98 0.96 0.92]);\nplot([0 45 45 0 0 ],[0 14.8571 89." "1429 104 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMEN" "T: begin icon text');\ncolor('black');port_label('input',1,'sel');\ncolor('bl" "ack');port_label('input',2,'d0');\ncolor('black');port_label('input',3,'d1');" "\ncolor('black');disp('\\bf{}','texmode','on');\nfprintf('','COMMENT: end ico" "n text');\n||\n \n \n \n \n \n \n \n" " \n \n \n \n " "\n \n \n \n \n \n \n \n \n \n " " \n \n \n \n \n \n \n \n \n \n \n " " \n \n \n \n \n \n \n \n \n \n \n " " \n \n \n \n \n \n \n \n \n \n \n \n \n \n <" "item value=\"Signed (2's comp)\" label=\"Signed (2's comp)\" />\n \n \n \n " " \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n " "\n \n \n \n \n \n \n" " \n \n \n \n \n \n\n" MaskTabNameString ",,,,,,,,,,,,,,,,,,,,,,,,,,,," } Block { BlockType SubSystem Name "Pos_Edge_Detector" Ports [1, 1] Position [410, 404, 535, 466] TreatAsAtomicUnit off MinAlgLoopOccurrences off RTWSystemCode "Auto" MaskHideContents off System { Name "Pos_Edge_Detector" Location [971, 353, 1386, 494] Open off ModelBrowserVisibility off ModelBrowserWidth 200 ScreenColor "white" PaperOrientation "landscape" PaperPositionMode "auto" PaperType "usletter" PaperUnits "inches" ZoomFactor "100" Block { BlockType Inport Name "Input Signal" Position [25, 33, 55, 47] IconDisplay "Port number" } Block { BlockType "S-Function" Name "Delay" Ports [1, 1] Position [170, 57, 230, 113] CopyFcn "xlBlockMoveCallback(gcbh);" DeleteFcn "xlDestroyGui(gcbh);" LoadFcn "xlBlockLoadCallback(gcbh);" ModelCloseFcn "xlDestroyGui(gcbh);" PreSaveFcn "xlBlockPreSaveCallback(gcbh);" PostSaveFcn "xlBlockPostSaveCallback(gcbh);" DestroyFcn "xlDestroyGui(gcbh);" OpenFcn "xlOpenGui(gcbh, @xlmagicenablement, -1)" CloseFcn "xlDestroyGui(gcbh);" MoveFcn "xlBlockMoveCallback(gcbh);" FunctionName "sysgen" Parameters "ptable_" MaskType "Xilinx Delay Block" MaskDescription "Hardware notes: A delay line is a chain, ea" "ch link of which is an SRL16 followed by a flip-flop. If register retiming is" " enabled, the delay line is a chain of flip-flops." MaskHelp "eval('xlWeb(xlhtmldoclink(''Delay''))');" MaskPromptString " | |Provide enable port|Latency| |Override " "with doubles| |Enable register retiming| |Define FPGA area for resource estim" "ation|FPGA area [slices, FFs, BRAMs, LUTs, IOBs, emb. mults, TBUFs]| | | | | " "| | | " MaskStyleString "edit,edit,checkbox,edit,edit,checkbox,edit," "checkbox,edit,checkbox,edit,edit,edit,edit,edit,edit,edit,edit,edit" MaskTunableValueString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,o" "n,on,on,on,on" MaskCallbackString "||||||||||||||||||" MaskEnableString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,o" "n,on,on,on,on" MaskVisibilityString "off,off,on,on,off,on,off,on,off,on,on,off,o" "ff,off,off,off,off,off,off" MaskToolTipString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,o" "n,on,on,on,on" MaskVarAliasString ",,,,,,,,,,,,,,,,,," MaskVariables "infoedit=&1;en_sgadvanced=&2;en=@3;latency=" "@4;dbl_ovrd_sgadvanced=&5;dbl_ovrd=@6;reg_retiming_sgadvanced=&7;reg_retiming" "=@8;xl_use_area_sgadvanced=&9;xl_use_area=@10;xl_area=@11;has_advanced_contro" "l=@12;sggui_pos=&13;block_type=&14;block_version=&15;sg_icon_stat=&16;sg_mask" "_display=&17;sg_list_contents=&18;sg_blockgui_xml=&19;" MaskInitialization "try\n tmp_gcb = gcb;\n tmp_gcbh = gcbh;\n" " xlMungeMaskParams;\n block_config = 'Sysgen:Delay_config';\n serialized_d" "eclarations = '{''dbl_ovrd''=>''Int'',''en''=>''Int'',''latency''=>''Int'',''" "reg_retiming''=>''Int''}';\n ptable_ = xlblockprep(get_param(tmp_gcb, 'MaskW" "SVariables'));\ncatch\n global xl_report_errors;\n if(~isempty(xl_report_er" "rors) && xl_report_errors)\n e = regexprep(lasterr, '\\n', '\\nError: ');" "\n disp(['Error: While running MaskInit code on block ' tmp_gcb ': ' e]);" "\n error(e);\n end\nend\n" MaskDisplay "fprintf('','COMMENT: begin icon graphics');" "\npatch([0 60 60 0 ],[0 0 56 56 ],[0.77 0.82 0.91]);\npatch([15 6 19 6 15 30 " "34 38 54 41 29 20 32 20 29 41 54 38 34 30 15 ],[6 15 28 41 50 50 46 50 50 37 " "49 40 28 16 7 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 0 60 60 0 ],[0 56 5" "6 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: be" "gin icon text');\ncolor('black');disp('z^{-1}','texmode','on');\nfprintf('','" "COMMENT: end icon text');\n" MaskSelfModifiable on MaskIconFrame off MaskIconOpaque on MaskIconRotate "none" MaskIconUnits "autoscale" MaskValueString "Hardware notes: A delay line is a chain, ea" "ch link of which is an SRL16 followed by a flip-flop. If register retiming is" " enabled, the delay line is a chain of flip-flops.||off|1||off||off||off|[0,0" ",0,0,0,0,0]|0|-1,-1,-1,-1|delay|8.2.02|60,56,1,1,white,blue,0,fc531c0e|fprint" "f('','COMMENT: begin icon graphics');\npatch([0 60 60 0 ],[0 0 56 56 ],[0.77 " "0.82 0.91]);\npatch([15 6 19 6 15 30 34 38 54 41 29 20 32 20 29 41 54 38 34 3" "0 15 ],[6 15 28 41 50 50 46 50 50 37 49 40 28 16 7 19 6 6 10 6 6 ],[0.98 0.96" " 0.92]);\nplot([0 0 60 60 0 ],[0 56 56 0 0 ]);\nfprintf('','COMMENT: end icon" " graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');disp('z" "^{-1}','texmode','on');\nfprintf('','COMMENT: end icon text');\n||\n \n \n " "\n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n " "\n \n \n \n " " \n \n \n \n \n " "\n\n" MaskTabNameString ",,,,,,,,,,,,,,,,,," } Block { BlockType "S-Function" Name "Inverter" Ports [1, 1] Position [100, 56, 155, 114] CopyFcn "xlBlockMoveCallback(gcbh);" DeleteFcn "xlDestroyGui(gcbh);" LoadFcn "xlBlockLoadCallback(gcbh);" ModelCloseFcn "xlDestroyGui(gcbh);" PreSaveFcn "xlBlockPreSaveCallback(gcbh);" PostSaveFcn "xlBlockPostSaveCallback(gcbh);" DestroyFcn "xlDestroyGui(gcbh);" OpenFcn "xlOpenGui(gcbh, @xlmagicenablement, -1)" CloseFcn "xlDestroyGui(gcbh);" MoveFcn "xlBlockMoveCallback(gcbh);" FunctionName "sysgen" Parameters "ptable_" MaskType "Xilinx Inverter Block" MaskDescription "Bitwise logical negation (one's complement)" " operator." MaskHelp "eval('xlWeb(xlhtmldoclink(''Inverter''))');" MaskPromptString " | |Provide enable port|Latency| |Override " "with doubles| |Define FPGA area for resource estimation|FPGA area [slices, FF" "s, BRAMs, LUTs, IOBs, emb. mults, TBUFs]| | | | | | | | " MaskStyleString "edit,edit,checkbox,edit,edit,checkbox,edit," "checkbox,edit,edit,edit,edit,edit,edit,edit,edit,edit" MaskTunableValueString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,o" "n,on,on" MaskCallbackString "||||||||||||||||" MaskEnableString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,o" "n,on,on" MaskVisibilityString "off,off,on,on,off,on,off,on,on,off,off,off," "off,off,off,off,off" MaskToolTipString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,o" "n,on,on" MaskVarAliasString ",,,,,,,,,,,,,,,," MaskVariables "infoedit=&1;en_sgadvanced=&2;en=@3;latency=" "@4;dbl_ovrd_sgadvanced=&5;dbl_ovrd=@6;xl_use_area_sgadvanced=&7;xl_use_area=@" "8;xl_area=@9;has_advanced_control=@10;sggui_pos=&11;block_type=&12;block_vers" "ion=&13;sg_icon_stat=&14;sg_mask_display=&15;sg_list_contents=&16;sg_blockgui" "_xml=&17;" MaskInitialization "try\n tmp_gcb = gcb;\n tmp_gcbh = gcbh;\n" " xlMungeMaskParams;\n block_config = 'Sysgen:Inverter_config';\n serialize" "d_declarations = '{''dbl_ovrd''=>''Int'',''en''=>''Int'',''latency''=>''Int''" "}';\n ptable_ = xlblockprep(get_param(tmp_gcb, 'MaskWSVariables'));\ncatch\n" " global xl_report_errors;\n if(~isempty(xl_report_errors) && xl_report_erro" "rs)\n e = regexprep(lasterr, '\\n', '\\nError: ');\n disp(['Error: Whil" "e running MaskInit code on block ' tmp_gcb ': ' e]);\n error(e);\n end\ne" "nd\n" MaskDisplay "fprintf('','COMMENT: begin icon graphics');" "\npatch([0 55 55 0 ],[0 0 58 58 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13 28 " "32 36 52 40 28 19 32 19 28 40 52 36 32 28 13 ],[7 16 29 42 51 51 47 51 51 39 " "51 42 29 16 7 19 7 7 11 7 7 ],[0.98 0.96 0.92]);\nplot([0 0 55 55 0 ],[0 58 5" "8 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: be" "gin icon text');\ncolor('black');disp('not');\nfprintf('','COMMENT: end icon " "text');\n" MaskSelfModifiable on MaskIconFrame off MaskIconOpaque on MaskIconRotate "none" MaskIconUnits "autoscale" MaskValueString "Bitwise logical negation (one's complement)" " operator.||off|0||off||off|[0,0,0,0,0,0,0]|0|20,20,356,237|inv|8.2.02|55,58," "1,1,white,blue,0,1ab4a85f|fprintf('','COMMENT: begin icon graphics');\npatch(" "[0 55 55 0 ],[0 0 58 58 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13 28 32 36 52" " 40 28 19 32 19 28 40 52 36 32 28 13 ],[7 16 29 42 51 51 47 51 51 39 51 42 29" " 16 7 19 7 7 11 7 7 ],[0.98 0.96 0.92]);\nplot([0 0 55 55 0 ],[0 58 58 0 0 ])" ";\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon" " text');\ncolor('black');disp('not');\nfprintf('','COMMENT: end icon text');" "\n||\n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n " "\n \n \n " "\n \n \n " " \n " " \n \n \n \n\n" MaskTabNameString ",,,,,,,,,,,,,,,," } Block { BlockType "S-Function" Name "Logical" Ports [2, 1] Position [280, 25, 335, 85] CopyFcn "xlBlockMoveCallback(gcbh);" DeleteFcn "xlDestroyGui(gcbh);" LoadFcn "xlBlockLoadCallback(gcbh);" ModelCloseFcn "xlDestroyGui(gcbh);" PreSaveFcn "xlBlockPreSaveCallback(gcbh);" PostSaveFcn "xlBlockPostSaveCallback(gcbh);" DestroyFcn "xlDestroyGui(gcbh);" OpenFcn "xlOpenGui(gcbh, @logicalenablement, -1)" CloseFcn "xlDestroyGui(gcbh);" MoveFcn "xlBlockMoveCallback(gcbh);" FunctionName "sysgen" Parameters "ptable_" MaskType "Xilinx Logical Block Block" MaskDescription "Xilinx Logical Block" MaskHelp "eval('xlWeb(xlhtmldoclink(''Logical''))');" MaskPromptString " |Logical function |Number of inputs | |Pr" "ovide enable port|Latency| |Precision| |Output Type|Number of bits|Binary poi" "nt| |Align binary point| |Override with doubles| |Define FPGA area for resour" "ce estimation|FPGA area [slices, FFs, BRAMs, LUTs, IOBs, emb. mults, TBUFs]| " "| | | | | | | | | " MaskStyleString "edit,popup(AND|NAND|OR|NOR|XOR|XNOR),edit,e" "dit,checkbox,edit,edit,popup(Full|User Defined),edit,popup(Signed (2's comp)" "|Unsigned),edit,edit,edit,checkbox,edit,checkbox,edit,checkbox,edit,edit,edit" ",edit,edit,edit,edit,edit,edit,edit,edit" MaskTunableValueString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,o" "n,on,on,on,on,on,on,on,on,on,on,on,on,on,on" MaskCallbackString "||||||||||||||||||||||||||||" MaskEnableString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,o" "n,on,on,on,on,on,on,on,on,on,on,on,on,on,on" MaskVisibilityString "off,on,on,off,on,on,off,on,off,on,on,on,off" ",on,off,on,off,on,on,off,off,off,off,off,off,off,off,off,off" MaskToolTipString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,o" "n,on,on,on,on,on,on,on,on,on,on,on,on,on,on" MaskVarAliasString ",,,,,,,,,,,,,,,,,,,,,,,,,,,," MaskVariables "logical_function_sgadvanced=&1;logical_func" "tion=@2;inputs=@3;en_sgadvanced=&4;en=@5;latency=@6;precision_sgadvanced=&7;p" "recision=@8;arith_type_sgadvanced=&9;arith_type=@10;n_bits=@11;bin_pt=@12;ali" "gn_bp_sgadvanced=&13;align_bp=@14;dbl_ovrd_sgadvanced=&15;dbl_ovrd=@16;xl_use" "_area_sgadvanced=&17;xl_use_area=@18;xl_area=@19;overflow=@20;quantization=@2" "1;has_advanced_control=@22;sggui_pos=&23;block_type=&24;block_version=&25;sg_" "icon_stat=&26;sg_mask_display=&27;sg_list_contents=&28;sg_blockgui_xml=&29;" MaskInitialization "try\n tmp_gcb = gcb;\n tmp_gcbh = gcbh;\n" " xlMungeMaskParams;\n block_config = 'Sysgen:Logical_config';\n serialized" "_declarations = '{''arith_type''=>''Int'',''bin_pt''=>''Int'',''en''=>''Int''" ",''inputs''=>''Int'',''latency''=>''Int'',''logical_function''=>''Int'',''n_b" "its''=>''Int'',''precision''=>''Int'',''sim_engine''=>''Int'',''sysgen_root''" "=>''String''}';\n xllogical_init();\n ptable_ = xlblockprep(get_param(tmp_g" "cb, 'MaskWSVariables'));\ncatch\n global xl_report_errors;\n if(~isempty(xl" "_report_errors) && xl_report_errors)\n e = regexprep(lasterr, '\\n', '\\nE" "rror: ');\n disp(['Error: While running MaskInit code on block ' tmp_gcb '" ": ' e]);\n error(e);\n end\nend\n" MaskDisplay "fprintf('','COMMENT: begin icon graphics');" "\npatch([0 55 55 0 ],[0 0 60 60 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13 28 " "32 36 52 40 28 19 32 19 28 40 52 36 32 28 13 ],[8 17 30 43 52 52 48 52 52 40 " "52 43 30 17 8 20 8 8 12 8 8 ],[0.98 0.96 0.92]);\nplot([0 0 55 55 0 ],[0 60 6" "0 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: be" "gin icon text');\ncolor('black');disp('\\newlineand\\newlinez^{-0}','texmode'" ",'on');\nfprintf('','COMMENT: end icon text');\n" MaskSelfModifiable on MaskIconFrame off MaskIconOpaque on MaskIconRotate "none" MaskIconUnits "autoscale" MaskValueString "|AND|2||off|0||Full||Unsigned|16|0||on||off" "||off|[0,0,0,0,0,0,0]|||0|-1,-1,-1,-1|logical|8.2.02|55,60,2,1,white,blue,0,0" "87b5522|fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 ],[0 0 " "60 60 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13 28 32 36 52 40 28 19 32 19 28" " 40 52 36 32 28 13 ],[8 17 30 43 52 52 48 52 52 40 52 43 30 17 8 20 8 8 12 8 " "8 ],[0.98 0.96 0.92]);\nplot([0 0 55 55 0 ],[0 60 60 0 0 ]);\nfprintf('','COM" "MENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('b" "lack');disp('\\newlineand\\newlinez^{-0}','texmode','on');\nfprintf('','COMME" "NT: end icon text');\n||\n \n \n \n \n \n \n \n \n \n \n \n \n \n \n " "\n \n \n \n \n \n \n \n \n \n " " \n \n \n \n \n \n " "\n \n " " \n <" "radiogroup ctype=\"Int\" name=\"arith_type\" label=\"Output Type\" default=\"" "Unsigned\" >\n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n <" "workspacevar ctype=\"String\" name=\"sysgen_root\" />\n \n \n \n \n\n" MaskTabNameString ",,,,,,,,,,,,,,,,,,,,,,,,,,,," } Block { BlockType Outport Name "Rising Edge" Position [385, 48, 415, 62] IconDisplay "Port number" BusOutputAsStruct off } Line { SrcBlock "Input Signal" SrcPort 1 Points [0, 0; 15, 0] Branch { DstBlock "Logical" DstPort 1 } Branch { Points [0, 45] DstBlock "Inverter" DstPort 1 } } Line { SrcBlock "Delay" SrcPort 1 Points [15, 0; 0, -15] DstBlock "Logical" DstPort 2 } Line { SrcBlock "Inverter" SrcPort 1 DstBlock "Delay" DstPort 1 } Line { SrcBlock "Logical" SrcPort 1 DstBlock "Rising Edge" DstPort 1 } } } Block { BlockType "S-Function" Name "Reset" Ports [1, 1] Position [150, 835, 215, 855] CopyFcn "xlBlockMoveCallback(gcbh);" DeleteFcn "xlDestroyGui(gcbh);" LoadFcn "xlBlockLoadCallback(gcbh);" ModelCloseFcn "xlDestroyGui(gcbh);" PreSaveFcn "xlBlockPreSaveCallback(gcbh);" PostSaveFcn "xlBlockPostSaveCallback(gcbh);" DestroyFcn "xlDestroyGui(gcbh);" OpenFcn "xlOpenGui(gcbh, @gatewayinenablement, @gatewayi" "naction)" CloseFcn "xlDestroyGui(gcbh);" MoveFcn "xlBlockMoveCallback(gcbh);" FunctionName "sysgen" Parameters "ptable_" MaskType "Xilinx Gateway In Block" MaskDescription "Gateway in block. Converts inputs of type Simu" "link integer, double and fixed point to Xilinx fixed point type.\n\nHardware" " notes: In hardware these blocks become top level input ports." MaskHelp "eval('xlWeb(xlhtmldoclink(''Gateway In''))');" MaskPromptString " | |Output type|Number of bits|Binary point| |Q" "uantization| |Overflow|Sample period| |Override with doubles| |IOB timing con" "straint| |Specify IOB location constraints|IOB pad locations (specify as cell" " array {'MSB', ..., 'LSB'})| |Define FPGA area for resource estimation|FPGA a" "rea [slices, FFs, BRAMs, LUTs, IOBs, emb. mults, TBUFs]| | | | | | | | | " MaskStyleString "edit,edit,popup(Boolean|Signed (2's comp)|Unsi" "gned),edit,edit,edit,popup(Truncate|Round (unbiased: +/- Inf)),edit,popup(Wr" "ap|Saturate|Flag as error),edit,edit,checkbox,edit,popup(None|Data Rate),edit" ",checkbox,edit,edit,checkbox,edit,edit,edit,edit,edit,edit,edit,edit,edit,edi" "t" MaskTunableValueString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on" ",on,on,on,on,on,on,on,on,on,on,on,on,on" MaskCallbackString "||||||||||||||||||||||||||||" MaskEnableString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on" ",on,on,on,on,on,on,on,on,on,on,on,on,on" MaskVisibilityString "off,off,on,on,on,off,on,off,on,on,off,on,off,on" ",off,on,on,off,on,on,off,off,off,off,off,off,off,off,off" MaskToolTipString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on" ",on,on,on,on,on,on,on,on,on,on,on,on,on" MaskVarAliasString ",,,,,,,,,,,,,,,,,,,,,,,,,,,," MaskVariables "infoedit=&1;arith_type_sgadvanced=&2;arith_type" "=@3;n_bits=@4;bin_pt=@5;quantization_sgadvanced=&6;quantization=@7;overflow_s" "gadvanced=&8;overflow=@9;period=@10;dbl_ovrd_sgadvanced=&11;dbl_ovrd=@12;timi" "ng_constraint_sgadvanced=&13;timing_constraint=@14;locs_specified_sgadvanced=" "&15;locs_specified=@16;LOCs=@17;xl_use_area_sgadvanced=&18;xl_use_area=@19;xl" "_area=@20;sginterface=&21;has_advanced_control=@22;sggui_pos=&23;block_type=&" "24;block_version=&25;sg_icon_stat=&26;sg_mask_display=&27;sg_list_contents=&2" "8;sg_blockgui_xml=&29;" MaskInitialization "try\n tmp_gcb = gcb;\n tmp_gcbh = gcbh;\n xl" "MungeMaskParams;\n block_config = 'Sysgen:gateway_in_config';\n serialized_" "declarations = '{''LOCs''=>''StringVector'',''arith_type''=>''Int'',''bin_pt'" "'=>''Int'',''locs_specified''=>''Int'',''n_bits''=>''Int'',''overflow''=>''In" "t'',''period''=>''Double'',''quantization''=>''Int'',''sginterface''=>''Strin" "g'',''timing_constraint''=>''Int''}';\n ptable_ = xlblockprep(get_param(tmp_" "gcb, 'MaskWSVariables'));\ncatch\n global xl_report_errors;\n if(~isempty(x" "l_report_errors) && xl_report_errors)\n e = regexprep(lasterr, '\\n', '\\n" "Error: ');\n disp(['Error: While running MaskInit code on block ' tmp_gcb " "': ' e]);\n error(e);\n end\nend\n" MaskDisplay "fprintf('','COMMENT: begin icon graphics');\npa" "tch([0 65 65 0 ],[0 0 20 20 ],[0.95 0.93 0.65]);\npatch([27 24 29 24 27 32 33" " 34 40 36 32 29 34 29 32 36 40 34 33 32 27 ],[2 5 10 15 18 18 17 18 18 14 18 " "15 10 5 2 6 2 2 3 2 2 ],[0.98 0.96 0.92]);\nplot([0 0 65 65 0 ],[0 20 20 0 0 " "]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin ic" "on text');\ncolor('black');port_label('input',1,'\\fontsize{11pt}\\bf In ','t" "exmode','on');\ncolor('black');port_label('output',1,' ');\nfprintf('','COMME" "NT: end icon text');\n" MaskSelfModifiable on MaskIconFrame off MaskIconOpaque on MaskIconRotate "none" MaskIconUnits "autoscale" MaskValueString "Gateway in block. Converts inputs of type Simu" "link integer, double and fixed point to Xilinx fixed point type.

Hardwa" "re notes: In hardware these blocks become top level input ports.||Unsigned|1" "|0||Truncate||Wrap|1||off||None||off|{}||off|[0,0,0,0,0,0,0]||0|20,20,356,432" "|gatewayin|8.2.02|65,20,1,1,white,yellow,0,4bb76ffd|fprintf('','COMMENT: begi" "n icon graphics');\npatch([0 65 65 0 ],[0 0 20 20 ],[0.95 0.93 0.65]);\npatch" "([27 24 29 24 27 32 33 34 40 36 32 29 34 29 32 36 40 34 33 32 27 ],[2 5 10 15" " 18 18 17 18 18 14 18 15 10 5 2 6 2 2 3 2 2 ],[0.98 0.96 0.92]);\nplot([0 0 6" "5 65 0 ],[0 20 20 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf" "('','COMMENT: begin icon text');\ncolor('black');port_label('input',1,'\\font" "size{11pt}\\bf In ','texmode','on');\ncolor('black');port_label('output',1,' " "');\nfprintf('','COMMENT: end icon text');\n||\n \n \n \n \n \n " "\n \n " "\n \n <P>Hardware notes: In hardware these blocks b" "ecome top level input ports.\" />\n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n " " \n \n \n \n \n " "\n \n \n \n \n \n \n <" "/tab>\n \n \n \n\n" MaskTabNameString ",,,,,,,,,,,,,,,,,,,,,,,,,,,," } Block { BlockType "S-Function" Name "ResetLCD" Ports [1, 1] Position [625, 60, 685, 80] CopyFcn "xlBlockMoveCallback(gcbh);" DeleteFcn "xlDestroyGui(gcbh);" LoadFcn "xlBlockLoadCallback(gcbh);" ModelCloseFcn "xlDestroyGui(gcbh);" PreSaveFcn "xlBlockPreSaveCallback(gcbh);" PostSaveFcn "xlBlockPostSaveCallback(gcbh);" DestroyFcn "xlDestroyGui(gcbh);" OpenFcn "xlOpenGui(gcbh, @gatewayoutenablement, -1)" CloseFcn "xlDestroyGui(gcbh);" MoveFcn "xlBlockMoveCallback(gcbh);" FunctionName "sysgen" Parameters "ptable_" MaskType "Xilinx Gateway Out Block" MaskDescription "Gateway out block. Converts Xilinx fixed point" " inputs into ouputs of type Simulink integer, double, or fixed point.\n\nHard" "ware notes: In hardware these blocks become top level output ports or are di" "scarded, depending on how they are configured." MaskHelp "eval('xlWeb(xlhtmldoclink(''Gateway Out''))');" MaskPromptString " | |Translate into output port| |IOB timing con" "straint| |Specify IOB location constraints|IOB pad locations (cell array {'MS" "B', ..., 'LSB'})| |Define FPGA area for resource estimation|FPGA area [slices" ", FFs, BRAMs, LUTs, IOBs, emb. mults, TBUFs]| | | | | | | | | " MaskStyleString "edit,edit,checkbox,edit,popup(None|Data Rate|Da" "ta Rate; Set 'FAST' Attribute),edit,checkbox,edit,edit,checkbox,edit,edit,ed" "it,edit,edit,edit,edit,edit,edit,edit" MaskTunableValueString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on" ",on,on,on,on" MaskCallbackString "|||||||||||||||||||" MaskEnableString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on" ",on,on,on,on" MaskVisibilityString "off,off,on,off,on,off,on,on,off,on,on,off,off,o" "ff,off,off,off,off,off,off" MaskToolTipString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on" ",on,on,on,on" MaskVarAliasString ",,,,,,,,,,,,,,,,,,," MaskVariables "infoedit=&1;hdl_port_sgadvanced=&2;hdl_port=@3;" "timing_constraint_sgadvanced=&4;timing_constraint=@5;locs_specified_sgadvance" "d=&6;locs_specified=@7;LOCs=@8;xl_use_area_sgadvanced=&9;xl_use_area=@10;xl_a" "rea=@11;sginterface=&12;has_advanced_control=@13;sggui_pos=&14;block_type=&15" ";block_version=&16;sg_icon_stat=&17;sg_mask_display=&18;sg_list_contents=&19;" "sg_blockgui_xml=&20;" MaskInitialization "try\n tmp_gcb = gcb;\n tmp_gcbh = gcbh;\n xl" "MungeMaskParams;\n block_config = 'Sysgen:gateway_out_config';\n serialized" "_declarations = '{''LOCs''=>''StringVector'',''hdl_port''=>''Int'',''locs_spe" "cified''=>''Int'',''sginterface''=>''String'',''timing_constraint''=>''Int''}" "';\n ptable_ = xlblockprep(get_param(tmp_gcb, 'MaskWSVariables'));\ncatch\n " " global xl_report_errors;\n if(~isempty(xl_report_errors) && xl_report_error" "s)\n e = regexprep(lasterr, '\\n', '\\nError: ');\n disp(['Error: While" " running MaskInit code on block ' tmp_gcb ': ' e]);\n error(e);\n end\nen" "d\n" MaskDisplay "fprintf('','COMMENT: begin icon graphics');\npa" "tch([0 60 60 0 ],[0 0 20 20 ],[0.95 0.93 0.65]);\npatch([24 21 26 21 24 29 30" " 31 37 33 29 26 31 26 29 33 37 31 30 29 24 ],[2 5 10 15 18 18 17 18 18 14 18 " "15 10 5 2 6 2 2 3 2 2 ],[0.98 0.96 0.92]);\nplot([0 0 60 60 0 ],[0 20 20 0 0 " "]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin ic" "on text');\ncolor('black');port_label('input',1,' ');\ncolor('black');port_la" "bel('output',1,'\\fontsize{11pt}\\bf Out ','texmode','on');\nfprintf('','COMM" "ENT: end icon text');\n" MaskSelfModifiable on MaskIconFrame off MaskIconOpaque on MaskIconRotate "none" MaskIconUnits "autoscale" MaskValueString "Gateway out block. Converts Xilinx fixed point" " inputs into ouputs of type Simulink integer, double, or fixed point.

Ha" "rdware notes: In hardware these blocks become top level output ports or are " "discarded, depending on how they are configured.||on||None||off|{}||off|[0,0," "0,0,0,0,0]||0|-1,-1,-1,-1|gatewayout|8.2.02|60,20,1,1,white,yellow,0,f0cec300" "|fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 ],[0 0 20 20 ]" ",[0.95 0.93 0.65]);\npatch([24 21 26 21 24 29 30 31 37 33 29 26 31 26 29 33 3" "7 31 30 29 24 ],[2 5 10 15 18 18 17 18 18 14 18 15 10 5 2 6 2 2 3 2 2 ],[0.98" " 0.96 0.92]);\nplot([0 0 60 60 0 ],[0 20 20 0 0 ]);\nfprintf('','COMMENT: end" " icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');po" "rt_label('input',1,' ');\ncolor('black');port_label('output',1,'\\fontsize{11" "pt}\\bf Out ','texmode','on');\nfprintf('','COMMENT: end icon text');\n||<" "sysgenblock block_type=\"gatewayout\" simulinkname=\"Gateway Out\" >\n \n \n \n \n " "\n \n \n \n \n <P>Hardware notes: In hardware thes" "e blocks become top level output ports or are discarded, depending on how the" "y are configured.\" />\n \n \n " "\n \n \n" " \n \n \n \n \n \n \n \n <" "/blockgui>\n\n" MaskTabNameString ",,,,,,,,,,,,,,,,,,," } Block { BlockType "S-Function" Name "SCL" Ports [1, 1] Position [1525, 395, 1585, 415] CopyFcn "xlBlockMoveCallback(gcbh);" DeleteFcn "xlDestroyGui(gcbh);" LoadFcn "xlBlockLoadCallback(gcbh);" ModelCloseFcn "xlDestroyGui(gcbh);" PreSaveFcn "xlBlockPreSaveCallback(gcbh);" PostSaveFcn "xlBlockPostSaveCallback(gcbh);" DestroyFcn "xlDestroyGui(gcbh);" OpenFcn "xlOpenGui(gcbh, @gatewayoutenablement, -1)" CloseFcn "xlDestroyGui(gcbh);" MoveFcn "xlBlockMoveCallback(gcbh);" FunctionName "sysgen" Parameters "ptable_" MaskType "Xilinx Gateway Out Block" MaskDescription "Gateway out block. Converts Xilinx fixed point" " inputs into ouputs of type Simulink integer, double, or fixed point.\n\nHard" "ware notes: In hardware these blocks become top level output ports or are di" "scarded, depending on how they are configured." MaskHelp "eval('xlWeb(xlhtmldoclink(''Gateway Out''))');" MaskPromptString " | |Translate into output port| |IOB timing con" "straint| |Specify IOB location constraints|IOB pad locations (cell array {'MS" "B', ..., 'LSB'})| |Define FPGA area for resource estimation|FPGA area [slices" ", FFs, BRAMs, LUTs, IOBs, emb. mults, TBUFs]| | | | | | | | | " MaskStyleString "edit,edit,checkbox,edit,popup(None|Data Rate|Da" "ta Rate; Set 'FAST' Attribute),edit,checkbox,edit,edit,checkbox,edit,edit,ed" "it,edit,edit,edit,edit,edit,edit,edit" MaskTunableValueString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on" ",on,on,on,on" MaskCallbackString "|||||||||||||||||||" MaskEnableString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on" ",on,on,on,on" MaskVisibilityString "off,off,on,off,on,off,on,on,off,on,on,off,off,o" "ff,off,off,off,off,off,off" MaskToolTipString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on" ",on,on,on,on" MaskVarAliasString ",,,,,,,,,,,,,,,,,,," MaskVariables "infoedit=&1;hdl_port_sgadvanced=&2;hdl_port=@3;" "timing_constraint_sgadvanced=&4;timing_constraint=@5;locs_specified_sgadvance" "d=&6;locs_specified=@7;LOCs=@8;xl_use_area_sgadvanced=&9;xl_use_area=@10;xl_a" "rea=@11;sginterface=&12;has_advanced_control=@13;sggui_pos=&14;block_type=&15" ";block_version=&16;sg_icon_stat=&17;sg_mask_display=&18;sg_list_contents=&19;" "sg_blockgui_xml=&20;" MaskInitialization "try\n tmp_gcb = gcb;\n tmp_gcbh = gcbh;\n xl" "MungeMaskParams;\n block_config = 'Sysgen:gateway_out_config';\n serialized" "_declarations = '{''LOCs''=>''StringVector'',''hdl_port''=>''Int'',''locs_spe" "cified''=>''Int'',''sginterface''=>''String'',''timing_constraint''=>''Int''}" "';\n ptable_ = xlblockprep(get_param(tmp_gcb, 'MaskWSVariables'));\ncatch\n " " global xl_report_errors;\n if(~isempty(xl_report_errors) && xl_report_error" "s)\n e = regexprep(lasterr, '\\n', '\\nError: ');\n disp(['Error: While" " running MaskInit code on block ' tmp_gcb ': ' e]);\n error(e);\n end\nen" "d\n" MaskDisplay "fprintf('','COMMENT: begin icon graphics');\npa" "tch([0 60 60 0 ],[0 0 20 20 ],[0.95 0.93 0.65]);\npatch([24 21 26 21 24 29 30" " 31 37 33 29 26 31 26 29 33 37 31 30 29 24 ],[2 5 10 15 18 18 17 18 18 14 18 " "15 10 5 2 6 2 2 3 2 2 ],[0.98 0.96 0.92]);\nplot([0 0 60 60 0 ],[0 20 20 0 0 " "]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin ic" "on text');\ncolor('black');port_label('input',1,' ');\ncolor('black');port_la" "bel('output',1,'\\fontsize{11pt}\\bf Out ','texmode','on');\nfprintf('','COMM" "ENT: end icon text');\n" MaskSelfModifiable on MaskIconFrame off MaskIconOpaque on MaskIconRotate "none" MaskIconUnits "autoscale" MaskValueString "Gateway out block. Converts Xilinx fixed point" " inputs into ouputs of type Simulink integer, double, or fixed point.

Ha" "rdware notes: In hardware these blocks become top level output ports or are " "discarded, depending on how they are configured.||on||None||off|{}||off|[0,0," "0,0,0,0,0]||0|-1,-1,-1,-1|gatewayout|8.2.02|60,20,1,1,white,yellow,0,f0cec300" "|fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 ],[0 0 20 20 ]" ",[0.95 0.93 0.65]);\npatch([24 21 26 21 24 29 30 31 37 33 29 26 31 26 29 33 3" "7 31 30 29 24 ],[2 5 10 15 18 18 17 18 18 14 18 15 10 5 2 6 2 2 3 2 2 ],[0.98" " 0.96 0.92]);\nplot([0 0 60 60 0 ],[0 20 20 0 0 ]);\nfprintf('','COMMENT: end" " icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');po" "rt_label('input',1,' ');\ncolor('black');port_label('output',1,'\\fontsize{11" "pt}\\bf Out ','texmode','on');\nfprintf('','COMMENT: end icon text');\n||<" "sysgenblock block_type=\"gatewayout\" simulinkname=\"Gateway Out\" >\n \n \n \n \n " "\n \n \n \n \n <P>Hardware notes: In hardware thes" "e blocks become top level output ports or are discarded, depending on how the" "y are configured.\" />\n \n \n " "\n \n \n" " \n \n \n \n \n \n \n \n <" "/blockgui>\n\n" MaskTabNameString ",,,,,,,,,,,,,,,,,,," } Block { BlockType "S-Function" Name "SDI" Ports [1, 1] Position [1525, 335, 1585, 355] CopyFcn "xlBlockMoveCallback(gcbh);" DeleteFcn "xlDestroyGui(gcbh);" LoadFcn "xlBlockLoadCallback(gcbh);" ModelCloseFcn "xlDestroyGui(gcbh);" PreSaveFcn "xlBlockPreSaveCallback(gcbh);" PostSaveFcn "xlBlockPostSaveCallback(gcbh);" DestroyFcn "xlDestroyGui(gcbh);" OpenFcn "xlOpenGui(gcbh, @gatewayoutenablement, -1)" CloseFcn "xlDestroyGui(gcbh);" MoveFcn "xlBlockMoveCallback(gcbh);" FunctionName "sysgen" Parameters "ptable_" MaskType "Xilinx Gateway Out Block" MaskDescription "Gateway out block. Converts Xilinx fixed point" " inputs into ouputs of type Simulink integer, double, or fixed point.\n\nHard" "ware notes: In hardware these blocks become top level output ports or are di" "scarded, depending on how they are configured." MaskHelp "eval('xlWeb(xlhtmldoclink(''Gateway Out''))');" MaskPromptString " | |Translate into output port| |IOB timing con" "straint| |Specify IOB location constraints|IOB pad locations (cell array {'MS" "B', ..., 'LSB'})| |Define FPGA area for resource estimation|FPGA area [slices" ", FFs, BRAMs, LUTs, IOBs, emb. mults, TBUFs]| | | | | | | | | " MaskStyleString "edit,edit,checkbox,edit,popup(None|Data Rate|Da" "ta Rate; Set 'FAST' Attribute),edit,checkbox,edit,edit,checkbox,edit,edit,ed" "it,edit,edit,edit,edit,edit,edit,edit" MaskTunableValueString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on" ",on,on,on,on" MaskCallbackString "|||||||||||||||||||" MaskEnableString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on" ",on,on,on,on" MaskVisibilityString "off,off,on,off,on,off,on,on,off,on,on,off,off,o" "ff,off,off,off,off,off,off" MaskToolTipString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on" ",on,on,on,on" MaskVarAliasString ",,,,,,,,,,,,,,,,,,," MaskVariables "infoedit=&1;hdl_port_sgadvanced=&2;hdl_port=@3;" "timing_constraint_sgadvanced=&4;timing_constraint=@5;locs_specified_sgadvance" "d=&6;locs_specified=@7;LOCs=@8;xl_use_area_sgadvanced=&9;xl_use_area=@10;xl_a" "rea=@11;sginterface=&12;has_advanced_control=@13;sggui_pos=&14;block_type=&15" ";block_version=&16;sg_icon_stat=&17;sg_mask_display=&18;sg_list_contents=&19;" "sg_blockgui_xml=&20;" MaskInitialization "try\n tmp_gcb = gcb;\n tmp_gcbh = gcbh;\n xl" "MungeMaskParams;\n block_config = 'Sysgen:gateway_out_config';\n serialized" "_declarations = '{''LOCs''=>''StringVector'',''hdl_port''=>''Int'',''locs_spe" "cified''=>''Int'',''sginterface''=>''String'',''timing_constraint''=>''Int''}" "';\n ptable_ = xlblockprep(get_param(tmp_gcb, 'MaskWSVariables'));\ncatch\n " " global xl_report_errors;\n if(~isempty(xl_report_errors) && xl_report_error" "s)\n e = regexprep(lasterr, '\\n', '\\nError: ');\n disp(['Error: While" " running MaskInit code on block ' tmp_gcb ': ' e]);\n error(e);\n end\nen" "d\n" MaskDisplay "fprintf('','COMMENT: begin icon graphics');\npa" "tch([0 60 60 0 ],[0 0 20 20 ],[0.95 0.93 0.65]);\npatch([24 21 26 21 24 29 30" " 31 37 33 29 26 31 26 29 33 37 31 30 29 24 ],[2 5 10 15 18 18 17 18 18 14 18 " "15 10 5 2 6 2 2 3 2 2 ],[0.98 0.96 0.92]);\nplot([0 0 60 60 0 ],[0 20 20 0 0 " "]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin ic" "on text');\ncolor('black');port_label('input',1,' ');\ncolor('black');port_la" "bel('output',1,'\\fontsize{11pt}\\bf Out ','texmode','on');\nfprintf('','COMM" "ENT: end icon text');\n" MaskSelfModifiable on MaskIconFrame off MaskIconOpaque on MaskIconRotate "none" MaskIconUnits "autoscale" MaskValueString "Gateway out block. Converts Xilinx fixed point" " inputs into ouputs of type Simulink integer, double, or fixed point.

Ha" "rdware notes: In hardware these blocks become top level output ports or are " "discarded, depending on how they are configured.||on||None||off|{}||off|[0,0," "0,0,0,0,0]||0|20,20,356,335|gatewayout|8.2.02|60,20,1,1,white,yellow,0,f0cec3" "00|fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 ],[0 0 20 20" " ],[0.95 0.93 0.65]);\npatch([24 21 26 21 24 29 30 31 37 33 29 26 31 26 29 33" " 37 31 30 29 24 ],[2 5 10 15 18 18 17 18 18 14 18 15 10 5 2 6 2 2 3 2 2 ],[0." "98 0.96 0.92]);\nplot([0 0 60 60 0 ],[0 20 20 0 0 ]);\nfprintf('','COMMENT: e" "nd icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');" "port_label('input',1,' ');\ncolor('black');port_label('output',1,'\\fontsize{" "11pt}\\bf Out ','texmode','on');\nfprintf('','COMMENT: end icon text');\n||<" "!-- * Reproduction or reuse, in any form, without the explicit written -->" "\n \n \n \n \n \n \n \n \n \n \n \n" " \n \n \n \n <" "item value=\"Signed (2's comp)\" label=\"Signed (2's comp)\" />\n \n \n \n \n \n \n \n \n \n \n " " \n \n \n \n \n \n \n \n \n " " \n \n \n \n \n \n \n " " \n \n >17\" >\n \n \n \n \n " " >17\" />\n >17\" />\n \n \n \n \n \n \n \n" " \n \n \n \n \n " " \n \n \n " " \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n\n" MaskTabNameString ",,,,,,,,,,,,,,,,,,,,,,,,,,,,," } Block { BlockType "S-Function" Name "Convert" Ports [1, 1] Position [1105, 275, 1145, 305] CopyFcn "xlBlockMoveCallback(gcbh);" DeleteFcn "xlDestroyGui(gcbh);" LoadFcn "xlBlockLoadCallback(gcbh);" ModelCloseFcn "xlDestroyGui(gcbh);" PreSaveFcn "xlBlockPreSaveCallback(gcbh);" PostSaveFcn "xlBlockPostSaveCallback(gcbh);" DestroyFcn "xlDestroyGui(gcbh);" OpenFcn "xlOpenGui(gcbh, @convertenablement, -1)" CloseFcn "xlDestroyGui(gcbh);" MoveFcn "xlBlockMoveCallback(gcbh);" FunctionName "sysgen" Parameters "ptable_" MaskType "Xilinx Type Converter Block" MaskDescription "Hardware notes: rounding and saturating req" "uire hardware resources; truncating and wrapping do not." MaskHelp "eval('xlWeb(xlhtmldoclink(''Convert''))');" MaskPromptString " | |Type|Number of bits|Binary point| |Quan" "tization| |Overflow|Latency| |Override with doubles| |Pipeline for maximum pe" "rformance| |Define FPGA area for resource estimation|FPGA area [slices, FFs, " "BRAMs, LUTs, IOBs, emb. mults, TBUFs]| | | | | | | | " MaskStyleString "edit,edit,popup(Boolean|Signed (2's comp)|" "Unsigned),edit,edit,edit,popup(Truncate|Round (unbiased: +/- Inf)|Round (un" "biased: Even Values)),edit,popup(Wrap|Saturate|Flag as error),edit,edit,check" "box,edit,checkbox,edit,checkbox,edit,edit,edit,edit,edit,edit,edit,edit,edit" MaskTunableValueString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,o" "n,on,on,on,on,on,on,on,on,on,on" MaskCallbackString "||||||||||||||||||||||||" MaskEnableString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,o" "n,on,on,on,on,on,on,on,on,on,on" MaskVisibilityString "off,off,on,on,on,off,on,off,on,on,off,on,of" "f,on,off,on,on,off,off,off,off,off,off,off,off" MaskToolTipString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,o" "n,on,on,on,on,on,on,on,on,on,on" MaskVarAliasString ",,,,,,,,,,,,,,,,,,,,,,,," MaskVariables "infoedit=&1;arith_type_sgadvanced=&2;arith_" "type=@3;n_bits=@4;bin_pt=@5;quantization_sgadvanced=&6;quantization=@7;overfl" "ow_sgadvanced=&8;overflow=@9;latency=@10;dbl_ovrd_sgadvanced=&11;dbl_ovrd=@12" ";pipeline_sgadvanced=&13;pipeline=@14;xl_use_area_sgadvanced=&15;xl_use_area=" "@16;xl_area=@17;has_advanced_control=@18;sggui_pos=&19;block_type=&20;block_v" "ersion=&21;sg_icon_stat=&22;sg_mask_display=&23;sg_list_contents=&24;sg_block" "gui_xml=&25;" MaskInitialization "try\n tmp_gcb = gcb;\n tmp_gcbh = gcbh;\n" " xlMungeMaskParams;\n block_config = 'Sysgen:Convert_config';\n serialized" "_declarations = '{''arith_type''=>''Int'',''bin_pt''=>''Int'',''latency''=>''" "Int'',''n_bits''=>''Int'',''overflow''=>''Int'',''pipeline''=>''Int'',''quant" "ization''=>''Int'',''sim_engine''=>''Int'',''sysgen_root''=>''String''}';\n " "xlconvert_init();\n ptable_ = xlblockprep(get_param(tmp_gcb, 'MaskWSVariable" "s'));\ncatch\n global xl_report_errors;\n if(~isempty(xl_report_errors) && " "xl_report_errors)\n e = regexprep(lasterr, '\\n', '\\nError: ');\n disp" "(['Error: While running MaskInit code on block ' tmp_gcb ': ' e]);\n error" "(e);\n end\nend\n" MaskDisplay "fprintf('','COMMENT: begin icon graphics');" "\npatch([0 40 40 0 ],[0 0 30 30 ],[0.77 0.82 0.91]);\npatch([12 7 14 7 12 20 " "22 24 32 25 19 14 20 14 19 25 32 24 22 20 12 ],[3 8 15 22 27 27 25 27 27 20 2" "6 21 15 9 4 10 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 0 40 40 0 ],[0 30 30 0" " 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin" " icon text');\ncolor('black');port_label('output',1,'cast');\nfprintf('','COM" "MENT: end icon text');\n" MaskSelfModifiable on MaskIconFrame off MaskIconOpaque on MaskIconRotate "none" MaskIconUnits "autoscale" MaskValueString "Hardware notes: rounding and saturating req" "uire hardware resources; truncating and wrapping do not.||Boolean|16|14||Trun" "cate||Wrap|0||off||off||off|[0,0,0,0,0,0,0]|0|20,20,374,375|convert|8.2.02|40" ",30,1,1,white,blue,0,0eb6e735|fprintf('','COMMENT: begin icon graphics');\npa" "tch([0 40 40 0 ],[0 0 30 30 ],[0.77 0.82 0.91]);\npatch([12 7 14 7 12 20 22 2" "4 32 25 19 14 20 14 19 25 32 24 22 20 12 ],[3 8 15 22 27 27 25 27 27 20 26 21" " 15 9 4 10 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 0 40 40 0 ],[0 30 30 0 0 ]" ");\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin ico" "n text');\ncolor('black');port_label('output',1,'cast');\nfprintf('','COMMENT" ": end icon text');\n||\n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n" " \n \n \n \n \n \n \n \n \n " "(unbiased: +/- Inf)\" />\n (unbiased: even values)\" />\n \n " " \n \n " "\n \n \n \n \n \n \n " " \n \n \n \n \n \n \n" " \n \n \n \n \n \n \n \n \n \n\n" MaskTabNameString ",,,,,,,,,,,,,,,,,,,,,,,," } Block { BlockType "S-Function" Name "Convert1" Ports [1, 1] Position [1640, 275, 1680, 305] CopyFcn "xlBlockMoveCallback(gcbh);" DeleteFcn "xlDestroyGui(gcbh);" LoadFcn "xlBlockLoadCallback(gcbh);" ModelCloseFcn "xlDestroyGui(gcbh);" PreSaveFcn "xlBlockPreSaveCallback(gcbh);" PostSaveFcn "xlBlockPostSaveCallback(gcbh);" DestroyFcn "xlDestroyGui(gcbh);" OpenFcn "xlOpenGui(gcbh, @convertenablement, -1)" CloseFcn "xlDestroyGui(gcbh);" MoveFcn "xlBlockMoveCallback(gcbh);" FunctionName "sysgen" Parameters "ptable_" MaskType "Xilinx Type Converter Block" MaskDescription "Hardware notes: rounding and saturating req" "uire hardware resources; truncating and wrapping do not." MaskHelp "eval('xlWeb(xlhtmldoclink(''Convert''))');" MaskPromptString " | |Type|Number of bits|Binary point| |Quan" "tization| |Overflow|Latency| |Override with doubles| |Pipeline for maximum pe" "rformance| |Define FPGA area for resource estimation|FPGA area [slices, FFs, " "BRAMs, LUTs, IOBs, emb. mults, TBUFs]| | | | | | | | " MaskStyleString "edit,edit,popup(Boolean|Signed (2's comp)|" "Unsigned),edit,edit,edit,popup(Truncate|Round (unbiased: +/- Inf)|Round (un" "biased: Even Values)),edit,popup(Wrap|Saturate|Flag as error),edit,edit,check" "box,edit,checkbox,edit,checkbox,edit,edit,edit,edit,edit,edit,edit,edit,edit" MaskTunableValueString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,o" "n,on,on,on,on,on,on,on,on,on,on" MaskCallbackString "||||||||||||||||||||||||" MaskEnableString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,o" "n,on,on,on,on,on,on,on,on,on,on" MaskVisibilityString "off,off,on,on,on,off,on,off,on,on,off,on,of" "f,on,off,on,on,off,off,off,off,off,off,off,off" MaskToolTipString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,o" "n,on,on,on,on,on,on,on,on,on,on" MaskVarAliasString ",,,,,,,,,,,,,,,,,,,,,,,," MaskVariables "infoedit=&1;arith_type_sgadvanced=&2;arith_" "type=@3;n_bits=@4;bin_pt=@5;quantization_sgadvanced=&6;quantization=@7;overfl" "ow_sgadvanced=&8;overflow=@9;latency=@10;dbl_ovrd_sgadvanced=&11;dbl_ovrd=@12" ";pipeline_sgadvanced=&13;pipeline=@14;xl_use_area_sgadvanced=&15;xl_use_area=" "@16;xl_area=@17;has_advanced_control=@18;sggui_pos=&19;block_type=&20;block_v" "ersion=&21;sg_icon_stat=&22;sg_mask_display=&23;sg_list_contents=&24;sg_block" "gui_xml=&25;" MaskInitialization "try\n tmp_gcb = gcb;\n tmp_gcbh = gcbh;\n" " xlMungeMaskParams;\n block_config = 'Sysgen:Convert_config';\n serialized" "_declarations = '{''arith_type''=>''Int'',''bin_pt''=>''Int'',''latency''=>''" "Int'',''n_bits''=>''Int'',''overflow''=>''Int'',''pipeline''=>''Int'',''quant" "ization''=>''Int'',''sim_engine''=>''Int'',''sysgen_root''=>''String''}';\n " "xlconvert_init();\n ptable_ = xlblockprep(get_param(tmp_gcb, 'MaskWSVariable" "s'));\ncatch\n global xl_report_errors;\n if(~isempty(xl_report_errors) && " "xl_report_errors)\n e = regexprep(lasterr, '\\n', '\\nError: ');\n disp" "(['Error: While running MaskInit code on block ' tmp_gcb ': ' e]);\n error" "(e);\n end\nend\n" MaskDisplay "fprintf('','COMMENT: begin icon graphics');" "\npatch([0 40 40 0 ],[0 0 30 30 ],[0.77 0.82 0.91]);\npatch([12 7 14 7 12 20 " "22 24 32 25 19 14 20 14 19 25 32 24 22 20 12 ],[3 8 15 22 27 27 25 27 27 20 2" "6 21 15 9 4 10 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 0 40 40 0 ],[0 30 30 0" " 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin" " icon text');\ncolor('black');port_label('output',1,'cast');\nfprintf('','COM" "MENT: end icon text');\n" MaskSelfModifiable on MaskIconFrame off MaskIconOpaque on MaskIconRotate "none" MaskIconUnits "autoscale" MaskValueString "Hardware notes: rounding and saturating req" "uire hardware resources; truncating and wrapping do not.||Boolean|16|14||Trun" "cate||Wrap|0||off||off||off|[0,0,0,0,0,0,0]|0|20,20,374,375|convert|8.2.02|40" ",30,1,1,white,blue,0,0eb6e735|fprintf('','COMMENT: begin icon graphics');\npa" "tch([0 40 40 0 ],[0 0 30 30 ],[0.77 0.82 0.91]);\npatch([12 7 14 7 12 20 22 2" "4 32 25 19 14 20 14 19 25 32 24 22 20 12 ],[3 8 15 22 27 27 25 27 27 20 26 21" " 15 9 4 10 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 0 40 40 0 ],[0 30 30 0 0 ]" ");\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin ico" "n text');\ncolor('black');port_label('output',1,'cast');\nfprintf('','COMMENT" ": end icon text');\n||\n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n" " \n \n \n \n \n \n \n \n \n " "(unbiased: +/- Inf)\" />\n (unbiased: even values)\" />\n \n " " \n \n " "\n \n \n \n \n \n \n " " \n \n \n \n \n \n \n" " \n \n \n \n \n \n \n \n \n \n\n" MaskTabNameString ",,,,,,,,,,,,,,,,,,,,,,,," } Block { BlockType "S-Function" Name "Counter" Ports [2, 1] Position [1195, 260, 1255, 320] CopyFcn "xlBlockMoveCallback(gcbh);" DeleteFcn "xlDestroyGui(gcbh);" LoadFcn "xlBlockLoadCallback(gcbh);" ModelCloseFcn "xlDestroyGui(gcbh);" PreSaveFcn "xlBlockPreSaveCallback(gcbh);" PostSaveFcn "xlBlockPostSaveCallback(gcbh);" DestroyFcn "xlDestroyGui(gcbh);" OpenFcn "xlOpenGui(gcbh, @counterenablement, -1)" CloseFcn "xlDestroyGui(gcbh);" MoveFcn "xlBlockMoveCallback(gcbh);" FunctionName "sysgen" Parameters "ptable_" MaskType "Xilinx Counter Block" MaskDescription "Hardware notes: Free running counters are t" "he least expensive in hardware. A count limited counter is implemented by co" "mbining a counter with a comparator." MaskHelp "eval('xlWeb(xlhtmldoclink(''Counter''))');" MaskPromptString " | |Counter type|Count to value| |Count dir" "ection|Initial value|Step| |Output type|Number of bits|Binary point| |Provide" " load port| |Provide synchronous reset port| |Provide enable port| |Sample pe" "riod source|Explicit period| |Override with doubles| |Use behavioral HDL (oth" "erwise use core)| |Use pre-defined core placement information| |Define FPGA a" "rea for resource estimation|FPGA area [slices, FFs, BRAMs, LUTs, IOBs, emb. m" "ults, TBUFs]| | | | | | | | | " MaskStyleString "edit,edit,popup(Free Running|Count Limited)" ",edit,edit,popup(Up|Down|Up/Down),edit,edit,edit,popup(Signed (2's comp)|Uns" "igned),edit,edit,edit,checkbox,edit,checkbox,edit,checkbox,edit,popup(on|off)" ",edit,edit,checkbox,edit,checkbox,edit,checkbox,edit,checkbox,edit,edit,edit," "edit,edit,edit,edit,edit,edit,edit" MaskTunableValueString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,o" "n,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on" MaskCallbackString "||||||||||||||||||||||||||||||||||||||" MaskEnableString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,o" "n,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on" MaskVisibilityString "off,off,on,on,off,on,on,on,off,on,on,on,off" ",on,off,on,off,on,off,on,on,off,on,off,on,off,on,off,on,on,off,off,off,off,of" "f,off,off,off,off" MaskToolTipString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,o" "n,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on" MaskVarAliasString ",,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,," MaskVariables "infoedit=&1;cnt_type_sgadvanced=&2;cnt_type" "=@3;cnt_to=@4;operation_sgadvanced=&5;operation=@6;start_count=@7;cnt_by_val=" "@8;arith_type_sgadvanced=&9;arith_type=@10;n_bits=@11;bin_pt=@12;load_pin_sga" "dvanced=&13;load_pin=@14;rst_sgadvanced=&15;rst=@16;en_sgadvanced=&17;en=@18;" "explicit_period_sgadvanced=&19;explicit_period=@20;period=@21;dbl_ovrd_sgadva" "nced=&22;dbl_ovrd=@23;use_behavioral_HDL_sgadvanced=&24;use_behavioral_HDL=@2" "5;use_rpm_sgadvanced=&26;use_rpm=@27;xl_use_area_sgadvanced=&28;xl_use_area=@" "29;xl_area=@30;xlcconfig=&31;has_advanced_control=@32;sggui_pos=&33;block_typ" "e=&34;block_version=&35;sg_icon_stat=&36;sg_mask_display=&37;sg_list_contents" "=&38;sg_blockgui_xml=&39;" MaskInitialization "try\n tmp_gcb = gcb;\n tmp_gcbh = gcbh;\n" " xlMungeMaskParams;\n block_config = 'Sysgen:Counter_config';\n serialized" "_declarations = '{''arith_type''=>''Int'',''bin_pt''=>''Int'',''cnt_by_val''=" ">''Double'',''cnt_to''=>''Double'',''cnt_type''=>''Int'',''en''=>''Int'',''ex" "plicit_period''=>''Int'',''load_pin''=>''Int'',''n_bits''=>''Int'',''operatio" "n''=>''Int'',''period''=>''Double'',''rst''=>''Int'',''start_count''=>''Doubl" "e'',''use_behavioral_HDL''=>''Int'',''use_rpm''=>''Int'',''xlcconfig''=>''Str" "ing''}';\n ptable_ = xlblockprep(get_param(tmp_gcb, 'MaskWSVariables'));\nca" "tch\n global xl_report_errors;\n if(~isempty(xl_report_errors) && xl_report" "_errors)\n e = regexprep(lasterr, '\\n', '\\nError: ');\n disp(['Error:" " While running MaskInit code on block ' tmp_gcb ': ' e]);\n error(e);\n e" "nd\nend\n" MaskDisplay "fprintf('','COMMENT: begin icon graphics');" "\npatch([0 60 60 0 ],[0 0 60 60 ],[0.77 0.82 0.91]);\npatch([14 4 18 4 14 30 " "34 38 55 42 29 20 35 20 29 42 55 38 34 30 14 ],[6 16 30 44 54 54 50 54 54 41 " "54 45 30 15 6 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 0 60 60 0 ],[0 60 6" "0 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: be" "gin icon text');\ncolor('black');port_label('input',1,'rst');\ncolor('black')" ";port_label('input',2,'en');\ncolor('black');port_label('output',1,'out');\nf" "printf('','COMMENT: end icon text');\n" MaskSelfModifiable on MaskIconFrame off MaskIconOpaque on MaskIconRotate "none" MaskIconUnits "autoscale" MaskValueString "Hardware notes: Free running counters are t" "he least expensive in hardware. A count limited counter is implemented by co" "mbining a counter with a comparator.||Count Limited|8||Up|0|1||Unsigned|4|0||" "off||on||on||on|1||off||off||off||off|[0,0,0,0,0,0,0]||0|20,20,356,630|counte" "r|8.2.02|60,60,2,1,white,blue,0,eceade92|fprintf('','COMMENT: begin icon grap" "hics');\npatch([0 60 60 0 ],[0 0 60 60 ],[0.77 0.82 0.91]);\npatch([14 4 18 4" " 14 30 34 38 55 42 29 20 35 20 29 42 55 38 34 30 14 ],[6 16 30 44 54 54 50 54" " 54 41 54 45 30 15 6 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 0 60 60 0 ]," "[0 60 60 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMM" "ENT: begin icon text');\ncolor('black');port_label('input',1,'rst');\ncolor('" "black');port_label('input',2,'en');\ncolor('black');port_label('output',1,'ou" "t');\nfprintf('','COMMENT: end icon text');\n||\n \n \n \n \n \n \n \n \n \n \n \n " "\n \n \n \n <" "item value=\"Count Limited\" label=\"Count Limited\" />\n \n " " \n \n \n \n " " \n \n \n \n \n \n " "\n \n \n \n \n \n \n \n <" "checkbox ctype=\"Int\" evaluate=\"true\" name=\"rst\" label=\"Provide synchro" "nous reset port\" default=\"off\" />\n \n \n \n \n \n \n \n " "\n \n \n \n" " \n \n \n <" "/tab>\n \n \n \n \n \n \n" " \n \n \n \n \n \n \n \n \n\n" MaskTabNameString ",,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,," } Block { BlockType "S-Function" Name "Counter1" Ports [4, 1] Position [410, 257, 470, 318] CopyFcn "xlBlockMoveCallback(gcbh);" DeleteFcn "xlDestroyGui(gcbh);" LoadFcn "xlBlockLoadCallback(gcbh);" ModelCloseFcn "xlDestroyGui(gcbh);" PreSaveFcn "xlBlockPreSaveCallback(gcbh);" PostSaveFcn "xlBlockPostSaveCallback(gcbh);" DestroyFcn "xlDestroyGui(gcbh);" OpenFcn "xlOpenGui(gcbh, @counterenablement, -1)" CloseFcn "xlDestroyGui(gcbh);" MoveFcn "xlBlockMoveCallback(gcbh);" FunctionName "sysgen" Parameters "ptable_" MaskType "Xilinx Counter Block" MaskDescription "Hardware notes: Free running counters are t" "he least expensive in hardware. A count limited counter is implemented by co" "mbining a counter with a comparator." MaskHelp "eval('xlWeb(xlhtmldoclink(''Counter''))');" MaskPromptString " | |Counter type|Count to value| |Count dir" "ection|Initial value|Step| |Output type|Number of bits|Binary point| |Provide" " load port| |Provide synchronous reset port| |Provide enable port| |Sample pe" "riod source|Explicit period| |Override with doubles| |Use behavioral HDL (oth" "erwise use core)| |Use pre-defined core placement information| |Define FPGA a" "rea for resource estimation|FPGA area [slices, FFs, BRAMs, LUTs, IOBs, emb. m" "ults, TBUFs]| | | | | | | | | " MaskStyleString "edit,edit,popup(Free Running|Count Limited)" ",edit,edit,popup(Up|Down|Up/Down),edit,edit,edit,popup(Signed (2's comp)|Uns" "igned),edit,edit,edit,checkbox,edit,checkbox,edit,checkbox,edit,popup(on|off)" ",edit,edit,checkbox,edit,checkbox,edit,checkbox,edit,checkbox,edit,edit,edit," "edit,edit,edit,edit,edit,edit,edit" MaskTunableValueString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,o" "n,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on" MaskCallbackString "||||||||||||||||||||||||||||||||||||||" MaskEnableString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,o" "n,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on" MaskVisibilityString "off,off,on,on,off,on,on,on,off,on,on,on,off" ",on,off,on,off,on,off,on,on,off,on,off,on,off,on,off,on,on,off,off,off,off,of" "f,off,off,off,off" MaskToolTipString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,o" "n,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on" MaskVarAliasString ",,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,," MaskVariables "infoedit=&1;cnt_type_sgadvanced=&2;cnt_type" "=@3;cnt_to=@4;operation_sgadvanced=&5;operation=@6;start_count=@7;cnt_by_val=" "@8;arith_type_sgadvanced=&9;arith_type=@10;n_bits=@11;bin_pt=@12;load_pin_sga" "dvanced=&13;load_pin=@14;rst_sgadvanced=&15;rst=@16;en_sgadvanced=&17;en=@18;" "explicit_period_sgadvanced=&19;explicit_period=@20;period=@21;dbl_ovrd_sgadva" "nced=&22;dbl_ovrd=@23;use_behavioral_HDL_sgadvanced=&24;use_behavioral_HDL=@2" "5;use_rpm_sgadvanced=&26;use_rpm=@27;xl_use_area_sgadvanced=&28;xl_use_area=@" "29;xl_area=@30;xlcconfig=&31;has_advanced_control=@32;sggui_pos=&33;block_typ" "e=&34;block_version=&35;sg_icon_stat=&36;sg_mask_display=&37;sg_list_contents" "=&38;sg_blockgui_xml=&39;" MaskInitialization "try\n tmp_gcb = gcb;\n tmp_gcbh = gcbh;\n" " xlMungeMaskParams;\n block_config = 'Sysgen:Counter_config';\n serialized" "_declarations = '{''arith_type''=>''Int'',''bin_pt''=>''Int'',''cnt_by_val''=" ">''Double'',''cnt_to''=>''Double'',''cnt_type''=>''Int'',''en''=>''Int'',''ex" "plicit_period''=>''Int'',''load_pin''=>''Int'',''n_bits''=>''Int'',''operatio" "n''=>''Int'',''period''=>''Double'',''rst''=>''Int'',''start_count''=>''Doubl" "e'',''use_behavioral_HDL''=>''Int'',''use_rpm''=>''Int'',''xlcconfig''=>''Str" "ing''}';\n ptable_ = xlblockprep(get_param(tmp_gcb, 'MaskWSVariables'));\nca" "tch\n global xl_report_errors;\n if(~isempty(xl_report_errors) && xl_report" "_errors)\n e = regexprep(lasterr, '\\n', '\\nError: ');\n disp(['Error:" " While running MaskInit code on block ' tmp_gcb ': ' e]);\n error(e);\n e" "nd\nend\n" MaskDisplay "fprintf('','COMMENT: begin icon graphics');" "\npatch([0 60 60 0 ],[0 0 61 61 ],[0.77 0.82 0.91]);\npatch([14 4 18 4 14 30 " "34 38 55 42 29 20 35 20 29 42 55 38 34 30 14 ],[7 17 31 45 55 55 51 55 55 42 " "55 46 31 16 7 20 7 7 11 7 7 ],[0.98 0.96 0.92]);\nplot([0 0 60 60 0 ],[0 61 6" "1 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: be" "gin icon text');\ncolor('black');port_label('input',1,'load');\ncolor('black'" ");port_label('input',2,'din');\ncolor('black');port_label('input',3,'rst');\n" "color('black');port_label('input',4,'en');\ncolor('black');port_label('output" "',1,'out');\nfprintf('','COMMENT: end icon text');\n" MaskSelfModifiable on MaskIconFrame off MaskIconOpaque on MaskIconRotate "none" MaskIconUnits "autoscale" MaskValueString "Hardware notes: Free running counters are t" "he least expensive in hardware. A count limited counter is implemented by co" "mbining a counter with a comparator.||Free Running|8||Up|15|1||Unsigned|4|0||" "on||on||on||on|1||off||off||off||off|[0,0,0,0,0,0,0]||0|20,20,356,630|counter" "|8.2.02|60,61,4,1,white,blue,0,941bf745|fprintf('','COMMENT: begin icon graph" "ics');\npatch([0 60 60 0 ],[0 0 61 61 ],[0.77 0.82 0.91]);\npatch([14 4 18 4 " "14 30 34 38 55 42 29 20 35 20 29 42 55 38 34 30 14 ],[7 17 31 45 55 55 51 55 " "55 42 55 46 31 16 7 20 7 7 11 7 7 ],[0.98 0.96 0.92]);\nplot([0 0 60 60 0 ],[" "0 61 61 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMME" "NT: begin icon text');\ncolor('black');port_label('input',1,'load');\ncolor('" "black');port_label('input',2,'din');\ncolor('black');port_label('input',3,'rs" "t');\ncolor('black');port_label('input',4,'en');\ncolor('black');port_label('" "output',1,'out');\nfprintf('','COMMENT: end icon text');\n||\n \n \n " "\n \n \n \n \n \n \n \n " "\n \n \n \n \n \n \n \n " "\n \n \n \n \n \n \n \n \n \n \n \n" " \n \n \n \n \n \n \n \n \n \n \n " " \n " "\n \n \n \n \n \n \n " " \n \n \n " " \n \n \n \n \n \n \n " " \n \n \n \n \n \n \n\n" MaskTabNameString ",,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,," } Block { BlockType SubSystem Name "Data_Latch" Ports [4, 1] Position [1385, 467, 1530, 658] TreatAsAtomicUnit off MinAlgLoopOccurrences off RTWSystemCode "Auto" MaskHideContents off System { Name "Data_Latch" Location [1132, 605, 1392, 867] Open off ModelBrowserVisibility off ModelBrowserWidth 200 ScreenColor "white" PaperOrientation "landscape" PaperPositionMode "auto" PaperType "usletter" PaperUnits "inches" ZoomFactor "100" Block { BlockType Inport Name "Bit_select" Position [590, 108, 620, 122] IconDisplay "Port number" } Block { BlockType Inport Name "Data to transmit" Position [360, 343, 390, 357] Port "2" IconDisplay "Port number" } Block { BlockType Inport Name "LatchData" Position [360, 423, 390, 437] Port "3" IconDisplay "Port number" } Block { BlockType Inport Name "Reset" Position [360, 383, 390, 397] Port "4" IconDisplay "Port number" } Block { BlockType "S-Function" Name "Mux" Ports [10, 1] Position [725, 85, 775, 640] CopyFcn "xlBlockMoveCallback(gcbh);" DeleteFcn "xlDestroyGui(gcbh);" LoadFcn "xlBlockLoadCallback(gcbh);" ModelCloseFcn "xlDestroyGui(gcbh);" PreSaveFcn "xlBlockPreSaveCallback(gcbh);" PostSaveFcn "xlBlockPostSaveCallback(gcbh);" DestroyFcn "xlDestroyGui(gcbh);" OpenFcn "xlOpenGui(gcbh, @muxenablement, -1)" CloseFcn "xlDestroyGui(gcbh);" MoveFcn "xlBlockMoveCallback(gcbh);" FunctionName "sysgen" Parameters "ptable_" MaskType "Xilinx Bus Multiplexer Block" MaskDescription "Xilinx Bus Multiplexer" MaskHelp "eval('xlWeb(xlhtmldoclink(''Mux''))');" MaskPromptString " |Number of inputs| |Provide enable por" "t|Latency| |Precision| |Output type|Number of bits|Binary point| |Quantizatio" "n| |Overflow| |Override with doubles| |Define FPGA area for resource estimati" "on|FPGA area [slices, FFs, BRAMs, LUTs, IOBs, emb. mults, TBUFs]| | | | | | |" " | | " MaskStyleString "edit,popup(2|3|4|5|6|7|8|9|10|11|12|13|" "14|15|16|17|18|19|20|21|22|23|24|25|26|27|28|29|30|31|32),edit,checkbox,edit," "edit,popup(Full|User Defined),edit,popup(Signed (2's comp)|Unsigned),edit,ed" "it,edit,popup(Truncate|Round (unbiased: +/- Inf)),edit,popup(Wrap|Saturate|F" "lag as error),edit,checkbox,edit,checkbox,edit,edit,edit,edit,edit,edit,edit," "edit,edit,edit" MaskTunableValueString "on,on,on,on,on,on,on,on,on,on,on,on,on," "on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on" MaskCallbackString "||||||||||||||||||||||||||||" MaskEnableString "on,on,on,on,on,on,on,on,on,on,on,on,on," "on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on" MaskVisibilityString "off,on,off,on,on,off,on,off,on,on,on,of" "f,on,off,on,off,on,off,on,on,off,off,off,off,off,off,off,off,off" MaskToolTipString "on,on,on,on,on,on,on,on,on,on,on,on,on," "on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on" MaskVarAliasString ",,,,,,,,,,,,,,,,,,,,,,,,,,,," MaskVariables "inputs_sgadvanced=&1;inputs=@2;en_sgadv" "anced=&3;en=@4;latency=@5;precision_sgadvanced=&6;precision=@7;arith_type_sga" "dvanced=&8;arith_type=@9;n_bits=@10;bin_pt=@11;quantization_sgadvanced=&12;qu" "antization=@13;overflow_sgadvanced=&14;overflow=@15;dbl_ovrd_sgadvanced=&16;d" "bl_ovrd=@17;xl_use_area_sgadvanced=&18;xl_use_area=@19;xl_area=@20;infoedit=@" "21;has_advanced_control=@22;sggui_pos=&23;block_type=&24;block_version=&25;sg" "_icon_stat=&26;sg_mask_display=&27;sg_list_contents=&28;sg_blockgui_xml=&29;" MaskInitialization "try\n tmp_gcb = gcb;\n tmp_gcbh = gcb" "h;\n xlMungeMaskParams;\n block_config = 'Sysgen:Mux_config';\n serialized" "_declarations = '{''arith_type''=>''Int'',''bin_pt''=>''Int'',''dbl_ovrd''=>'" "'Int'',''en''=>''Int'',''inputs''=>''Int'',''latency''=>''Int'',''n_bits''=>'" "'Int'',''overflow''=>''Int'',''period''=>''Double'',''precision''=>''Int'',''" "quantization''=>''Int'',''sim_engine''=>''Int'',''sysgen_root''=>''String''}'" ";\n xlmux_init();\n ptable_ = xlblockprep(get_param(tmp_gcb, 'MaskWSVariabl" "es'));\ncatch\n global xl_report_errors;\n if(~isempty(xl_report_errors) &&" " xl_report_errors)\n e = regexprep(lasterr, '\\n', '\\nError: ');\n dis" "p(['Error: While running MaskInit code on block ' tmp_gcb ': ' e]);\n erro" "r(e);\n end\nend\n" MaskDisplay "fprintf('','COMMENT: begin icon graphic" "s');\npatch([0 50 50 0 ],[0 79.2857 475.714 555 ],[0.77 0.82 0.91]);\npatch([" "11 3 15 3 11 24 28 32 46 35 24 16 28 16 24 35 46 32 28 24 11 ],[258 266 278 2" "90 298 298 294 298 298 287 298 290 278 266 258 269 258 258 262 258 258 ],[0.9" "8 0.96 0.92]);\nplot([0 50 50 0 0 ],[0 79.2857 475.714 555 0 ]);\nfprintf(''," "'COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolo" "r('black');port_label('input',1,'sel');\ncolor('black');port_label('input',2," "'d0');\ncolor('black');port_label('input',3,'d1');\ncolor('black');port_label" "('input',4,'d2');\ncolor('black');port_label('input',5,'d3');\ncolor('black')" ";port_label('input',6,'d4');\ncolor('black');port_label('input',7,'d5');\ncol" "or('black');port_label('input',8,'d6');\ncolor('black');port_label('input',9," "'d7');\ncolor('black');port_label('input',10,'d8');\ncolor('black');disp('\\b" "f{ z^{-1}}','texmode','on');\nfprintf('','COMMENT: end icon text');\n" MaskSelfModifiable on MaskIconFrame off MaskIconOpaque on MaskIconRotate "none" MaskIconUnits "autoscale" MaskValueString "|9||off|1||Full||Unsigned|16|14||Trunca" "te||Wrap||off||off|[0,0,0,0,0,0,0]||0|-1,-1,-1,-1|mux|8.2.02|50,555,10,1,whit" "e,blue,3,2c6c21d6|fprintf('','COMMENT: begin icon graphics');\npatch([0 50 50" " 0 ],[0 79.2857 475.714 555 ],[0.77 0.82 0.91]);\npatch([11 3 15 3 11 24 28 3" "2 46 35 24 16 28 16 24 35 46 32 28 24 11 ],[258 266 278 290 298 298 294 298 2" "98 287 298 290 278 266 258 269 258 258 262 258 258 ],[0.98 0.96 0.92]);\nplot" "([0 50 50 0 0 ],[0 79.2857 475.714 555 0 ]);\nfprintf('','COMMENT: end icon g" "raphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_labe" "l('input',1,'sel');\ncolor('black');port_label('input',2,'d0');\ncolor('black" "');port_label('input',3,'d1');\ncolor('black');port_label('input',4,'d2');\nc" "olor('black');port_label('input',5,'d3');\ncolor('black');port_label('input'," "6,'d4');\ncolor('black');port_label('input',7,'d5');\ncolor('black');port_lab" "el('input',8,'d6');\ncolor('black');port_label('input',9,'d7');\ncolor('black" "');port_label('input',10,'d8');\ncolor('black');disp('\\bf{ z^{-1}}','texmod" "e','on');\nfprintf('','COMMENT: end icon text');\n||\n \n" " \n \n \n \n \n \n \n <" "/libraries>\n \n \n <" "tab name=\"basictab\" label=\"Basic\" >\n \n " "\n \n \n \n \n \n \n \n \n \n \n \n " " \n \n \n \n \n \n \n \n \n \n \n " " \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n " " \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n " " \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n\n" MaskTabNameString ",,,,,,,,,,,,,,,,,,,,,,,,,,,," } Block { BlockType "S-Function" Name "Register" Ports [3, 1] Position [450, 362, 510, 418] CopyFcn "xlBlockMoveCallback(gcbh);" DeleteFcn "xlDestroyGui(gcbh);" LoadFcn "xlBlockLoadCallback(gcbh);" ModelCloseFcn "xlDestroyGui(gcbh);" PreSaveFcn "xlBlockPreSaveCallback(gcbh);" PostSaveFcn "xlBlockPostSaveCallback(gcbh);" DestroyFcn "xlDestroyGui(gcbh);" OpenFcn "xlOpenGui(gcbh, @xlmagicenablement, -1)" CloseFcn "xlDestroyGui(gcbh);" MoveFcn "xlBlockMoveCallback(gcbh);" FunctionName "sysgen" Parameters "ptable_" MaskType "Xilinx Register Block" MaskDescription "Xilinx Register" MaskHelp "eval('xlWeb(xlhtmldoclink(''Register'')" ")');" MaskPromptString "Initial value| |Provide synchronous res" "et port| |Provide enable port| |Override with doubles| |Define FPGA area for " "resource estimation|FPGA area [slices, FFs, BRAMs, LUTs, IOBs, emb. mults, TB" "UFs]| | | | | | | | " MaskStyleString "edit,edit,checkbox,edit,checkbox,edit,c" "heckbox,edit,checkbox,edit,edit,edit,edit,edit,edit,edit,edit,edit" MaskTunableValueString "on,on,on,on,on,on,on,on,on,on,on,on,on," "on,on,on,on,on" MaskCallbackString "|||||||||||||||||" MaskEnableString "on,on,on,on,on,on,on,on,on,on,on,on,on," "on,on,on,on,on" MaskVisibilityString "on,off,on,off,on,off,on,off,on,on,off,o" "ff,off,off,off,off,off,off" MaskToolTipString "on,on,on,on,on,on,on,on,on,on,on,on,on," "on,on,on,on,on" MaskVarAliasString ",,,,,,,,,,,,,,,,," MaskVariables "init=@1;rst_sgadvanced=&2;rst=@3;en_sga" "dvanced=&4;en=@5;dbl_ovrd_sgadvanced=&6;dbl_ovrd=@7;xl_use_area_sgadvanced=&8" ";xl_use_area=@9;xl_area=@10;has_advanced_control=@11;sggui_pos=&12;block_type" "=&13;block_version=&14;sg_icon_stat=&15;sg_mask_display=&16;sg_list_contents=" "&17;sg_blockgui_xml=&18;" MaskInitialization "try\n tmp_gcb = gcb;\n tmp_gcbh = gcb" "h;\n xlMungeMaskParams;\n block_config = 'Sysgen:Register_config';\n seria" "lized_declarations = '{''dbl_ovrd''=>''Int'',''en''=>''Int'',''init''=>''Doub" "le'',''rst''=>''Int''}';\n xlregister_init();\n ptable_ = xlblockprep(get_p" "aram(tmp_gcb, 'MaskWSVariables'));\ncatch\n global xl_report_errors;\n if(~" "isempty(xl_report_errors) && xl_report_errors)\n e = regexprep(lasterr, '" "\\n', '\\nError: ');\n disp(['Error: While running MaskInit code on block " "' tmp_gcb ': ' e]);\n error(e);\n end\nend\n" MaskDisplay "fprintf('','COMMENT: begin icon graphic" "s');\npatch([0 60 60 0 ],[0 0 56 56 ],[0.77 0.82 0.91]);\npatch([15 6 19 6 15" " 30 34 38 54 41 29 20 32 20 29 41 54 38 34 30 15 ],[6 15 28 41 50 50 46 50 50" " 37 49 40 28 16 7 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 0 60 60 0 ],[0 " "56 56 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT" ": begin icon text');\ncolor('black');port_label('input',1,'d');\ncolor('black" "');port_label('input',2,'rst');\ncolor('black');port_label('input',3,'en');\n" "color('black');port_label('output',1,'q');\ncolor('black');disp('\\bf{z^{-1}}" "','texmode','on');\nfprintf('','COMMENT: end icon text');\n" MaskSelfModifiable on MaskIconFrame off MaskIconOpaque on MaskIconRotate "none" MaskIconUnits "autoscale" MaskValueString "0||on||on||off||off|[0,0,0,0,0,0,0]|0|-" "1,-1,-1,-1|register|8.2.02|60,56,3,1,white,blue,0,923c1847|fprintf('','COMMEN" "T: begin icon graphics');\npatch([0 60 60 0 ],[0 0 56 56 ],[0.77 0.82 0.91]);" "\npatch([15 6 19 6 15 30 34 38 54 41 29 20 32 20 29 41 54 38 34 30 15 ],[6 15" " 28 41 50 50 46 50 50 37 49 40 28 16 7 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\npl" "ot([0 0 60 60 0 ],[0 56 56 0 0 ]);\nfprintf('','COMMENT: end icon graphics');" "\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input'," "1,'d');\ncolor('black');port_label('input',2,'rst');\ncolor('black');port_lab" "el('input',3,'en');\ncolor('black');port_label('output',1,'q');\ncolor('black" "');disp('\\bf{z^{-1}}','texmode','on');\nfprintf('','COMMENT: end icon text')" ";\n||\n \n \n \n \n <" "libraries>\n \n " "\n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n " " \n \n \n \n \n \n\n" MaskTabNameString ",,,,,,,,,,,,,,,,," } Block { BlockType "S-Function" Name "Slice" Ports [1, 1] Position [605, 155, 665, 185] CopyFcn "xlBlockMoveCallback(gcbh);" DeleteFcn "xlDestroyGui(gcbh);" LoadFcn "xlBlockLoadCallback(gcbh);" ModelCloseFcn "xlDestroyGui(gcbh);" PreSaveFcn "xlBlockPreSaveCallback(gcbh);" PostSaveFcn "xlBlockPostSaveCallback(gcbh);" DestroyFcn "xlDestroyGui(gcbh);" OpenFcn "xlOpenGui(gcbh, @sliceenablement, -1)" CloseFcn "xlDestroyGui(gcbh);" MoveFcn "xlBlockMoveCallback(gcbh);" FunctionName "sysgen" Parameters "ptable_" MaskType "Xilinx Bit Slice Extractor Block" MaskDescription "Extracts a given range of bits from eac" "h input sample and presents it at the output. The output type is ordinarily " "unsigned with binary point at zero, but can be Boolean when the slice is one " "bit wide.\n\nHardware notes: In hardware this block costs nothing." MaskHelp "eval('xlWeb(xlhtmldoclink(''Slice''))')" ";" MaskPromptString " |Width of slice (number of bits)| |Boo" "lean output| |Specify range as|Offset of top bit| |Relative to|Offset of bott" "om bit| |Relative to| |Override with doubles| | | | | | | | " MaskStyleString "edit,edit,edit,checkbox,edit,popup(Two " "Bit Locations|Upper Bit Location + Width|Lower Bit Location + Width),edit,edi" "t,popup(LSB of Input|Binary Point of Input|MSB of Input),edit,edit,popup(LSB " "of Input|Binary Point of Input|MSB of Input),edit,checkbox,edit,edit,edit,edi" "t,edit,edit,edit,edit" MaskTunableValueString "on,on,on,on,on,on,on,on,on,on,on,on,on," "on,on,on,on,on,on,on,on,on" MaskCallbackString "|||||||||||||||||||||" MaskEnableString "on,on,on,on,on,on,on,on,on,on,on,on,on," "on,on,on,on,on,on,on,on,on" MaskVisibilityString "off,on,off,on,off,on,on,off,on,on,off,o" "n,off,on,off,off,off,off,off,off,off,off" MaskToolTipString "on,on,on,on,on,on,on,on,on,on,on,on,on," "on,on,on,on,on,on,on,on,on" MaskVarAliasString ",,,,,,,,,,,,,,,,,,,,," MaskVariables "infoedit=&1;nbits=@2;boolean_output_sga" "dvanced=&3;boolean_output=@4;mode_sgadvanced=&5;mode=@6;bit1=@7;base1_sgadvan" "ced=&8;base1=@9;bit0=@10;base0_sgadvanced=&11;base0=@12;dbl_ovrd_sgadvanced=&" "13;dbl_ovrd=@14;has_advanced_control=@15;sggui_pos=&16;block_type=&17;block_v" "ersion=&18;sg_icon_stat=&19;sg_mask_display=&20;sg_list_contents=&21;sg_block" "gui_xml=&22;" MaskInitialization "try\n tmp_gcb = gcb;\n tmp_gcbh = gcb" "h;\n xlMungeMaskParams;\n block_config = 'Sysgen:Slice_config';\n serializ" "ed_declarations = '{''base0''=>''Int'',''base1''=>''Int'',''bit0''=>''Int'','" "'bit1''=>''Int'',''boolean_output''=>''Int'',''mode''=>''Int'',''nbits''=>''I" "nt'',''sim_engine''=>''Int'',''sysgen_root''=>''String''}';\n xlslice_init()" ";\n ptable_ = xlblockprep(get_param(tmp_gcb, 'MaskWSVariables'));\ncatch\n " "global xl_report_errors;\n if(~isempty(xl_report_errors) && xl_report_errors" ")\n e = regexprep(lasterr, '\\n', '\\nError: ');\n disp(['Error: While " "running MaskInit code on block ' tmp_gcb ': ' e]);\n error(e);\n end\nend" "\n" MaskDisplay "fprintf('','COMMENT: begin icon graphic" "s');\npatch([0 60 60 0 ],[0 0 30 30 ],[0.77 0.82 0.91]);\npatch([22 17 24 17 " "22 30 32 34 42 35 29 24 30 24 29 35 42 34 32 30 22 ],[3 8 15 22 27 27 25 27 2" "7 20 26 21 15 9 4 10 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 0 60 60 0 ],[0 3" "0 30 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT:" " begin icon text');\ncolor('black');port_label('output',1,'[a:b]');\nfprintf(" "'','COMMENT: end icon text');\n" MaskSelfModifiable on MaskIconFrame off MaskIconOpaque on MaskIconRotate "none" MaskIconUnits "autoscale" MaskValueString "Extracts a given range of bits from eac" "h input sample and presents it at the output. The output type is ordinarily " "unsigned with binary point at zero, but can be Boolean when the slice is one " "bit wide.

Hardware notes: In hardware this block costs nothing.|1||off||" "Lower Bit Location + Width|0||MSB of Input|8||LSB of Input||off|0|20,20,442,4" "07|slice|8.2.02|60,30,1,1,white,blue,0,fe24a11e|fprintf('','COMMENT: begin ic" "on graphics');\npatch([0 60 60 0 ],[0 0 30 30 ],[0.77 0.82 0.91]);\npatch([22" " 17 24 17 22 30 32 34 42 35 29 24 30 24 29 35 42 34 32 30 22 ],[3 8 15 22 27 " "27 25 27 27 20 26 21 15 9 4 10 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 0 60 6" "0 0 ],[0 30 30 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf(''" ",'COMMENT: begin icon text');\ncolor('black');port_label('output',1,'[a:b]');" "\nfprintf('','COMMENT: end icon text');\n||\n \n \n <" "dll entry_point=\"Slice_config\" name=\"Sysgen\" />\n \n \n \n \n \n \n \n \n <P>Hardware notes: In hardware this block costs nothing.\" />\n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n" " \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n\n" MaskTabNameString ",,,,,,,,,,,,,,,,,,,,," } Block { BlockType "S-Function" Name "Slice1" Ports [1, 1] Position [605, 210, 665, 240] CopyFcn "xlBlockMoveCallback(gcbh);" DeleteFcn "xlDestroyGui(gcbh);" LoadFcn "xlBlockLoadCallback(gcbh);" ModelCloseFcn "xlDestroyGui(gcbh);" PreSaveFcn "xlBlockPreSaveCallback(gcbh);" PostSaveFcn "xlBlockPostSaveCallback(gcbh);" DestroyFcn "xlDestroyGui(gcbh);" OpenFcn "xlOpenGui(gcbh, @sliceenablement, -1)" CloseFcn "xlDestroyGui(gcbh);" MoveFcn "xlBlockMoveCallback(gcbh);" FunctionName "sysgen" Parameters "ptable_" MaskType "Xilinx Bit Slice Extractor Block" MaskDescription "Extracts a given range of bits from eac" "h input sample and presents it at the output. The output type is ordinarily " "unsigned with binary point at zero, but can be Boolean when the slice is one " "bit wide.\n\nHardware notes: In hardware this block costs nothing." MaskHelp "eval('xlWeb(xlhtmldoclink(''Slice''))')" ";" MaskPromptString " |Width of slice (number of bits)| |Boo" "lean output| |Specify range as|Offset of top bit| |Relative to|Offset of bott" "om bit| |Relative to| |Override with doubles| | | | | | | | " MaskStyleString "edit,edit,edit,checkbox,edit,popup(Two " "Bit Locations|Upper Bit Location + Width|Lower Bit Location + Width),edit,edi" "t,popup(LSB of Input|Binary Point of Input|MSB of Input),edit,edit,popup(LSB " "of Input|Binary Point of Input|MSB of Input),edit,checkbox,edit,edit,edit,edi" "t,edit,edit,edit,edit" MaskTunableValueString "on,on,on,on,on,on,on,on,on,on,on,on,on," "on,on,on,on,on,on,on,on,on" MaskCallbackString "|||||||||||||||||||||" MaskEnableString "on,on,on,on,on,on,on,on,on,on,on,on,on," "on,on,on,on,on,on,on,on,on" MaskVisibilityString "off,on,off,on,off,on,on,off,on,on,off,o" "n,off,on,off,off,off,off,off,off,off,off" MaskToolTipString "on,on,on,on,on,on,on,on,on,on,on,on,on," "on,on,on,on,on,on,on,on,on" MaskVarAliasString ",,,,,,,,,,,,,,,,,,,,," MaskVariables "infoedit=&1;nbits=@2;boolean_output_sga" "dvanced=&3;boolean_output=@4;mode_sgadvanced=&5;mode=@6;bit1=@7;base1_sgadvan" "ced=&8;base1=@9;bit0=@10;base0_sgadvanced=&11;base0=@12;dbl_ovrd_sgadvanced=&" "13;dbl_ovrd=@14;has_advanced_control=@15;sggui_pos=&16;block_type=&17;block_v" "ersion=&18;sg_icon_stat=&19;sg_mask_display=&20;sg_list_contents=&21;sg_block" "gui_xml=&22;" MaskInitialization "try\n tmp_gcb = gcb;\n tmp_gcbh = gcb" "h;\n xlMungeMaskParams;\n block_config = 'Sysgen:Slice_config';\n serializ" "ed_declarations = '{''base0''=>''Int'',''base1''=>''Int'',''bit0''=>''Int'','" "'bit1''=>''Int'',''boolean_output''=>''Int'',''mode''=>''Int'',''nbits''=>''I" "nt'',''sim_engine''=>''Int'',''sysgen_root''=>''String''}';\n xlslice_init()" ";\n ptable_ = xlblockprep(get_param(tmp_gcb, 'MaskWSVariables'));\ncatch\n " "global xl_report_errors;\n if(~isempty(xl_report_errors) && xl_report_errors" ")\n e = regexprep(lasterr, '\\n', '\\nError: ');\n disp(['Error: While " "running MaskInit code on block ' tmp_gcb ': ' e]);\n error(e);\n end\nend" "\n" MaskDisplay "fprintf('','COMMENT: begin icon graphic" "s');\npatch([0 60 60 0 ],[0 0 30 30 ],[0.77 0.82 0.91]);\npatch([22 17 24 17 " "22 30 32 34 42 35 29 24 30 24 29 35 42 34 32 30 22 ],[3 8 15 22 27 27 25 27 2" "7 20 26 21 15 9 4 10 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 0 60 60 0 ],[0 3" "0 30 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT:" " begin icon text');\ncolor('black');port_label('output',1,'[a:b]');\nfprintf(" "'','COMMENT: end icon text');\n" MaskSelfModifiable on MaskIconFrame off MaskIconOpaque on MaskIconRotate "none" MaskIconUnits "autoscale" MaskValueString "Extracts a given range of bits from eac" "h input sample and presents it at the output. The output type is ordinarily " "unsigned with binary point at zero, but can be Boolean when the slice is one " "bit wide.

Hardware notes: In hardware this block costs nothing.|1||off||" "Lower Bit Location + Width|0||MSB of Input|7||LSB of Input||off|0|20,20,442,4" "07|slice|8.2.02|60,30,1,1,white,blue,0,fe24a11e|fprintf('','COMMENT: begin ic" "on graphics');\npatch([0 60 60 0 ],[0 0 30 30 ],[0.77 0.82 0.91]);\npatch([22" " 17 24 17 22 30 32 34 42 35 29 24 30 24 29 35 42 34 32 30 22 ],[3 8 15 22 27 " "27 25 27 27 20 26 21 15 9 4 10 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 0 60 6" "0 0 ],[0 30 30 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf(''" ",'COMMENT: begin icon text');\ncolor('black');port_label('output',1,'[a:b]');" "\nfprintf('','COMMENT: end icon text');\n||\n \n \n <" "dll entry_point=\"Slice_config\" name=\"Sysgen\" />\n \n \n \n \n \n \n \n \n <P>Hardware notes: In hardware this block costs nothing.\" />\n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n" " \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n\n" MaskTabNameString ",,,,,,,,,,,,,,,,,,,,," } Block { BlockType "S-Function" Name "Slice2" Ports [1, 1] Position [605, 265, 665, 295] CopyFcn "xlBlockMoveCallback(gcbh);" DeleteFcn "xlDestroyGui(gcbh);" LoadFcn "xlBlockLoadCallback(gcbh);" ModelCloseFcn "xlDestroyGui(gcbh);" PreSaveFcn "xlBlockPreSaveCallback(gcbh);" PostSaveFcn "xlBlockPostSaveCallback(gcbh);" DestroyFcn "xlDestroyGui(gcbh);" OpenFcn "xlOpenGui(gcbh, @sliceenablement, -1)" CloseFcn "xlDestroyGui(gcbh);" MoveFcn "xlBlockMoveCallback(gcbh);" FunctionName "sysgen" Parameters "ptable_" MaskType "Xilinx Bit Slice Extractor Block" MaskDescription "Extracts a given range of bits from eac" "h input sample and presents it at the output. The output type is ordinarily " "unsigned with binary point at zero, but can be Boolean when the slice is one " "bit wide.\n\nHardware notes: In hardware this block costs nothing." MaskHelp "eval('xlWeb(xlhtmldoclink(''Slice''))')" ";" MaskPromptString " |Width of slice (number of bits)| |Boo" "lean output| |Specify range as|Offset of top bit| |Relative to|Offset of bott" "om bit| |Relative to| |Override with doubles| | | | | | | | " MaskStyleString "edit,edit,edit,checkbox,edit,popup(Two " "Bit Locations|Upper Bit Location + Width|Lower Bit Location + Width),edit,edi" "t,popup(LSB of Input|Binary Point of Input|MSB of Input),edit,edit,popup(LSB " "of Input|Binary Point of Input|MSB of Input),edit,checkbox,edit,edit,edit,edi" "t,edit,edit,edit,edit" MaskTunableValueString "on,on,on,on,on,on,on,on,on,on,on,on,on," "on,on,on,on,on,on,on,on,on" MaskCallbackString "|||||||||||||||||||||" MaskEnableString "on,on,on,on,on,on,on,on,on,on,on,on,on," "on,on,on,on,on,on,on,on,on" MaskVisibilityString "off,on,off,on,off,on,on,off,on,on,off,o" "n,off,on,off,off,off,off,off,off,off,off" MaskToolTipString "on,on,on,on,on,on,on,on,on,on,on,on,on," "on,on,on,on,on,on,on,on,on" MaskVarAliasString ",,,,,,,,,,,,,,,,,,,,," MaskVariables "infoedit=&1;nbits=@2;boolean_output_sga" "dvanced=&3;boolean_output=@4;mode_sgadvanced=&5;mode=@6;bit1=@7;base1_sgadvan" "ced=&8;base1=@9;bit0=@10;base0_sgadvanced=&11;base0=@12;dbl_ovrd_sgadvanced=&" "13;dbl_ovrd=@14;has_advanced_control=@15;sggui_pos=&16;block_type=&17;block_v" "ersion=&18;sg_icon_stat=&19;sg_mask_display=&20;sg_list_contents=&21;sg_block" "gui_xml=&22;" MaskInitialization "try\n tmp_gcb = gcb;\n tmp_gcbh = gcb" "h;\n xlMungeMaskParams;\n block_config = 'Sysgen:Slice_config';\n serializ" "ed_declarations = '{''base0''=>''Int'',''base1''=>''Int'',''bit0''=>''Int'','" "'bit1''=>''Int'',''boolean_output''=>''Int'',''mode''=>''Int'',''nbits''=>''I" "nt'',''sim_engine''=>''Int'',''sysgen_root''=>''String''}';\n xlslice_init()" ";\n ptable_ = xlblockprep(get_param(tmp_gcb, 'MaskWSVariables'));\ncatch\n " "global xl_report_errors;\n if(~isempty(xl_report_errors) && xl_report_errors" ")\n e = regexprep(lasterr, '\\n', '\\nError: ');\n disp(['Error: While " "running MaskInit code on block ' tmp_gcb ': ' e]);\n error(e);\n end\nend" "\n" MaskDisplay "fprintf('','COMMENT: begin icon graphic" "s');\npatch([0 60 60 0 ],[0 0 30 30 ],[0.77 0.82 0.91]);\npatch([22 17 24 17 " "22 30 32 34 42 35 29 24 30 24 29 35 42 34 32 30 22 ],[3 8 15 22 27 27 25 27 2" "7 20 26 21 15 9 4 10 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 0 60 60 0 ],[0 3" "0 30 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT:" " begin icon text');\ncolor('black');port_label('output',1,'[a:b]');\nfprintf(" "'','COMMENT: end icon text');\n" MaskSelfModifiable on MaskIconFrame off MaskIconOpaque on MaskIconRotate "none" MaskIconUnits "autoscale" MaskValueString "Extracts a given range of bits from eac" "h input sample and presents it at the output. The output type is ordinarily " "unsigned with binary point at zero, but can be Boolean when the slice is one " "bit wide.

Hardware notes: In hardware this block costs nothing.|1||off||" "Lower Bit Location + Width|0||MSB of Input|6||LSB of Input||off|0|20,20,442,4" "07|slice|8.2.02|60,30,1,1,white,blue,0,fe24a11e|fprintf('','COMMENT: begin ic" "on graphics');\npatch([0 60 60 0 ],[0 0 30 30 ],[0.77 0.82 0.91]);\npatch([22" " 17 24 17 22 30 32 34 42 35 29 24 30 24 29 35 42 34 32 30 22 ],[3 8 15 22 27 " "27 25 27 27 20 26 21 15 9 4 10 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 0 60 6" "0 0 ],[0 30 30 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf(''" ",'COMMENT: begin icon text');\ncolor('black');port_label('output',1,'[a:b]');" "\nfprintf('','COMMENT: end icon text');\n||\n \n \n <" "dll entry_point=\"Slice_config\" name=\"Sysgen\" />\n \n \n \n \n \n \n \n \n <P>Hardware notes: In hardware this block costs nothing.\" />\n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n" " \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n\n" MaskTabNameString ",,,,,,,,,,,,,,,,,,,,," } Block { BlockType "S-Function" Name "Slice3" Ports [1, 1] Position [605, 320, 665, 350] CopyFcn "xlBlockMoveCallback(gcbh);" DeleteFcn "xlDestroyGui(gcbh);" LoadFcn "xlBlockLoadCallback(gcbh);" ModelCloseFcn "xlDestroyGui(gcbh);" PreSaveFcn "xlBlockPreSaveCallback(gcbh);" PostSaveFcn "xlBlockPostSaveCallback(gcbh);" DestroyFcn "xlDestroyGui(gcbh);" OpenFcn "xlOpenGui(gcbh, @sliceenablement, -1)" CloseFcn "xlDestroyGui(gcbh);" MoveFcn "xlBlockMoveCallback(gcbh);" FunctionName "sysgen" Parameters "ptable_" MaskType "Xilinx Bit Slice Extractor Block" MaskDescription "Extracts a given range of bits from eac" "h input sample and presents it at the output. The output type is ordinarily " "unsigned with binary point at zero, but can be Boolean when the slice is one " "bit wide.\n\nHardware notes: In hardware this block costs nothing." MaskHelp "eval('xlWeb(xlhtmldoclink(''Slice''))')" ";" MaskPromptString " |Width of slice (number of bits)| |Boo" "lean output| |Specify range as|Offset of top bit| |Relative to|Offset of bott" "om bit| |Relative to| |Override with doubles| | | | | | | | " MaskStyleString "edit,edit,edit,checkbox,edit,popup(Two " "Bit Locations|Upper Bit Location + Width|Lower Bit Location + Width),edit,edi" "t,popup(LSB of Input|Binary Point of Input|MSB of Input),edit,edit,popup(LSB " "of Input|Binary Point of Input|MSB of Input),edit,checkbox,edit,edit,edit,edi" "t,edit,edit,edit,edit" MaskTunableValueString "on,on,on,on,on,on,on,on,on,on,on,on,on," "on,on,on,on,on,on,on,on,on" MaskCallbackString "|||||||||||||||||||||" MaskEnableString "on,on,on,on,on,on,on,on,on,on,on,on,on," "on,on,on,on,on,on,on,on,on" MaskVisibilityString "off,on,off,on,off,on,on,off,on,on,off,o" "n,off,on,off,off,off,off,off,off,off,off" MaskToolTipString "on,on,on,on,on,on,on,on,on,on,on,on,on," "on,on,on,on,on,on,on,on,on" MaskVarAliasString ",,,,,,,,,,,,,,,,,,,,," MaskVariables "infoedit=&1;nbits=@2;boolean_output_sga" "dvanced=&3;boolean_output=@4;mode_sgadvanced=&5;mode=@6;bit1=@7;base1_sgadvan" "ced=&8;base1=@9;bit0=@10;base0_sgadvanced=&11;base0=@12;dbl_ovrd_sgadvanced=&" "13;dbl_ovrd=@14;has_advanced_control=@15;sggui_pos=&16;block_type=&17;block_v" "ersion=&18;sg_icon_stat=&19;sg_mask_display=&20;sg_list_contents=&21;sg_block" "gui_xml=&22;" MaskInitialization "try\n tmp_gcb = gcb;\n tmp_gcbh = gcb" "h;\n xlMungeMaskParams;\n block_config = 'Sysgen:Slice_config';\n serializ" "ed_declarations = '{''base0''=>''Int'',''base1''=>''Int'',''bit0''=>''Int'','" "'bit1''=>''Int'',''boolean_output''=>''Int'',''mode''=>''Int'',''nbits''=>''I" "nt'',''sim_engine''=>''Int'',''sysgen_root''=>''String''}';\n xlslice_init()" ";\n ptable_ = xlblockprep(get_param(tmp_gcb, 'MaskWSVariables'));\ncatch\n " "global xl_report_errors;\n if(~isempty(xl_report_errors) && xl_report_errors" ")\n e = regexprep(lasterr, '\\n', '\\nError: ');\n disp(['Error: While " "running MaskInit code on block ' tmp_gcb ': ' e]);\n error(e);\n end\nend" "\n" MaskDisplay "fprintf('','COMMENT: begin icon graphic" "s');\npatch([0 60 60 0 ],[0 0 30 30 ],[0.77 0.82 0.91]);\npatch([22 17 24 17 " "22 30 32 34 42 35 29 24 30 24 29 35 42 34 32 30 22 ],[3 8 15 22 27 27 25 27 2" "7 20 26 21 15 9 4 10 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 0 60 60 0 ],[0 3" "0 30 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT:" " begin icon text');\ncolor('black');port_label('output',1,'[a:b]');\nfprintf(" "'','COMMENT: end icon text');\n" MaskSelfModifiable on MaskIconFrame off MaskIconOpaque on MaskIconRotate "none" MaskIconUnits "autoscale" MaskValueString "Extracts a given range of bits from eac" "h input sample and presents it at the output. The output type is ordinarily " "unsigned with binary point at zero, but can be Boolean when the slice is one " "bit wide.

Hardware notes: In hardware this block costs nothing.|1||off||" "Lower Bit Location + Width|0||MSB of Input|5||LSB of Input||off|0|20,20,442,4" "07|slice|8.2.02|60,30,1,1,white,blue,0,fe24a11e|fprintf('','COMMENT: begin ic" "on graphics');\npatch([0 60 60 0 ],[0 0 30 30 ],[0.77 0.82 0.91]);\npatch([22" " 17 24 17 22 30 32 34 42 35 29 24 30 24 29 35 42 34 32 30 22 ],[3 8 15 22 27 " "27 25 27 27 20 26 21 15 9 4 10 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 0 60 6" "0 0 ],[0 30 30 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf(''" ",'COMMENT: begin icon text');\ncolor('black');port_label('output',1,'[a:b]');" "\nfprintf('','COMMENT: end icon text');\n||\n \n \n <" "dll entry_point=\"Slice_config\" name=\"Sysgen\" />\n \n \n \n \n \n \n \n \n <P>Hardware notes: In hardware this block costs nothing.\" />\n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n" " \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n\n" MaskTabNameString ",,,,,,,,,,,,,,,,,,,,," } Block { BlockType "S-Function" Name "Slice4" Ports [1, 1] Position [605, 375, 665, 405] CopyFcn "xlBlockMoveCallback(gcbh);" DeleteFcn "xlDestroyGui(gcbh);" LoadFcn "xlBlockLoadCallback(gcbh);" ModelCloseFcn "xlDestroyGui(gcbh);" PreSaveFcn "xlBlockPreSaveCallback(gcbh);" PostSaveFcn "xlBlockPostSaveCallback(gcbh);" DestroyFcn "xlDestroyGui(gcbh);" OpenFcn "xlOpenGui(gcbh, @sliceenablement, -1)" CloseFcn "xlDestroyGui(gcbh);" MoveFcn "xlBlockMoveCallback(gcbh);" FunctionName "sysgen" Parameters "ptable_" MaskType "Xilinx Bit Slice Extractor Block" MaskDescription "Extracts a given range of bits from eac" "h input sample and presents it at the output. The output type is ordinarily " "unsigned with binary point at zero, but can be Boolean when the slice is one " "bit wide.\n\nHardware notes: In hardware this block costs nothing." MaskHelp "eval('xlWeb(xlhtmldoclink(''Slice''))')" ";" MaskPromptString " |Width of slice (number of bits)| |Boo" "lean output| |Specify range as|Offset of top bit| |Relative to|Offset of bott" "om bit| |Relative to| |Override with doubles| | | | | | | | " MaskStyleString "edit,edit,edit,checkbox,edit,popup(Two " "Bit Locations|Upper Bit Location + Width|Lower Bit Location + Width),edit,edi" "t,popup(LSB of Input|Binary Point of Input|MSB of Input),edit,edit,popup(LSB " "of Input|Binary Point of Input|MSB of Input),edit,checkbox,edit,edit,edit,edi" "t,edit,edit,edit,edit" MaskTunableValueString "on,on,on,on,on,on,on,on,on,on,on,on,on," "on,on,on,on,on,on,on,on,on" MaskCallbackString "|||||||||||||||||||||" MaskEnableString "on,on,on,on,on,on,on,on,on,on,on,on,on," "on,on,on,on,on,on,on,on,on" MaskVisibilityString "off,on,off,on,off,on,on,off,on,on,off,o" "n,off,on,off,off,off,off,off,off,off,off" MaskToolTipString "on,on,on,on,on,on,on,on,on,on,on,on,on," "on,on,on,on,on,on,on,on,on" MaskVarAliasString ",,,,,,,,,,,,,,,,,,,,," MaskVariables "infoedit=&1;nbits=@2;boolean_output_sga" "dvanced=&3;boolean_output=@4;mode_sgadvanced=&5;mode=@6;bit1=@7;base1_sgadvan" "ced=&8;base1=@9;bit0=@10;base0_sgadvanced=&11;base0=@12;dbl_ovrd_sgadvanced=&" "13;dbl_ovrd=@14;has_advanced_control=@15;sggui_pos=&16;block_type=&17;block_v" "ersion=&18;sg_icon_stat=&19;sg_mask_display=&20;sg_list_contents=&21;sg_block" "gui_xml=&22;" MaskInitialization "try\n tmp_gcb = gcb;\n tmp_gcbh = gcb" "h;\n xlMungeMaskParams;\n block_config = 'Sysgen:Slice_config';\n serializ" "ed_declarations = '{''base0''=>''Int'',''base1''=>''Int'',''bit0''=>''Int'','" "'bit1''=>''Int'',''boolean_output''=>''Int'',''mode''=>''Int'',''nbits''=>''I" "nt'',''sim_engine''=>''Int'',''sysgen_root''=>''String''}';\n xlslice_init()" ";\n ptable_ = xlblockprep(get_param(tmp_gcb, 'MaskWSVariables'));\ncatch\n " "global xl_report_errors;\n if(~isempty(xl_report_errors) && xl_report_errors" ")\n e = regexprep(lasterr, '\\n', '\\nError: ');\n disp(['Error: While " "running MaskInit code on block ' tmp_gcb ': ' e]);\n error(e);\n end\nend" "\n" MaskDisplay "fprintf('','COMMENT: begin icon graphic" "s');\npatch([0 60 60 0 ],[0 0 30 30 ],[0.77 0.82 0.91]);\npatch([22 17 24 17 " "22 30 32 34 42 35 29 24 30 24 29 35 42 34 32 30 22 ],[3 8 15 22 27 27 25 27 2" "7 20 26 21 15 9 4 10 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 0 60 60 0 ],[0 3" "0 30 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT:" " begin icon text');\ncolor('black');port_label('output',1,'[a:b]');\nfprintf(" "'','COMMENT: end icon text');\n" MaskSelfModifiable on MaskIconFrame off MaskIconOpaque on MaskIconRotate "none" MaskIconUnits "autoscale" MaskValueString "Extracts a given range of bits from eac" "h input sample and presents it at the output. The output type is ordinarily " "unsigned with binary point at zero, but can be Boolean when the slice is one " "bit wide.

Hardware notes: In hardware this block costs nothing.|1||off||" "Lower Bit Location + Width|0||MSB of Input|4||LSB of Input||off|0|20,20,442,4" "07|slice|8.2.02|60,30,1,1,white,blue,0,fe24a11e|fprintf('','COMMENT: begin ic" "on graphics');\npatch([0 60 60 0 ],[0 0 30 30 ],[0.77 0.82 0.91]);\npatch([22" " 17 24 17 22 30 32 34 42 35 29 24 30 24 29 35 42 34 32 30 22 ],[3 8 15 22 27 " "27 25 27 27 20 26 21 15 9 4 10 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 0 60 6" "0 0 ],[0 30 30 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf(''" ",'COMMENT: begin icon text');\ncolor('black');port_label('output',1,'[a:b]');" "\nfprintf('','COMMENT: end icon text');\n||\n \n \n <" "dll entry_point=\"Slice_config\" name=\"Sysgen\" />\n \n \n \n \n \n \n \n \n <P>Hardware notes: In hardware this block costs nothing.\" />\n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n" " \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n\n" MaskTabNameString ",,,,,,,,,,,,,,,,,,,,," } Block { BlockType "S-Function" Name "Slice5" Ports [1, 1] Position [605, 430, 665, 460] CopyFcn "xlBlockMoveCallback(gcbh);" DeleteFcn "xlDestroyGui(gcbh);" LoadFcn "xlBlockLoadCallback(gcbh);" ModelCloseFcn "xlDestroyGui(gcbh);" PreSaveFcn "xlBlockPreSaveCallback(gcbh);" PostSaveFcn "xlBlockPostSaveCallback(gcbh);" DestroyFcn "xlDestroyGui(gcbh);" OpenFcn "xlOpenGui(gcbh, @sliceenablement, -1)" CloseFcn "xlDestroyGui(gcbh);" MoveFcn "xlBlockMoveCallback(gcbh);" FunctionName "sysgen" Parameters "ptable_" MaskType "Xilinx Bit Slice Extractor Block" MaskDescription "Extracts a given range of bits from eac" "h input sample and presents it at the output. The output type is ordinarily " "unsigned with binary point at zero, but can be Boolean when the slice is one " "bit wide.\n\nHardware notes: In hardware this block costs nothing." MaskHelp "eval('xlWeb(xlhtmldoclink(''Slice''))')" ";" MaskPromptString " |Width of slice (number of bits)| |Boo" "lean output| |Specify range as|Offset of top bit| |Relative to|Offset of bott" "om bit| |Relative to| |Override with doubles| | | | | | | | " MaskStyleString "edit,edit,edit,checkbox,edit,popup(Two " "Bit Locations|Upper Bit Location + Width|Lower Bit Location + Width),edit,edi" "t,popup(LSB of Input|Binary Point of Input|MSB of Input),edit,edit,popup(LSB " "of Input|Binary Point of Input|MSB of Input),edit,checkbox,edit,edit,edit,edi" "t,edit,edit,edit,edit" MaskTunableValueString "on,on,on,on,on,on,on,on,on,on,on,on,on," "on,on,on,on,on,on,on,on,on" MaskCallbackString "|||||||||||||||||||||" MaskEnableString "on,on,on,on,on,on,on,on,on,on,on,on,on," "on,on,on,on,on,on,on,on,on" MaskVisibilityString "off,on,off,on,off,on,on,off,on,on,off,o" "n,off,on,off,off,off,off,off,off,off,off" MaskToolTipString "on,on,on,on,on,on,on,on,on,on,on,on,on," "on,on,on,on,on,on,on,on,on" MaskVarAliasString ",,,,,,,,,,,,,,,,,,,,," MaskVariables "infoedit=&1;nbits=@2;boolean_output_sga" "dvanced=&3;boolean_output=@4;mode_sgadvanced=&5;mode=@6;bit1=@7;base1_sgadvan" "ced=&8;base1=@9;bit0=@10;base0_sgadvanced=&11;base0=@12;dbl_ovrd_sgadvanced=&" "13;dbl_ovrd=@14;has_advanced_control=@15;sggui_pos=&16;block_type=&17;block_v" "ersion=&18;sg_icon_stat=&19;sg_mask_display=&20;sg_list_contents=&21;sg_block" "gui_xml=&22;" MaskInitialization "try\n tmp_gcb = gcb;\n tmp_gcbh = gcb" "h;\n xlMungeMaskParams;\n block_config = 'Sysgen:Slice_config';\n serializ" "ed_declarations = '{''base0''=>''Int'',''base1''=>''Int'',''bit0''=>''Int'','" "'bit1''=>''Int'',''boolean_output''=>''Int'',''mode''=>''Int'',''nbits''=>''I" "nt'',''sim_engine''=>''Int'',''sysgen_root''=>''String''}';\n xlslice_init()" ";\n ptable_ = xlblockprep(get_param(tmp_gcb, 'MaskWSVariables'));\ncatch\n " "global xl_report_errors;\n if(~isempty(xl_report_errors) && xl_report_errors" ")\n e = regexprep(lasterr, '\\n', '\\nError: ');\n disp(['Error: While " "running MaskInit code on block ' tmp_gcb ': ' e]);\n error(e);\n end\nend" "\n" MaskDisplay "fprintf('','COMMENT: begin icon graphic" "s');\npatch([0 60 60 0 ],[0 0 30 30 ],[0.77 0.82 0.91]);\npatch([22 17 24 17 " "22 30 32 34 42 35 29 24 30 24 29 35 42 34 32 30 22 ],[3 8 15 22 27 27 25 27 2" "7 20 26 21 15 9 4 10 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 0 60 60 0 ],[0 3" "0 30 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT:" " begin icon text');\ncolor('black');port_label('output',1,'[a:b]');\nfprintf(" "'','COMMENT: end icon text');\n" MaskSelfModifiable on MaskIconFrame off MaskIconOpaque on MaskIconRotate "none" MaskIconUnits "autoscale" MaskValueString "Extracts a given range of bits from eac" "h input sample and presents it at the output. The output type is ordinarily " "unsigned with binary point at zero, but can be Boolean when the slice is one " "bit wide.

Hardware notes: In hardware this block costs nothing.|1||off||" "Lower Bit Location + Width|0||MSB of Input|3||LSB of Input||off|0|20,20,442,4" "07|slice|8.2.02|60,30,1,1,white,blue,0,fe24a11e|fprintf('','COMMENT: begin ic" "on graphics');\npatch([0 60 60 0 ],[0 0 30 30 ],[0.77 0.82 0.91]);\npatch([22" " 17 24 17 22 30 32 34 42 35 29 24 30 24 29 35 42 34 32 30 22 ],[3 8 15 22 27 " "27 25 27 27 20 26 21 15 9 4 10 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 0 60 6" "0 0 ],[0 30 30 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf(''" ",'COMMENT: begin icon text');\ncolor('black');port_label('output',1,'[a:b]');" "\nfprintf('','COMMENT: end icon text');\n||\n \n \n <" "dll entry_point=\"Slice_config\" name=\"Sysgen\" />\n \n \n \n \n \n \n \n \n <P>Hardware notes: In hardware this block costs nothing.\" />\n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n" " \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n\n" MaskTabNameString ",,,,,,,,,,,,,,,,,,,,," } Block { BlockType "S-Function" Name "Slice6" Ports [1, 1] Position [605, 485, 665, 515] CopyFcn "xlBlockMoveCallback(gcbh);" DeleteFcn "xlDestroyGui(gcbh);" LoadFcn "xlBlockLoadCallback(gcbh);" ModelCloseFcn "xlDestroyGui(gcbh);" PreSaveFcn "xlBlockPreSaveCallback(gcbh);" PostSaveFcn "xlBlockPostSaveCallback(gcbh);" DestroyFcn "xlDestroyGui(gcbh);" OpenFcn "xlOpenGui(gcbh, @sliceenablement, -1)" CloseFcn "xlDestroyGui(gcbh);" MoveFcn "xlBlockMoveCallback(gcbh);" FunctionName "sysgen" Parameters "ptable_" MaskType "Xilinx Bit Slice Extractor Block" MaskDescription "Extracts a given range of bits from eac" "h input sample and presents it at the output. The output type is ordinarily " "unsigned with binary point at zero, but can be Boolean when the slice is one " "bit wide.\n\nHardware notes: In hardware this block costs nothing." MaskHelp "eval('xlWeb(xlhtmldoclink(''Slice''))')" ";" MaskPromptString " |Width of slice (number of bits)| |Boo" "lean output| |Specify range as|Offset of top bit| |Relative to|Offset of bott" "om bit| |Relative to| |Override with doubles| | | | | | | | " MaskStyleString "edit,edit,edit,checkbox,edit,popup(Two " "Bit Locations|Upper Bit Location + Width|Lower Bit Location + Width),edit,edi" "t,popup(LSB of Input|Binary Point of Input|MSB of Input),edit,edit,popup(LSB " "of Input|Binary Point of Input|MSB of Input),edit,checkbox,edit,edit,edit,edi" "t,edit,edit,edit,edit" MaskTunableValueString "on,on,on,on,on,on,on,on,on,on,on,on,on," "on,on,on,on,on,on,on,on,on" MaskCallbackString "|||||||||||||||||||||" MaskEnableString "on,on,on,on,on,on,on,on,on,on,on,on,on," "on,on,on,on,on,on,on,on,on" MaskVisibilityString "off,on,off,on,off,on,on,off,on,on,off,o" "n,off,on,off,off,off,off,off,off,off,off" MaskToolTipString "on,on,on,on,on,on,on,on,on,on,on,on,on," "on,on,on,on,on,on,on,on,on" MaskVarAliasString ",,,,,,,,,,,,,,,,,,,,," MaskVariables "infoedit=&1;nbits=@2;boolean_output_sga" "dvanced=&3;boolean_output=@4;mode_sgadvanced=&5;mode=@6;bit1=@7;base1_sgadvan" "ced=&8;base1=@9;bit0=@10;base0_sgadvanced=&11;base0=@12;dbl_ovrd_sgadvanced=&" "13;dbl_ovrd=@14;has_advanced_control=@15;sggui_pos=&16;block_type=&17;block_v" "ersion=&18;sg_icon_stat=&19;sg_mask_display=&20;sg_list_contents=&21;sg_block" "gui_xml=&22;" MaskInitialization "try\n tmp_gcb = gcb;\n tmp_gcbh = gcb" "h;\n xlMungeMaskParams;\n block_config = 'Sysgen:Slice_config';\n serializ" "ed_declarations = '{''base0''=>''Int'',''base1''=>''Int'',''bit0''=>''Int'','" "'bit1''=>''Int'',''boolean_output''=>''Int'',''mode''=>''Int'',''nbits''=>''I" "nt'',''sim_engine''=>''Int'',''sysgen_root''=>''String''}';\n xlslice_init()" ";\n ptable_ = xlblockprep(get_param(tmp_gcb, 'MaskWSVariables'));\ncatch\n " "global xl_report_errors;\n if(~isempty(xl_report_errors) && xl_report_errors" ")\n e = regexprep(lasterr, '\\n', '\\nError: ');\n disp(['Error: While " "running MaskInit code on block ' tmp_gcb ': ' e]);\n error(e);\n end\nend" "\n" MaskDisplay "fprintf('','COMMENT: begin icon graphic" "s');\npatch([0 60 60 0 ],[0 0 30 30 ],[0.77 0.82 0.91]);\npatch([22 17 24 17 " "22 30 32 34 42 35 29 24 30 24 29 35 42 34 32 30 22 ],[3 8 15 22 27 27 25 27 2" "7 20 26 21 15 9 4 10 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 0 60 60 0 ],[0 3" "0 30 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT:" " begin icon text');\ncolor('black');port_label('output',1,'[a:b]');\nfprintf(" "'','COMMENT: end icon text');\n" MaskSelfModifiable on MaskIconFrame off MaskIconOpaque on MaskIconRotate "none" MaskIconUnits "autoscale" MaskValueString "Extracts a given range of bits from eac" "h input sample and presents it at the output. The output type is ordinarily " "unsigned with binary point at zero, but can be Boolean when the slice is one " "bit wide.

Hardware notes: In hardware this block costs nothing.|1||off||" "Lower Bit Location + Width|0||MSB of Input|2||LSB of Input||off|0|20,20,442,4" "07|slice|8.2.02|60,30,1,1,white,blue,0,fe24a11e|fprintf('','COMMENT: begin ic" "on graphics');\npatch([0 60 60 0 ],[0 0 30 30 ],[0.77 0.82 0.91]);\npatch([22" " 17 24 17 22 30 32 34 42 35 29 24 30 24 29 35 42 34 32 30 22 ],[3 8 15 22 27 " "27 25 27 27 20 26 21 15 9 4 10 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 0 60 6" "0 0 ],[0 30 30 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf(''" ",'COMMENT: begin icon text');\ncolor('black');port_label('output',1,'[a:b]');" "\nfprintf('','COMMENT: end icon text');\n||\n \n \n <" "dll entry_point=\"Slice_config\" name=\"Sysgen\" />\n \n \n \n \n \n \n \n \n <P>Hardware notes: In hardware this block costs nothing.\" />\n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n" " \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n\n" MaskTabNameString ",,,,,,,,,,,,,,,,,,,,," } Block { BlockType "S-Function" Name "Slice7" Ports [1, 1] Position [605, 540, 665, 570] CopyFcn "xlBlockMoveCallback(gcbh);" DeleteFcn "xlDestroyGui(gcbh);" LoadFcn "xlBlockLoadCallback(gcbh);" ModelCloseFcn "xlDestroyGui(gcbh);" PreSaveFcn "xlBlockPreSaveCallback(gcbh);" PostSaveFcn "xlBlockPostSaveCallback(gcbh);" DestroyFcn "xlDestroyGui(gcbh);" OpenFcn "xlOpenGui(gcbh, @sliceenablement, -1)" CloseFcn "xlDestroyGui(gcbh);" MoveFcn "xlBlockMoveCallback(gcbh);" FunctionName "sysgen" Parameters "ptable_" MaskType "Xilinx Bit Slice Extractor Block" MaskDescription "Extracts a given range of bits from eac" "h input sample and presents it at the output. The output type is ordinarily " "unsigned with binary point at zero, but can be Boolean when the slice is one " "bit wide.\n\nHardware notes: In hardware this block costs nothing." MaskHelp "eval('xlWeb(xlhtmldoclink(''Slice''))')" ";" MaskPromptString " |Width of slice (number of bits)| |Boo" "lean output| |Specify range as|Offset of top bit| |Relative to|Offset of bott" "om bit| |Relative to| |Override with doubles| | | | | | | | " MaskStyleString "edit,edit,edit,checkbox,edit,popup(Two " "Bit Locations|Upper Bit Location + Width|Lower Bit Location + Width),edit,edi" "t,popup(LSB of Input|Binary Point of Input|MSB of Input),edit,edit,popup(LSB " "of Input|Binary Point of Input|MSB of Input),edit,checkbox,edit,edit,edit,edi" "t,edit,edit,edit,edit" MaskTunableValueString "on,on,on,on,on,on,on,on,on,on,on,on,on," "on,on,on,on,on,on,on,on,on" MaskCallbackString "|||||||||||||||||||||" MaskEnableString "on,on,on,on,on,on,on,on,on,on,on,on,on," "on,on,on,on,on,on,on,on,on" MaskVisibilityString "off,on,off,on,off,on,on,off,on,on,off,o" "n,off,on,off,off,off,off,off,off,off,off" MaskToolTipString "on,on,on,on,on,on,on,on,on,on,on,on,on," "on,on,on,on,on,on,on,on,on" MaskVarAliasString ",,,,,,,,,,,,,,,,,,,,," MaskVariables "infoedit=&1;nbits=@2;boolean_output_sga" "dvanced=&3;boolean_output=@4;mode_sgadvanced=&5;mode=@6;bit1=@7;base1_sgadvan" "ced=&8;base1=@9;bit0=@10;base0_sgadvanced=&11;base0=@12;dbl_ovrd_sgadvanced=&" "13;dbl_ovrd=@14;has_advanced_control=@15;sggui_pos=&16;block_type=&17;block_v" "ersion=&18;sg_icon_stat=&19;sg_mask_display=&20;sg_list_contents=&21;sg_block" "gui_xml=&22;" MaskInitialization "try\n tmp_gcb = gcb;\n tmp_gcbh = gcb" "h;\n xlMungeMaskParams;\n block_config = 'Sysgen:Slice_config';\n serializ" "ed_declarations = '{''base0''=>''Int'',''base1''=>''Int'',''bit0''=>''Int'','" "'bit1''=>''Int'',''boolean_output''=>''Int'',''mode''=>''Int'',''nbits''=>''I" "nt'',''sim_engine''=>''Int'',''sysgen_root''=>''String''}';\n xlslice_init()" ";\n ptable_ = xlblockprep(get_param(tmp_gcb, 'MaskWSVariables'));\ncatch\n " "global xl_report_errors;\n if(~isempty(xl_report_errors) && xl_report_errors" ")\n e = regexprep(lasterr, '\\n', '\\nError: ');\n disp(['Error: While " "running MaskInit code on block ' tmp_gcb ': ' e]);\n error(e);\n end\nend" "\n" MaskDisplay "fprintf('','COMMENT: begin icon graphic" "s');\npatch([0 60 60 0 ],[0 0 30 30 ],[0.77 0.82 0.91]);\npatch([22 17 24 17 " "22 30 32 34 42 35 29 24 30 24 29 35 42 34 32 30 22 ],[3 8 15 22 27 27 25 27 2" "7 20 26 21 15 9 4 10 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 0 60 60 0 ],[0 3" "0 30 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT:" " begin icon text');\ncolor('black');port_label('output',1,'[a:b]');\nfprintf(" "'','COMMENT: end icon text');\n" MaskSelfModifiable on MaskIconFrame off MaskIconOpaque on MaskIconRotate "none" MaskIconUnits "autoscale" MaskValueString "Extracts a given range of bits from eac" "h input sample and presents it at the output. The output type is ordinarily " "unsigned with binary point at zero, but can be Boolean when the slice is one " "bit wide.

Hardware notes: In hardware this block costs nothing.|1||off||" "Lower Bit Location + Width|0||MSB of Input|1||LSB of Input||off|0|20,20,442,4" "07|slice|8.2.02|60,30,1,1,white,blue,0,fe24a11e|fprintf('','COMMENT: begin ic" "on graphics');\npatch([0 60 60 0 ],[0 0 30 30 ],[0.77 0.82 0.91]);\npatch([22" " 17 24 17 22 30 32 34 42 35 29 24 30 24 29 35 42 34 32 30 22 ],[3 8 15 22 27 " "27 25 27 27 20 26 21 15 9 4 10 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 0 60 6" "0 0 ],[0 30 30 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf(''" ",'COMMENT: begin icon text');\ncolor('black');port_label('output',1,'[a:b]');" "\nfprintf('','COMMENT: end icon text');\n||\n \n \n <" "dll entry_point=\"Slice_config\" name=\"Sysgen\" />\n \n \n \n \n \n \n \n \n <P>Hardware notes: In hardware this block costs nothing.\" />\n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n" " \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n\n" MaskTabNameString ",,,,,,,,,,,,,,,,,,,,," } Block { BlockType "S-Function" Name "Slice8" Ports [1, 1] Position [605, 595, 665, 625] CopyFcn "xlBlockMoveCallback(gcbh);" DeleteFcn "xlDestroyGui(gcbh);" LoadFcn "xlBlockLoadCallback(gcbh);" ModelCloseFcn "xlDestroyGui(gcbh);" PreSaveFcn "xlBlockPreSaveCallback(gcbh);" PostSaveFcn "xlBlockPostSaveCallback(gcbh);" DestroyFcn "xlDestroyGui(gcbh);" OpenFcn "xlOpenGui(gcbh, @sliceenablement, -1)" CloseFcn "xlDestroyGui(gcbh);" MoveFcn "xlBlockMoveCallback(gcbh);" FunctionName "sysgen" Parameters "ptable_" MaskType "Xilinx Bit Slice Extractor Block" MaskDescription "Extracts a given range of bits from eac" "h input sample and presents it at the output. The output type is ordinarily " "unsigned with binary point at zero, but can be Boolean when the slice is one " "bit wide.\n\nHardware notes: In hardware this block costs nothing." MaskHelp "eval('xlWeb(xlhtmldoclink(''Slice''))')" ";" MaskPromptString " |Width of slice (number of bits)| |Boo" "lean output| |Specify range as|Offset of top bit| |Relative to|Offset of bott" "om bit| |Relative to| |Override with doubles| | | | | | | | " MaskStyleString "edit,edit,edit,checkbox,edit,popup(Two " "Bit Locations|Upper Bit Location + Width|Lower Bit Location + Width),edit,edi" "t,popup(LSB of Input|Binary Point of Input|MSB of Input),edit,edit,popup(LSB " "of Input|Binary Point of Input|MSB of Input),edit,checkbox,edit,edit,edit,edi" "t,edit,edit,edit,edit" MaskTunableValueString "on,on,on,on,on,on,on,on,on,on,on,on,on," "on,on,on,on,on,on,on,on,on" MaskCallbackString "|||||||||||||||||||||" MaskEnableString "on,on,on,on,on,on,on,on,on,on,on,on,on," "on,on,on,on,on,on,on,on,on" MaskVisibilityString "off,on,off,on,off,on,on,off,on,on,off,o" "n,off,on,off,off,off,off,off,off,off,off" MaskToolTipString "on,on,on,on,on,on,on,on,on,on,on,on,on," "on,on,on,on,on,on,on,on,on" MaskVarAliasString ",,,,,,,,,,,,,,,,,,,,," MaskVariables "infoedit=&1;nbits=@2;boolean_output_sga" "dvanced=&3;boolean_output=@4;mode_sgadvanced=&5;mode=@6;bit1=@7;base1_sgadvan" "ced=&8;base1=@9;bit0=@10;base0_sgadvanced=&11;base0=@12;dbl_ovrd_sgadvanced=&" "13;dbl_ovrd=@14;has_advanced_control=@15;sggui_pos=&16;block_type=&17;block_v" "ersion=&18;sg_icon_stat=&19;sg_mask_display=&20;sg_list_contents=&21;sg_block" "gui_xml=&22;" MaskInitialization "try\n tmp_gcb = gcb;\n tmp_gcbh = gcb" "h;\n xlMungeMaskParams;\n block_config = 'Sysgen:Slice_config';\n serializ" "ed_declarations = '{''base0''=>''Int'',''base1''=>''Int'',''bit0''=>''Int'','" "'bit1''=>''Int'',''boolean_output''=>''Int'',''mode''=>''Int'',''nbits''=>''I" "nt'',''sim_engine''=>''Int'',''sysgen_root''=>''String''}';\n xlslice_init()" ";\n ptable_ = xlblockprep(get_param(tmp_gcb, 'MaskWSVariables'));\ncatch\n " "global xl_report_errors;\n if(~isempty(xl_report_errors) && xl_report_errors" ")\n e = regexprep(lasterr, '\\n', '\\nError: ');\n disp(['Error: While " "running MaskInit code on block ' tmp_gcb ': ' e]);\n error(e);\n end\nend" "\n" MaskDisplay "fprintf('','COMMENT: begin icon graphic" "s');\npatch([0 60 60 0 ],[0 0 30 30 ],[0.77 0.82 0.91]);\npatch([22 17 24 17 " "22 30 32 34 42 35 29 24 30 24 29 35 42 34 32 30 22 ],[3 8 15 22 27 27 25 27 2" "7 20 26 21 15 9 4 10 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 0 60 60 0 ],[0 3" "0 30 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT:" " begin icon text');\ncolor('black');port_label('output',1,'[a:b]');\nfprintf(" "'','COMMENT: end icon text');\n" MaskSelfModifiable on MaskIconFrame off MaskIconOpaque on MaskIconRotate "none" MaskIconUnits "autoscale" MaskValueString "Extracts a given range of bits from eac" "h input sample and presents it at the output. The output type is ordinarily " "unsigned with binary point at zero, but can be Boolean when the slice is one " "bit wide.

Hardware notes: In hardware this block costs nothing.|1||off||" "Lower Bit Location + Width|0||MSB of Input|0||LSB of Input||off|0|20,20,442,4" "07|slice|8.2.02|60,30,1,1,white,blue,0,fe24a11e|fprintf('','COMMENT: begin ic" "on graphics');\npatch([0 60 60 0 ],[0 0 30 30 ],[0.77 0.82 0.91]);\npatch([22" " 17 24 17 22 30 32 34 42 35 29 24 30 24 29 35 42 34 32 30 22 ],[3 8 15 22 27 " "27 25 27 27 20 26 21 15 9 4 10 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 0 60 6" "0 0 ],[0 30 30 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf(''" ",'COMMENT: begin icon text');\ncolor('black');port_label('output',1,'[a:b]');" "\nfprintf('','COMMENT: end icon text');\n||\n \n \n <" "dll entry_point=\"Slice_config\" name=\"Sysgen\" />\n \n \n \n \n \n \n \n \n <P>Hardware notes: In hardware this block costs nothing.\" />\n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n" " \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n\n" MaskTabNameString ",,,,,,,,,,,,,,,,,,,,," } Block { BlockType Outport Name "SPI_data" Position [875, 358, 905, 372] IconDisplay "Port number" BusOutputAsStruct off } Line { SrcBlock "Bit_select" SrcPort 1 DstBlock "Mux" DstPort 1 } Line { SrcBlock "Slice" SrcPort 1 DstBlock "Mux" DstPort 2 } Line { SrcBlock "Slice1" SrcPort 1 DstBlock "Mux" DstPort 3 } Line { SrcBlock "Slice2" SrcPort 1 DstBlock "Mux" DstPort 4 } Line { SrcBlock "Slice3" SrcPort 1 DstBlock "Mux" DstPort 5 } Line { SrcBlock "Slice4" SrcPort 1 DstBlock "Mux" DstPort 6 } Line { SrcBlock "Slice5" SrcPort 1 DstBlock "Mux" DstPort 7 } Line { SrcBlock "Slice6" SrcPort 1 DstBlock "Mux" DstPort 8 } Line { SrcBlock "Slice7" SrcPort 1 DstBlock "Mux" DstPort 9 } Line { SrcBlock "Slice8" SrcPort 1 DstBlock "Mux" DstPort 10 } Line { SrcBlock "Data to transmit" SrcPort 1 Points [30, 0; 0, 20] DstBlock "Register" DstPort 1 } Line { SrcBlock "Mux" SrcPort 1 DstBlock "SPI_data" DstPort 1 } Line { SrcBlock "Register" SrcPort 1 Points [30, 0] Branch { Points [0, -55] Branch { Points [0, -55] Branch { Points [0, -55] Branch { Points [0, -55] DstBlock "Slice" DstPort 1 } Branch { DstBlock "Slice1" DstPort 1 } } Branch { DstBlock "Slice2" DstPort 1 } } Branch { DstBlock "Slice3" DstPort 1 } } Branch { DstBlock "Slice4" DstPort 1 } Branch { Points [0, 55] Branch { DstBlock "Slice5" DstPort 1 } Branch { Points [0, 55] Branch { DstBlock "Slice6" DstPort 1 } Branch { Points [0, 55] Branch { Points [0, 55] DstBlock "Slice8" DstPort 1 } Branch { DstBlock "Slice7" DstPort 1 } } } } } Line { SrcBlock "Reset" SrcPort 1 DstBlock "Register" DstPort 2 } Line { SrcBlock "LatchData" SrcPort 1 Points [30, 0; 0, -20] DstBlock "Register" DstPort 3 } Annotation { Name "Splits 9 bit data into one bit samples " "without changing sample rate" Position [664, 674] UseDisplayTextAsClickCallback off } } } Block { BlockType "S-Function" Name "Delay" Ports [1, 1] Position [935, 262, 995, 318] CopyFcn "xlBlockMoveCallback(gcbh);" DeleteFcn "xlDestroyGui(gcbh);" LoadFcn "xlBlockLoadCallback(gcbh);" ModelCloseFcn "xlDestroyGui(gcbh);" PreSaveFcn "xlBlockPreSaveCallback(gcbh);" PostSaveFcn "xlBlockPostSaveCallback(gcbh);" DestroyFcn "xlDestroyGui(gcbh);" OpenFcn "xlOpenGui(gcbh, @xlmagicenablement, -1)" CloseFcn "xlDestroyGui(gcbh);" MoveFcn "xlBlockMoveCallback(gcbh);" FunctionName "sysgen" Parameters "ptable_" MaskType "Xilinx Delay Block" MaskDescription "Hardware notes: A delay line is a chain, ea" "ch link of which is an SRL16 followed by a flip-flop. If register retiming is" " enabled, the delay line is a chain of flip-flops." MaskHelp "eval('xlWeb(xlhtmldoclink(''Delay''))');" MaskPromptString " | |Provide enable port|Latency| |Override " "with doubles| |Enable register retiming| |Define FPGA area for resource estim" "ation|FPGA area [slices, FFs, BRAMs, LUTs, IOBs, emb. mults, TBUFs]| | | | | " "| | | " MaskStyleString "edit,edit,checkbox,edit,edit,checkbox,edit," "checkbox,edit,checkbox,edit,edit,edit,edit,edit,edit,edit,edit,edit" MaskTunableValueString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,o" "n,on,on,on,on" MaskCallbackString "||||||||||||||||||" MaskEnableString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,o" "n,on,on,on,on" MaskVisibilityString "off,off,on,on,off,on,off,on,off,on,on,off,o" "ff,off,off,off,off,off,off" MaskToolTipString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,o" "n,on,on,on,on" MaskVarAliasString ",,,,,,,,,,,,,,,,,," MaskVariables "infoedit=&1;en_sgadvanced=&2;en=@3;latency=" "@4;dbl_ovrd_sgadvanced=&5;dbl_ovrd=@6;reg_retiming_sgadvanced=&7;reg_retiming" "=@8;xl_use_area_sgadvanced=&9;xl_use_area=@10;xl_area=@11;has_advanced_contro" "l=@12;sggui_pos=&13;block_type=&14;block_version=&15;sg_icon_stat=&16;sg_mask" "_display=&17;sg_list_contents=&18;sg_blockgui_xml=&19;" MaskInitialization "try\n tmp_gcb = gcb;\n tmp_gcbh = gcbh;\n" " xlMungeMaskParams;\n block_config = 'Sysgen:Delay_config';\n serialized_d" "eclarations = '{''dbl_ovrd''=>''Int'',''en''=>''Int'',''latency''=>''Int'',''" "reg_retiming''=>''Int''}';\n ptable_ = xlblockprep(get_param(tmp_gcb, 'MaskW" "SVariables'));\ncatch\n global xl_report_errors;\n if(~isempty(xl_report_er" "rors) && xl_report_errors)\n e = regexprep(lasterr, '\\n', '\\nError: ');" "\n disp(['Error: While running MaskInit code on block ' tmp_gcb ': ' e]);" "\n error(e);\n end\nend\n" MaskDisplay "fprintf('','COMMENT: begin icon graphics');" "\npatch([0 60 60 0 ],[0 0 56 56 ],[0.77 0.82 0.91]);\npatch([15 6 19 6 15 30 " "34 38 54 41 29 20 32 20 29 41 54 38 34 30 15 ],[6 15 28 41 50 50 46 50 50 37 " "49 40 28 16 7 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 0 60 60 0 ],[0 56 5" "6 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: be" "gin icon text');\ncolor('black');disp('z^{-2}','texmode','on');\nfprintf('','" "COMMENT: end icon text');\n" MaskSelfModifiable on MaskIconFrame off MaskIconOpaque on MaskIconRotate "none" MaskIconUnits "autoscale" MaskValueString "Hardware notes: A delay line is a chain, ea" "ch link of which is an SRL16 followed by a flip-flop. If register retiming is" " enabled, the delay line is a chain of flip-flops.||off|2||off||off||off|[0,0" ",0,0,0,0,0]|0|20,20,356,256|delay|8.2.02|60,56,1,1,white,blue,0,0a7a6cf1|fpri" "ntf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 ],[0 0 56 56 ],[0.7" "7 0.82 0.91]);\npatch([15 6 19 6 15 30 34 38 54 41 29 20 32 20 29 41 54 38 34" " 30 15 ],[6 15 28 41 50 50 46 50 50 37 49 40 28 16 7 19 6 6 10 6 6 ],[0.98 0." "96 0.92]);\nplot([0 0 60 60 0 ],[0 56 56 0 0 ]);\nfprintf('','COMMENT: end ic" "on graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');disp(" "'z^{-2}','texmode','on');\nfprintf('','COMMENT: end icon text');\n||\n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n " "\n \n \n \n " "\n \n \n \n \n \n \n\n" MaskTabNameString ",,,,,,,,,,,,,,,,,," } Block { BlockType "S-Function" Name "Delay1" Ports [1, 1] Position [935, 337, 995, 393] CopyFcn "xlBlockMoveCallback(gcbh);" DeleteFcn "xlDestroyGui(gcbh);" LoadFcn "xlBlockLoadCallback(gcbh);" ModelCloseFcn "xlDestroyGui(gcbh);" PreSaveFcn "xlBlockPreSaveCallback(gcbh);" PostSaveFcn "xlBlockPostSaveCallback(gcbh);" DestroyFcn "xlDestroyGui(gcbh);" OpenFcn "xlOpenGui(gcbh, @xlmagicenablement, -1)" CloseFcn "xlDestroyGui(gcbh);" MoveFcn "xlBlockMoveCallback(gcbh);" FunctionName "sysgen" Parameters "ptable_" MaskType "Xilinx Delay Block" MaskDescription "Hardware notes: A delay line is a chain, ea" "ch link of which is an SRL16 followed by a flip-flop. If register retiming is" " enabled, the delay line is a chain of flip-flops." MaskHelp "eval('xlWeb(xlhtmldoclink(''Delay''))');" MaskPromptString " | |Provide enable port|Latency| |Override " "with doubles| |Enable register retiming| |Define FPGA area for resource estim" "ation|FPGA area [slices, FFs, BRAMs, LUTs, IOBs, emb. mults, TBUFs]| | | | | " "| | | " MaskStyleString "edit,edit,checkbox,edit,edit,checkbox,edit," "checkbox,edit,checkbox,edit,edit,edit,edit,edit,edit,edit,edit,edit" MaskTunableValueString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,o" "n,on,on,on,on" MaskCallbackString "||||||||||||||||||" MaskEnableString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,o" "n,on,on,on,on" MaskVisibilityString "off,off,on,on,off,on,off,on,off,on,on,off,o" "ff,off,off,off,off,off,off" MaskToolTipString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,o" "n,on,on,on,on" MaskVarAliasString ",,,,,,,,,,,,,,,,,," MaskVariables "infoedit=&1;en_sgadvanced=&2;en=@3;latency=" "@4;dbl_ovrd_sgadvanced=&5;dbl_ovrd=@6;reg_retiming_sgadvanced=&7;reg_retiming" "=@8;xl_use_area_sgadvanced=&9;xl_use_area=@10;xl_area=@11;has_advanced_contro" "l=@12;sggui_pos=&13;block_type=&14;block_version=&15;sg_icon_stat=&16;sg_mask" "_display=&17;sg_list_contents=&18;sg_blockgui_xml=&19;" MaskInitialization "try\n tmp_gcb = gcb;\n tmp_gcbh = gcbh;\n" " xlMungeMaskParams;\n block_config = 'Sysgen:Delay_config';\n serialized_d" "eclarations = '{''dbl_ovrd''=>''Int'',''en''=>''Int'',''latency''=>''Int'',''" "reg_retiming''=>''Int''}';\n ptable_ = xlblockprep(get_param(tmp_gcb, 'MaskW" "SVariables'));\ncatch\n global xl_report_errors;\n if(~isempty(xl_report_er" "rors) && xl_report_errors)\n e = regexprep(lasterr, '\\n', '\\nError: ');" "\n disp(['Error: While running MaskInit code on block ' tmp_gcb ': ' e]);" "\n error(e);\n end\nend\n" MaskDisplay "fprintf('','COMMENT: begin icon graphics');" "\npatch([0 60 60 0 ],[0 0 56 56 ],[0.77 0.82 0.91]);\npatch([15 6 19 6 15 30 " "34 38 54 41 29 20 32 20 29 41 54 38 34 30 15 ],[6 15 28 41 50 50 46 50 50 37 " "49 40 28 16 7 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 0 60 60 0 ],[0 56 5" "6 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: be" "gin icon text');\ncolor('black');disp('z^{-6}','texmode','on');\nfprintf('','" "COMMENT: end icon text');\n" MaskSelfModifiable on MaskIconFrame off MaskIconOpaque on MaskIconRotate "none" MaskIconUnits "autoscale" MaskValueString "Hardware notes: A delay line is a chain, ea" "ch link of which is an SRL16 followed by a flip-flop. If register retiming is" " enabled, the delay line is a chain of flip-flops.||off|6||off||off||off|[0,0" ",0,0,0,0,0]|0|20,20,356,256|delay|8.2.02|60,56,1,1,white,blue,0,23386583|fpri" "ntf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 ],[0 0 56 56 ],[0.7" "7 0.82 0.91]);\npatch([15 6 19 6 15 30 34 38 54 41 29 20 32 20 29 41 54 38 34" " 30 15 ],[6 15 28 41 50 50 46 50 50 37 49 40 28 16 7 19 6 6 10 6 6 ],[0.98 0." "96 0.92]);\nplot([0 0 60 60 0 ],[0 56 56 0 0 ]);\nfprintf('','COMMENT: end ic" "on graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');disp(" "'z^{-6}','texmode','on');\nfprintf('','COMMENT: end icon text');\n||\n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n " "\n \n \n \n " "\n \n \n \n \n \n \n\n" MaskTabNameString ",,,,,,,,,,,,,,,,,," } Block { BlockType "S-Function" Name "Inverter" Ports [1, 1] Position [415, 361, 470, 419] CopyFcn "xlBlockMoveCallback(gcbh);" DeleteFcn "xlDestroyGui(gcbh);" LoadFcn "xlBlockLoadCallback(gcbh);" ModelCloseFcn "xlDestroyGui(gcbh);" PreSaveFcn "xlBlockPreSaveCallback(gcbh);" PostSaveFcn "xlBlockPostSaveCallback(gcbh);" DestroyFcn "xlDestroyGui(gcbh);" OpenFcn "xlOpenGui(gcbh, @xlmagicenablement, -1)" CloseFcn "xlDestroyGui(gcbh);" MoveFcn "xlBlockMoveCallback(gcbh);" FunctionName "sysgen" Parameters "ptable_" MaskType "Xilinx Inverter Block" MaskDescription "Bitwise logical negation (one's complement)" " operator." MaskHelp "eval('xlWeb(xlhtmldoclink(''Inverter''))');" MaskPromptString " | |Provide enable port|Latency| |Override " "with doubles| |Define FPGA area for resource estimation|FPGA area [slices, FF" "s, BRAMs, LUTs, IOBs, emb. mults, TBUFs]| | | | | | | | " MaskStyleString "edit,edit,checkbox,edit,edit,checkbox,edit," "checkbox,edit,edit,edit,edit,edit,edit,edit,edit,edit" MaskTunableValueString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,o" "n,on,on" MaskCallbackString "||||||||||||||||" MaskEnableString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,o" "n,on,on" MaskVisibilityString "off,off,on,on,off,on,off,on,on,off,off,off," "off,off,off,off,off" MaskToolTipString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,o" "n,on,on" MaskVarAliasString ",,,,,,,,,,,,,,,," MaskVariables "infoedit=&1;en_sgadvanced=&2;en=@3;latency=" "@4;dbl_ovrd_sgadvanced=&5;dbl_ovrd=@6;xl_use_area_sgadvanced=&7;xl_use_area=@" "8;xl_area=@9;has_advanced_control=@10;sggui_pos=&11;block_type=&12;block_vers" "ion=&13;sg_icon_stat=&14;sg_mask_display=&15;sg_list_contents=&16;sg_blockgui" "_xml=&17;" MaskInitialization "try\n tmp_gcb = gcb;\n tmp_gcbh = gcbh;\n" " xlMungeMaskParams;\n block_config = 'Sysgen:Inverter_config';\n serialize" "d_declarations = '{''dbl_ovrd''=>''Int'',''en''=>''Int'',''latency''=>''Int''" "}';\n ptable_ = xlblockprep(get_param(tmp_gcb, 'MaskWSVariables'));\ncatch\n" " global xl_report_errors;\n if(~isempty(xl_report_errors) && xl_report_erro" "rs)\n e = regexprep(lasterr, '\\n', '\\nError: ');\n disp(['Error: Whil" "e running MaskInit code on block ' tmp_gcb ': ' e]);\n error(e);\n end\ne" "nd\n" MaskDisplay "fprintf('','COMMENT: begin icon graphics');" "\npatch([0 55 55 0 ],[0 0 58 58 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13 28 " "32 36 52 40 28 19 32 19 28 40 52 36 32 28 13 ],[7 16 29 42 51 51 47 51 51 39 " "51 42 29 16 7 19 7 7 11 7 7 ],[0.98 0.96 0.92]);\nplot([0 0 55 55 0 ],[0 58 5" "8 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: be" "gin icon text');\ncolor('black');disp('not');\nfprintf('','COMMENT: end icon " "text');\n" MaskSelfModifiable on MaskIconFrame off MaskIconOpaque on MaskIconRotate "none" MaskIconUnits "autoscale" MaskValueString "Bitwise logical negation (one's complement)" " operator.||off|0||off||off|[0,0,0,0,0,0,0]|0|-1,-1,-1,-1|inv|8.2.02|55,58,1," "1,white,blue,0,1ab4a85f|fprintf('','COMMENT: begin icon graphics');\npatch([0" " 55 55 0 ],[0 0 58 58 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13 28 32 36 52 4" "0 28 19 32 19 28 40 52 36 32 28 13 ],[7 16 29 42 51 51 47 51 51 39 51 42 29 1" "6 7 19 7 7 11 7 7 ],[0.98 0.96 0.92]);\nplot([0 0 55 55 0 ],[0 58 58 0 0 ]);" "\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon " "text');\ncolor('black');disp('not');\nfprintf('','COMMENT: end icon text');\n" "||\n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n " "\n \n \n " " \n \n \n " " \n \n " " \n " " \n \n \n \n\n" MaskTabNameString ",,,,,,,,,,,,,,,," } Block { BlockType "S-Function" Name "Inverter1" Ports [1, 1] Position [1390, 261, 1445, 319] CopyFcn "xlBlockMoveCallback(gcbh);" DeleteFcn "xlDestroyGui(gcbh);" LoadFcn "xlBlockLoadCallback(gcbh);" ModelCloseFcn "xlDestroyGui(gcbh);" PreSaveFcn "xlBlockPreSaveCallback(gcbh);" PostSaveFcn "xlBlockPostSaveCallback(gcbh);" DestroyFcn "xlDestroyGui(gcbh);" OpenFcn "xlOpenGui(gcbh, @xlmagicenablement, -1)" CloseFcn "xlDestroyGui(gcbh);" MoveFcn "xlBlockMoveCallback(gcbh);" FunctionName "sysgen" Parameters "ptable_" MaskType "Xilinx Inverter Block" MaskDescription "Bitwise logical negation (one's complement)" " operator." MaskHelp "eval('xlWeb(xlhtmldoclink(''Inverter''))');" MaskPromptString " | |Provide enable port|Latency| |Override " "with doubles| |Define FPGA area for resource estimation|FPGA area [slices, FF" "s, BRAMs, LUTs, IOBs, emb. mults, TBUFs]| | | | | | | | " MaskStyleString "edit,edit,checkbox,edit,edit,checkbox,edit," "checkbox,edit,edit,edit,edit,edit,edit,edit,edit,edit" MaskTunableValueString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,o" "n,on,on" MaskCallbackString "||||||||||||||||" MaskEnableString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,o" "n,on,on" MaskVisibilityString "off,off,on,on,off,on,off,on,on,off,off,off," "off,off,off,off,off" MaskToolTipString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,o" "n,on,on" MaskVarAliasString ",,,,,,,,,,,,,,,," MaskVariables "infoedit=&1;en_sgadvanced=&2;en=@3;latency=" "@4;dbl_ovrd_sgadvanced=&5;dbl_ovrd=@6;xl_use_area_sgadvanced=&7;xl_use_area=@" "8;xl_area=@9;has_advanced_control=@10;sggui_pos=&11;block_type=&12;block_vers" "ion=&13;sg_icon_stat=&14;sg_mask_display=&15;sg_list_contents=&16;sg_blockgui" "_xml=&17;" MaskInitialization "try\n tmp_gcb = gcb;\n tmp_gcbh = gcbh;\n" " xlMungeMaskParams;\n block_config = 'Sysgen:Inverter_config';\n serialize" "d_declarations = '{''dbl_ovrd''=>''Int'',''en''=>''Int'',''latency''=>''Int''" "}';\n ptable_ = xlblockprep(get_param(tmp_gcb, 'MaskWSVariables'));\ncatch\n" " global xl_report_errors;\n if(~isempty(xl_report_errors) && xl_report_erro" "rs)\n e = regexprep(lasterr, '\\n', '\\nError: ');\n disp(['Error: Whil" "e running MaskInit code on block ' tmp_gcb ': ' e]);\n error(e);\n end\ne" "nd\n" MaskDisplay "fprintf('','COMMENT: begin icon graphics');" "\npatch([0 55 55 0 ],[0 0 58 58 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13 28 " "32 36 52 40 28 19 32 19 28 40 52 36 32 28 13 ],[7 16 29 42 51 51 47 51 51 39 " "51 42 29 16 7 19 7 7 11 7 7 ],[0.98 0.96 0.92]);\nplot([0 0 55 55 0 ],[0 58 5" "8 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: be" "gin icon text');\ncolor('black');disp('not');\nfprintf('','COMMENT: end icon " "text');\n" MaskSelfModifiable on MaskIconFrame off MaskIconOpaque on MaskIconRotate "none" MaskIconUnits "autoscale" MaskValueString "Bitwise logical negation (one's complement)" " operator.||off|0||off||off|[0,0,0,0,0,0,0]|0|-1,-1,-1,-1|inv|8.2.02|55,58,1," "1,white,blue,0,1ab4a85f|fprintf('','COMMENT: begin icon graphics');\npatch([0" " 55 55 0 ],[0 0 58 58 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13 28 32 36 52 4" "0 28 19 32 19 28 40 52 36 32 28 13 ],[7 16 29 42 51 51 47 51 51 39 51 42 29 1" "6 7 19 7 7 11 7 7 ],[0.98 0.96 0.92]);\nplot([0 0 55 55 0 ],[0 58 58 0 0 ]);" "\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon " "text');\ncolor('black');disp('not');\nfprintf('','COMMENT: end icon text');\n" "||\n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n " "\n \n \n " " \n \n \n " " \n \n " " \n " " \n \n \n \n\n" MaskTabNameString ",,,,,,,,,,,,,,,," } Block { BlockType "S-Function" Name "Logical" Ports [2, 1] Position [145, 300, 200, 360] CopyFcn "xlBlockMoveCallback(gcbh);" DeleteFcn "xlDestroyGui(gcbh);" LoadFcn "xlBlockLoadCallback(gcbh);" ModelCloseFcn "xlDestroyGui(gcbh);" PreSaveFcn "xlBlockPreSaveCallback(gcbh);" PostSaveFcn "xlBlockPostSaveCallback(gcbh);" DestroyFcn "xlDestroyGui(gcbh);" OpenFcn "xlOpenGui(gcbh, @logicalenablement, -1)" CloseFcn "xlDestroyGui(gcbh);" MoveFcn "xlBlockMoveCallback(gcbh);" FunctionName "sysgen" Parameters "ptable_" MaskType "Xilinx Logical Block Block" MaskDescription "Xilinx Logical Block" MaskHelp "eval('xlWeb(xlhtmldoclink(''Logical''))');" MaskPromptString " |Logical function |Number of inputs | |Pr" "ovide enable port|Latency| |Precision| |Output Type|Number of bits|Binary poi" "nt| |Align binary point| |Override with doubles| |Define FPGA area for resour" "ce estimation|FPGA area [slices, FFs, BRAMs, LUTs, IOBs, emb. mults, TBUFs]| " "| | | | | | | | | " MaskStyleString "edit,popup(AND|NAND|OR|NOR|XOR|XNOR),edit,e" "dit,checkbox,edit,edit,popup(Full|User Defined),edit,popup(Signed (2's comp)" "|Unsigned),edit,edit,edit,checkbox,edit,checkbox,edit,checkbox,edit,edit,edit" ",edit,edit,edit,edit,edit,edit,edit,edit" MaskTunableValueString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,o" "n,on,on,on,on,on,on,on,on,on,on,on,on,on,on" MaskCallbackString "||||||||||||||||||||||||||||" MaskEnableString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,o" "n,on,on,on,on,on,on,on,on,on,on,on,on,on,on" MaskVisibilityString "off,on,on,off,on,on,off,on,off,on,on,on,off" ",on,off,on,off,on,on,off,off,off,off,off,off,off,off,off,off" MaskToolTipString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,o" "n,on,on,on,on,on,on,on,on,on,on,on,on,on,on" MaskVarAliasString ",,,,,,,,,,,,,,,,,,,,,,,,,,,," MaskVariables "logical_function_sgadvanced=&1;logical_func" "tion=@2;inputs=@3;en_sgadvanced=&4;en=@5;latency=@6;precision_sgadvanced=&7;p" "recision=@8;arith_type_sgadvanced=&9;arith_type=@10;n_bits=@11;bin_pt=@12;ali" "gn_bp_sgadvanced=&13;align_bp=@14;dbl_ovrd_sgadvanced=&15;dbl_ovrd=@16;xl_use" "_area_sgadvanced=&17;xl_use_area=@18;xl_area=@19;overflow=@20;quantization=@2" "1;has_advanced_control=@22;sggui_pos=&23;block_type=&24;block_version=&25;sg_" "icon_stat=&26;sg_mask_display=&27;sg_list_contents=&28;sg_blockgui_xml=&29;" MaskInitialization "try\n tmp_gcb = gcb;\n tmp_gcbh = gcbh;\n" " xlMungeMaskParams;\n block_config = 'Sysgen:Logical_config';\n serialized" "_declarations = '{''arith_type''=>''Int'',''bin_pt''=>''Int'',''en''=>''Int''" ",''inputs''=>''Int'',''latency''=>''Int'',''logical_function''=>''Int'',''n_b" "its''=>''Int'',''precision''=>''Int'',''sim_engine''=>''Int'',''sysgen_root''" "=>''String''}';\n xllogical_init();\n ptable_ = xlblockprep(get_param(tmp_g" "cb, 'MaskWSVariables'));\ncatch\n global xl_report_errors;\n if(~isempty(xl" "_report_errors) && xl_report_errors)\n e = regexprep(lasterr, '\\n', '\\nE" "rror: ');\n disp(['Error: While running MaskInit code on block ' tmp_gcb '" ": ' e]);\n error(e);\n end\nend\n" MaskDisplay "fprintf('','COMMENT: begin icon graphics');" "\npatch([0 55 55 0 ],[0 0 60 60 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13 28 " "32 36 52 40 28 19 32 19 28 40 52 36 32 28 13 ],[8 17 30 43 52 52 48 52 52 40 " "52 43 30 17 8 20 8 8 12 8 8 ],[0.98 0.96 0.92]);\nplot([0 0 55 55 0 ],[0 60 6" "0 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: be" "gin icon text');\ncolor('black');disp('\\newlineor\\newlinez^{-0}','texmode'," "'on');\nfprintf('','COMMENT: end icon text');\n" MaskSelfModifiable on MaskIconFrame off MaskIconOpaque on MaskIconRotate "none" MaskIconUnits "autoscale" MaskValueString "|OR|2||off|0||Full||Unsigned|16|0||on||off|" "|off|[0,0,0,0,0,0,0]|||0|-1,-1,-1,-1|logical|8.2.02|55,60,2,1,white,blue,0,f4" "a65842|fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 ],[0 0 6" "0 60 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13 28 32 36 52 40 28 19 32 19 28 " "40 52 36 32 28 13 ],[8 17 30 43 52 52 48 52 52 40 52 43 30 17 8 20 8 8 12 8 8" " ],[0.98 0.96 0.92]);\nplot([0 0 55 55 0 ],[0 60 60 0 0 ]);\nfprintf('','COMM" "ENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('bl" "ack');disp('\\newlineor\\newlinez^{-0}','texmode','on');\nfprintf('','COMMENT" ": end icon text');\n||\n \n \n \n \n \n \n \n \n \n \n \n " "\n \n \n <" "item value=\"AND\" />\n \n \n \n \n \n \n \n \n \n " " \n \n \n \n \n \n " "\n \n " " \n <" "radiogroup ctype=\"Int\" name=\"arith_type\" label=\"Output Type\" default=\"" "Unsigned\" >\n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n <" "workspacevar ctype=\"String\" name=\"sysgen_root\" />\n \n \n \n \n\n" MaskTabNameString ",,,,,,,,,,,,,,,,,,,,,,,,,,,," } Block { BlockType "S-Function" Name "Mux" Ports [3, 1] Position [670, 238, 715, 342] CopyFcn "xlBlockMoveCallback(gcbh);" DeleteFcn "xlDestroyGui(gcbh);" LoadFcn "xlBlockLoadCallback(gcbh);" ModelCloseFcn "xlDestroyGui(gcbh);" PreSaveFcn "xlBlockPreSaveCallback(gcbh);" PostSaveFcn "xlBlockPostSaveCallback(gcbh);" DestroyFcn "xlDestroyGui(gcbh);" OpenFcn "xlOpenGui(gcbh, @muxenablement, -1)" CloseFcn "xlDestroyGui(gcbh);" MoveFcn "xlBlockMoveCallback(gcbh);" FunctionName "sysgen" Parameters "ptable_" MaskType "Xilinx Bus Multiplexer Block" MaskDescription "Xilinx Bus Multiplexer" MaskHelp "eval('xlWeb(xlhtmldoclink(''Mux''))');" MaskPromptString " |Number of inputs| |Provide enable port|La" "tency| |Precision| |Output type|Number of bits|Binary point| |Quantization| |" "Overflow| |Override with doubles| |Define FPGA area for resource estimation|F" "PGA area [slices, FFs, BRAMs, LUTs, IOBs, emb. mults, TBUFs]| | | | | | | | |" " " MaskStyleString "edit,popup(2|3|4|5|6|7|8|9|10|11|12|13|14|1" "5|16|17|18|19|20|21|22|23|24|25|26|27|28|29|30|31|32),edit,checkbox,edit,edit" ",popup(Full|User Defined),edit,popup(Signed (2's comp)|Unsigned),edit,edit,e" "dit,popup(Truncate|Round (unbiased: +/- Inf)),edit,popup(Wrap|Saturate|Flag " "as error),edit,checkbox,edit,checkbox,edit,edit,edit,edit,edit,edit,edit,edit" ",edit,edit" MaskTunableValueString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,o" "n,on,on,on,on,on,on,on,on,on,on,on,on,on,on" MaskCallbackString "||||||||||||||||||||||||||||" MaskEnableString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,o" "n,on,on,on,on,on,on,on,on,on,on,on,on,on,on" MaskVisibilityString "off,on,off,on,on,off,on,off,on,on,on,off,on" ",off,on,off,on,off,on,on,off,off,off,off,off,off,off,off,off" MaskToolTipString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,o" "n,on,on,on,on,on,on,on,on,on,on,on,on,on,on" MaskVarAliasString ",,,,,,,,,,,,,,,,,,,,,,,,,,,," MaskVariables "inputs_sgadvanced=&1;inputs=@2;en_sgadvance" "d=&3;en=@4;latency=@5;precision_sgadvanced=&6;precision=@7;arith_type_sgadvan" "ced=&8;arith_type=@9;n_bits=@10;bin_pt=@11;quantization_sgadvanced=&12;quanti" "zation=@13;overflow_sgadvanced=&14;overflow=@15;dbl_ovrd_sgadvanced=&16;dbl_o" "vrd=@17;xl_use_area_sgadvanced=&18;xl_use_area=@19;xl_area=@20;infoedit=@21;h" "as_advanced_control=@22;sggui_pos=&23;block_type=&24;block_version=&25;sg_ico" "n_stat=&26;sg_mask_display=&27;sg_list_contents=&28;sg_blockgui_xml=&29;" MaskInitialization "try\n tmp_gcb = gcb;\n tmp_gcbh = gcbh;\n" " xlMungeMaskParams;\n block_config = 'Sysgen:Mux_config';\n serialized_dec" "larations = '{''arith_type''=>''Int'',''bin_pt''=>''Int'',''dbl_ovrd''=>''Int" "'',''en''=>''Int'',''inputs''=>''Int'',''latency''=>''Int'',''n_bits''=>''Int" "'',''overflow''=>''Int'',''period''=>''Double'',''precision''=>''Int'',''quan" "tization''=>''Int'',''sim_engine''=>''Int'',''sysgen_root''=>''String''}';\n " " xlmux_init();\n ptable_ = xlblockprep(get_param(tmp_gcb, 'MaskWSVariables')" ");\ncatch\n global xl_report_errors;\n if(~isempty(xl_report_errors) && xl_" "report_errors)\n e = regexprep(lasterr, '\\n', '\\nError: ');\n disp(['" "Error: While running MaskInit code on block ' tmp_gcb ': ' e]);\n error(e)" ";\n end\nend\n" MaskDisplay "fprintf('','COMMENT: begin icon graphics');" "\npatch([0 45 45 0 ],[0 14.8571 89.1429 104 ],[0.77 0.82 0.91]);\npatch([10 3" " 14 3 10 22 25 28 41 31 21 14 25 14 21 31 41 28 25 22 10 ],[34 41 52 63 70 70" " 67 70 70 60 70 63 52 41 34 44 34 34 37 34 34 ],[0.98 0.96 0.92]);\nplot([0 4" "5 45 0 0 ],[0 14.8571 89.1429 104 0 ]);\nfprintf('','COMMENT: end icon graphi" "cs');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('in" "put',1,'sel');\ncolor('black');port_label('input',2,'d0');\ncolor('black');po" "rt_label('input',3,'d1');\ncolor('black');disp('\\bf{}','texmode','on');\nfpr" "intf('','COMMENT: end icon text');\n" MaskSelfModifiable on MaskIconFrame off MaskIconOpaque on MaskIconRotate "none" MaskIconUnits "autoscale" MaskValueString "|2||off|0||Full||Unsigned|16|14||Truncate||" "Wrap||off||off|[0,0,0,0,0,0,0]||0|-1,-1,-1,-1|mux|8.2.02|45,104,3,1,white,blu" "e,3,613f58e1|fprintf('','COMMENT: begin icon graphics');\npatch([0 45 45 0 ]," "[0 14.8571 89.1429 104 ],[0.77 0.82 0.91]);\npatch([10 3 14 3 10 22 25 28 41 " "31 21 14 25 14 21 31 41 28 25 22 10 ],[34 41 52 63 70 70 67 70 70 60 70 63 52" " 41 34 44 34 34 37 34 34 ],[0.98 0.96 0.92]);\nplot([0 45 45 0 0 ],[0 14.8571" " 89.1429 104 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','CO" "MMENT: begin icon text');\ncolor('black');port_label('input',1,'sel');\ncolor" "('black');port_label('input',2,'d0');\ncolor('black');port_label('input',3,'d" "1');\ncolor('black');disp('\\bf{}','texmode','on');\nfprintf('','COMMENT: end" " icon text');\n||\n " "\n \n \n " "\n \n \n \n \n \n \n \n \n \n \n \n \n \n \n " " \n \n " "\n \n \n \n \n \n \n \n \n " "\n \n " "\n \n \n \n \n \n \n \n \n " "\n \n " "\n \n \n \n \n \n \n \n \n " " \n \n \n \n \n \n " " \n " "\n \n " "\n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n" " \n \n \n \n \n \n\n" MaskTabNameString ",,,,,,,,,,,,,,,,,,,,,,,,,,,," } Block { BlockType "S-Function" Name "Mux1" Ports [3, 1] Position [1045, 238, 1090, 342] CopyFcn "xlBlockMoveCallback(gcbh);" DeleteFcn "xlDestroyGui(gcbh);" LoadFcn "xlBlockLoadCallback(gcbh);" ModelCloseFcn "xlDestroyGui(gcbh);" PreSaveFcn "xlBlockPreSaveCallback(gcbh);" PostSaveFcn "xlBlockPostSaveCallback(gcbh);" DestroyFcn "xlDestroyGui(gcbh);" OpenFcn "xlOpenGui(gcbh, @muxenablement, -1)" CloseFcn "xlDestroyGui(gcbh);" MoveFcn "xlBlockMoveCallback(gcbh);" FunctionName "sysgen" Parameters "ptable_" MaskType "Xilinx Bus Multiplexer Block" MaskDescription "Xilinx Bus Multiplexer" MaskHelp "eval('xlWeb(xlhtmldoclink(''Mux''))');" MaskPromptString " |Number of inputs| |Provide enable port|La" "tency| |Precision| |Output type|Number of bits|Binary point| |Quantization| |" "Overflow| |Override with doubles| |Define FPGA area for resource estimation|F" "PGA area [slices, FFs, BRAMs, LUTs, IOBs, emb. mults, TBUFs]| | | | | | | | |" " " MaskStyleString "edit,popup(2|3|4|5|6|7|8|9|10|11|12|13|14|1" "5|16|17|18|19|20|21|22|23|24|25|26|27|28|29|30|31|32),edit,checkbox,edit,edit" ",popup(Full|User Defined),edit,popup(Signed (2's comp)|Unsigned),edit,edit,e" "dit,popup(Truncate|Round (unbiased: +/- Inf)),edit,popup(Wrap|Saturate|Flag " "as error),edit,checkbox,edit,checkbox,edit,edit,edit,edit,edit,edit,edit,edit" ",edit,edit" MaskTunableValueString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,o" "n,on,on,on,on,on,on,on,on,on,on,on,on,on,on" MaskCallbackString "||||||||||||||||||||||||||||" MaskEnableString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,o" "n,on,on,on,on,on,on,on,on,on,on,on,on,on,on" MaskVisibilityString "off,on,off,on,on,off,on,off,on,on,on,off,on" ",off,on,off,on,off,on,on,off,off,off,off,off,off,off,off,off" MaskToolTipString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,o" "n,on,on,on,on,on,on,on,on,on,on,on,on,on,on" MaskVarAliasString ",,,,,,,,,,,,,,,,,,,,,,,,,,,," MaskVariables "inputs_sgadvanced=&1;inputs=@2;en_sgadvance" "d=&3;en=@4;latency=@5;precision_sgadvanced=&6;precision=@7;arith_type_sgadvan" "ced=&8;arith_type=@9;n_bits=@10;bin_pt=@11;quantization_sgadvanced=&12;quanti" "zation=@13;overflow_sgadvanced=&14;overflow=@15;dbl_ovrd_sgadvanced=&16;dbl_o" "vrd=@17;xl_use_area_sgadvanced=&18;xl_use_area=@19;xl_area=@20;infoedit=@21;h" "as_advanced_control=@22;sggui_pos=&23;block_type=&24;block_version=&25;sg_ico" "n_stat=&26;sg_mask_display=&27;sg_list_contents=&28;sg_blockgui_xml=&29;" MaskInitialization "try\n tmp_gcb = gcb;\n tmp_gcbh = gcbh;\n" " xlMungeMaskParams;\n block_config = 'Sysgen:Mux_config';\n serialized_dec" "larations = '{''arith_type''=>''Int'',''bin_pt''=>''Int'',''dbl_ovrd''=>''Int" "'',''en''=>''Int'',''inputs''=>''Int'',''latency''=>''Int'',''n_bits''=>''Int" "'',''overflow''=>''Int'',''period''=>''Double'',''precision''=>''Int'',''quan" "tization''=>''Int'',''sim_engine''=>''Int'',''sysgen_root''=>''String''}';\n " " xlmux_init();\n ptable_ = xlblockprep(get_param(tmp_gcb, 'MaskWSVariables')" ");\ncatch\n global xl_report_errors;\n if(~isempty(xl_report_errors) && xl_" "report_errors)\n e = regexprep(lasterr, '\\n', '\\nError: ');\n disp(['" "Error: While running MaskInit code on block ' tmp_gcb ': ' e]);\n error(e)" ";\n end\nend\n" MaskDisplay "fprintf('','COMMENT: begin icon graphics');" "\npatch([0 45 45 0 ],[0 14.8571 89.1429 104 ],[0.77 0.82 0.91]);\npatch([10 3" " 14 3 10 22 25 28 41 31 21 14 25 14 21 31 41 28 25 22 10 ],[34 41 52 63 70 70" " 67 70 70 60 70 63 52 41 34 44 34 34 37 34 34 ],[0.98 0.96 0.92]);\nplot([0 4" "5 45 0 0 ],[0 14.8571 89.1429 104 0 ]);\nfprintf('','COMMENT: end icon graphi" "cs');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('in" "put',1,'sel');\ncolor('black');port_label('input',2,'d0');\ncolor('black');po" "rt_label('input',3,'d1');\ncolor('black');disp('\\bf{}','texmode','on');\nfpr" "intf('','COMMENT: end icon text');\n" MaskSelfModifiable on MaskIconFrame off MaskIconOpaque on MaskIconRotate "none" MaskIconUnits "autoscale" MaskValueString "|2||off|0||Full||Unsigned|16|14||Truncate||" "Wrap||off||off|[0,0,0,0,0,0,0]||0|-1,-1,-1,-1|mux|8.2.02|45,104,3,1,white,blu" "e,3,613f58e1|fprintf('','COMMENT: begin icon graphics');\npatch([0 45 45 0 ]," "[0 14.8571 89.1429 104 ],[0.77 0.82 0.91]);\npatch([10 3 14 3 10 22 25 28 41 " "31 21 14 25 14 21 31 41 28 25 22 10 ],[34 41 52 63 70 70 67 70 70 60 70 63 52" " 41 34 44 34 34 37 34 34 ],[0.98 0.96 0.92]);\nplot([0 45 45 0 0 ],[0 14.8571" " 89.1429 104 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','CO" "MMENT: begin icon text');\ncolor('black');port_label('input',1,'sel');\ncolor" "('black');port_label('input',2,'d0');\ncolor('black');port_label('input',3,'d" "1');\ncolor('black');disp('\\bf{}','texmode','on');\nfprintf('','COMMENT: end" " icon text');\n||\n " "\n \n \n " "\n \n \n \n \n \n \n \n \n \n \n \n \n \n \n " " \n \n " "\n \n \n \n \n \n \n \n \n " "\n \n " "\n \n \n \n \n \n \n \n \n " "\n \n " "\n \n \n \n \n \n \n \n \n " " \n \n \n \n \n \n " " \n " "\n \n " "\n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n" " \n \n \n \n \n \n\n" MaskTabNameString ",,,,,,,,,,,,,,,,,,,,,,,,,,,," } Block { BlockType SubSystem Name "Pos_Edge_Detector" Ports [1, 1] Position [775, 259, 900, 321] TreatAsAtomicUnit off MinAlgLoopOccurrences off RTWSystemCode "Auto" MaskHideContents off System { Name "Pos_Edge_Detector" Location [971, 353, 1386, 494] Open off ModelBrowserVisibility off ModelBrowserWidth 200 ScreenColor "white" PaperOrientation "landscape" PaperPositionMode "auto" PaperType "usletter" PaperUnits "inches" ZoomFactor "100" Block { BlockType Inport Name "Input Signal" Position [25, 33, 55, 47] IconDisplay "Port number" } Block { BlockType "S-Function" Name "Delay" Ports [1, 1] Position [170, 57, 230, 113] CopyFcn "xlBlockMoveCallback(gcbh);" DeleteFcn "xlDestroyGui(gcbh);" LoadFcn "xlBlockLoadCallback(gcbh);" ModelCloseFcn "xlDestroyGui(gcbh);" PreSaveFcn "xlBlockPreSaveCallback(gcbh);" PostSaveFcn "xlBlockPostSaveCallback(gcbh);" DestroyFcn "xlDestroyGui(gcbh);" OpenFcn "xlOpenGui(gcbh, @xlmagicenablement, -1)" CloseFcn "xlDestroyGui(gcbh);" MoveFcn "xlBlockMoveCallback(gcbh);" FunctionName "sysgen" Parameters "ptable_" MaskType "Xilinx Delay Block" MaskDescription "Hardware notes: A delay line is a chain" ", each link of which is an SRL16 followed by a flip-flop. If register retimin" "g is enabled, the delay line is a chain of flip-flops." MaskHelp "eval('xlWeb(xlhtmldoclink(''Delay''))')" ";" MaskPromptString " | |Provide enable port|Latency| |Overr" "ide with doubles| |Enable register retiming| |Define FPGA area for resource e" "stimation|FPGA area [slices, FFs, BRAMs, LUTs, IOBs, emb. mults, TBUFs]| | | " "| | | | | " MaskStyleString "edit,edit,checkbox,edit,edit,checkbox,e" "dit,checkbox,edit,checkbox,edit,edit,edit,edit,edit,edit,edit,edit,edit" MaskTunableValueString "on,on,on,on,on,on,on,on,on,on,on,on,on," "on,on,on,on,on,on" MaskCallbackString "||||||||||||||||||" MaskEnableString "on,on,on,on,on,on,on,on,on,on,on,on,on," "on,on,on,on,on,on" MaskVisibilityString "off,off,on,on,off,on,off,on,off,on,on,o" "ff,off,off,off,off,off,off,off" MaskToolTipString "on,on,on,on,on,on,on,on,on,on,on,on,on," "on,on,on,on,on,on" MaskVarAliasString ",,,,,,,,,,,,,,,,,," MaskVariables "infoedit=&1;en_sgadvanced=&2;en=@3;late" "ncy=@4;dbl_ovrd_sgadvanced=&5;dbl_ovrd=@6;reg_retiming_sgadvanced=&7;reg_reti" "ming=@8;xl_use_area_sgadvanced=&9;xl_use_area=@10;xl_area=@11;has_advanced_co" "ntrol=@12;sggui_pos=&13;block_type=&14;block_version=&15;sg_icon_stat=&16;sg_" "mask_display=&17;sg_list_contents=&18;sg_blockgui_xml=&19;" MaskInitialization "try\n tmp_gcb = gcb;\n tmp_gcbh = gcb" "h;\n xlMungeMaskParams;\n block_config = 'Sysgen:Delay_config';\n serializ" "ed_declarations = '{''dbl_ovrd''=>''Int'',''en''=>''Int'',''latency''=>''Int'" "',''reg_retiming''=>''Int''}';\n ptable_ = xlblockprep(get_param(tmp_gcb, 'M" "askWSVariables'));\ncatch\n global xl_report_errors;\n if(~isempty(xl_repor" "t_errors) && xl_report_errors)\n e = regexprep(lasterr, '\\n', '\\nError: " "');\n disp(['Error: While running MaskInit code on block ' tmp_gcb ': ' e]" ");\n error(e);\n end\nend\n" MaskDisplay "fprintf('','COMMENT: begin icon graphic" "s');\npatch([0 60 60 0 ],[0 0 56 56 ],[0.77 0.82 0.91]);\npatch([15 6 19 6 15" " 30 34 38 54 41 29 20 32 20 29 41 54 38 34 30 15 ],[6 15 28 41 50 50 46 50 50" " 37 49 40 28 16 7 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 0 60 60 0 ],[0 " "56 56 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT" ": begin icon text');\ncolor('black');disp('z^{-1}','texmode','on');\nfprintf(" "'','COMMENT: end icon text');\n" MaskSelfModifiable on MaskIconFrame off MaskIconOpaque on MaskIconRotate "none" MaskIconUnits "autoscale" MaskValueString "Hardware notes: A delay line is a chain" ", each link of which is an SRL16 followed by a flip-flop. If register retimin" "g is enabled, the delay line is a chain of flip-flops.||off|1||off||off||off|" "[0,0,0,0,0,0,0]|0|-1,-1,-1,-1|delay|8.2.02|60,56,1,1,white,blue,0,fc531c0e|fp" "rintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 ],[0 0 56 56 ],[0" ".77 0.82 0.91]);\npatch([15 6 19 6 15 30 34 38 54 41 29 20 32 20 29 41 54 38 " "34 30 15 ],[6 15 28 41 50 50 46 50 50 37 49 40 28 16 7 19 6 6 10 6 6 ],[0.98 " "0.96 0.92]);\nplot([0 0 60 60 0 ],[0 56 56 0 0 ]);\nfprintf('','COMMENT: end " "icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');dis" "p('z^{-1}','texmode','on');\nfprintf('','COMMENT: end icon text');\n||\n \n \n \n \n \n \n \n \n \n \n \n " " \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n\n" MaskTabNameString ",,,,,,,,,,,,,,,,,," } Block { BlockType "S-Function" Name "Inverter" Ports [1, 1] Position [100, 56, 155, 114] CopyFcn "xlBlockMoveCallback(gcbh);" DeleteFcn "xlDestroyGui(gcbh);" LoadFcn "xlBlockLoadCallback(gcbh);" ModelCloseFcn "xlDestroyGui(gcbh);" PreSaveFcn "xlBlockPreSaveCallback(gcbh);" PostSaveFcn "xlBlockPostSaveCallback(gcbh);" DestroyFcn "xlDestroyGui(gcbh);" OpenFcn "xlOpenGui(gcbh, @xlmagicenablement, -1)" CloseFcn "xlDestroyGui(gcbh);" MoveFcn "xlBlockMoveCallback(gcbh);" FunctionName "sysgen" Parameters "ptable_" MaskType "Xilinx Inverter Block" MaskDescription "Bitwise logical negation (one's complem" "ent) operator." MaskHelp "eval('xlWeb(xlhtmldoclink(''Inverter'')" ")');" MaskPromptString " | |Provide enable port|Latency| |Overr" "ide with doubles| |Define FPGA area for resource estimation|FPGA area [slices" ", FFs, BRAMs, LUTs, IOBs, emb. mults, TBUFs]| | | | | | | | " MaskStyleString "edit,edit,checkbox,edit,edit,checkbox,e" "dit,checkbox,edit,edit,edit,edit,edit,edit,edit,edit,edit" MaskTunableValueString "on,on,on,on,on,on,on,on,on,on,on,on,on," "on,on,on,on" MaskCallbackString "||||||||||||||||" MaskEnableString "on,on,on,on,on,on,on,on,on,on,on,on,on," "on,on,on,on" MaskVisibilityString "off,off,on,on,off,on,off,on,on,off,off," "off,off,off,off,off,off" MaskToolTipString "on,on,on,on,on,on,on,on,on,on,on,on,on," "on,on,on,on" MaskVarAliasString ",,,,,,,,,,,,,,,," MaskVariables "infoedit=&1;en_sgadvanced=&2;en=@3;late" "ncy=@4;dbl_ovrd_sgadvanced=&5;dbl_ovrd=@6;xl_use_area_sgadvanced=&7;xl_use_ar" "ea=@8;xl_area=@9;has_advanced_control=@10;sggui_pos=&11;block_type=&12;block_" "version=&13;sg_icon_stat=&14;sg_mask_display=&15;sg_list_contents=&16;sg_bloc" "kgui_xml=&17;" MaskInitialization "try\n tmp_gcb = gcb;\n tmp_gcbh = gcb" "h;\n xlMungeMaskParams;\n block_config = 'Sysgen:Inverter_config';\n seria" "lized_declarations = '{''dbl_ovrd''=>''Int'',''en''=>''Int'',''latency''=>''I" "nt''}';\n ptable_ = xlblockprep(get_param(tmp_gcb, 'MaskWSVariables'));\ncat" "ch\n global xl_report_errors;\n if(~isempty(xl_report_errors) && xl_report_" "errors)\n e = regexprep(lasterr, '\\n', '\\nError: ');\n disp(['Error: " "While running MaskInit code on block ' tmp_gcb ': ' e]);\n error(e);\n en" "d\nend\n" MaskDisplay "fprintf('','COMMENT: begin icon graphic" "s');\npatch([0 55 55 0 ],[0 0 58 58 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13" " 28 32 36 52 40 28 19 32 19 28 40 52 36 32 28 13 ],[7 16 29 42 51 51 47 51 51" " 39 51 42 29 16 7 19 7 7 11 7 7 ],[0.98 0.96 0.92]);\nplot([0 0 55 55 0 ],[0 " "58 58 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT" ": begin icon text');\ncolor('black');disp('not');\nfprintf('','COMMENT: end i" "con text');\n" MaskSelfModifiable on MaskIconFrame off MaskIconOpaque on MaskIconRotate "none" MaskIconUnits "autoscale" MaskValueString "Bitwise logical negation (one's complem" "ent) operator.||off|0||off||off|[0,0,0,0,0,0,0]|0|20,20,356,237|inv|8.2.02|55" ",58,1,1,white,blue,0,1ab4a85f|fprintf('','COMMENT: begin icon graphics');\npa" "tch([0 55 55 0 ],[0 0 58 58 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13 28 32 3" "6 52 40 28 19 32 19 28 40 52 36 32 28 13 ],[7 16 29 42 51 51 47 51 51 39 51 4" "2 29 16 7 19 7 7 11 7 7 ],[0.98 0.96 0.92]);\nplot([0 0 55 55 0 ],[0 58 58 0 " "0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin " "icon text');\ncolor('black');disp('not');\nfprintf('','COMMENT: end icon text" "');\n||\n \n \n \n \n \n \n \n \n \n \n \n " "\n \n \n \n \n \n \n \n \n \n \n \n " "\n " "\n \n \n \n \n\n" MaskTabNameString ",,,,,,,,,,,,,,,," } Block { BlockType "S-Function" Name "Logical" Ports [2, 1] Position [280, 25, 335, 85] CopyFcn "xlBlockMoveCallback(gcbh);" DeleteFcn "xlDestroyGui(gcbh);" LoadFcn "xlBlockLoadCallback(gcbh);" ModelCloseFcn "xlDestroyGui(gcbh);" PreSaveFcn "xlBlockPreSaveCallback(gcbh);" PostSaveFcn "xlBlockPostSaveCallback(gcbh);" DestroyFcn "xlDestroyGui(gcbh);" OpenFcn "xlOpenGui(gcbh, @logicalenablement, -1)" CloseFcn "xlDestroyGui(gcbh);" MoveFcn "xlBlockMoveCallback(gcbh);" FunctionName "sysgen" Parameters "ptable_" MaskType "Xilinx Logical Block Block" MaskDescription "Xilinx Logical Block" MaskHelp "eval('xlWeb(xlhtmldoclink(''Logical''))" "');" MaskPromptString " |Logical function |Number of inputs |" " |Provide enable port|Latency| |Precision| |Output Type|Number of bits|Binary" " point| |Align binary point| |Override with doubles| |Define FPGA area for re" "source estimation|FPGA area [slices, FFs, BRAMs, LUTs, IOBs, emb. mults, TBUF" "s]| | | | | | | | | | " MaskStyleString "edit,popup(AND|NAND|OR|NOR|XOR|XNOR),ed" "it,edit,checkbox,edit,edit,popup(Full|User Defined),edit,popup(Signed (2's c" "omp)|Unsigned),edit,edit,edit,checkbox,edit,checkbox,edit,checkbox,edit,edit," "edit,edit,edit,edit,edit,edit,edit,edit,edit" MaskTunableValueString "on,on,on,on,on,on,on,on,on,on,on,on,on," "on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on" MaskCallbackString "||||||||||||||||||||||||||||" MaskEnableString "on,on,on,on,on,on,on,on,on,on,on,on,on," "on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on" MaskVisibilityString "off,on,on,off,on,on,off,on,off,on,on,on" ",off,on,off,on,off,on,on,off,off,off,off,off,off,off,off,off,off" MaskToolTipString "on,on,on,on,on,on,on,on,on,on,on,on,on," "on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on" MaskVarAliasString ",,,,,,,,,,,,,,,,,,,,,,,,,,,," MaskVariables "logical_function_sgadvanced=&1;logical_" "function=@2;inputs=@3;en_sgadvanced=&4;en=@5;latency=@6;precision_sgadvanced=" "&7;precision=@8;arith_type_sgadvanced=&9;arith_type=@10;n_bits=@11;bin_pt=@12" ";align_bp_sgadvanced=&13;align_bp=@14;dbl_ovrd_sgadvanced=&15;dbl_ovrd=@16;xl" "_use_area_sgadvanced=&17;xl_use_area=@18;xl_area=@19;overflow=@20;quantizatio" "n=@21;has_advanced_control=@22;sggui_pos=&23;block_type=&24;block_version=&25" ";sg_icon_stat=&26;sg_mask_display=&27;sg_list_contents=&28;sg_blockgui_xml=&2" "9;" MaskInitialization "try\n tmp_gcb = gcb;\n tmp_gcbh = gcb" "h;\n xlMungeMaskParams;\n block_config = 'Sysgen:Logical_config';\n serial" "ized_declarations = '{''arith_type''=>''Int'',''bin_pt''=>''Int'',''en''=>''I" "nt'',''inputs''=>''Int'',''latency''=>''Int'',''logical_function''=>''Int'','" "'n_bits''=>''Int'',''precision''=>''Int'',''sim_engine''=>''Int'',''sysgen_ro" "ot''=>''String''}';\n xllogical_init();\n ptable_ = xlblockprep(get_param(t" "mp_gcb, 'MaskWSVariables'));\ncatch\n global xl_report_errors;\n if(~isempt" "y(xl_report_errors) && xl_report_errors)\n e = regexprep(lasterr, '\\n', '" "\\nError: ');\n disp(['Error: While running MaskInit code on block ' tmp_g" "cb ': ' e]);\n error(e);\n end\nend\n" MaskDisplay "fprintf('','COMMENT: begin icon graphic" "s');\npatch([0 55 55 0 ],[0 0 60 60 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13" " 28 32 36 52 40 28 19 32 19 28 40 52 36 32 28 13 ],[8 17 30 43 52 52 48 52 52" " 40 52 43 30 17 8 20 8 8 12 8 8 ],[0.98 0.96 0.92]);\nplot([0 0 55 55 0 ],[0 " "60 60 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT" ": begin icon text');\ncolor('black');disp('\\newlineand\\newlinez^{-0}','texm" "ode','on');\nfprintf('','COMMENT: end icon text');\n" MaskSelfModifiable on MaskIconFrame off MaskIconOpaque on MaskIconRotate "none" MaskIconUnits "autoscale" MaskValueString "|AND|2||off|0||Full||Unsigned|16|0||on|" "|off||off|[0,0,0,0,0,0,0]|||0|-1,-1,-1,-1|logical|8.2.02|55,60,2,1,white,blue" ",0,087b5522|fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 ],[" "0 0 60 60 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13 28 32 36 52 40 28 19 32 1" "9 28 40 52 36 32 28 13 ],[8 17 30 43 52 52 48 52 52 40 52 43 30 17 8 20 8 8 1" "2 8 8 ],[0.98 0.96 0.92]);\nplot([0 0 55 55 0 ],[0 60 60 0 0 ]);\nfprintf(''," "'COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolo" "r('black');disp('\\newlineand\\newlinez^{-0}','texmode','on');\nfprintf('','C" "OMMENT: end icon text');\n||\n \n \n \n \n \n \n \n \n \n \n \n \n \n \n " " \n \n \n \n \n \n \n \n \n \n" " \n \n \n \n \n \n " " \n " "\n \n " " \n \n \n \n \n \n \n \n \n " " \n \n \n \n \n \n \n \n \n \n \n " "\n \n \n \n \n \n\n" MaskTabNameString ",,,,,,,,,,,,,,,,,,,,,,,,,,,," } Block { BlockType Outport Name "Rising Edge" Position [385, 48, 415, 62] IconDisplay "Port number" BusOutputAsStruct off } Line { SrcBlock "Input Signal" SrcPort 1 Points [0, 0; 15, 0] Branch { DstBlock "Logical" DstPort 1 } Branch { Points [0, 45] DstBlock "Inverter" DstPort 1 } } Line { SrcBlock "Delay" SrcPort 1 Points [15, 0; 0, -15] DstBlock "Logical" DstPort 2 } Line { SrcBlock "Inverter" SrcPort 1 DstBlock "Delay" DstPort 1 } Line { SrcBlock "Logical" SrcPort 1 DstBlock "Rising Edge" DstPort 1 } } } Block { BlockType SubSystem Name "Pos_Edge_Detector2" Ports [1, 1] Position [1480, 259, 1605, 321] TreatAsAtomicUnit off MinAlgLoopOccurrences off RTWSystemCode "Auto" MaskHideContents off System { Name "Pos_Edge_Detector2" Location [336, 80, 1780, 1081] Open off ModelBrowserVisibility off ModelBrowserWidth 200 ScreenColor "white" PaperOrientation "landscape" PaperPositionMode "auto" PaperType "usletter" PaperUnits "inches" ZoomFactor "100" Block { BlockType Inport Name "Input Signal" Position [25, 33, 55, 47] IconDisplay "Port number" } Block { BlockType "S-Function" Name "Delay" Ports [1, 1] Position [170, 57, 230, 113] CopyFcn "xlBlockMoveCallback(gcbh);" DeleteFcn "xlDestroyGui(gcbh);" LoadFcn "xlBlockLoadCallback(gcbh);" ModelCloseFcn "xlDestroyGui(gcbh);" PreSaveFcn "xlBlockPreSaveCallback(gcbh);" PostSaveFcn "xlBlockPostSaveCallback(gcbh);" DestroyFcn "xlDestroyGui(gcbh);" OpenFcn "xlOpenGui(gcbh, @xlmagicenablement, -1)" CloseFcn "xlDestroyGui(gcbh);" MoveFcn "xlBlockMoveCallback(gcbh);" FunctionName "sysgen" Parameters "ptable_" MaskType "Xilinx Delay Block" MaskDescription "Hardware notes: A delay line is a chain" ", each link of which is an SRL16 followed by a flip-flop. If register retimin" "g is enabled, the delay line is a chain of flip-flops." MaskHelp "eval('xlWeb(xlhtmldoclink(''Delay''))')" ";" MaskPromptString " | |Provide enable port|Latency| |Overr" "ide with doubles| |Enable register retiming| |Define FPGA area for resource e" "stimation|FPGA area [slices, FFs, BRAMs, LUTs, IOBs, emb. mults, TBUFs]| | | " "| | | | | " MaskStyleString "edit,edit,checkbox,edit,edit,checkbox,e" "dit,checkbox,edit,checkbox,edit,edit,edit,edit,edit,edit,edit,edit,edit" MaskTunableValueString "on,on,on,on,on,on,on,on,on,on,on,on,on," "on,on,on,on,on,on" MaskCallbackString "||||||||||||||||||" MaskEnableString "on,on,on,on,on,on,on,on,on,on,on,on,on," "on,on,on,on,on,on" MaskVisibilityString "off,off,on,on,off,on,off,on,off,on,on,o" "ff,off,off,off,off,off,off,off" MaskToolTipString "on,on,on,on,on,on,on,on,on,on,on,on,on," "on,on,on,on,on,on" MaskVarAliasString ",,,,,,,,,,,,,,,,,," MaskVariables "infoedit=&1;en_sgadvanced=&2;en=@3;late" "ncy=@4;dbl_ovrd_sgadvanced=&5;dbl_ovrd=@6;reg_retiming_sgadvanced=&7;reg_reti" "ming=@8;xl_use_area_sgadvanced=&9;xl_use_area=@10;xl_area=@11;has_advanced_co" "ntrol=@12;sggui_pos=&13;block_type=&14;block_version=&15;sg_icon_stat=&16;sg_" "mask_display=&17;sg_list_contents=&18;sg_blockgui_xml=&19;" MaskInitialization "try\n tmp_gcb = gcb;\n tmp_gcbh = gcb" "h;\n xlMungeMaskParams;\n block_config = 'Sysgen:Delay_config';\n serializ" "ed_declarations = '{''dbl_ovrd''=>''Int'',''en''=>''Int'',''latency''=>''Int'" "',''reg_retiming''=>''Int''}';\n ptable_ = xlblockprep(get_param(tmp_gcb, 'M" "askWSVariables'));\ncatch\n global xl_report_errors;\n if(~isempty(xl_repor" "t_errors) && xl_report_errors)\n e = regexprep(lasterr, '\\n', '\\nError: " "');\n disp(['Error: While running MaskInit code on block ' tmp_gcb ': ' e]" ");\n error(e);\n end\nend\n" MaskDisplay "fprintf('','COMMENT: begin icon graphic" "s');\npatch([0 60 60 0 ],[0 0 56 56 ],[0.77 0.82 0.91]);\npatch([15 6 19 6 15" " 30 34 38 54 41 29 20 32 20 29 41 54 38 34 30 15 ],[6 15 28 41 50 50 46 50 50" " 37 49 40 28 16 7 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 0 60 60 0 ],[0 " "56 56 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT" ": begin icon text');\ncolor('black');disp('z^{-1}','texmode','on');\nfprintf(" "'','COMMENT: end icon text');\n" MaskSelfModifiable on MaskIconFrame off MaskIconOpaque on MaskIconRotate "none" MaskIconUnits "autoscale" MaskValueString "Hardware notes: A delay line is a chain" ", each link of which is an SRL16 followed by a flip-flop. If register retimin" "g is enabled, the delay line is a chain of flip-flops.||off|1||off||off||off|" "[0,0,0,0,0,0,0]|0|-1,-1,-1,-1|delay|8.2.02|60,56,1,1,white,blue,0,fc531c0e|fp" "rintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 ],[0 0 56 56 ],[0" ".77 0.82 0.91]);\npatch([15 6 19 6 15 30 34 38 54 41 29 20 32 20 29 41 54 38 " "34 30 15 ],[6 15 28 41 50 50 46 50 50 37 49 40 28 16 7 19 6 6 10 6 6 ],[0.98 " "0.96 0.92]);\nplot([0 0 60 60 0 ],[0 56 56 0 0 ]);\nfprintf('','COMMENT: end " "icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');dis" "p('z^{-1}','texmode','on');\nfprintf('','COMMENT: end icon text');\n||\n \n \n \n \n \n \n \n \n \n \n \n " " \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n\n" MaskTabNameString ",,,,,,,,,,,,,,,,,," } Block { BlockType "S-Function" Name "Inverter" Ports [1, 1] Position [100, 56, 155, 114] CopyFcn "xlBlockMoveCallback(gcbh);" DeleteFcn "xlDestroyGui(gcbh);" LoadFcn "xlBlockLoadCallback(gcbh);" ModelCloseFcn "xlDestroyGui(gcbh);" PreSaveFcn "xlBlockPreSaveCallback(gcbh);" PostSaveFcn "xlBlockPostSaveCallback(gcbh);" DestroyFcn "xlDestroyGui(gcbh);" OpenFcn "xlOpenGui(gcbh, @xlmagicenablement, -1)" CloseFcn "xlDestroyGui(gcbh);" MoveFcn "xlBlockMoveCallback(gcbh);" FunctionName "sysgen" Parameters "ptable_" MaskType "Xilinx Inverter Block" MaskDescription "Bitwise logical negation (one's complem" "ent) operator." MaskHelp "eval('xlWeb(xlhtmldoclink(''Inverter'')" ")');" MaskPromptString " | |Provide enable port|Latency| |Overr" "ide with doubles| |Define FPGA area for resource estimation|FPGA area [slices" ", FFs, BRAMs, LUTs, IOBs, emb. mults, TBUFs]| | | | | | | | " MaskStyleString "edit,edit,checkbox,edit,edit,checkbox,e" "dit,checkbox,edit,edit,edit,edit,edit,edit,edit,edit,edit" MaskTunableValueString "on,on,on,on,on,on,on,on,on,on,on,on,on," "on,on,on,on" MaskCallbackString "||||||||||||||||" MaskEnableString "on,on,on,on,on,on,on,on,on,on,on,on,on," "on,on,on,on" MaskVisibilityString "off,off,on,on,off,on,off,on,on,off,off," "off,off,off,off,off,off" MaskToolTipString "on,on,on,on,on,on,on,on,on,on,on,on,on," "on,on,on,on" MaskVarAliasString ",,,,,,,,,,,,,,,," MaskVariables "infoedit=&1;en_sgadvanced=&2;en=@3;late" "ncy=@4;dbl_ovrd_sgadvanced=&5;dbl_ovrd=@6;xl_use_area_sgadvanced=&7;xl_use_ar" "ea=@8;xl_area=@9;has_advanced_control=@10;sggui_pos=&11;block_type=&12;block_" "version=&13;sg_icon_stat=&14;sg_mask_display=&15;sg_list_contents=&16;sg_bloc" "kgui_xml=&17;" MaskInitialization "try\n tmp_gcb = gcb;\n tmp_gcbh = gcb" "h;\n xlMungeMaskParams;\n block_config = 'Sysgen:Inverter_config';\n seria" "lized_declarations = '{''dbl_ovrd''=>''Int'',''en''=>''Int'',''latency''=>''I" "nt''}';\n ptable_ = xlblockprep(get_param(tmp_gcb, 'MaskWSVariables'));\ncat" "ch\n global xl_report_errors;\n if(~isempty(xl_report_errors) && xl_report_" "errors)\n e = regexprep(lasterr, '\\n', '\\nError: ');\n disp(['Error: " "While running MaskInit code on block ' tmp_gcb ': ' e]);\n error(e);\n en" "d\nend\n" MaskDisplay "fprintf('','COMMENT: begin icon graphic" "s');\npatch([0 55 55 0 ],[0 0 58 58 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13" " 28 32 36 52 40 28 19 32 19 28 40 52 36 32 28 13 ],[7 16 29 42 51 51 47 51 51" " 39 51 42 29 16 7 19 7 7 11 7 7 ],[0.98 0.96 0.92]);\nplot([0 0 55 55 0 ],[0 " "58 58 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT" ": begin icon text');\ncolor('black');disp('not');\nfprintf('','COMMENT: end i" "con text');\n" MaskSelfModifiable on MaskIconFrame off MaskIconOpaque on MaskIconRotate "none" MaskIconUnits "autoscale" MaskValueString "Bitwise logical negation (one's complem" "ent) operator.||off|0||off||off|[0,0,0,0,0,0,0]|0|20,20,356,237|inv|8.2.02|55" ",58,1,1,white,blue,0,1ab4a85f|fprintf('','COMMENT: begin icon graphics');\npa" "tch([0 55 55 0 ],[0 0 58 58 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13 28 32 3" "6 52 40 28 19 32 19 28 40 52 36 32 28 13 ],[7 16 29 42 51 51 47 51 51 39 51 4" "2 29 16 7 19 7 7 11 7 7 ],[0.98 0.96 0.92]);\nplot([0 0 55 55 0 ],[0 58 58 0 " "0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin " "icon text');\ncolor('black');disp('not');\nfprintf('','COMMENT: end icon text" "');\n||\n \n \n \n \n \n \n \n \n \n \n \n " "\n \n \n \n \n \n \n \n \n \n \n \n " "\n " "\n \n \n \n \n\n" MaskTabNameString ",,,,,,,,,,,,,,,," } Block { BlockType "S-Function" Name "Logical" Ports [2, 1] Position [280, 25, 335, 85] CopyFcn "xlBlockMoveCallback(gcbh);" DeleteFcn "xlDestroyGui(gcbh);" LoadFcn "xlBlockLoadCallback(gcbh);" ModelCloseFcn "xlDestroyGui(gcbh);" PreSaveFcn "xlBlockPreSaveCallback(gcbh);" PostSaveFcn "xlBlockPostSaveCallback(gcbh);" DestroyFcn "xlDestroyGui(gcbh);" OpenFcn "xlOpenGui(gcbh, @logicalenablement, -1)" CloseFcn "xlDestroyGui(gcbh);" MoveFcn "xlBlockMoveCallback(gcbh);" FunctionName "sysgen" Parameters "ptable_" MaskType "Xilinx Logical Block Block" MaskDescription "Xilinx Logical Block" MaskHelp "eval('xlWeb(xlhtmldoclink(''Logical''))" "');" MaskPromptString " |Logical function |Number of inputs |" " |Provide enable port|Latency| |Precision| |Output Type|Number of bits|Binary" " point| |Align binary point| |Override with doubles| |Define FPGA area for re" "source estimation|FPGA area [slices, FFs, BRAMs, LUTs, IOBs, emb. mults, TBUF" "s]| | | | | | | | | | " MaskStyleString "edit,popup(AND|NAND|OR|NOR|XOR|XNOR),ed" "it,edit,checkbox,edit,edit,popup(Full|User Defined),edit,popup(Signed (2's c" "omp)|Unsigned),edit,edit,edit,checkbox,edit,checkbox,edit,checkbox,edit,edit," "edit,edit,edit,edit,edit,edit,edit,edit,edit" MaskTunableValueString "on,on,on,on,on,on,on,on,on,on,on,on,on," "on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on" MaskCallbackString "||||||||||||||||||||||||||||" MaskEnableString "on,on,on,on,on,on,on,on,on,on,on,on,on," "on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on" MaskVisibilityString "off,on,on,off,on,on,off,on,off,on,on,on" ",off,on,off,on,off,on,on,off,off,off,off,off,off,off,off,off,off" MaskToolTipString "on,on,on,on,on,on,on,on,on,on,on,on,on," "on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on" MaskVarAliasString ",,,,,,,,,,,,,,,,,,,,,,,,,,,," MaskVariables "logical_function_sgadvanced=&1;logical_" "function=@2;inputs=@3;en_sgadvanced=&4;en=@5;latency=@6;precision_sgadvanced=" "&7;precision=@8;arith_type_sgadvanced=&9;arith_type=@10;n_bits=@11;bin_pt=@12" ";align_bp_sgadvanced=&13;align_bp=@14;dbl_ovrd_sgadvanced=&15;dbl_ovrd=@16;xl" "_use_area_sgadvanced=&17;xl_use_area=@18;xl_area=@19;overflow=@20;quantizatio" "n=@21;has_advanced_control=@22;sggui_pos=&23;block_type=&24;block_version=&25" ";sg_icon_stat=&26;sg_mask_display=&27;sg_list_contents=&28;sg_blockgui_xml=&2" "9;" MaskInitialization "try\n tmp_gcb = gcb;\n tmp_gcbh = gcb" "h;\n xlMungeMaskParams;\n block_config = 'Sysgen:Logical_config';\n serial" "ized_declarations = '{''arith_type''=>''Int'',''bin_pt''=>''Int'',''en''=>''I" "nt'',''inputs''=>''Int'',''latency''=>''Int'',''logical_function''=>''Int'','" "'n_bits''=>''Int'',''precision''=>''Int'',''sim_engine''=>''Int'',''sysgen_ro" "ot''=>''String''}';\n xllogical_init();\n ptable_ = xlblockprep(get_param(t" "mp_gcb, 'MaskWSVariables'));\ncatch\n global xl_report_errors;\n if(~isempt" "y(xl_report_errors) && xl_report_errors)\n e = regexprep(lasterr, '\\n', '" "\\nError: ');\n disp(['Error: While running MaskInit code on block ' tmp_g" "cb ': ' e]);\n error(e);\n end\nend\n" MaskDisplay "fprintf('','COMMENT: begin icon graphic" "s');\npatch([0 55 55 0 ],[0 0 60 60 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13" " 28 32 36 52 40 28 19 32 19 28 40 52 36 32 28 13 ],[8 17 30 43 52 52 48 52 52" " 40 52 43 30 17 8 20 8 8 12 8 8 ],[0.98 0.96 0.92]);\nplot([0 0 55 55 0 ],[0 " "60 60 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT" ": begin icon text');\ncolor('black');disp('\\newlineand\\newlinez^{-0}','texm" "ode','on');\nfprintf('','COMMENT: end icon text');\n" MaskSelfModifiable on MaskIconFrame off MaskIconOpaque on MaskIconRotate "none" MaskIconUnits "autoscale" MaskValueString "|AND|2||off|0||Full||Unsigned|16|0||on|" "|off||off|[0,0,0,0,0,0,0]|||0|-1,-1,-1,-1|logical|8.2.02|55,60,2,1,white,blue" ",0,087b5522|fprintf('','COMMENT: begin icon graphics');\npatch([0 55 55 0 ],[" "0 0 60 60 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13 28 32 36 52 40 28 19 32 1" "9 28 40 52 36 32 28 13 ],[8 17 30 43 52 52 48 52 52 40 52 43 30 17 8 20 8 8 1" "2 8 8 ],[0.98 0.96 0.92]);\nplot([0 0 55 55 0 ],[0 60 60 0 0 ]);\nfprintf(''," "'COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolo" "r('black');disp('\\newlineand\\newlinez^{-0}','texmode','on');\nfprintf('','C" "OMMENT: end icon text');\n||\n \n \n \n \n \n \n \n \n \n \n \n \n \n \n " " \n \n \n \n \n \n \n \n \n \n" " \n \n \n \n \n \n " " \n " "\n \n " " \n \n \n \n \n \n \n \n \n " " \n \n \n \n \n \n \n \n \n \n \n " "\n \n \n \n \n \n\n" MaskTabNameString ",,,,,,,,,,,,,,,,,,,,,,,,,,,," } Block { BlockType Outport Name "Rising Edge" Position [385, 48, 415, 62] IconDisplay "Port number" BusOutputAsStruct off } Line { SrcBlock "Input Signal" SrcPort 1 Points [0, 0; 15, 0] Branch { DstBlock "Logical" DstPort 1 } Branch { Points [0, 45] DstBlock "Inverter" DstPort 1 } } Line { SrcBlock "Delay" SrcPort 1 Points [15, 0; 0, -15] DstBlock "Logical" DstPort 2 } Line { SrcBlock "Inverter" SrcPort 1 DstBlock "Delay" DstPort 1 } Line { SrcBlock "Logical" SrcPort 1 DstBlock "Rising Edge" DstPort 1 } } } Block { BlockType "S-Function" Name "Register" Ports [3, 1] Position [230, 282, 290, 338] CopyFcn "xlBlockMoveCallback(gcbh);" DeleteFcn "xlDestroyGui(gcbh);" LoadFcn "xlBlockLoadCallback(gcbh);" ModelCloseFcn "xlDestroyGui(gcbh);" PreSaveFcn "xlBlockPreSaveCallback(gcbh);" PostSaveFcn "xlBlockPostSaveCallback(gcbh);" DestroyFcn "xlDestroyGui(gcbh);" OpenFcn "xlOpenGui(gcbh, @xlmagicenablement, -1)" CloseFcn "xlDestroyGui(gcbh);" MoveFcn "xlBlockMoveCallback(gcbh);" FunctionName "sysgen" Parameters "ptable_" MaskType "Xilinx Register Block" MaskDescription "Xilinx Register" MaskHelp "eval('xlWeb(xlhtmldoclink(''Register''))');" MaskPromptString "Initial value| |Provide synchronous reset p" "ort| |Provide enable port| |Override with doubles| |Define FPGA area for reso" "urce estimation|FPGA area [slices, FFs, BRAMs, LUTs, IOBs, emb. mults, TBUFs]" "| | | | | | | | " MaskStyleString "edit,edit,checkbox,edit,checkbox,edit,check" "box,edit,checkbox,edit,edit,edit,edit,edit,edit,edit,edit,edit" MaskTunableValueString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,o" "n,on,on,on" MaskCallbackString "|||||||||||||||||" MaskEnableString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,o" "n,on,on,on" MaskVisibilityString "on,off,on,off,on,off,on,off,on,on,off,off,o" "ff,off,off,off,off,off" MaskToolTipString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,o" "n,on,on,on" MaskVarAliasString ",,,,,,,,,,,,,,,,," MaskVariables "init=@1;rst_sgadvanced=&2;rst=@3;en_sgadvan" "ced=&4;en=@5;dbl_ovrd_sgadvanced=&6;dbl_ovrd=@7;xl_use_area_sgadvanced=&8;xl_" "use_area=@9;xl_area=@10;has_advanced_control=@11;sggui_pos=&12;block_type=&13" ";block_version=&14;sg_icon_stat=&15;sg_mask_display=&16;sg_list_contents=&17;" "sg_blockgui_xml=&18;" MaskInitialization "try\n tmp_gcb = gcb;\n tmp_gcbh = gcbh;\n" " xlMungeMaskParams;\n block_config = 'Sysgen:Register_config';\n serialize" "d_declarations = '{''dbl_ovrd''=>''Int'',''en''=>''Int'',''init''=>''Double''" ",''rst''=>''Int''}';\n xlregister_init();\n ptable_ = xlblockprep(get_param" "(tmp_gcb, 'MaskWSVariables'));\ncatch\n global xl_report_errors;\n if(~isem" "pty(xl_report_errors) && xl_report_errors)\n e = regexprep(lasterr, '\\n'," " '\\nError: ');\n disp(['Error: While running MaskInit code on block ' tmp" "_gcb ': ' e]);\n error(e);\n end\nend\n" MaskDisplay "fprintf('','COMMENT: begin icon graphics');" "\npatch([0 60 60 0 ],[0 0 56 56 ],[0.77 0.82 0.91]);\npatch([15 6 19 6 15 30 " "34 38 54 41 29 20 32 20 29 41 54 38 34 30 15 ],[6 15 28 41 50 50 46 50 50 37 " "49 40 28 16 7 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 0 60 60 0 ],[0 56 5" "6 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: be" "gin icon text');\ncolor('black');port_label('input',1,'d');\ncolor('black');p" "ort_label('input',2,'rst');\ncolor('black');port_label('input',3,'en');\ncolo" "r('black');port_label('output',1,'q');\ncolor('black');disp('\\bf{z^{-1}}','t" "exmode','on');\nfprintf('','COMMENT: end icon text');\n" MaskSelfModifiable on MaskIconFrame off MaskIconOpaque on MaskIconRotate "none" MaskIconUnits "autoscale" MaskValueString "0||on||on||off||off|[0,0,0,0,0,0,0]|0|-1,-1" ",-1,-1|register|8.2.02|60,56,3,1,white,blue,0,923c1847|fprintf('','COMMENT: b" "egin icon graphics');\npatch([0 60 60 0 ],[0 0 56 56 ],[0.77 0.82 0.91]);\npa" "tch([15 6 19 6 15 30 34 38 54 41 29 20 32 20 29 41 54 38 34 30 15 ],[6 15 28 " "41 50 50 46 50 50 37 49 40 28 16 7 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([" "0 0 60 60 0 ],[0 56 56 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfp" "rintf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1,'d" "');\ncolor('black');port_label('input',2,'rst');\ncolor('black');port_label('" "input',3,'en');\ncolor('black');port_label('output',1,'q');\ncolor('black');d" "isp('\\bf{z^{-1}}','texmode','on');\nfprintf('','COMMENT: end icon text');\n|" "|\n \n \n \n \n \n \n \n " "\n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n<" "/sysgenblock>\n" MaskTabNameString ",,,,,,,,,,,,,,,,," } Block { BlockType "S-Function" Name "Slice" Ports [1, 1] Position [515, 275, 575, 305] CopyFcn "xlBlockMoveCallback(gcbh);" DeleteFcn "xlDestroyGui(gcbh);" LoadFcn "xlBlockLoadCallback(gcbh);" ModelCloseFcn "xlDestroyGui(gcbh);" PreSaveFcn "xlBlockPreSaveCallback(gcbh);" PostSaveFcn "xlBlockPostSaveCallback(gcbh);" DestroyFcn "xlDestroyGui(gcbh);" OpenFcn "xlOpenGui(gcbh, @sliceenablement, -1)" CloseFcn "xlDestroyGui(gcbh);" MoveFcn "xlBlockMoveCallback(gcbh);" FunctionName "sysgen" Parameters "ptable_" MaskType "Xilinx Bit Slice Extractor Block" MaskDescription "Extracts a given range of bits from each in" "put sample and presents it at the output. The output type is ordinarily unsi" "gned with binary point at zero, but can be Boolean when the slice is one bit " "wide.\n\nHardware notes: In hardware this block costs nothing." MaskHelp "eval('xlWeb(xlhtmldoclink(''Slice''))');" MaskPromptString " |Width of slice (number of bits)| |Boolean" " output| |Specify range as|Offset of top bit| |Relative to|Offset of bottom b" "it| |Relative to| |Override with doubles| | | | | | | | " MaskStyleString "edit,edit,edit,checkbox,edit,popup(Two Bit " "Locations|Upper Bit Location + Width|Lower Bit Location + Width),edit,edit,po" "pup(LSB of Input|Binary Point of Input|MSB of Input),edit,edit,popup(LSB of I" "nput|Binary Point of Input|MSB of Input),edit,checkbox,edit,edit,edit,edit,ed" "it,edit,edit,edit" MaskTunableValueString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,o" "n,on,on,on,on,on,on,on" MaskCallbackString "|||||||||||||||||||||" MaskEnableString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,o" "n,on,on,on,on,on,on,on" MaskVisibilityString "off,on,off,on,off,on,on,off,on,on,off,on,of" "f,on,off,off,off,off,off,off,off,off" MaskToolTipString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,o" "n,on,on,on,on,on,on,on" MaskVarAliasString ",,,,,,,,,,,,,,,,,,,,," MaskVariables "infoedit=&1;nbits=@2;boolean_output_sgadvan" "ced=&3;boolean_output=@4;mode_sgadvanced=&5;mode=@6;bit1=@7;base1_sgadvanced=" "&8;base1=@9;bit0=@10;base0_sgadvanced=&11;base0=@12;dbl_ovrd_sgadvanced=&13;d" "bl_ovrd=@14;has_advanced_control=@15;sggui_pos=&16;block_type=&17;block_versi" "on=&18;sg_icon_stat=&19;sg_mask_display=&20;sg_list_contents=&21;sg_blockgui_" "xml=&22;" MaskInitialization "try\n tmp_gcb = gcb;\n tmp_gcbh = gcbh;\n" " xlMungeMaskParams;\n block_config = 'Sysgen:Slice_config';\n serialized_d" "eclarations = '{''base0''=>''Int'',''base1''=>''Int'',''bit0''=>''Int'',''bit" "1''=>''Int'',''boolean_output''=>''Int'',''mode''=>''Int'',''nbits''=>''Int''" ",''sim_engine''=>''Int'',''sysgen_root''=>''String''}';\n xlslice_init();\n " " ptable_ = xlblockprep(get_param(tmp_gcb, 'MaskWSVariables'));\ncatch\n glob" "al xl_report_errors;\n if(~isempty(xl_report_errors) && xl_report_errors)\n " " e = regexprep(lasterr, '\\n', '\\nError: ');\n disp(['Error: While runn" "ing MaskInit code on block ' tmp_gcb ': ' e]);\n error(e);\n end\nend\n" MaskDisplay "fprintf('','COMMENT: begin icon graphics');" "\npatch([0 60 60 0 ],[0 0 30 30 ],[0.77 0.82 0.91]);\npatch([22 17 24 17 22 3" "0 32 34 42 35 29 24 30 24 29 35 42 34 32 30 22 ],[3 8 15 22 27 27 25 27 27 20" " 26 21 15 9 4 10 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 0 60 60 0 ],[0 30 30" " 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: beg" "in icon text');\ncolor('black');port_label('output',1,'[a:b]');\nfprintf('','" "COMMENT: end icon text');\n" MaskSelfModifiable on MaskIconFrame off MaskIconOpaque on MaskIconRotate "none" MaskIconUnits "autoscale" MaskValueString "Extracts a given range of bits from each in" "put sample and presents it at the output. The output type is ordinarily unsi" "gned with binary point at zero, but can be Boolean when the slice is one bit " "wide.

Hardware notes: In hardware this block costs nothing.|1||off||Lowe" "r Bit Location + Width|0||MSB of Input|2||LSB of Input||off|0|20,20,442,407|s" "lice|8.2.02|60,30,1,1,white,blue,0,fe24a11e|fprintf('','COMMENT: begin icon g" "raphics');\npatch([0 60 60 0 ],[0 0 30 30 ],[0.77 0.82 0.91]);\npatch([22 17 " "24 17 22 30 32 34 42 35 29 24 30 24 29 35 42 34 32 30 22 ],[3 8 15 22 27 27 2" "5 27 27 20 26 21 15 9 4 10 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 0 60 60 0 " "],[0 30 30 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','CO" "MMENT: begin icon text');\ncolor('black');port_label('output',1,'[a:b]');\nfp" "rintf('','COMMENT: end icon text');\n||\n \n \n \n \n \n \n \n \n \n \n " "\n &" "lt;P>Hardware notes: In hardware this block costs nothing.\" />\n " "\n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n " "\n \n \n " "\n \n \n \n \n \n " "\n \n \n \n \n \n<" "/sysgenblock>\n" MaskTabNameString ",,,,,,,,,,,,,,,,,,,,," } Block { BlockType "S-Function" Name "Slice1" Ports [1, 1] Position [1300, 275, 1360, 305] CopyFcn "xlBlockMoveCallback(gcbh);" DeleteFcn "xlDestroyGui(gcbh);" LoadFcn "xlBlockLoadCallback(gcbh);" ModelCloseFcn "xlDestroyGui(gcbh);" PreSaveFcn "xlBlockPreSaveCallback(gcbh);" PostSaveFcn "xlBlockPostSaveCallback(gcbh);" DestroyFcn "xlDestroyGui(gcbh);" OpenFcn "xlOpenGui(gcbh, @sliceenablement, -1)" CloseFcn "xlDestroyGui(gcbh);" MoveFcn "xlBlockMoveCallback(gcbh);" FunctionName "sysgen" Parameters "ptable_" MaskType "Xilinx Bit Slice Extractor Block" MaskDescription "Extracts a given range of bits from each in" "put sample and presents it at the output. The output type is ordinarily unsi" "gned with binary point at zero, but can be Boolean when the slice is one bit " "wide.\n\nHardware notes: In hardware this block costs nothing." MaskHelp "eval('xlWeb(xlhtmldoclink(''Slice''))');" MaskPromptString " |Width of slice (number of bits)| |Boolean" " output| |Specify range as|Offset of top bit| |Relative to|Offset of bottom b" "it| |Relative to| |Override with doubles| | | | | | | | " MaskStyleString "edit,edit,edit,checkbox,edit,popup(Two Bit " "Locations|Upper Bit Location + Width|Lower Bit Location + Width),edit,edit,po" "pup(LSB of Input|Binary Point of Input|MSB of Input),edit,edit,popup(LSB of I" "nput|Binary Point of Input|MSB of Input),edit,checkbox,edit,edit,edit,edit,ed" "it,edit,edit,edit" MaskTunableValueString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,o" "n,on,on,on,on,on,on,on" MaskCallbackString "|||||||||||||||||||||" MaskEnableString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,o" "n,on,on,on,on,on,on,on" MaskVisibilityString "off,on,off,on,off,on,on,off,on,on,off,on,of" "f,on,off,off,off,off,off,off,off,off" MaskToolTipString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,o" "n,on,on,on,on,on,on,on" MaskVarAliasString ",,,,,,,,,,,,,,,,,,,,," MaskVariables "infoedit=&1;nbits=@2;boolean_output_sgadvan" "ced=&3;boolean_output=@4;mode_sgadvanced=&5;mode=@6;bit1=@7;base1_sgadvanced=" "&8;base1=@9;bit0=@10;base0_sgadvanced=&11;base0=@12;dbl_ovrd_sgadvanced=&13;d" "bl_ovrd=@14;has_advanced_control=@15;sggui_pos=&16;block_type=&17;block_versi" "on=&18;sg_icon_stat=&19;sg_mask_display=&20;sg_list_contents=&21;sg_blockgui_" "xml=&22;" MaskInitialization "try\n tmp_gcb = gcb;\n tmp_gcbh = gcbh;\n" " xlMungeMaskParams;\n block_config = 'Sysgen:Slice_config';\n serialized_d" "eclarations = '{''base0''=>''Int'',''base1''=>''Int'',''bit0''=>''Int'',''bit" "1''=>''Int'',''boolean_output''=>''Int'',''mode''=>''Int'',''nbits''=>''Int''" ",''sim_engine''=>''Int'',''sysgen_root''=>''String''}';\n xlslice_init();\n " " ptable_ = xlblockprep(get_param(tmp_gcb, 'MaskWSVariables'));\ncatch\n glob" "al xl_report_errors;\n if(~isempty(xl_report_errors) && xl_report_errors)\n " " e = regexprep(lasterr, '\\n', '\\nError: ');\n disp(['Error: While runn" "ing MaskInit code on block ' tmp_gcb ': ' e]);\n error(e);\n end\nend\n" MaskDisplay "fprintf('','COMMENT: begin icon graphics');" "\npatch([0 60 60 0 ],[0 0 30 30 ],[0.77 0.82 0.91]);\npatch([22 17 24 17 22 3" "0 32 34 42 35 29 24 30 24 29 35 42 34 32 30 22 ],[3 8 15 22 27 27 25 27 27 20" " 26 21 15 9 4 10 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 0 60 60 0 ],[0 30 30" " 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: beg" "in icon text');\ncolor('black');port_label('output',1,'[a:b]');\nfprintf('','" "COMMENT: end icon text');\n" MaskSelfModifiable on MaskIconFrame off MaskIconOpaque on MaskIconRotate "none" MaskIconUnits "autoscale" MaskValueString "Extracts a given range of bits from each in" "put sample and presents it at the output. The output type is ordinarily unsi" "gned with binary point at zero, but can be Boolean when the slice is one bit " "wide.

Hardware notes: In hardware this block costs nothing.|1||off||Lowe" "r Bit Location + Width|0||MSB of Input|3||LSB of Input||off|0|20,20,442,407|s" "lice|8.2.02|60,30,1,1,white,blue,0,fe24a11e|fprintf('','COMMENT: begin icon g" "raphics');\npatch([0 60 60 0 ],[0 0 30 30 ],[0.77 0.82 0.91]);\npatch([22 17 " "24 17 22 30 32 34 42 35 29 24 30 24 29 35 42 34 32 30 22 ],[3 8 15 22 27 27 2" "5 27 27 20 26 21 15 9 4 10 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 0 60 60 0 " "],[0 30 30 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','CO" "MMENT: begin icon text');\ncolor('black');port_label('output',1,'[a:b]');\nfp" "rintf('','COMMENT: end icon text');\n||\n \n \n \n \n \n \n \n \n \n \n " "\n &" "lt;P>Hardware notes: In hardware this block costs nothing.\" />\n " "\n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n " "\n \n \n " "\n \n \n \n \n \n " "\n \n \n \n \n \n<" "/sysgenblock>\n" MaskTabNameString ",,,,,,,,,,,,,,,,,,,,," } Block { BlockType "S-Function" Name "Slice2" Ports [1, 1] Position [515, 325, 575, 355] CopyFcn "xlBlockMoveCallback(gcbh);" DeleteFcn "xlDestroyGui(gcbh);" LoadFcn "xlBlockLoadCallback(gcbh);" ModelCloseFcn "xlDestroyGui(gcbh);" PreSaveFcn "xlBlockPreSaveCallback(gcbh);" PostSaveFcn "xlBlockPostSaveCallback(gcbh);" DestroyFcn "xlDestroyGui(gcbh);" OpenFcn "xlOpenGui(gcbh, @sliceenablement, -1)" CloseFcn "xlDestroyGui(gcbh);" MoveFcn "xlBlockMoveCallback(gcbh);" FunctionName "sysgen" Parameters "ptable_" MaskType "Xilinx Bit Slice Extractor Block" MaskDescription "Extracts a given range of bits from each in" "put sample and presents it at the output. The output type is ordinarily unsi" "gned with binary point at zero, but can be Boolean when the slice is one bit " "wide.\n\nHardware notes: In hardware this block costs nothing." MaskHelp "eval('xlWeb(xlhtmldoclink(''Slice''))');" MaskPromptString " |Width of slice (number of bits)| |Boolean" " output| |Specify range as|Offset of top bit| |Relative to|Offset of bottom b" "it| |Relative to| |Override with doubles| | | | | | | | " MaskStyleString "edit,edit,edit,checkbox,edit,popup(Two Bit " "Locations|Upper Bit Location + Width|Lower Bit Location + Width),edit,edit,po" "pup(LSB of Input|Binary Point of Input|MSB of Input),edit,edit,popup(LSB of I" "nput|Binary Point of Input|MSB of Input),edit,checkbox,edit,edit,edit,edit,ed" "it,edit,edit,edit" MaskTunableValueString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,o" "n,on,on,on,on,on,on,on" MaskCallbackString "|||||||||||||||||||||" MaskEnableString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,o" "n,on,on,on,on,on,on,on" MaskVisibilityString "off,on,off,on,off,on,on,off,on,on,off,on,of" "f,on,off,off,off,off,off,off,off,off" MaskToolTipString "on,on,on,on,on,on,on,on,on,on,on,on,on,on,o" "n,on,on,on,on,on,on,on" MaskVarAliasString ",,,,,,,,,,,,,,,,,,,,," MaskVariables "infoedit=&1;nbits=@2;boolean_output_sgadvan" "ced=&3;boolean_output=@4;mode_sgadvanced=&5;mode=@6;bit1=@7;base1_sgadvanced=" "&8;base1=@9;bit0=@10;base0_sgadvanced=&11;base0=@12;dbl_ovrd_sgadvanced=&13;d" "bl_ovrd=@14;has_advanced_control=@15;sggui_pos=&16;block_type=&17;block_versi" "on=&18;sg_icon_stat=&19;sg_mask_display=&20;sg_list_contents=&21;sg_blockgui_" "xml=&22;" MaskInitialization "try\n tmp_gcb = gcb;\n tmp_gcbh = gcbh;\n" " xlMungeMaskParams;\n block_config = 'Sysgen:Slice_config';\n serialized_d" "eclarations = '{''base0''=>''Int'',''base1''=>''Int'',''bit0''=>''Int'',''bit" "1''=>''Int'',''boolean_output''=>''Int'',''mode''=>''Int'',''nbits''=>''Int''" ",''sim_engine''=>''Int'',''sysgen_root''=>''String''}';\n xlslice_init();\n " " ptable_ = xlblockprep(get_param(tmp_gcb, 'MaskWSVariables'));\ncatch\n glob" "al xl_report_errors;\n if(~isempty(xl_report_errors) && xl_report_errors)\n " " e = regexprep(lasterr, '\\n', '\\nError: ');\n disp(['Error: While runn" "ing MaskInit code on block ' tmp_gcb ': ' e]);\n error(e);\n end\nend\n" MaskDisplay "fprintf('','COMMENT: begin icon graphics');" "\npatch([0 60 60 0 ],[0 0 30 30 ],[0.77 0.82 0.91]);\npatch([22 17 24 17 22 3" "0 32 34 42 35 29 24 30 24 29 35 42 34 32 30 22 ],[3 8 15 22 27 27 25 27 27 20" " 26 21 15 9 4 10 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 0 60 60 0 ],[0 30 30" " 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: beg" "in icon text');\ncolor('black');port_label('output',1,'[a:b]');\nfprintf('','" "COMMENT: end icon text');\n" MaskSelfModifiable on MaskIconFrame off MaskIconOpaque on MaskIconRotate "none" MaskIconUnits "autoscale" MaskValueString "Extracts a given range of bits from each in" "put sample and presents it at the output. The output type is ordinarily unsi" "gned with binary point at zero, but can be Boolean when the slice is one bit " "wide.

Hardware notes: In hardware this block costs nothing.|1||off||Lowe" "r Bit Location + Width|0||MSB of Input|3||LSB of Input||off|0|20,20,442,407|s" "lice|8.2.02|60,30,1,1,white,blue,0,fe24a11e|fprintf('','COMMENT: begin icon g" "raphics');\npatch([0 60 60 0 ],[0 0 30 30 ],[0.77 0.82 0.91]);\npatch([22 17 " "24 17 22 30 32 34 42 35 29 24 30 24 29 35 42 34 32 30 22 ],[3 8 15 22 27 27 2" "5 27 27 20 26 21 15 9 4 10 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 0 60 60 0 " "],[0 30 30 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','CO" "MMENT: begin icon text');\ncolor('black');port_label('output',1,'[a:b]');\nfp" "rintf('','COMMENT: end icon text');\n||\n \n \n \n \n \n \n \n \n \n \n " "\n &" "lt;P>Hardware notes: In hardware this block costs nothing.\" />\n " "\n \n \n \n \n \n \n \n \n \n \n \n \n \n \n \n " "\n \n \n " "\n \n \n \n \n \n " "\n \n \n \n \n \n<" "/sysgenblock>\n" MaskTabNameString ",,,,,,,,,,,,,,,,,,,,," } Block { BlockType Outport Name "Data" Position [1585, 558, 1615, 572] IconDisplay "Port number" BusOutputAsStruct off } Block { BlockType Outport Name "SCL" Position [795, 368, 825, 382] Port "2" IconDisplay "Port number" BusOutputAsStruct off } Block { BlockType Outport Name "CS#" Position [495, 383, 525, 397] Port "3" IconDisplay "Port number" BusOutputAsStruct off } Block { BlockType Outport Name "Done" Position [1735, 283, 1765, 297] Port "4" IconDisplay "Port number" BusOutputAsStruct off } Line { SrcBlock "Counter1" SrcPort 1 Points [10, 0] Branch { DstBlock "Slice" DstPort 1 } Branch { Points [0, 50] DstBlock "Slice2" DstPort 1 } } Line { SrcBlock "Pos_Edge_Detector" SrcPort 1 Points [10, 0] Branch { DstBlock "Delay" DstPort 1 } Branch { Points [0, 75] DstBlock "Delay1" DstPort 1 } } Line { SrcBlock "Counter" SrcPort 1 Points [15, 0] Branch { DstBlock "Slice1" DstPort 1 } Branch { Points [0, 205] DstBlock "Data_Latch" DstPort 1 } } Line { SrcBlock "Register" SrcPort 1 Points [95, 0] Branch { Points [0, 80] DstBlock "Inverter" DstPort 1 } Branch { DstBlock "Counter1" DstPort 4 } } Line { SrcBlock "Send" SrcPort 1 Points [5, 0] Branch { Points [30, 0] Branch { DstBlock "Logical" DstPort 2 } Branch { Points [0, -55] DstBlock "Register" DstPort 1 } } Branch { Points [0, 240] DstBlock "Data_Latch" DstPort 3 } } Line { SrcBlock "Slice1" SrcPort 1 DstBlock "Inverter1" DstPort 1 } Line { SrcBlock "Pos_Edge_Detector2" SrcPort 1 DstBlock "Convert1" DstPort 1 } Line { SrcBlock "Inverter" SrcPort 1 Points [0, 0] DstBlock "CS#" DstPort 1 } Line { SrcBlock "Mux" SrcPort 1 Points [25, 0] Branch { DstBlock "Pos_Edge_Detector" DstPort 1 } Branch { Points [0, 85] DstBlock "SCL" DstPort 1 } } Line { SrcBlock "DividerSelect" SrcPort 1 Points [25, 0] Branch { Points [0, 0; 0, 80] DstBlock "Mux" DstPort 1 } Branch { Points [380, 0; 0, 80] DstBlock "Mux1" DstPort 1 } } Line { SrcBlock "Slice" SrcPort 1 DstBlock "Mux" DstPort 2 } Line { SrcBlock "Slice2" SrcPort 1 Points [35, 0; 0, -15] DstBlock "Mux" DstPort 3 } Line { SrcBlock "Delay" SrcPort 1 DstBlock "Mux1" DstPort 2 } Line { SrcBlock "Delay1" SrcPort 1 Points [15, 0; 0, -40] DstBlock "Mux1" DstPort 3 } Line { SrcBlock "Mux1" SrcPort 1 DstBlock "Convert" DstPort 1 } Line { SrcBlock "Data_Latch" SrcPort 1 Points [0, 0] DstBlock "Data" DstPort 1 } Line { SrcBlock "Data_to_transfer" SrcPort 1 Points [0, 0] DstBlock "Data_Latch" DstPort 2 } Line { SrcBlock "Constant" SrcPort 1 Points [10, 0; 0, 10] DstBlock "Counter1" DstPort 2 } Line { SrcBlock "Logical" SrcPort 1 DstBlock "Register" DstPort 3 } Line { SrcBlock "Convert" SrcPort 1 Points [15, 0; 0, 15] DstBlock "Counter" DstPort 2 } Line { SrcBlock "Reset" SrcPort 1 Points [55, 0] Branch { Points [0, -130] DstBlock "Register" DstPort 2 } Branch { Points [165, 0] Branch { Points [0, -145] DstBlock "Counter1" DstPort 3 } Branch { Points [800, 0] Branch { Points [0, -165] DstBlock "Counter" DstPort 1 } Branch { Points [0, 190] DstBlock "Data_Latch" DstPort 4 } } } } Line { SrcBlock "Convert1" SrcPort 1 Points [15, 0] Branch { DstBlock "Done" DstPort 1 } Branch { Points [0, -65; -1305, 0] Branch { DstBlock "Counter1" DstPort 1 } Branch { Points [-280, 0; 0, 90] DstBlock "Logical" DstPort 1 } } } Line { SrcBlock "Inverter1" SrcPort 1 DstBlock "Pos_Edge_Detector2" DstPort 1 } } } Block { BlockType Scope Name "Scope" Ports [6] Position [1665, 336, 1730, 479] Floating off Location [1, 45, 1913, 1113] Open off NumInputPorts "6" ZoomMode "xonly" List { ListType AxesTitles axes1 "%" axes2 "%" axes3 "%" axes4 "%" axes5 "%" axes6 "%" } YMin "-5~-5~-5~-5~-5~-5" YMax "5~5~5~5~5~5" DataFormat "StructureWithTime" SampleTime "0" } Block { BlockType Step Name "Step1" Position [75, 830, 105, 860] Time "10" Before "1" After "0" SampleTime "1" } Block { BlockType Goto Name "To_Register_opb0" Position [1340, 683, 1395, 737] GotoTag "out_17" TagVisibility "global" } Block { BlockType From Name "a_valid_from" Position [20, 271, 80, 299] CloseFcn "tagdialog Close" GotoTag "a_valid" } Block { BlockType From Name "addr_from" Position [20, 214, 75, 246] CloseFcn "tagdialog Close" GotoTag "addr" } Block { BlockType Goto Name "enable_To_Register_opb0" Position [1340, 683, 1395, 737] GotoTag "en_out_17" TagVisibility "global" } Block { BlockType SubSystem Name "p_select" Ports [2, 1] Position [100, 236, 160, 274] BackgroundColor "[0.713725, 0.733333, 0.862745]" UserDataPersistent on UserData "DataTag1" TreatAsAtomicUnit off MinAlgLoopOccurrences off RTWSystemCode "Auto" MaskHideContents off MaskType "pselect" MaskDescription "Address Decoding Logic" MaskPromptString "Base Address|High Address|Address Bus Bit Width" "|Data Bus Bit Width" MaskStyleString "edit,edit,edit,edit" MaskTunableValueString "off,off,off,off" MaskCallbackString "|||" MaskEnableString "on,on,on,on" MaskVisibilityString "on,on,on,on" MaskToolTipString "on,on,on,on" MaskVarAliasString ",,," MaskVariables "C_BASE=@1;C_HIGH=@2;C_OPB_AWIDTH=@3;C_OPB_DWIDT" "H=@4;" MaskInitialization "mdlGlobal = xlglobal(bdroot(gcbh));\nif iskey(m" "dlGlobal,'mdlHandle')\n put(mdlGlobal,'opb_export_tool_v2_c_base', C_BASE);" "\n put(mdlGlobal,'opb_export_tool_v2_c_high', C_HIGH);\n put(mdlGlobal,'opb" "_export_tool_v2_c_opb_awidth', C_OPB_AWIDTH);\n put(mdlGlobal,'opb_export_to" "ol_v2_c_opb_dwidth', C_OPB_DWIDTH);\nend" MaskIconFrame on MaskIconOpaque on MaskIconRotate "none" MaskIconUnits "autoscale" MaskValueString "0|262143|32|32" MaskTabNameString ",,," System { Name "p_select" Location [327, 162, 1062, 721] Open off ModelBrowserVisibility off ModelBrowserWidth 200 ScreenColor "white" PaperOrientation "landscape" PaperPositionMode "auto" PaperType "usletter" PaperUnits "inches" ZoomFactor "100" Block { BlockType Inport Name "addr" Position [20, 53, 50, 67] IconDisplay "Port number" } Block { BlockType Inport Name "a_valid" Position [20, 168, 50, 182] Port "2" IconDisplay "Port number" } Block { BlockType Reference Name "Constant" Ports [0, 1] Position [55, 102, 125, 118] SourceBlock "xbsIndex_r4/Constant" SourceType "Xilinx Constant Block Block" arith_type "Unsigned" const "C_BASE" n_bits "32" bin_pt "0" explicit_period "off" period "1" dsp48_infoedit "The use of this block for DSP48 instruction" "s is deprecated. Please use the Opmode block." equ "P=C" opselect "C" inp2 "PCIN>>17" opr "+" inp1 "P" carry "CIN" dbl_ovrd "off" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "constant" block_version "VER_STRING_GOES_HERE" sg_icon_stat "70,16,0,1,white,blue,0,043fcfb0" sg_mask_display "fprintf('','COMMENT: begin icon graphics ')" ";\npatch([0 70 70 0 ],[0 0 16 16 ],[0.77 0.82 0.91]);\npatch([31 28 32 28 31 " "35 36 37 42 38 35 33 37 33 35 38 42 37 36 35 31 ],[2 5 9 13 16 16 15 16 16 12" " 15 13 9 5 3 6 2 2 3 2 2 ],[0.98 0.96 0.92]);\nplot([0 0 70 70 0 ],[0 16 16 0" " 0 ]);\nfprintf('','COMMENT: end icon graphics');\n\nfprintf('','COMMENT: beg" "in icon text ');\ncolor('black');port_label('output',1,'4294836480');\nfprint" "f('','COMMENT: end icon text');\nfprintf('','COMMENT: Make no changes above t" "his line -- machine generated code. ');\n" } Block { BlockType Reference Name "Logical" Ports [2, 1] Position [325, 144, 370, 186] ShowName off SourceBlock "xbsIndex_r4/Logical" SourceType "Xilinx Logical Block Block" logical_function "AND" inputs "2" en "off" latency "0" precision "Full" arith_type "Unsigned" n_bits "8" bin_pt "2" align_bp "on" dbl_ovrd "off" xl_use_area "off" xl_area "[0, 0, 0, 0, 0, 0, 0]" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "logical" block_version "VER_STRING_GOES_HERE" sg_icon_stat "45,42,2,1,white,blue,0,087b5522" sg_mask_display "fprintf('','COMMENT: begin icon graphics ')" ";\npatch([0 45 45 0 ],[0 0 42 42 ],[0.77 0.82 0.91]);\npatch([11 4 14 4 11 22" " 25 28 40 31 22 15 25 15 22 31 40 28 25 22 11 ],[5 12 22 32 39 39 36 39 39 30" " 39 32 22 12 5 14 5 5 8 5 5 ],[0.98 0.96 0.92]);\nplot([0 0 45 45 0 ],[0 42 4" "2 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\n\nfprintf('','COMMENT: " "begin icon text ');\ncolor('black');disp('\\newlineand\\newlinez^{-0}','texmo" "de','on');\nfprintf('','COMMENT: end icon text');\n" } Block { BlockType Reference Name "Relational" Ports [2, 1] Position [235, 37, 280, 133] SourceBlock "xbsIndex_r4/Relational" SourceType "Xilinx Arithmetic Relational Operator Block" mode "a=b" en "off" latency "0" dbl_ovrd "off" xl_use_area "off" xl_area "[0, 0, 0, 0, 0, 0, 0]" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "relational" block_version "VER_STRING_GOES_HERE" sg_icon_stat "45,96,2,1,white,blue,0,1b68ef8e" sg_mask_display "fprintf('','COMMENT: begin icon graphics ')" ";\npatch([0 45 45 0 ],[0 0 96 96 ],[0.77 0.82 0.91]);\npatch([10 3 14 3 10 22" " 25 28 41 31 21 14 25 14 21 31 41 28 25 22 10 ],[30 37 48 59 66 66 63 66 66 5" "6 66 59 48 37 30 40 30 30 33 30 30 ],[0.98 0.96 0.92]);\nplot([0 0 45 45 0 ]," "[0 96 96 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\n\nfprintf('','CO" "MMENT: begin icon text ');\ncolor('black');port_label('input',1,'a');\ncolor(" "'black');port_label('input',2,'b');\ncolor('black');disp('\\newline\\bf{a=b}" "\\newlinez^{-0}','texmode','on');\nfprintf('','COMMENT: end icon text');\n" } Block { BlockType Reference Name "Slice_C" Ports [1, 1] Position [150, 48, 200, 72] SourceBlock "xbsIndex_r4/Slice" SourceType "Xilinx Bit Slice Extractor Block" infoedit "Extracts a given range of bits from each in" "put sample and presents it at the output. The output type is ordinarily unsi" "gned with binary point at zero, but can be Boolean when the slice is one bit " "wide.

Hardware notes: In hardware this block costs nothing." nbits "32-ceil(log2(C_HIGH-C_BASE))" boolean_output "off" mode "Upper Bit Location + Width" bit1 "0" base1 "MSB of Input" bit0 "0" base0 "LSB of Input" dbl_ovrd "off" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "slice" block_version "VER_STRING_GOES_HERE" sg_icon_stat "50,24,1,1,white,blue,0,fe24a11e" sg_mask_display "fprintf('','COMMENT: begin icon graphics ')" ";\npatch([0 50 50 0 ],[0 0 24 24 ],[0.77 0.82 0.91]);\npatch([19 15 21 15 19 " "25 27 29 36 31 26 22 28 22 26 31 36 29 27 25 19 ],[3 7 13 19 23 23 21 23 23 1" "8 23 19 13 7 3 8 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 0 50 50 0 ],[0 24 24" " 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\n\nfprintf('','COMMENT: b" "egin icon text ');\ncolor('black');port_label('output',1,'[a:b]');\nfprintf('" "','COMMENT: end icon text');\n" } Block { BlockType Reference Name "Slice_D" Ports [1, 1] Position [150, 98, 200, 122] SourceBlock "xbsIndex_r4/Slice" SourceType "Xilinx Bit Slice Extractor Block" infoedit "Extracts a given range of bits from each in" "put sample and presents it at the output. The output type is ordinarily unsi" "gned with binary point at zero, but can be Boolean when the slice is one bit " "wide.

Hardware notes: In hardware this block costs nothing." nbits "32-ceil(log2(C_HIGH-C_BASE))" boolean_output "off" mode "Upper Bit Location + Width" bit1 "0" base1 "MSB of Input" bit0 "0" base0 "LSB of Input" dbl_ovrd "off" has_advanced_control "0" sggui_pos "-1,-1,-1,-1" block_type "slice" block_version "VER_STRING_GOES_HERE" sg_icon_stat "50,24,1,1,white,blue,0,fe24a11e" sg_mask_display "fprintf('','COMMENT: begin icon graphics ')" ";\npatch([0 50 50 0 ],[0 0 24 24 ],[0.77 0.82 0.91]);\npatch([19 15 21 15 19 " "25 27 29 36 31 26 22 28 22 26 31 36 29 27 25 19 ],[3 7 13 19 23 23 21 23 23 1" "8 23 19 13 7 3 8 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 0 50 50 0 ],[0 24 24" " 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\n\nfprintf('','COMMENT: b" "egin icon text ');\ncolor('black');port_label('output',1,'[a:b]');\nfprintf('" "','COMMENT: end icon text');\n" } Block { BlockType Outport Name "ps" Position [395, 158, 425, 172] IconDisplay "Port number" BusOutputAsStruct off } Line { SrcBlock "Slice_D" SrcPort 1 DstBlock "Relational" DstPort 2 } Line { SrcBlock "Logical" SrcPort 1 DstBlock "ps" DstPort 1 } Line { SrcBlock "a_valid" SrcPort 1 DstBlock "Logical" DstPort 2 } Line { SrcBlock "Relational" SrcPort 1 Points [10, 0; 0, 70] DstBlock "Logical" DstPort 1 } Line { SrcBlock "Constant" SrcPort 1 DstBlock "Slice_D" DstPort 1 } Line { SrcBlock "addr" SrcPort 1 DstBlock "Slice_C" DstPort 1 } Line { SrcBlock "Slice_C" SrcPort 1 DstBlock "Relational" DstPort 1 } } } Block { BlockType Goto Name "ps_goto" Position [185, 240, 225, 270] GotoTag "ps" TagVisibility "global" } Line { SrcBlock "Convert2" SrcPort 1 DstBlock "Pos_Edge_Detector" DstPort 1 } Line { SrcBlock "Pos_Edge_Detector" SrcPort 1 Points [135, 0] DstBlock "CommandROM" DstPort 3 } Line { SrcBlock "Convert" SrcPort 1 DstBlock "SPI" DstPort 3 } Line { SrcBlock "SPI" SrcPort 4 Points [0, 0; 35, 0] Branch { Points [90, 0; 0, -105] DstBlock "Scope" DstPort 4 } Branch { Points [0, 85; -980, 0] Branch { Points [0, -155] DstBlock "CommandROM" DstPort 4 } Branch { Points [0, 155] DstBlock "DataROM" DstPort 2 } } } Line { SrcBlock "SPI" SrcPort 1 DstBlock "SDI" DstPort 1 } Line { SrcBlock "SPI" SrcPort 2 DstBlock "SCL" DstPort 1 } Line { SrcBlock "SPI" SrcPort 3 DstBlock "CS" DstPort 1 } Line { SrcBlock "Step1" SrcPort 1 DstBlock "Reset" DstPort 1 } Line { SrcBlock "Reset" SrcPort 1 Points [15, 0; 0, 25] DstBlock "Logical1" DstPort 1 } Line { SrcBlock "Logical1" SrcPort 1 DstBlock "Convert1" DstPort 1 } Line { SrcBlock "Delay" SrcPort 1 Points [0, 0] DstBlock "ResetLCD" DstPort 1 } Line { SrcBlock "SDI" SrcPort 1 Points [0, 0] DstBlock "Scope" DstPort 1 } Line { SrcBlock "SCL" SrcPort 1 Points [5, 0; 0, -35] DstBlock "Scope" DstPort 2 } Line { SrcBlock "CS" SrcPort 1 Points [20, 0; 0, -70] DstBlock "Scope" DstPort 3 } Line { SrcBlock "CommandROM" SrcPort 3 Points [30, 0] Branch { Points [0, 130; -430, 0; 0, 185] DstBlock "DataROM" DstPort 3 } Branch { Points [0, -140] Branch { DstBlock "Mux1" DstPort 1 } Branch { Points [0, -60] DstBlock "Mux" DstPort 1 } } } Line { SrcBlock "Convert1" SrcPort 1 Points [0, 0] Branch { Points [35, 0] Branch { DstBlock "DataROM" DstPort 4 } Branch { Points [0, -305; 220, 0] Branch { Points [0, -45] DstBlock "CommandROM" DstPort 6 } Branch { Points [620, 0; 0, -55] DstBlock "SPI" DstPort 4 } } } Branch { DstBlock "To_Register_opb0" DstPort 1 } Branch { DstBlock "enable_To_Register_opb0" DstPort 1 } } Line { SrcBlock "Mux" SrcPort 1 Points [0, 0] DstBlock "SPI" DstPort 1 } Line { SrcBlock "Mux1" SrcPort 1 DstBlock "SPI" DstPort 2 } Line { SrcBlock "CommandROM" SrcPort 1 Points [0, -15] DstBlock "Mux" DstPort 2 } Line { SrcBlock "CommandROM" SrcPort 2 Points [95, 0; 0, -30] DstBlock "Mux1" DstPort 2 } Line { SrcBlock "DataROM" SrcPort 1 Points [255, 0; 0, -355] DstBlock "Mux" DstPort 3 } Line { SrcBlock "DataROM" SrcPort 2 Points [105, 0] DstBlock "Mux1" DstPort 3 } Line { SrcBlock "From_Register_opb9" SrcPort 1 DstBlock "Logical1" DstPort 2 } Line { SrcBlock "From_Register1_1_opb10" SrcPort 1 DstBlock "CommandROM" DstPort 1 } Line { SrcBlock "From_Register2_1_opb11" SrcPort 1 DstBlock "CommandROM" DstPort 2 } Line { SrcBlock "From_Register3_1_opb12" SrcPort 1 DstBlock "CommandROM" DstPort 5 } Line { SrcBlock "From_Register4_1_opb13" SrcPort 1 DstBlock "Convert" DstPort 1 } Line { SrcBlock "From_Register5_0_opb14" SrcPort 1 DstBlock "Convert2" DstPort 1 } Line { SrcBlock "From_Register6_opb15" SrcPort 1 DstBlock "Delay" DstPort 1 } Line { SrcBlock "From_Register7_opb16" SrcPort 1 DstBlock "DataROM" DstPort 1 } Line { SrcBlock "addr_from" SrcPort 1 DstBlock "p_select" DstPort 1 } Line { SrcBlock "a_valid_from" SrcPort 1 DstBlock "p_select" DstPort 2 } Line { SrcBlock "p_select" SrcPort 1 DstBlock "ps_goto" DstPort 1 } } } MatData { NumRecords 2 DataRecord { Tag DataTag1 Data " %)30 . \" ( 8 ( @ % " "\" $ ! 0 % 0 $ $ 0 7, !V86QU97, . < 8 ( 0 % \" $ ! " " 0 . 0 8 ( ! % \" $ + 0 " " 0 \"P $A$3\"!.971L:7-T . : 8 ( 0 % \" " " $ ! 0 . . 8 ( ! % \" $ ' " " 0 0 !P '1A7-T96T #@ $@ & \"" " 0 !0 @ ! & $ $ !@ !!8V-O 0 &8# !I;F9O961I= " " !X:6QI;GAF86UI;'D !P87)T " " !S<&5E9 !P86-K86=E " " !S>6YT:&5S:7-?=&]O;%]S9V%D=F%N8V5D !S>6YT:&5S:7-?=&]O; " " !D:7)E8W1O7-C;&M?<&5R:6]D " " !I;F-R7VYE=&QI&,T=G-X,S4. , 8 ( ! % \" $ # 0 " " 0 , +3$P X X !@ @ $ 4 ( 0 4 ! " " ! % 9F8V-C@ . , 8 ( ! % \" " " 0 0 X P !@ @ $ 4 ( 0 , " " ! ! P!84U0 #@ $ & \" 0 !0 @ ! \"0 " " $ $ D N+VYE=&QI'0G*3L* . , 8" " ( ! % \" 0 0 X #P\"P !@" " @ $ 4 ( 0 +L+ ! ! \"[\"P /\"$M+2 @*B @" "0V]P>7)I9VAT(\"AC*2 R,# U+\"!8:6QI;G@L($EN8RX@($%L;\"!2:6=H=',@4F5S97)V960N(" "\" @(\" @(\" @(\" @+2T^/\"$M+2 @*B @4F5P7!E/2)S>7-G96XB('-I;75L:6YK;F%M93TB(%-Y&Q3>7-G96Y'54DH)V1E;&5T92\"!E=F%L=6%T93TB9F%L7-T96T@1V5N97)A=&]R(B O/@H@(#QE9&ET8F]X(&5V" "86QU871E/2)F86QS92(@;F%M93TB>&EL:6YX9F%M:6QY(B!D969A=6QT/2)6:7)T97@T(B!L86)E;" "#TB6&EL:6YX(&9A;6EL>2(@+SX*(\" \\961I=&)O>\"!E=F%L=6%T93TB9F%L#,U(B!L86)E;#TB4&%R=\"(@+SX*(\" \\961I=&)O>\"!E=F%L" "=6%T93TB9F%L\"!E=F%L=6%T93TB9F%L\"!E=F%L=6%T93TB=')U92(@;F%M93TB" "#X*(\" \\961I=&)O>" "\"!E=F%L=6%T93TB9F%L2(@9&5F875L=#TB+B]N971L:7-T(B!L8" "6)E;#TB5&%R9V5T(&1I2(@+SX*(\" \\8VAE8VMB;W@@979A;'5A=&4](G1R=64B(&YA" ";64](G1E\"!" "E=F%L=6%T93TB=')U92(@;F%M93TB=')I;5]V8FET7-T96TB(&QA8F5L/2)4#X*(\" \\;&ES=&)O>\"!E=F%L=6%T93TB=')U92(@;F%M93TB9&)L7V]V7-T96TB(\"\\^\"B @(#QI=&5M('9A;" "'5E/2).;R!7:&5R92!I;B!3=6)3>7-T96TB(\"\\^\"B @/\"]L:7-T8F]X/@H@(#QL:7-T8F]X(&" "5V86QU871E/2)T7=H97)" "E($%V86EL86)L92(@+SX*(\" @/&ET96T@=F%L=64](DYO=\"!.965D960@+2!!;')E861Y($=E;F" "5R871E9\"(@+SX*(\" \\+VQI7-G96YB;&]C:SX* . , 8 ( ! % \" " " 0 0 " } }