source: PlatformSupport/XBD/boards/Rice_University_WARP_FPGA_V2P70_LogicClkBoard/data/Rice_University_WARP_FPGA_V2P70_LogicClkBoard_v2_2_0.xbd

Last change on this file was 1443, checked in by murphpo, 14 years ago

Updating XBD to reflect updated radio controller/bridge ports

File size: 209.2 KB
Line 
1# -------------------------------------------------------------
2#  Copyright (c) 2006 Rice University
3#  All Rights Reserved
4#  This code is covered by the Rice-WARP license
5#  See http://warp.rice.edu/license/ for details
6# -------------------------------------------------------------
7
8# Define the attributes of the board that will be displayed when listing the board.
9ATTRIBUTE VENDOR = Rice University - WARP Project
10ATTRIBUTE SPEC_URL = http://warp.rice.edu/
11ATTRIBUTE CONTACT_INFO_URL= http://warp.rice.edu/
12ATTRIBUTE NAME = WARP Kits (FPGA/Clock/Radio Boards)
13ATTRIBUTE REVISION = FPGA 1.2 / Radio 1.4 / Clock 1.1
14ATTRIBUTE DESC = Rice University WARP
15ATTRIBUTE LONG_DESC = 'This board utilizes a Xilinx Virtex-II Pro FPGA XC2VP70-FF1517-6C. This XBD enables: 4 LEDs, 2 Hex Displays, 1 Reset Button, 4 Push-Buttons, SystemACE CompactFlash MCU interface, UART, 2 512kx32b ZBT SRAMs, Ethernet & OneWire EEPROM. It includes support for the 4-slot radio controller and radio and analog bridges. It configures the XPS clock_generator to be driven by the clock board 40MHz logic/converter oscillator.'
16# Defining hardware interfaces
17
18# Define the first clock which is the processor clock. IOTYPE = XIL_CLOCK_V1 defines a general clock.
19# port name can be named as desired but remain consistent when defining the pins in the FPGA section.
20BEGIN IO_INTERFACE
21    ATTRIBUTE IOTYPE = XIL_CLOCK_V1
22    ATTRIBUTE INSTANCE =GCLK0
23    PARAMETER CLK_FREQ = 40000000, IO_IS=clk_freq, RANGE=(40000000) # 40 MHz
24    PORT GCLK6P = CONN_GCLK0_GCLK6P , IO_IS=ext_clk
25    PORT RST = clkConfigInvalid
26END
27
28# Defines the reset interface.  Currently set to use first push button
29BEGIN IO_INTERFACE
30    ATTRIBUTE IOTYPE = XIL_RESET_V1
31    ATTRIBUTE INSTANCE = rst_0
32    PARAMETER RST_POLARITY =1, IO_IS=polarity, VALUE_NOTE=Active HIGH
33    PORT INIT =  CONN_INIT_INIT, IO_IS=ext_rst
34END
35
36# A single GPIO core is used to interface with:
37#  4 user LEDs (4-bits)
38#  2 7-segment displays (14-bits)
39#  4 push buttons (4-bits)
40#  DIP switch (4-bits)
41BEGIN IO_INTERFACE
42    ATTRIBUTE IOTYPE = XIL_GPIO_V1
43    ATTRIBUTE INSTANCE = USER_IO
44    PARAMETER num_bits = 18, IO_IS=num_bits
45    PARAMETER is_dual=1, IO_IS=is_dual          # Single channel
46    PARAMETER bidir_data = 0, IO_IS=is_bidir    # No bi-directional I/O
47    PARAMETER all_inputs = 1, IO_IS=all_inputs  # Channel 1 is all inputs
48    PARAMETER bidir_data_2 = 1, IO_IS=is_bidir_2    #
49    PARAMETER all_inputs_2 = 0, IO_IS=all_inputs_2  # Channel 2 is all outputs
50
51    #Channel 1 - User Inputs (buttons and switches)
52    PORT SW_0 = SW_0, IO_IS = gpio_data_in[0]
53    PORT SW_1 = SW_1, IO_IS = gpio_data_in[1]
54    PORT SW_2 = SW_2, IO_IS = gpio_data_in[2]
55    PORT SW_3 = SW_3, IO_IS = gpio_data_in[3]
56
57    PORT PUSHU = CONN_PUSHU, IO_IS = gpio_data_in[4]
58    PORT PUSHL = CONN_PUSHL, IO_IS = gpio_data_in[5]
59    PORT PUSHR = CONN_PUSHR, IO_IS = gpio_data_in[6]
60    PORT PUSHC = CONN_PUSHC, IO_IS = gpio_data_in[7]
61
62    #Channel 2 - User Outputs (LEDs and hex displays)
63    PORT SEG_LED0 = CONN_0_SEG1, IO_IS = gpio_data_out_2[0]
64    PORT SEG_LED1 = CONN_0_SEG2, IO_IS = gpio_data_out_2[1]
65    PORT SEG_LED2 = CONN_0_SEG3, IO_IS = gpio_data_out_2[2]
66    PORT SEG_LED3 = CONN_0_SEG4, IO_IS = gpio_data_out_2[3]
67    PORT SEG_LED4 = CONN_0_SEG5, IO_IS = gpio_data_out_2[4]
68    PORT SEG_LED5 = CONN_0_SEG6, IO_IS = gpio_data_out_2[5]
69    PORT SEG_LED6 = CONN_0_SEG7, IO_IS = gpio_data_out_2[6]
70
71    PORT SEG_1_LED0 = CONN_1_SEG1, IO_IS = gpio_data_out_2[7]
72    PORT SEG_1_LED1 = CONN_1_SEG2, IO_IS = gpio_data_out_2[8]
73    PORT SEG_1_LED2 = CONN_1_SEG3, IO_IS = gpio_data_out_2[9]
74    PORT SEG_1_LED3 = CONN_1_SEG4, IO_IS = gpio_data_out_2[10]
75    PORT SEG_1_LED4 = CONN_1_SEG5, IO_IS = gpio_data_out_2[11]
76    PORT SEG_1_LED5 = CONN_1_SEG6, IO_IS = gpio_data_out_2[12]
77    PORT SEG_1_LED6 = CONN_1_SEG7, IO_IS = gpio_data_out_2[13]
78   
79    PORT LED0 = CONN_LEDs_LED0, IO_IS = gpio_data_out_2[14]
80    PORT LED1 = CONN_LEDs_LED1, IO_IS = gpio_data_out_2[15]
81    PORT LED2 = CONN_LEDs_LED2, IO_IS = gpio_data_out_2[16]
82    PORT LED3 = CONN_LEDs_LED3, IO_IS = gpio_data_out_2[17]
83END       
84
85# This is the serial port.
86BEGIN IO_INTERFACE
87    ATTRIBUTE IOTYPE = XIL_UART_V1
88    ATTRIBUTE INSTANCE = rs232
89    PORT RXD = CONN_RXD, IO_IS=serial_in
90    PORT TXD = CONN_TXD, IO_IS=serial_out
91END       
92
93# SystemACE Compact Flash microprocessor interface
94BEGIN IO_INTERFACE
95    ATTRIBUTE IOTYPE = XIL_SYSACE_V1
96    ATTRIBUTE INSTANCE = sysace_compactflash
97    PARAMETER C_MEM_WIDTH =16, IO_IS=mem_data_bus_width 
98    PORT X104_5_OUT = sysace_clk, IO_IS=clk_in
99    PORT X104_1_OE = sysace_clk_oe_n, IO_IS=clk_enable_n, INITIALVAL = VCC
100    PORT MPA00 = sysace_mpa_0, IO_IS = address[0]
101    PORT MPA01 = sysace_mpa_1, IO_IS = address[1]
102    PORT MPA02 = sysace_mpa_2, IO_IS = address[2]
103    PORT MPA03 = sysace_mpa_3, IO_IS = address[3]
104    PORT MPA04 = sysace_mpa_4, IO_IS = address[4]
105    PORT MPA05 = sysace_mpa_5, IO_IS = address[5]
106    PORT MPA06 = sysace_mpa_6, IO_IS = address[6]
107    PORT MPD00 = sysace_mpd_0, IO_IS = data[0]   
108    PORT MPD01 = sysace_mpd_1, IO_IS = data[1]   
109    PORT MPD02 = sysace_mpd_2, IO_IS = data[2]   
110    PORT MPD03 = sysace_mpd_3, IO_IS = data[3]   
111    PORT MPD04 = sysace_mpd_4, IO_IS = data[4]   
112    PORT MPD05 = sysace_mpd_5, IO_IS = data[5]   
113    PORT MPD06 = sysace_mpd_6, IO_IS = data[6]   
114    PORT MPD07 = sysace_mpd_7, IO_IS = data[7]
115    PORT MPD08 = sysace_mpd_8, IO_IS = data[8]
116    PORT MPD09 = sysace_mpd_9, IO_IS = data[9]
117    PORT MPD10 = sysace_mpd_10, IO_IS = data[10]
118    PORT MPD11 = sysace_mpd_11, IO_IS = data[11]
119    PORT MPD12 = sysace_mpd_12, IO_IS = data[12]
120    PORT MPD13 = sysace_mpd_13, IO_IS = data[13]
121    PORT MPD14 = sysace_mpd_14, IO_IS = data[14]
122    PORT MPD15 = sysace_mpd_15, IO_IS = data[15]
123    PORT MPCE  = sysace_mpce, IO_IS=chip_enable 
124    PORT MPOE  = sysace_mpoe, IO_IS=output_enable
125    PORT MPWE  = sysace_mpwe, IO_IS=write_enable
126    PORT MPIRQ = sysace_mpirq, IO_IS=intr_out     
127END
128
129BEGIN IO_INTERFACE
130    ATTRIBUTE IOTYPE = WARP_CLKBRD_CONFIG_V1
131    ATTRIBUTE INSTANCE = clk_board_config
132
133    PORT sys_clk = clk100_osc #Off-chip clock- required for proper startup!
134    PORT sys_rst = net_gnd
135    PORT cfg_radio_dat_out = clk_board_radio_DO
136    PORT cfg_radio_csb_out = clk_board_radio_CS
137    PORT cfg_radio_en_out = clk_board_radio_EN
138    PORT cfg_radio_clk_out = clk_board_radio_CLK
139    PORT cfg_logic_dat_out = clk_board_logic_DO
140    PORT cfg_logic_csb_out = clk_board_logic_CS
141    PORT cfg_logic_en_out = clk_board_logic_EN
142    PORT cfg_logic_clk_out = clk_board_logic_CLK
143    PORT config_invalid = clkConfigInvalid
144END
145
146# EEPROM Serial Number and Memory interface
147BEGIN IO_INTERFACE
148    ATTRIBUTE IOTYPE = WARP_EEPROM_V1
149    ATTRIBUTE INSTANCE = eeprom_controller
150    PORT DQ0   = EEPROM_0_DQ0, INITIALVAL = VCC
151#   PORT DQ0_T =
152#   PORT DQ0_O =
153#   PORT DQ0_I =
154
155#   PORT DQ1   =
156    PORT DQ1_T = DQ1_T_user_EEPROM_IO_T
157    PORT DQ1_O = DQ1_O_user_EEPROM_IO_O
158    PORT DQ1_I = DQ1_I_user_EEPROM_IO_I, INITIALVAL = VCC
159
160#   PORT DQ2   =
161    PORT DQ2_T = DQ2_T_user_EEPROM_IO_T
162    PORT DQ2_O = DQ2_O_user_EEPROM_IO_O
163    PORT DQ2_I = DQ2_I_user_EEPROM_IO_I, INITIALVAL = VCC
164
165#   PORT DQ3   =
166    PORT DQ3_T = DQ3_T_user_EEPROM_IO_T
167    PORT DQ3_O = DQ3_O_user_EEPROM_IO_O
168    PORT DQ3_I = DQ3_I_user_EEPROM_IO_I, INITIALVAL = VCC
169
170#   PORT DQ4   =
171    PORT DQ4_T = DQ4_T_user_EEPROM_IO_T
172    PORT DQ4_O = DQ4_O_user_EEPROM_IO_O
173    PORT DQ4_I = DQ4_I_user_EEPROM_IO_I, INITIALVAL = VCC
174
175#   PORT DQ5   =
176#   PORT DQ5_T =
177#   PORT DQ5_O =
178    PORT DQ5_I = "net_vcc"
179
180#   PORT DQ6   =
181#   PORT DQ6_T =
182#   PORT DQ6_O =
183    PORT DQ6_I = "net_vcc"
184
185#   PORT DQ7   =
186#   PORT DQ7_T =
187#   PORT DQ7_O =
188    PORT DQ7_I = "net_vcc"
189END
190
191# LTX972A Ethernet MAC (10/100)
192BEGIN IO_INTERFACE
193    ATTRIBUTE IOTYPE = XIL_ETHERNET_V1
194    ATTRIBUTE INSTANCE = Ethernet_MAC
195    PORT TXSLEW0  = phy_slew0, IO_IS=slew1,      INITIALVAL = VCC
196    PORT TXSLEW1  = phy_slew1, IO_IS=slew2,      INITIALVAL = VCC
197    PORT RESET    = phy_rst_n, IO_IS=PHY_RESETn, INITIALVAL = VCC
198    PORT MDINT    = phy_mii_int_n, IO_IS = mii_int_n
199    PORT CRS      = phy_crs,       IO_IS = ETH_CRS
200    PORT COL      = phy_col,       IO_IS = ETH_COL
201    PORT TXD3     = phy_tx_data_3, IO_IS = ETH_TXD[3]
202    PORT TXD2     = phy_tx_data_2, IO_IS = ETH_TXD[2]
203    PORT TXD1     = phy_tx_data_1, IO_IS = ETH_TXD[1]
204    PORT TXD0     = phy_tx_data_0, IO_IS = ETH_TXD[0]
205    PORT TX_EN    = phy_tx_en,     IO_IS = ETH_TXEN
206    PORT TX_CLK   = phy_tx_clk,    IO_IS = ETH_TXC
207    PORT TX_ER    = phy_tx_er,     IO_IS = ETH_TXER
208    PORT RX_ER    = phy_rx_er,     IO_IS = ETH_RXER
209    PORT RX_CLK   = phy_rx_clk,    IO_IS = ETH_RXC
210    PORT RX_DV    = phy_dv,        IO_IS = ETH_RXDV
211    PORT RXD0     = phy_rx_data_0, IO_IS = ETH_RXD[0]
212    PORT RXD1     = phy_rx_data_1, IO_IS = ETH_RXD[1]
213    PORT RXD2     = phy_rx_data_2, IO_IS = ETH_RXD[2]
214    PORT RXD3     = phy_rx_data_3, IO_IS = ETH_RXD[3]
215    PORT PHY_MDC  = phy_mii_clk,   IO_IS = ETH_MDC
216    PORT PHY_MDIO = phy_mii_data,  IO_IS = ETH_MDIO
217END
218
219
220# Radio Controller
221BEGIN IO_INTERFACE
222    ATTRIBUTE IOTYPE = WARP_RADIOCONTROLLER_V1
223    ATTRIBUTE INSTANCE = radio_controller_0
224    ATTRIBUTE ALERT = 'This peripheral and at least one radio_bridge must be enabled to use the WARP radio interfaces.'
225
226    #Common SPI clock and data outputs
227    PORT controller_logic_clk = controller_logic_clk
228    PORT spi_clk = controller_spi_clk
229    PORT data_out = controller_spi_data
230
231    #SPI radio chip selects
232    PORT radio1_cs = controller_radio1_cs
233    PORT radio2_cs = controller_radio2_cs
234    PORT radio3_cs = controller_radio3_cs
235    PORT radio4_cs = controller_radio4_cs
236
237    #SPI DAC chip selects
238    PORT dac1_cs = controller_dac1_cs
239    PORT dac2_cs = controller_dac2_cs
240    PORT dac3_cs = controller_dac3_cs
241    PORT dac4_cs = controller_dac4_cs
242
243    #######################
244    # Slot #1 Radio Ports #
245    #######################
246    PORT radio1_SHDN = controller_radio1_SHDN
247    PORT radio1_TxEn = controller_radio1_TxEn
248    PORT radio1_RxEn = controller_radio1_RxEn
249    PORT radio1_RxHP = controller_radio1_RxHP
250    PORT radio1_LD = controller_radio1_LD
251    PORT radio1_24PA = controller_radio1_24PA
252    PORT radio1_5PA = controller_radio1_5PA
253    PORT radio1_ANTSW0 = controller_radio1_ANTSW0, IO_IS = radio1_antsw[0]
254    PORT radio1_ANTSW1 = controller_radio1_ANTSW1, IO_IS = radio1_antsw[1]
255    PORT radio1_LED0 = controller_radio1_LED0, IO_IS = radio1_LED[0]
256    PORT radio1_LED1 = controller_radio1_LED1, IO_IS = radio1_LED[1]
257    PORT radio1_LED2 = controller_radio1_LED2, IO_IS = radio1_LED[2]
258    PORT radio1_ADC_RX_DCS = controller_radio1_RX_ADC_DCS
259    PORT radio1_ADC_RX_DFS = controller_radio1_RX_ADC_DFS
260    PORT radio1_ADC_RX_OTRA = controller_radio1_RX_ADC_OTRA
261    PORT radio1_ADC_RX_OTRB = controller_radio1_RX_ADC_OTRB
262    PORT radio1_ADC_RX_PWDNA = controller_radio1_RX_ADC_PWDNA
263    PORT radio1_ADC_RX_PWDNB = controller_radio1_RX_ADC_PWDNB
264    PORT radio1_DIPSW0 = controller_radio1_DIPSW0, IO_IS = radio1_DIPSW[0]
265    PORT radio1_DIPSW1 = controller_radio1_DIPSW1, IO_IS = radio1_DIPSW[1]
266    PORT radio1_DIPSW2 = controller_radio1_DIPSW2, IO_IS = radio1_DIPSW[2]
267    PORT radio1_DIPSW3 = controller_radio1_DIPSW3, IO_IS = radio1_DIPSW[3]
268    PORT radio1_RSSI_ADC_CLAMP = controller_radio1_RSSI_ADC_CLAMP
269    PORT radio1_RSSI_ADC_HIZ = controller_radio1_RSSI_ADC_HIZ
270    PORT radio1_RSSI_ADC_OTR = controller_radio1_RSSI_ADC_OTR
271    PORT radio1_RSSI_ADC_SLEEP = controller_radio1_RSSI_ADC_SLEEP
272    PORT radio1_RSSI_ADC_D0 = controller_radio1_RSSI_ADC_D0, IO_IS = radio1_RSSI_ADC_D[0]
273    PORT radio1_RSSI_ADC_D1 = controller_radio1_RSSI_ADC_D1, IO_IS = radio1_RSSI_ADC_D[1]
274    PORT radio1_RSSI_ADC_D2 = controller_radio1_RSSI_ADC_D2, IO_IS = radio1_RSSI_ADC_D[2]
275    PORT radio1_RSSI_ADC_D3 = controller_radio1_RSSI_ADC_D3, IO_IS = radio1_RSSI_ADC_D[3]
276    PORT radio1_RSSI_ADC_D4 = controller_radio1_RSSI_ADC_D4, IO_IS = radio1_RSSI_ADC_D[4]
277    PORT radio1_RSSI_ADC_D5 = controller_radio1_RSSI_ADC_D5, IO_IS = radio1_RSSI_ADC_D[5]
278    PORT radio1_RSSI_ADC_D6 = controller_radio1_RSSI_ADC_D6, IO_IS = radio1_RSSI_ADC_D[6]
279    PORT radio1_RSSI_ADC_D7 = controller_radio1_RSSI_ADC_D7, IO_IS = radio1_RSSI_ADC_D[7]
280    PORT radio1_RSSI_ADC_D8 = controller_radio1_RSSI_ADC_D8, IO_IS = radio1_RSSI_ADC_D[8]
281    PORT radio1_RSSI_ADC_D9 = controller_radio1_RSSI_ADC_D9, IO_IS = radio1_RSSI_ADC_D[9]
282    PORT radio1_TX_DAC_PLL_LOCK = controller_DAC1_PLL_LOCK
283    PORT radio1_TX_DAC_RESET = controller_DAC1_RESET
284    PORT radio1_SHDN_external = controller_radio1_SHDN_external
285    PORT radio1_TxEn_external = controller_radio1_TxEn_external
286    PORT radio1_RxEn_external = controller_radio1_RxEn_external
287    PORT radio1_RxHP_external = controller_radio1_RxHP_external
288    PORT radio1_TxGain0 = controller_radio1_TxGain0, IO_IS = radio1_TxGain[0]
289    PORT radio1_TxGain1 = controller_radio1_TxGain1, IO_IS = radio1_TxGain[1]
290    PORT radio1_TxGain2 = controller_radio1_TxGain2, IO_IS = radio1_TxGain[2]
291    PORT radio1_TxGain3 = controller_radio1_TxGain3, IO_IS = radio1_TxGain[3]
292    PORT radio1_TxGain4 = controller_radio1_TxGain4, IO_IS = radio1_TxGain[4]
293    PORT radio1_TxGain5 = controller_radio1_TxGain5, IO_IS = radio1_TxGain[5]
294    PORT radio1_TxStart = controller_radio1_TxStart
295
296    #######################
297    # Slot #2 Radio Ports #
298    #######################
299    PORT radio2_SHDN = controller_radio2_SHDN
300    PORT radio2_TxEn = controller_radio2_TxEn
301    PORT radio2_RxEn = controller_radio2_RxEn
302    PORT radio2_RxHP = controller_radio2_RxHP
303    PORT radio2_LD = controller_radio2_LD
304    PORT radio2_24PA = controller_radio2_24PA
305    PORT radio2_5PA = controller_radio2_5PA
306    PORT radio2_ANTSW0 = controller_radio2_ANTSW0, IO_IS = radio2_antsw[0]
307    PORT radio2_ANTSW1 = controller_radio2_ANTSW1, IO_IS = radio2_antsw[1]
308    PORT radio2_LED0 = controller_radio2_LED0, IO_IS = radio2_LED[0]
309    PORT radio2_LED1 = controller_radio2_LED1, IO_IS = radio2_LED[1]
310    PORT radio2_LED2 = controller_radio2_LED2, IO_IS = radio2_LED[2]
311    PORT radio2_ADC_RX_DCS = controller_radio2_RX_ADC_DCS
312    PORT radio2_ADC_RX_DFS = controller_radio2_RX_ADC_DFS
313    PORT radio2_ADC_RX_OTRA = controller_radio2_RX_ADC_OTRA
314    PORT radio2_ADC_RX_OTRB = controller_radio2_RX_ADC_OTRB
315    PORT radio2_ADC_RX_PWDNA = controller_radio2_RX_ADC_PWDNA
316    PORT radio2_ADC_RX_PWDNB = controller_radio2_RX_ADC_PWDNB
317    PORT radio2_DIPSW0 = controller_radio2_DIPSW0, IO_IS = radio2_DIPSW[0]
318    PORT radio2_DIPSW1 = controller_radio2_DIPSW1, IO_IS = radio2_DIPSW[1]
319    PORT radio2_DIPSW2 = controller_radio2_DIPSW2, IO_IS = radio2_DIPSW[2]
320    PORT radio2_DIPSW3 = controller_radio2_DIPSW3, IO_IS = radio2_DIPSW[3]
321    PORT radio2_RSSI_ADC_CLAMP = controller_radio2_RSSI_ADC_CLAMP
322    PORT radio2_RSSI_ADC_HIZ = controller_radio2_RSSI_ADC_HIZ
323    PORT radio2_RSSI_ADC_OTR = controller_radio2_RSSI_ADC_OTR
324    PORT radio2_RSSI_ADC_SLEEP = controller_radio2_RSSI_ADC_SLEEP
325    PORT radio2_RSSI_ADC_D0 = controller_radio2_RSSI_ADC_D0, IO_IS = radio2_RSSI_ADC_D[0]
326    PORT radio2_RSSI_ADC_D1 = controller_radio2_RSSI_ADC_D1, IO_IS = radio2_RSSI_ADC_D[1]
327    PORT radio2_RSSI_ADC_D2 = controller_radio2_RSSI_ADC_D2, IO_IS = radio2_RSSI_ADC_D[2]
328    PORT radio2_RSSI_ADC_D3 = controller_radio2_RSSI_ADC_D3, IO_IS = radio2_RSSI_ADC_D[3]
329    PORT radio2_RSSI_ADC_D4 = controller_radio2_RSSI_ADC_D4, IO_IS = radio2_RSSI_ADC_D[4]
330    PORT radio2_RSSI_ADC_D5 = controller_radio2_RSSI_ADC_D5, IO_IS = radio2_RSSI_ADC_D[5]
331    PORT radio2_RSSI_ADC_D6 = controller_radio2_RSSI_ADC_D6, IO_IS = radio2_RSSI_ADC_D[6]
332    PORT radio2_RSSI_ADC_D7 = controller_radio2_RSSI_ADC_D7, IO_IS = radio2_RSSI_ADC_D[7]
333    PORT radio2_RSSI_ADC_D8 = controller_radio2_RSSI_ADC_D8, IO_IS = radio2_RSSI_ADC_D[8]
334    PORT radio2_RSSI_ADC_D9 = controller_radio2_RSSI_ADC_D9, IO_IS = radio2_RSSI_ADC_D[9]
335    PORT radio2_TX_DAC_PLL_LOCK = controller_DAC2_PLL_LOCK
336    PORT radio2_TX_DAC_RESET = controller_DAC2_RESET
337    PORT radio2_SHDN_external = controller_radio2_SHDN_external
338    PORT radio2_TxEn_external = controller_radio2_TxEn_external
339    PORT radio2_RxEn_external = controller_radio2_RxEn_external
340    PORT radio2_RxHP_external = controller_radio2_RxHP_external
341    PORT radio2_TxGain0 = controller_radio2_TxGain0, IO_IS = radio2_TxGain[0]
342    PORT radio2_TxGain1 = controller_radio2_TxGain1, IO_IS = radio2_TxGain[1]
343    PORT radio2_TxGain2 = controller_radio2_TxGain2, IO_IS = radio2_TxGain[2]
344    PORT radio2_TxGain3 = controller_radio2_TxGain3, IO_IS = radio2_TxGain[3]
345    PORT radio2_TxGain4 = controller_radio2_TxGain4, IO_IS = radio2_TxGain[4]
346    PORT radio2_TxGain5 = controller_radio2_TxGain5, IO_IS = radio2_TxGain[5]
347    PORT radio2_TxStart = controller_radio2_TxStart
348
349    #######################
350    # Slot #3 Radio Ports #
351    #######################
352    PORT radio3_SHDN = controller_radio3_SHDN
353    PORT radio3_TxEn = controller_radio3_TxEn
354    PORT radio3_RxEn = controller_radio3_RxEn
355    PORT radio3_RxHP = controller_radio3_RxHP
356    PORT radio3_LD = controller_radio3_LD
357    PORT radio3_24PA = controller_radio3_24PA
358    PORT radio3_5PA = controller_radio3_5PA
359    PORT radio3_ANTSW0 = controller_radio3_ANTSW0, IO_IS = radio3_antsw[0]
360    PORT radio3_ANTSW1 = controller_radio3_ANTSW1, IO_IS = radio3_antsw[1]
361    PORT radio3_LED0 = controller_radio3_LED0, IO_IS = radio3_LED[0]
362    PORT radio3_LED1 = controller_radio3_LED1, IO_IS = radio3_LED[1]
363    PORT radio3_LED2 = controller_radio3_LED2, IO_IS = radio3_LED[2]
364    PORT radio3_ADC_RX_DCS = controller_radio3_RX_ADC_DCS
365    PORT radio3_ADC_RX_DFS = controller_radio3_RX_ADC_DFS
366    PORT radio3_ADC_RX_OTRA = controller_radio3_RX_ADC_OTRA
367    PORT radio3_ADC_RX_OTRB = controller_radio3_RX_ADC_OTRB
368    PORT radio3_ADC_RX_PWDNA = controller_radio3_RX_ADC_PWDNA
369    PORT radio3_ADC_RX_PWDNB = controller_radio3_RX_ADC_PWDNB
370    PORT radio3_DIPSW0 = controller_radio3_DIPSW0, IO_IS = radio3_DIPSW[0]
371    PORT radio3_DIPSW1 = controller_radio3_DIPSW1, IO_IS = radio3_DIPSW[1]
372    PORT radio3_DIPSW2 = controller_radio3_DIPSW2, IO_IS = radio3_DIPSW[2]
373    PORT radio3_DIPSW3 = controller_radio3_DIPSW3, IO_IS = radio3_DIPSW[3]
374    PORT radio3_RSSI_ADC_CLAMP = controller_radio3_RSSI_ADC_CLAMP
375    PORT radio3_RSSI_ADC_HIZ = controller_radio3_RSSI_ADC_HIZ
376    PORT radio3_RSSI_ADC_OTR = controller_radio3_RSSI_ADC_OTR
377    PORT radio3_RSSI_ADC_SLEEP = controller_radio3_RSSI_ADC_SLEEP
378    PORT radio3_RSSI_ADC_D0 = controller_radio3_RSSI_ADC_D0, IO_IS = radio3_RSSI_ADC_D[0]
379    PORT radio3_RSSI_ADC_D1 = controller_radio3_RSSI_ADC_D1, IO_IS = radio3_RSSI_ADC_D[1]
380    PORT radio3_RSSI_ADC_D2 = controller_radio3_RSSI_ADC_D2, IO_IS = radio3_RSSI_ADC_D[2]
381    PORT radio3_RSSI_ADC_D3 = controller_radio3_RSSI_ADC_D3, IO_IS = radio3_RSSI_ADC_D[3]
382    PORT radio3_RSSI_ADC_D4 = controller_radio3_RSSI_ADC_D4, IO_IS = radio3_RSSI_ADC_D[4]
383    PORT radio3_RSSI_ADC_D5 = controller_radio3_RSSI_ADC_D5, IO_IS = radio3_RSSI_ADC_D[5]
384    PORT radio3_RSSI_ADC_D6 = controller_radio3_RSSI_ADC_D6, IO_IS = radio3_RSSI_ADC_D[6]
385    PORT radio3_RSSI_ADC_D7 = controller_radio3_RSSI_ADC_D7, IO_IS = radio3_RSSI_ADC_D[7]
386    PORT radio3_RSSI_ADC_D8 = controller_radio3_RSSI_ADC_D8, IO_IS = radio3_RSSI_ADC_D[8]
387    PORT radio3_RSSI_ADC_D9 = controller_radio3_RSSI_ADC_D9, IO_IS = radio3_RSSI_ADC_D[9]
388    PORT radio3_TX_DAC_PLL_LOCK = controller_DAC3_PLL_LOCK
389    PORT radio3_TX_DAC_RESET = controller_DAC3_RESET
390    PORT radio3_SHDN_external = controller_radio3_SHDN_external
391    PORT radio3_TxEn_external = controller_radio3_TxEn_external
392    PORT radio3_RxEn_external = controller_radio3_RxEn_external
393    PORT radio3_RxHP_external = controller_radio3_RxHP_external
394    PORT radio3_TxGain0 = controller_radio3_TxGain0, IO_IS = radio3_TxGain[0]
395    PORT radio3_TxGain1 = controller_radio3_TxGain1, IO_IS = radio3_TxGain[1]
396    PORT radio3_TxGain2 = controller_radio3_TxGain2, IO_IS = radio3_TxGain[2]
397    PORT radio3_TxGain3 = controller_radio3_TxGain3, IO_IS = radio3_TxGain[3]
398    PORT radio3_TxGain4 = controller_radio3_TxGain4, IO_IS = radio3_TxGain[4]
399    PORT radio3_TxGain5 = controller_radio3_TxGain5, IO_IS = radio3_TxGain[5]
400    PORT radio3_TxStart = controller_radio3_TxStart
401
402    #######################
403    # Slot #4 Radio Ports #
404    #######################
405    PORT radio4_SHDN = controller_radio4_SHDN
406    PORT radio4_TxEn = controller_radio4_TxEn
407    PORT radio4_RxEn = controller_radio4_RxEn
408    PORT radio4_RxHP = controller_radio4_RxHP
409    PORT radio4_LD = controller_radio4_LD
410    PORT radio4_24PA = controller_radio4_24PA
411    PORT radio4_5PA = controller_radio4_5PA
412    PORT radio4_ANTSW0 = controller_radio4_ANTSW0, IO_IS = radio4_antsw[0]
413    PORT radio4_ANTSW1 = controller_radio4_ANTSW1, IO_IS = radio4_antsw[1]
414    PORT radio4_LED0 = controller_radio4_LED0, IO_IS = radio4_LED[0]
415    PORT radio4_LED1 = controller_radio4_LED1, IO_IS = radio4_LED[1]
416    PORT radio4_LED2 = controller_radio4_LED2, IO_IS = radio4_LED[2]
417    PORT radio4_ADC_RX_DCS = controller_radio4_RX_ADC_DCS
418    PORT radio4_ADC_RX_DFS = controller_radio4_RX_ADC_DFS
419    PORT radio4_ADC_RX_OTRA = controller_radio4_RX_ADC_OTRA
420    PORT radio4_ADC_RX_OTRB = controller_radio4_RX_ADC_OTRB
421    PORT radio4_ADC_RX_PWDNA = controller_radio4_RX_ADC_PWDNA
422    PORT radio4_ADC_RX_PWDNB = controller_radio4_RX_ADC_PWDNB
423    PORT radio4_DIPSW0 = controller_radio4_DIPSW0, IO_IS = radio4_DIPSW[0]
424    PORT radio4_DIPSW1 = controller_radio4_DIPSW1, IO_IS = radio4_DIPSW[1]
425    PORT radio4_DIPSW2 = controller_radio4_DIPSW2, IO_IS = radio4_DIPSW[2]
426    PORT radio4_DIPSW3 = controller_radio4_DIPSW3, IO_IS = radio4_DIPSW[3]
427    PORT radio4_RSSI_ADC_CLAMP = controller_radio4_RSSI_ADC_CLAMP
428    PORT radio4_RSSI_ADC_HIZ = controller_radio4_RSSI_ADC_HIZ
429    PORT radio4_RSSI_ADC_OTR = controller_radio4_RSSI_ADC_OTR
430    PORT radio4_RSSI_ADC_SLEEP = controller_radio4_RSSI_ADC_SLEEP
431    PORT radio4_RSSI_ADC_D0 = controller_radio4_RSSI_ADC_D0, IO_IS = radio4_RSSI_ADC_D[0]
432    PORT radio4_RSSI_ADC_D1 = controller_radio4_RSSI_ADC_D1, IO_IS = radio4_RSSI_ADC_D[1]
433    PORT radio4_RSSI_ADC_D2 = controller_radio4_RSSI_ADC_D2, IO_IS = radio4_RSSI_ADC_D[2]
434    PORT radio4_RSSI_ADC_D3 = controller_radio4_RSSI_ADC_D3, IO_IS = radio4_RSSI_ADC_D[3]
435    PORT radio4_RSSI_ADC_D4 = controller_radio4_RSSI_ADC_D4, IO_IS = radio4_RSSI_ADC_D[4]
436    PORT radio4_RSSI_ADC_D5 = controller_radio4_RSSI_ADC_D5, IO_IS = radio4_RSSI_ADC_D[5]
437    PORT radio4_RSSI_ADC_D6 = controller_radio4_RSSI_ADC_D6, IO_IS = radio4_RSSI_ADC_D[6]
438    PORT radio4_RSSI_ADC_D7 = controller_radio4_RSSI_ADC_D7, IO_IS = radio4_RSSI_ADC_D[7]
439    PORT radio4_RSSI_ADC_D8 = controller_radio4_RSSI_ADC_D8, IO_IS = radio4_RSSI_ADC_D[8]
440    PORT radio4_RSSI_ADC_D9 = controller_radio4_RSSI_ADC_D9, IO_IS = radio4_RSSI_ADC_D[9]
441    PORT radio4_TX_DAC_PLL_LOCK = controller_DAC4_PLL_LOCK
442    PORT radio4_TX_DAC_RESET = controller_DAC4_RESET
443    PORT radio4_SHDN_external = controller_radio4_SHDN_external
444    PORT radio4_TxEn_external = controller_radio4_TxEn_external
445    PORT radio4_RxEn_external = controller_radio4_RxEn_external
446    PORT radio4_RxHP_external = controller_radio4_RxHP_external
447    PORT radio4_TxGain0 = controller_radio4_TxGain0, IO_IS = radio4_TxGain[0]
448    PORT radio4_TxGain1 = controller_radio4_TxGain1, IO_IS = radio4_TxGain[1]
449    PORT radio4_TxGain2 = controller_radio4_TxGain2, IO_IS = radio4_TxGain[2]
450    PORT radio4_TxGain3 = controller_radio4_TxGain3, IO_IS = radio4_TxGain[3]
451    PORT radio4_TxGain4 = controller_radio4_TxGain4, IO_IS = radio4_TxGain[4]
452    PORT radio4_TxGain5 = controller_radio4_TxGain5, IO_IS = radio4_TxGain[5]
453    PORT radio4_TxStart = controller_radio4_TxStart
454END
455
456#Radio Controller -> Radio Board Bridge for Slot #1
457BEGIN IO_INTERFACE
458    ATTRIBUTE IOTYPE = WARP_RADIOBRIDGE_V1
459    ATTRIBUTE INSTANCE = radio_bridge_slot_1
460    ATTRIBUTE EXCLUSIVE = slot1
461    ATTRIBUTE ALERT = 'Enable this peripheral only if a radio board is mounted in daughtercard slot 1.'
462
463    PORT    converter_clock_out = radio1_conv_clk_p
464
465    PORT    radio_b0 = radio1_b0, IO_IS = radioGain[0]
466    PORT    radio_b1 = radio1_b1, IO_IS = radioGain[1]
467    PORT    radio_b2 = radio1_b2, IO_IS = radioGain[2]
468    PORT    radio_b3 = radio1_b3, IO_IS = radioGain[3]
469    PORT    radio_b4 = radio1_b4, IO_IS = radioGain[4]
470    PORT    radio_b5 = radio1_b5, IO_IS = radioGain[5]
471    PORT    radio_b6 = radio1_b6, IO_IS = radioGain[6]
472
473    PORT    radio_ADC_I0 = radio1_ADC_I0, IO_IS = radioADCI[0]
474    PORT    radio_ADC_I1 = radio1_ADC_I1, IO_IS = radioADCI[1]
475    PORT    radio_ADC_I2 = radio1_ADC_I2, IO_IS = radioADCI[2]
476    PORT    radio_ADC_I3 = radio1_ADC_I3, IO_IS = radioADCI[3]
477    PORT    radio_ADC_I4 = radio1_ADC_I4, IO_IS = radioADCI[4]
478    PORT    radio_ADC_I5 = radio1_ADC_I5, IO_IS = radioADCI[5]
479    PORT    radio_ADC_I6 = radio1_ADC_I6, IO_IS = radioADCI[6]
480    PORT    radio_ADC_I7 = radio1_ADC_I7, IO_IS = radioADCI[7]
481    PORT    radio_ADC_I8 = radio1_ADC_I8, IO_IS = radioADCI[8]
482    PORT    radio_ADC_I9 = radio1_ADC_I9, IO_IS = radioADCI[9]
483    PORT    radio_ADC_I10 = radio1_ADC_I10, IO_IS = radioADCI[10]
484    PORT    radio_ADC_I11 = radio1_ADC_I11, IO_IS = radioADCI[11]
485    PORT    radio_ADC_I12 = radio1_ADC_I12, IO_IS = radioADCI[12]
486    PORT    radio_ADC_I13 = radio1_ADC_I13, IO_IS = radioADCI[13]
487
488    PORT    radio_ADC_Q0 = radio1_ADC_Q0, IO_IS = radioADCQ[0]
489    PORT    radio_ADC_Q1 = radio1_ADC_Q1, IO_IS = radioADCQ[1]
490    PORT    radio_ADC_Q2 = radio1_ADC_Q2, IO_IS = radioADCQ[2]
491    PORT    radio_ADC_Q3 = radio1_ADC_Q3, IO_IS = radioADCQ[3]
492    PORT    radio_ADC_Q4 = radio1_ADC_Q4, IO_IS = radioADCQ[4]
493    PORT    radio_ADC_Q5 = radio1_ADC_Q5, IO_IS = radioADCQ[5]
494    PORT    radio_ADC_Q6 = radio1_ADC_Q6, IO_IS = radioADCQ[6]
495    PORT    radio_ADC_Q7 = radio1_ADC_Q7, IO_IS = radioADCQ[7]
496    PORT    radio_ADC_Q8 = radio1_ADC_Q8, IO_IS = radioADCQ[8]
497    PORT    radio_ADC_Q9 = radio1_ADC_Q9, IO_IS = radioADCQ[9]
498    PORT    radio_ADC_Q10 = radio1_ADC_Q10, IO_IS = radioADCQ[10]
499    PORT    radio_ADC_Q11 = radio1_ADC_Q11, IO_IS = radioADCQ[11]
500    PORT    radio_ADC_Q12 = radio1_ADC_Q12, IO_IS = radioADCQ[12]
501    PORT    radio_ADC_Q13 = radio1_ADC_Q13, IO_IS = radioADCQ[13]
502
503    PORT    radio_DAC_I0 = radio1_DAC_I0, IO_IS = radioDACI[0]
504    PORT    radio_DAC_I1 = radio1_DAC_I1, IO_IS = radioDACI[1]
505    PORT    radio_DAC_I2 = radio1_DAC_I2, IO_IS = radioDACI[2]
506    PORT    radio_DAC_I3 = radio1_DAC_I3, IO_IS = radioDACI[3]
507    PORT    radio_DAC_I4 = radio1_DAC_I4, IO_IS = radioDACI[4]
508    PORT    radio_DAC_I5 = radio1_DAC_I5, IO_IS = radioDACI[5]
509    PORT    radio_DAC_I6 = radio1_DAC_I6, IO_IS = radioDACI[6]
510    PORT    radio_DAC_I7 = radio1_DAC_I7, IO_IS = radioDACI[7]
511    PORT    radio_DAC_I8 = radio1_DAC_I8, IO_IS = radioDACI[8]
512    PORT    radio_DAC_I9 = radio1_DAC_I9, IO_IS = radioDACI[9]
513    PORT    radio_DAC_I10 = radio1_DAC_I10, IO_IS = radioDACI[10]
514    PORT    radio_DAC_I11 = radio1_DAC_I11, IO_IS = radioDACI[11]
515    PORT    radio_DAC_I12 = radio1_DAC_I12, IO_IS = radioDACI[12]
516    PORT    radio_DAC_I13 = radio1_DAC_I13, IO_IS = radioDACI[13]
517    PORT    radio_DAC_I14 = radio1_DAC_I14, IO_IS = radioDACI[14]
518    PORT    radio_DAC_I15 = radio1_DAC_I15, IO_IS = radioDACI[15]
519
520    PORT    radio_DAC_Q0 = radio1_DAC_Q0, IO_IS = radioDACQ[0]
521    PORT    radio_DAC_Q1 = radio1_DAC_Q1, IO_IS = radioDACQ[1]
522    PORT    radio_DAC_Q2 = radio1_DAC_Q2, IO_IS = radioDACQ[2]
523    PORT    radio_DAC_Q3 = radio1_DAC_Q3, IO_IS = radioDACQ[3]
524    PORT    radio_DAC_Q4 = radio1_DAC_Q4, IO_IS = radioDACQ[4]
525    PORT    radio_DAC_Q5 = radio1_DAC_Q5, IO_IS = radioDACQ[5]
526    PORT    radio_DAC_Q6 = radio1_DAC_Q6, IO_IS = radioDACQ[6]
527    PORT    radio_DAC_Q7 = radio1_DAC_Q7, IO_IS = radioDACQ[7]
528    PORT    radio_DAC_Q8 = radio1_DAC_Q8, IO_IS = radioDACQ[8]
529    PORT    radio_DAC_Q9 = radio1_DAC_Q9, IO_IS = radioDACQ[9]
530    PORT    radio_DAC_Q10 = radio1_DAC_Q10, IO_IS = radioDACQ[10]
531    PORT    radio_DAC_Q11 = radio1_DAC_Q11, IO_IS = radioDACQ[11]
532    PORT    radio_DAC_Q12 = radio1_DAC_Q12, IO_IS = radioDACQ[12]
533    PORT    radio_DAC_Q13 = radio1_DAC_Q13, IO_IS = radioDACQ[13]
534    PORT    radio_DAC_Q14 = radio1_DAC_Q14, IO_IS = radioDACQ[14]
535    PORT    radio_DAC_Q15 = radio1_DAC_Q15, IO_IS = radioDACQ[15]
536
537    ##########################################
538    #Radio Controller <-> Radio Bridge Ports #
539    ##########################################
540    PORT    controller_logic_clk = controller_logic_clk
541    PORT    controller_interpfiltbypass = controller_radio1_interpfiltbypass
542    PORT    controller_decfiltbypass = controller_radio1_decfiltbypass
543    PORT    controller_spi_clk = controller_spi_clk
544    PORT    controller_spi_data = controller_spi_data
545    PORT    controller_radio_cs = controller_radio1_cs
546    PORT    controller_dac_cs = controller_dac1_cs
547    PORT    controller_SHDN = controller_radio1_SHDN
548    PORT    controller_TxEn = controller_radio1_TxEn
549    PORT    controller_RxEn = controller_radio1_RxEn
550    PORT    controller_RxHP = controller_radio1_RxHP
551    PORT    controller_24PA = controller_radio1_24PA
552    PORT    controller_5PA = controller_radio1_5PA
553    PORT    controller_ANTSW0 = controller_radio1_ANTSW0, IO_IS = c2b_ANTSW[0]
554    PORT    controller_ANTSW1 = controller_radio1_ANTSW1, IO_IS = c2b_ANTSW[1]
555    PORT    controller_LED0 = controller_radio1_LED0, IO_IS = c2b_LED[0]
556    PORT    controller_LED1 = controller_radio1_LED1, IO_IS = c2b_LED[1]
557    PORT    controller_LED2 = controller_radio1_LED2, IO_IS = c2b_LED[2]
558    PORT    controller_RX_ADC_DCS = controller_radio1_RX_ADC_DCS
559    PORT    controller_RX_ADC_DFS = controller_radio1_RX_ADC_DFS
560    PORT    controller_RX_ADC_PWDNA = controller_radio1_RX_ADC_PWDNA
561    PORT    controller_RX_ADC_PWDNB = controller_radio1_RX_ADC_PWDNB
562    PORT    controller_DIPSW0 = controller_radio1_DIPSW0, IO_IS = c2b_DIPSW[0]
563    PORT    controller_DIPSW1 = controller_radio1_DIPSW1, IO_IS = c2b_DIPSW[1]
564    PORT    controller_DIPSW2 = controller_radio1_DIPSW2, IO_IS = c2b_DIPSW[2]
565    PORT    controller_DIPSW3 = controller_radio1_DIPSW3, IO_IS = c2b_DIPSW[3]
566    PORT    controller_RSSI_ADC_CLAMP = controller_radio1_RSSI_ADC_CLAMP
567    PORT    controller_RSSI_ADC_HIZ = controller_radio1_RSSI_ADC_HIZ
568    PORT    controller_RSSI_ADC_SLEEP = controller_radio1_RSSI_ADC_SLEEP
569    PORT    controller_RSSI_ADC_D0 = controller_radio1_RSSI_ADC_D0, IO_IS = c2b_RSSI_ADC_D[0]
570    PORT    controller_RSSI_ADC_D1 = controller_radio1_RSSI_ADC_D1, IO_IS = c2b_RSSI_ADC_D[1]
571    PORT    controller_RSSI_ADC_D2 = controller_radio1_RSSI_ADC_D2, IO_IS = c2b_RSSI_ADC_D[2]
572    PORT    controller_RSSI_ADC_D3 = controller_radio1_RSSI_ADC_D3, IO_IS = c2b_RSSI_ADC_D[3]
573    PORT    controller_RSSI_ADC_D4 = controller_radio1_RSSI_ADC_D4, IO_IS = c2b_RSSI_ADC_D[4]
574    PORT    controller_RSSI_ADC_D5 = controller_radio1_RSSI_ADC_D5, IO_IS = c2b_RSSI_ADC_D[5]
575    PORT    controller_RSSI_ADC_D6 = controller_radio1_RSSI_ADC_D6, IO_IS = c2b_RSSI_ADC_D[6]
576    PORT    controller_RSSI_ADC_D7 = controller_radio1_RSSI_ADC_D7, IO_IS = c2b_RSSI_ADC_D[7]
577    PORT    controller_RSSI_ADC_D8 = controller_radio1_RSSI_ADC_D8, IO_IS = c2b_RSSI_ADC_D[8]
578    PORT    controller_RSSI_ADC_D9 = controller_radio1_RSSI_ADC_D9, IO_IS = c2b_RSSI_ADC_D[9]
579    PORT    controller_LD = controller_radio1_LD
580    PORT    controller_RX_ADC_OTRA = controller_radio1_RX_ADC_OTRA
581    PORT    controller_RX_ADC_OTRB = controller_radio1_RX_ADC_OTRB
582    PORT    controller_RSSI_ADC_OTR = controller_radio1_RSSI_ADC_OTR
583    PORT    controller_dac_PLL_LOCK = controller_dac1_PLL_LOCK
584    PORT    controller_dac_RESET = controller_dac1_RESET
585    PORT    user_Tx_gain0 = controller_radio1_TxGain0, IO_IS = userTxG[0]
586    PORT    user_Tx_gain1 = controller_radio1_TxGain1, IO_IS = userTxG[1]
587    PORT    user_Tx_gain2 = controller_radio1_TxGain2, IO_IS = userTxG[2]
588    PORT    user_Tx_gain3 = controller_radio1_TxGain3, IO_IS = userTxG[3]
589    PORT    user_Tx_gain4 = controller_radio1_TxGain4, IO_IS = userTxG[4]
590    PORT    user_Tx_gain5 = controller_radio1_TxGain5, IO_IS = userTxG[5]
591    PORT    controller_TxStart = controller_radio1_TxStart
592    PORT    controller_SHDN_external = controller_radio1_SHDN_external
593    PORT    controller_RxEn_external = controller_radio1_RxEn_external
594    PORT    controller_TxEn_external = controller_radio1_TxEn_external
595    PORT    controller_RxHP_external = controller_radio1_RxHP_external
596
597
598    #####################################
599    #Radio Bridge <-> Radio Board Ports #
600    #####################################
601    PORT    dac_spi_data = dac1_spi_data
602    PORT    dac_spi_cs = dac1_spi_cs
603    PORT    dac_spi_clk = dac1_spi_clk
604    PORT    radio_spi_clk = radio1_spi_clk
605    PORT    radio_spi_data = radio1_spi_data
606    PORT    radio_spi_cs = radio1_spi_cs
607    PORT    radio_SHDN = radio1_SHDN
608    PORT    radio_TxEn = radio1_TxEn
609    PORT    radio_RxEn = radio1_RxEn
610    PORT    radio_RxHP = radio1_RxHP
611    PORT    radio_24PA = radio1_24PA
612    PORT    radio_5PA = radio1_5PA
613    PORT    radio_ANTSW0 = radio1_ANTSW0, IO_IS = b2r_ANTSW[0]
614    PORT    radio_ANTSW1 = radio1_ANTSW1, IO_IS = b2r_ANTSW[1]
615    PORT    radio_LED0 = radio1_LED0, IO_IS = b2r_LED[0]
616    PORT    radio_LED1 = radio1_LED1, IO_IS = b2r_LED[1]
617    PORT    radio_LED2 = radio1_LED2, IO_IS = b2r_LED[2]
618    PORT    radio_RX_ADC_DCS = radio1_RX_ADC_DCS
619    PORT    radio_RX_ADC_DFS = radio1_RX_ADC_DFS
620    PORT    radio_RX_ADC_PWDNA = radio1_RX_ADC_PWDNA
621    PORT    radio_RX_ADC_PWDNB = radio1_RX_ADC_PWDNB
622    PORT    radio_DIPSW0 = radio1_DIPSW0, IO_IS = b2r_DIPSW[0]
623    PORT    radio_DIPSW1 = radio1_DIPSW1, IO_IS = b2r_DIPSW[1]
624    PORT    radio_DIPSW2 = radio1_DIPSW2, IO_IS = b2r_DIPSW[2]
625    PORT    radio_DIPSW3 = radio1_DIPSW3, IO_IS = b2r_DIPSW[3]
626    PORT    radio_RSSI_ADC_clk = radio1_RSSI_ADC_clk
627    PORT    radio_RSSI_ADC_CLAMP = radio1_RSSI_ADC_CLAMP
628    PORT    radio_RSSI_ADC_HIZ = radio1_RSSI_ADC_HIZ
629    PORT    radio_RSSI_ADC_SLEEP = radio1_RSSI_ADC_SLEEP
630    PORT    radio_RSSI_ADC_D0 = radio1_RSSI_ADC_D0, IO_IS = b2r_RSSI_ADC_D[0]
631    PORT    radio_RSSI_ADC_D1 = radio1_RSSI_ADC_D1, IO_IS = b2r_RSSI_ADC_D[1]
632    PORT    radio_RSSI_ADC_D2 = radio1_RSSI_ADC_D2, IO_IS = b2r_RSSI_ADC_D[2]
633    PORT    radio_RSSI_ADC_D3 = radio1_RSSI_ADC_D3, IO_IS = b2r_RSSI_ADC_D[3]
634    PORT    radio_RSSI_ADC_D4 = radio1_RSSI_ADC_D4, IO_IS = b2r_RSSI_ADC_D[4]
635    PORT    radio_RSSI_ADC_D5 = radio1_RSSI_ADC_D5, IO_IS = b2r_RSSI_ADC_D[5]
636    PORT    radio_RSSI_ADC_D6 = radio1_RSSI_ADC_D6, IO_IS = b2r_RSSI_ADC_D[6]
637    PORT    radio_RSSI_ADC_D7 = radio1_RSSI_ADC_D7, IO_IS = b2r_RSSI_ADC_D[7]
638    PORT    radio_RSSI_ADC_D8 = radio1_RSSI_ADC_D8, IO_IS = b2r_RSSI_ADC_D[8]
639    PORT    radio_RSSI_ADC_D9 = radio1_RSSI_ADC_D9, IO_IS = b2r_RSSI_ADC_D[9]
640    PORT    radio_LD = radio1_LD
641    PORT    radio_RX_ADC_OTRA = radio1_RX_ADC_OTRA
642    PORT    radio_RX_ADC_OTRB = radio1_RX_ADC_OTRB
643    PORT    radio_RSSI_ADC_OTR = radio1_RSSI_ADC_OTR
644    PORT    radio_dac_PLL_LOCK = radio1_dac1_PLL_LOCK
645    PORT    radio_dac_RESET = radio1_dac1_RESET
646
647    PORT    user_EEPROM_IO_T = DQ1_T_user_EEPROM_IO_T
648    PORT    user_EEPROM_IO_O = DQ1_O_user_EEPROM_IO_O
649    PORT    user_EEPROM_IO_I = DQ1_I_user_EEPROM_IO_I
650    PORT    radio_EEPROM_IO = radio1_EEPROM_IO
651END
652
653#Radio Controller -> Radio Board Bridge for Slot #2
654BEGIN IO_INTERFACE
655    ATTRIBUTE IOTYPE = WARP_RADIOBRIDGE_V1
656    ATTRIBUTE INSTANCE = radio_bridge_slot_2
657    ATTRIBUTE EXCLUSIVE = slot2
658    ATTRIBUTE ALERT = 'Enable this peripheral only if a radio board is mounted in daughtercard slot 2.'
659
660    PORT    converter_clock_out = radio2_conv_clk_p
661
662    PORT    radio_b0 = radio2_b0, IO_IS = radioGain[0]
663    PORT    radio_b1 = radio2_b1, IO_IS = radioGain[1]
664    PORT    radio_b2 = radio2_b2, IO_IS = radioGain[2]
665    PORT    radio_b3 = radio2_b3, IO_IS = radioGain[3]
666    PORT    radio_b4 = radio2_b4, IO_IS = radioGain[4]
667    PORT    radio_b5 = radio2_b5, IO_IS = radioGain[5]
668    PORT    radio_b6 = radio2_b6, IO_IS = radioGain[6]
669
670    PORT    radio_ADC_I0 = radio2_ADC_I0, IO_IS = radioADCI[0]
671    PORT    radio_ADC_I1 = radio2_ADC_I1, IO_IS = radioADCI[1]
672    PORT    radio_ADC_I2 = radio2_ADC_I2, IO_IS = radioADCI[2]
673    PORT    radio_ADC_I3 = radio2_ADC_I3, IO_IS = radioADCI[3]
674    PORT    radio_ADC_I4 = radio2_ADC_I4, IO_IS = radioADCI[4]
675    PORT    radio_ADC_I5 = radio2_ADC_I5, IO_IS = radioADCI[5]
676    PORT    radio_ADC_I6 = radio2_ADC_I6, IO_IS = radioADCI[6]
677    PORT    radio_ADC_I7 = radio2_ADC_I7, IO_IS = radioADCI[7]
678    PORT    radio_ADC_I8 = radio2_ADC_I8, IO_IS = radioADCI[8]
679    PORT    radio_ADC_I9 = radio2_ADC_I9, IO_IS = radioADCI[9]
680    PORT    radio_ADC_I10 = radio2_ADC_I10, IO_IS = radioADCI[10]
681    PORT    radio_ADC_I11 = radio2_ADC_I11, IO_IS = radioADCI[11]
682    PORT    radio_ADC_I12 = radio2_ADC_I12, IO_IS = radioADCI[12]
683    PORT    radio_ADC_I13 = radio2_ADC_I13, IO_IS = radioADCI[13]
684
685    PORT    radio_ADC_Q0 = radio2_ADC_Q0, IO_IS = radioADCQ[0]
686    PORT    radio_ADC_Q1 = radio2_ADC_Q1, IO_IS = radioADCQ[1]
687    PORT    radio_ADC_Q2 = radio2_ADC_Q2, IO_IS = radioADCQ[2]
688    PORT    radio_ADC_Q3 = radio2_ADC_Q3, IO_IS = radioADCQ[3]
689    PORT    radio_ADC_Q4 = radio2_ADC_Q4, IO_IS = radioADCQ[4]
690    PORT    radio_ADC_Q5 = radio2_ADC_Q5, IO_IS = radioADCQ[5]
691    PORT    radio_ADC_Q6 = radio2_ADC_Q6, IO_IS = radioADCQ[6]
692    PORT    radio_ADC_Q7 = radio2_ADC_Q7, IO_IS = radioADCQ[7]
693    PORT    radio_ADC_Q8 = radio2_ADC_Q8, IO_IS = radioADCQ[8]
694    PORT    radio_ADC_Q9 = radio2_ADC_Q9, IO_IS = radioADCQ[9]
695    PORT    radio_ADC_Q10 = radio2_ADC_Q10, IO_IS = radioADCQ[10]
696    PORT    radio_ADC_Q11 = radio2_ADC_Q11, IO_IS = radioADCQ[11]
697    PORT    radio_ADC_Q12 = radio2_ADC_Q12, IO_IS = radioADCQ[12]
698    PORT    radio_ADC_Q13 = radio2_ADC_Q13, IO_IS = radioADCQ[13]
699
700    PORT    radio_DAC_I0 = radio2_DAC_I0, IO_IS = radioDACI[0]
701    PORT    radio_DAC_I1 = radio2_DAC_I1, IO_IS = radioDACI[1]
702    PORT    radio_DAC_I2 = radio2_DAC_I2, IO_IS = radioDACI[2]
703    PORT    radio_DAC_I3 = radio2_DAC_I3, IO_IS = radioDACI[3]
704    PORT    radio_DAC_I4 = radio2_DAC_I4, IO_IS = radioDACI[4]
705    PORT    radio_DAC_I5 = radio2_DAC_I5, IO_IS = radioDACI[5]
706    PORT    radio_DAC_I6 = radio2_DAC_I6, IO_IS = radioDACI[6]
707    PORT    radio_DAC_I7 = radio2_DAC_I7, IO_IS = radioDACI[7]
708    PORT    radio_DAC_I8 = radio2_DAC_I8, IO_IS = radioDACI[8]
709    PORT    radio_DAC_I9 = radio2_DAC_I9, IO_IS = radioDACI[9]
710    PORT    radio_DAC_I10 = radio2_DAC_I10, IO_IS = radioDACI[10]
711    PORT    radio_DAC_I11 = radio2_DAC_I11, IO_IS = radioDACI[11]
712    PORT    radio_DAC_I12 = radio2_DAC_I12, IO_IS = radioDACI[12]
713    PORT    radio_DAC_I13 = radio2_DAC_I13, IO_IS = radioDACI[13]
714    PORT    radio_DAC_I14 = radio2_DAC_I14, IO_IS = radioDACI[14]
715    PORT    radio_DAC_I15 = radio2_DAC_I15, IO_IS = radioDACI[15]
716
717    PORT    radio_DAC_Q0 = radio2_DAC_Q0, IO_IS = radioDACQ[0]
718    PORT    radio_DAC_Q1 = radio2_DAC_Q1, IO_IS = radioDACQ[1]
719    PORT    radio_DAC_Q2 = radio2_DAC_Q2, IO_IS = radioDACQ[2]
720    PORT    radio_DAC_Q3 = radio2_DAC_Q3, IO_IS = radioDACQ[3]
721    PORT    radio_DAC_Q4 = radio2_DAC_Q4, IO_IS = radioDACQ[4]
722    PORT    radio_DAC_Q5 = radio2_DAC_Q5, IO_IS = radioDACQ[5]
723    PORT    radio_DAC_Q6 = radio2_DAC_Q6, IO_IS = radioDACQ[6]
724    PORT    radio_DAC_Q7 = radio2_DAC_Q7, IO_IS = radioDACQ[7]
725    PORT    radio_DAC_Q8 = radio2_DAC_Q8, IO_IS = radioDACQ[8]
726    PORT    radio_DAC_Q9 = radio2_DAC_Q9, IO_IS = radioDACQ[9]
727    PORT    radio_DAC_Q10 = radio2_DAC_Q10, IO_IS = radioDACQ[10]
728    PORT    radio_DAC_Q11 = radio2_DAC_Q11, IO_IS = radioDACQ[11]
729    PORT    radio_DAC_Q12 = radio2_DAC_Q12, IO_IS = radioDACQ[12]
730    PORT    radio_DAC_Q13 = radio2_DAC_Q13, IO_IS = radioDACQ[13]
731    PORT    radio_DAC_Q14 = radio2_DAC_Q14, IO_IS = radioDACQ[14]
732    PORT    radio_DAC_Q15 = radio2_DAC_Q15, IO_IS = radioDACQ[15]
733
734    ##########################################
735    #Radio Controller <-> Radio Bridge Ports #
736    ##########################################
737    PORT    controller_logic_clk = controller_logic_clk
738    PORT    controller_interpfiltbypass = controller_radio2_interpfiltbypass
739    PORT    controller_decfiltbypass = controller_radio2_decfiltbypass
740    PORT    controller_spi_clk = controller_spi_clk
741    PORT    controller_spi_data = controller_spi_data
742    PORT    controller_radio_cs = controller_radio2_cs
743    PORT    controller_dac_cs = controller_dac2_cs
744    PORT    controller_SHDN = controller_radio2_SHDN
745    PORT    controller_TxEn = controller_radio2_TxEn
746    PORT    controller_RxEn = controller_radio2_RxEn
747    PORT    controller_RxHP = controller_radio2_RxHP
748    PORT    controller_24PA = controller_radio2_24PA
749    PORT    controller_5PA = controller_radio2_5PA
750    PORT    controller_ANTSW0 = controller_radio2_ANTSW0, IO_IS = c2b_ANTSW[0]
751    PORT    controller_ANTSW1 = controller_radio2_ANTSW1, IO_IS = c2b_ANTSW[1]
752    PORT    controller_LED0 = controller_radio2_LED0, IO_IS = c2b_LED[0]
753    PORT    controller_LED1 = controller_radio2_LED1, IO_IS = c2b_LED[1]
754    PORT    controller_LED2 = controller_radio2_LED2, IO_IS = c2b_LED[2]
755    PORT    controller_RX_ADC_DCS = controller_radio2_RX_ADC_DCS
756    PORT    controller_RX_ADC_DFS = controller_radio2_RX_ADC_DFS
757    PORT    controller_RX_ADC_PWDNA = controller_radio2_RX_ADC_PWDNA
758    PORT    controller_RX_ADC_PWDNB = controller_radio2_RX_ADC_PWDNB
759    PORT    controller_DIPSW0 = controller_radio2_DIPSW0, IO_IS = c2b_DIPSW[0]
760    PORT    controller_DIPSW1 = controller_radio2_DIPSW1, IO_IS = c2b_DIPSW[1]
761    PORT    controller_DIPSW2 = controller_radio2_DIPSW2, IO_IS = c2b_DIPSW[2]
762    PORT    controller_DIPSW3 = controller_radio2_DIPSW3, IO_IS = c2b_DIPSW[3]
763    PORT    controller_RSSI_ADC_CLAMP = controller_radio2_RSSI_ADC_CLAMP
764    PORT    controller_RSSI_ADC_HIZ = controller_radio2_RSSI_ADC_HIZ
765    PORT    controller_RSSI_ADC_SLEEP = controller_radio2_RSSI_ADC_SLEEP
766    PORT    controller_RSSI_ADC_D0 = controller_radio2_RSSI_ADC_D0, IO_IS = c2b_RSSI_ADC_D[0]
767    PORT    controller_RSSI_ADC_D1 = controller_radio2_RSSI_ADC_D1, IO_IS = c2b_RSSI_ADC_D[1]
768    PORT    controller_RSSI_ADC_D2 = controller_radio2_RSSI_ADC_D2, IO_IS = c2b_RSSI_ADC_D[2]
769    PORT    controller_RSSI_ADC_D3 = controller_radio2_RSSI_ADC_D3, IO_IS = c2b_RSSI_ADC_D[3]
770    PORT    controller_RSSI_ADC_D4 = controller_radio2_RSSI_ADC_D4, IO_IS = c2b_RSSI_ADC_D[4]
771    PORT    controller_RSSI_ADC_D5 = controller_radio2_RSSI_ADC_D5, IO_IS = c2b_RSSI_ADC_D[5]
772    PORT    controller_RSSI_ADC_D6 = controller_radio2_RSSI_ADC_D6, IO_IS = c2b_RSSI_ADC_D[6]
773    PORT    controller_RSSI_ADC_D7 = controller_radio2_RSSI_ADC_D7, IO_IS = c2b_RSSI_ADC_D[7]
774    PORT    controller_RSSI_ADC_D8 = controller_radio2_RSSI_ADC_D8, IO_IS = c2b_RSSI_ADC_D[8]
775    PORT    controller_RSSI_ADC_D9 = controller_radio2_RSSI_ADC_D9, IO_IS = c2b_RSSI_ADC_D[9]
776    PORT    controller_LD = controller_radio2_LD
777    PORT    controller_RX_ADC_OTRA = controller_radio2_RX_ADC_OTRA
778    PORT    controller_RX_ADC_OTRB = controller_radio2_RX_ADC_OTRB
779    PORT    controller_RSSI_ADC_OTR = controller_radio2_RSSI_ADC_OTR
780    PORT    controller_dac_PLL_LOCK = controller_dac2_PLL_LOCK
781    PORT    controller_dac_RESET = controller_dac2_RESET
782    PORT    user_Tx_gain0 = controller_radio2_TxGain0, IO_IS = userTxG[0]
783    PORT    user_Tx_gain1 = controller_radio2_TxGain1, IO_IS = userTxG[1]
784    PORT    user_Tx_gain2 = controller_radio2_TxGain2, IO_IS = userTxG[2]
785    PORT    user_Tx_gain3 = controller_radio2_TxGain3, IO_IS = userTxG[3]
786    PORT    user_Tx_gain4 = controller_radio2_TxGain4, IO_IS = userTxG[4]
787    PORT    user_Tx_gain5 = controller_radio2_TxGain5, IO_IS = userTxG[5]
788    PORT    controller_TxStart = controller_radio2_TxStart
789    PORT    controller_SHDN_external = controller_radio2_SHDN_external
790    PORT    controller_RxEn_external = controller_radio2_RxEn_external
791    PORT    controller_TxEn_external = controller_radio2_TxEn_external
792    PORT    controller_RxHP_external = controller_radio2_RxHP_external
793
794    #####################################
795    #Radio Bridge <-> Radio Board Ports #
796    #####################################
797    PORT    dac_spi_data = dac2_spi_data
798    PORT    dac_spi_cs = dac2_spi_cs
799    PORT    dac_spi_clk = dac2_spi_clk
800    PORT    radio_spi_clk = radio2_spi_clk
801    PORT    radio_spi_data = radio2_spi_data
802    PORT    radio_spi_cs = radio2_spi_cs
803    PORT    radio_SHDN = radio2_SHDN
804    PORT    radio_TxEn = radio2_TxEn
805    PORT    radio_RxEn = radio2_RxEn
806    PORT    radio_RxHP = radio2_RxHP
807    PORT    radio_24PA = radio2_24PA
808    PORT    radio_5PA = radio2_5PA
809    PORT    radio_ANTSW0 = radio2_ANTSW0, IO_IS = b2r_ANTSW[0]
810    PORT    radio_ANTSW1 = radio2_ANTSW1, IO_IS = b2r_ANTSW[1]
811    PORT    radio_LED0 = radio2_LED0, IO_IS = b2r_LED[0]
812    PORT    radio_LED1 = radio2_LED1, IO_IS = b2r_LED[1]
813    PORT    radio_LED2 = radio2_LED2, IO_IS = b2r_LED[2]
814    PORT    radio_RX_ADC_DCS = radio2_RX_ADC_DCS
815    PORT    radio_RX_ADC_DFS = radio2_RX_ADC_DFS
816    PORT    radio_RX_ADC_PWDNA = radio2_RX_ADC_PWDNA
817    PORT    radio_RX_ADC_PWDNB = radio2_RX_ADC_PWDNB
818    PORT    radio_DIPSW0 = radio2_DIPSW0, IO_IS = b2r_DIPSW[0]
819    PORT    radio_DIPSW1 = radio2_DIPSW1, IO_IS = b2r_DIPSW[1]
820    PORT    radio_DIPSW2 = radio2_DIPSW2, IO_IS = b2r_DIPSW[2]
821    PORT    radio_DIPSW3 = radio2_DIPSW3, IO_IS = b2r_DIPSW[3]
822    PORT    radio_RSSI_ADC_clk = radio2_RSSI_ADC_clk
823    PORT    radio_RSSI_ADC_CLAMP = radio2_RSSI_ADC_CLAMP
824    PORT    radio_RSSI_ADC_HIZ = radio2_RSSI_ADC_HIZ
825    PORT    radio_RSSI_ADC_SLEEP = radio2_RSSI_ADC_SLEEP
826    PORT    radio_RSSI_ADC_D0 = radio2_RSSI_ADC_D0, IO_IS = b2r_RSSI_ADC_D[0]
827    PORT    radio_RSSI_ADC_D1 = radio2_RSSI_ADC_D1, IO_IS = b2r_RSSI_ADC_D[1]
828    PORT    radio_RSSI_ADC_D2 = radio2_RSSI_ADC_D2, IO_IS = b2r_RSSI_ADC_D[2]
829    PORT    radio_RSSI_ADC_D3 = radio2_RSSI_ADC_D3, IO_IS = b2r_RSSI_ADC_D[3]
830    PORT    radio_RSSI_ADC_D4 = radio2_RSSI_ADC_D4, IO_IS = b2r_RSSI_ADC_D[4]
831    PORT    radio_RSSI_ADC_D5 = radio2_RSSI_ADC_D5, IO_IS = b2r_RSSI_ADC_D[5]
832    PORT    radio_RSSI_ADC_D6 = radio2_RSSI_ADC_D6, IO_IS = b2r_RSSI_ADC_D[6]
833    PORT    radio_RSSI_ADC_D7 = radio2_RSSI_ADC_D7, IO_IS = b2r_RSSI_ADC_D[7]
834    PORT    radio_RSSI_ADC_D8 = radio2_RSSI_ADC_D8, IO_IS = b2r_RSSI_ADC_D[8]
835    PORT    radio_RSSI_ADC_D9 = radio2_RSSI_ADC_D9, IO_IS = b2r_RSSI_ADC_D[9]
836    PORT    radio_LD = radio2_LD
837    PORT    radio_RX_ADC_OTRA = radio2_RX_ADC_OTRA
838    PORT    radio_RX_ADC_OTRB = radio2_RX_ADC_OTRB
839    PORT    radio_RSSI_ADC_OTR = radio2_RSSI_ADC_OTR
840    PORT    radio_dac_PLL_LOCK = radio2_dac2_PLL_LOCK
841    PORT    radio_dac_RESET = radio2_dac2_RESET
842
843    PORT    user_EEPROM_IO_T = DQ2_T_user_EEPROM_IO_T
844    PORT    user_EEPROM_IO_O = DQ2_O_user_EEPROM_IO_O
845    PORT    user_EEPROM_IO_I = DQ2_I_user_EEPROM_IO_I
846    PORT    radio_EEPROM_IO = radio2_EEPROM_IO
847END
848
849#Radio Controller -> Radio Board Bridge for Slot #3
850BEGIN IO_INTERFACE
851    ATTRIBUTE IOTYPE = WARP_RADIOBRIDGE_V1
852    ATTRIBUTE INSTANCE = radio_bridge_slot_3
853    ATTRIBUTE EXCLUSIVE = slot3
854    ATTRIBUTE ALERT = 'Enable this peripheral only if a radio board is mounted in daughtercard slot 3.'
855
856    PORT    converter_clock_out = radio3_conv_clk_p
857
858    PORT    radio_b0 = radio3_b0, IO_IS = radioGain[0]
859    PORT    radio_b1 = radio3_b1, IO_IS = radioGain[1]
860    PORT    radio_b2 = radio3_b2, IO_IS = radioGain[2]
861    PORT    radio_b3 = radio3_b3, IO_IS = radioGain[3]
862    PORT    radio_b4 = radio3_b4, IO_IS = radioGain[4]
863    PORT    radio_b5 = radio3_b5, IO_IS = radioGain[5]
864    PORT    radio_b6 = radio3_b6, IO_IS = radioGain[6]
865
866    PORT    radio_ADC_I0 = radio3_ADC_I0, IO_IS = radioADCI[0]
867    PORT    radio_ADC_I1 = radio3_ADC_I1, IO_IS = radioADCI[1]
868    PORT    radio_ADC_I2 = radio3_ADC_I2, IO_IS = radioADCI[2]
869    PORT    radio_ADC_I3 = radio3_ADC_I3, IO_IS = radioADCI[3]
870    PORT    radio_ADC_I4 = radio3_ADC_I4, IO_IS = radioADCI[4]
871    PORT    radio_ADC_I5 = radio3_ADC_I5, IO_IS = radioADCI[5]
872    PORT    radio_ADC_I6 = radio3_ADC_I6, IO_IS = radioADCI[6]
873    PORT    radio_ADC_I7 = radio3_ADC_I7, IO_IS = radioADCI[7]
874    PORT    radio_ADC_I8 = radio3_ADC_I8, IO_IS = radioADCI[8]
875    PORT    radio_ADC_I9 = radio3_ADC_I9, IO_IS = radioADCI[9]
876    PORT    radio_ADC_I10 = radio3_ADC_I10, IO_IS = radioADCI[10]
877    PORT    radio_ADC_I11 = radio3_ADC_I11, IO_IS = radioADCI[11]
878    PORT    radio_ADC_I12 = radio3_ADC_I12, IO_IS = radioADCI[12]
879    PORT    radio_ADC_I13 = radio3_ADC_I13, IO_IS = radioADCI[13]
880
881    PORT    radio_ADC_Q0 = radio3_ADC_Q0, IO_IS = radioADCQ[0]
882    PORT    radio_ADC_Q1 = radio3_ADC_Q1, IO_IS = radioADCQ[1]
883    PORT    radio_ADC_Q2 = radio3_ADC_Q2, IO_IS = radioADCQ[2]
884    PORT    radio_ADC_Q3 = radio3_ADC_Q3, IO_IS = radioADCQ[3]
885    PORT    radio_ADC_Q4 = radio3_ADC_Q4, IO_IS = radioADCQ[4]
886    PORT    radio_ADC_Q5 = radio3_ADC_Q5, IO_IS = radioADCQ[5]
887    PORT    radio_ADC_Q6 = radio3_ADC_Q6, IO_IS = radioADCQ[6]
888    PORT    radio_ADC_Q7 = radio3_ADC_Q7, IO_IS = radioADCQ[7]
889    PORT    radio_ADC_Q8 = radio3_ADC_Q8, IO_IS = radioADCQ[8]
890    PORT    radio_ADC_Q9 = radio3_ADC_Q9, IO_IS = radioADCQ[9]
891    PORT    radio_ADC_Q10 = radio3_ADC_Q10, IO_IS = radioADCQ[10]
892    PORT    radio_ADC_Q11 = radio3_ADC_Q11, IO_IS = radioADCQ[11]
893    PORT    radio_ADC_Q12 = radio3_ADC_Q12, IO_IS = radioADCQ[12]
894    PORT    radio_ADC_Q13 = radio3_ADC_Q13, IO_IS = radioADCQ[13]
895
896    PORT    radio_DAC_I0 = radio3_DAC_I0, IO_IS = radioDACI[0]
897    PORT    radio_DAC_I1 = radio3_DAC_I1, IO_IS = radioDACI[1]
898    PORT    radio_DAC_I2 = radio3_DAC_I2, IO_IS = radioDACI[2]
899    PORT    radio_DAC_I3 = radio3_DAC_I3, IO_IS = radioDACI[3]
900    PORT    radio_DAC_I4 = radio3_DAC_I4, IO_IS = radioDACI[4]
901    PORT    radio_DAC_I5 = radio3_DAC_I5, IO_IS = radioDACI[5]
902    PORT    radio_DAC_I6 = radio3_DAC_I6, IO_IS = radioDACI[6]
903    PORT    radio_DAC_I7 = radio3_DAC_I7, IO_IS = radioDACI[7]
904    PORT    radio_DAC_I8 = radio3_DAC_I8, IO_IS = radioDACI[8]
905    PORT    radio_DAC_I9 = radio3_DAC_I9, IO_IS = radioDACI[9]
906    PORT    radio_DAC_I10 = radio3_DAC_I10, IO_IS = radioDACI[10]
907    PORT    radio_DAC_I11 = radio3_DAC_I11, IO_IS = radioDACI[11]
908    PORT    radio_DAC_I12 = radio3_DAC_I12, IO_IS = radioDACI[12]
909    PORT    radio_DAC_I13 = radio3_DAC_I13, IO_IS = radioDACI[13]
910    PORT    radio_DAC_I14 = radio3_DAC_I14, IO_IS = radioDACI[14]
911    PORT    radio_DAC_I15 = radio3_DAC_I15, IO_IS = radioDACI[15]
912
913    PORT    radio_DAC_Q0 = radio3_DAC_Q0, IO_IS = radioDACQ[0]
914    PORT    radio_DAC_Q1 = radio3_DAC_Q1, IO_IS = radioDACQ[1]
915    PORT    radio_DAC_Q2 = radio3_DAC_Q2, IO_IS = radioDACQ[2]
916    PORT    radio_DAC_Q3 = radio3_DAC_Q3, IO_IS = radioDACQ[3]
917    PORT    radio_DAC_Q4 = radio3_DAC_Q4, IO_IS = radioDACQ[4]
918    PORT    radio_DAC_Q5 = radio3_DAC_Q5, IO_IS = radioDACQ[5]
919    PORT    radio_DAC_Q6 = radio3_DAC_Q6, IO_IS = radioDACQ[6]
920    PORT    radio_DAC_Q7 = radio3_DAC_Q7, IO_IS = radioDACQ[7]
921    PORT    radio_DAC_Q8 = radio3_DAC_Q8, IO_IS = radioDACQ[8]
922    PORT    radio_DAC_Q9 = radio3_DAC_Q9, IO_IS = radioDACQ[9]
923    PORT    radio_DAC_Q10 = radio3_DAC_Q10, IO_IS = radioDACQ[10]
924    PORT    radio_DAC_Q11 = radio3_DAC_Q11, IO_IS = radioDACQ[11]
925    PORT    radio_DAC_Q12 = radio3_DAC_Q12, IO_IS = radioDACQ[12]
926    PORT    radio_DAC_Q13 = radio3_DAC_Q13, IO_IS = radioDACQ[13]
927    PORT    radio_DAC_Q14 = radio3_DAC_Q14, IO_IS = radioDACQ[14]
928    PORT    radio_DAC_Q15 = radio3_DAC_Q15, IO_IS = radioDACQ[15]
929
930    ##########################################
931    #Radio Controller <-> Radio Bridge Ports #
932    ##########################################
933    PORT    controller_logic_clk = controller_logic_clk
934    PORT    controller_interpfiltbypass = controller_radio3_interpfiltbypass
935    PORT    controller_decfiltbypass = controller_radio3_decfiltbypass
936    PORT    controller_spi_clk = controller_spi_clk
937    PORT    controller_spi_data = controller_spi_data
938    PORT    controller_radio_cs = controller_radio3_cs
939    PORT    controller_dac_cs = controller_dac3_cs
940    PORT    controller_SHDN = controller_radio3_SHDN
941    PORT    controller_TxEn = controller_radio3_TxEn
942    PORT    controller_RxEn = controller_radio3_RxEn
943    PORT    controller_RxHP = controller_radio3_RxHP
944    PORT    controller_24PA = controller_radio3_24PA
945    PORT    controller_5PA = controller_radio3_5PA
946    PORT    controller_ANTSW0 = controller_radio3_ANTSW0, IO_IS = c2b_ANTSW[0]
947    PORT    controller_ANTSW1 = controller_radio3_ANTSW1, IO_IS = c2b_ANTSW[1]
948    PORT    controller_LED0 = controller_radio3_LED0, IO_IS = c2b_LED[0]
949    PORT    controller_LED1 = controller_radio3_LED1, IO_IS = c2b_LED[1]
950    PORT    controller_LED2 = controller_radio3_LED2, IO_IS = c2b_LED[2]
951    PORT    controller_RX_ADC_DCS = controller_radio3_RX_ADC_DCS
952    PORT    controller_RX_ADC_DFS = controller_radio3_RX_ADC_DFS
953    PORT    controller_RX_ADC_PWDNA = controller_radio3_RX_ADC_PWDNA
954    PORT    controller_RX_ADC_PWDNB = controller_radio3_RX_ADC_PWDNB
955    PORT    controller_DIPSW0 = controller_radio3_DIPSW0, IO_IS = c2b_DIPSW[0]
956    PORT    controller_DIPSW1 = controller_radio3_DIPSW1, IO_IS = c2b_DIPSW[1]
957    PORT    controller_DIPSW2 = controller_radio3_DIPSW2, IO_IS = c2b_DIPSW[2]
958    PORT    controller_DIPSW3 = controller_radio3_DIPSW3, IO_IS = c2b_DIPSW[3]
959    PORT    controller_RSSI_ADC_CLAMP = controller_radio3_RSSI_ADC_CLAMP
960    PORT    controller_RSSI_ADC_HIZ = controller_radio3_RSSI_ADC_HIZ
961    PORT    controller_RSSI_ADC_SLEEP = controller_radio3_RSSI_ADC_SLEEP
962    PORT    controller_RSSI_ADC_D0 = controller_radio3_RSSI_ADC_D0, IO_IS = c2b_RSSI_ADC_D[0]
963    PORT    controller_RSSI_ADC_D1 = controller_radio3_RSSI_ADC_D1, IO_IS = c2b_RSSI_ADC_D[1]
964    PORT    controller_RSSI_ADC_D2 = controller_radio3_RSSI_ADC_D2, IO_IS = c2b_RSSI_ADC_D[2]
965    PORT    controller_RSSI_ADC_D3 = controller_radio3_RSSI_ADC_D3, IO_IS = c2b_RSSI_ADC_D[3]
966    PORT    controller_RSSI_ADC_D4 = controller_radio3_RSSI_ADC_D4, IO_IS = c2b_RSSI_ADC_D[4]
967    PORT    controller_RSSI_ADC_D5 = controller_radio3_RSSI_ADC_D5, IO_IS = c2b_RSSI_ADC_D[5]
968    PORT    controller_RSSI_ADC_D6 = controller_radio3_RSSI_ADC_D6, IO_IS = c2b_RSSI_ADC_D[6]
969    PORT    controller_RSSI_ADC_D7 = controller_radio3_RSSI_ADC_D7, IO_IS = c2b_RSSI_ADC_D[7]
970    PORT    controller_RSSI_ADC_D8 = controller_radio3_RSSI_ADC_D8, IO_IS = c2b_RSSI_ADC_D[8]
971    PORT    controller_RSSI_ADC_D9 = controller_radio3_RSSI_ADC_D9, IO_IS = c2b_RSSI_ADC_D[9]
972    PORT    controller_LD = controller_radio3_LD
973    PORT    controller_RX_ADC_OTRA = controller_radio3_RX_ADC_OTRA
974    PORT    controller_RX_ADC_OTRB = controller_radio3_RX_ADC_OTRB
975    PORT    controller_RSSI_ADC_OTR = controller_radio3_RSSI_ADC_OTR
976    PORT    controller_dac_PLL_LOCK = controller_dac3_PLL_LOCK
977    PORT    controller_dac_RESET = controller_dac3_RESET
978    PORT    user_Tx_gain0 = controller_radio3_TxGain0, IO_IS = userTxG[0]
979    PORT    user_Tx_gain1 = controller_radio3_TxGain1, IO_IS = userTxG[1]
980    PORT    user_Tx_gain2 = controller_radio3_TxGain2, IO_IS = userTxG[2]
981    PORT    user_Tx_gain3 = controller_radio3_TxGain3, IO_IS = userTxG[3]
982    PORT    user_Tx_gain4 = controller_radio3_TxGain4, IO_IS = userTxG[4]
983    PORT    user_Tx_gain5 = controller_radio3_TxGain5, IO_IS = userTxG[5]
984    PORT    controller_TxStart = controller_radio3_TxStart
985    PORT    controller_SHDN_external = controller_radio3_SHDN_external
986    PORT    controller_RxEn_external = controller_radio3_RxEn_external
987    PORT    controller_TxEn_external = controller_radio3_TxEn_external
988    PORT    controller_RxHP_external = controller_radio3_RxHP_external
989
990    #####################################
991    #Radio Bridge <-> Radio Board Ports #
992    #####################################
993    PORT    dac_spi_data = dac3_spi_data
994    PORT    dac_spi_cs = dac3_spi_cs
995    PORT    dac_spi_clk = dac3_spi_clk
996    PORT    radio_spi_clk = radio3_spi_clk
997    PORT    radio_spi_data = radio3_spi_data
998    PORT    radio_spi_cs = radio3_spi_cs
999    PORT    radio_SHDN = radio3_SHDN
1000    PORT    radio_TxEn = radio3_TxEn
1001    PORT    radio_RxEn = radio3_RxEn
1002    PORT    radio_RxHP = radio3_RxHP
1003    PORT    radio_24PA = radio3_24PA
1004    PORT    radio_5PA = radio3_5PA
1005    PORT    radio_ANTSW0 = radio3_ANTSW0, IO_IS = b2r_ANTSW[0]
1006    PORT    radio_ANTSW1 = radio3_ANTSW1, IO_IS = b2r_ANTSW[1]
1007    PORT    radio_LED0 = radio3_LED0, IO_IS = b2r_LED[0]
1008    PORT    radio_LED1 = radio3_LED1, IO_IS = b2r_LED[1]
1009    PORT    radio_LED2 = radio3_LED2, IO_IS = b2r_LED[2]
1010    PORT    radio_RX_ADC_DCS = radio3_RX_ADC_DCS
1011    PORT    radio_RX_ADC_DFS = radio3_RX_ADC_DFS
1012    PORT    radio_RX_ADC_PWDNA = radio3_RX_ADC_PWDNA
1013    PORT    radio_RX_ADC_PWDNB = radio3_RX_ADC_PWDNB
1014    PORT    radio_DIPSW0 = radio3_DIPSW0, IO_IS = b2r_DIPSW[0]
1015    PORT    radio_DIPSW1 = radio3_DIPSW1, IO_IS = b2r_DIPSW[1]
1016    PORT    radio_DIPSW2 = radio3_DIPSW2, IO_IS = b2r_DIPSW[2]
1017    PORT    radio_DIPSW3 = radio3_DIPSW3, IO_IS = b2r_DIPSW[3]
1018    PORT    radio_RSSI_ADC_clk = radio3_RSSI_ADC_clk
1019    PORT    radio_RSSI_ADC_CLAMP = radio3_RSSI_ADC_CLAMP
1020    PORT    radio_RSSI_ADC_HIZ = radio3_RSSI_ADC_HIZ
1021    PORT    radio_RSSI_ADC_SLEEP = radio3_RSSI_ADC_SLEEP
1022    PORT    radio_RSSI_ADC_D0 = radio3_RSSI_ADC_D0, IO_IS = b2r_RSSI_ADC_D[0]
1023    PORT    radio_RSSI_ADC_D1 = radio3_RSSI_ADC_D1, IO_IS = b2r_RSSI_ADC_D[1]
1024    PORT    radio_RSSI_ADC_D2 = radio3_RSSI_ADC_D2, IO_IS = b2r_RSSI_ADC_D[2]
1025    PORT    radio_RSSI_ADC_D3 = radio3_RSSI_ADC_D3, IO_IS = b2r_RSSI_ADC_D[3]
1026    PORT    radio_RSSI_ADC_D4 = radio3_RSSI_ADC_D4, IO_IS = b2r_RSSI_ADC_D[4]
1027    PORT    radio_RSSI_ADC_D5 = radio3_RSSI_ADC_D5, IO_IS = b2r_RSSI_ADC_D[5]
1028    PORT    radio_RSSI_ADC_D6 = radio3_RSSI_ADC_D6, IO_IS = b2r_RSSI_ADC_D[6]
1029    PORT    radio_RSSI_ADC_D7 = radio3_RSSI_ADC_D7, IO_IS = b2r_RSSI_ADC_D[7]
1030    PORT    radio_RSSI_ADC_D8 = radio3_RSSI_ADC_D8, IO_IS = b2r_RSSI_ADC_D[8]
1031    PORT    radio_RSSI_ADC_D9 = radio3_RSSI_ADC_D9, IO_IS = b2r_RSSI_ADC_D[9]
1032    PORT    radio_LD = radio3_LD
1033    PORT    radio_RX_ADC_OTRA = radio3_RX_ADC_OTRA
1034    PORT    radio_RX_ADC_OTRB = radio3_RX_ADC_OTRB
1035    PORT    radio_RSSI_ADC_OTR = radio3_RSSI_ADC_OTR
1036    PORT    radio_dac_PLL_LOCK = radio3_dac3_PLL_LOCK
1037    PORT    radio_dac_RESET = radio3_dac3_RESET
1038
1039    PORT    user_EEPROM_IO_T = DQ3_T_user_EEPROM_IO_T
1040    PORT    user_EEPROM_IO_O = DQ3_O_user_EEPROM_IO_O
1041    PORT    user_EEPROM_IO_I = DQ3_I_user_EEPROM_IO_I
1042    PORT    radio_EEPROM_IO = radio3_EEPROM_IO
1043END
1044
1045#Radio Controller -> Radio Board Bridge for Slot #4
1046BEGIN IO_INTERFACE
1047    ATTRIBUTE IOTYPE = WARP_RADIOBRIDGE_V1
1048    ATTRIBUTE INSTANCE = radio_bridge_slot_4
1049    ATTRIBUTE EXCLUSIVE = slot4
1050    ATTRIBUTE ALERT = 'Enable this peripheral only if a radio board is mounted in daughtercard slot 4.'
1051
1052    PORT    converter_clock_out = radio4_conv_clk_p
1053
1054    PORT    radio_b0 = radio4_b0, IO_IS = radioGain[0]
1055    PORT    radio_b1 = radio4_b1, IO_IS = radioGain[1]
1056    PORT    radio_b2 = radio4_b2, IO_IS = radioGain[2]
1057    PORT    radio_b3 = radio4_b3, IO_IS = radioGain[3]
1058    PORT    radio_b4 = radio4_b4, IO_IS = radioGain[4]
1059    PORT    radio_b5 = radio4_b5, IO_IS = radioGain[5]
1060    PORT    radio_b6 = radio4_b6, IO_IS = radioGain[6]
1061
1062    PORT    radio_ADC_I0 = radio4_ADC_I0, IO_IS = radioADCI[0]
1063    PORT    radio_ADC_I1 = radio4_ADC_I1, IO_IS = radioADCI[1]
1064    PORT    radio_ADC_I2 = radio4_ADC_I2, IO_IS = radioADCI[2]
1065    PORT    radio_ADC_I3 = radio4_ADC_I3, IO_IS = radioADCI[3]
1066    PORT    radio_ADC_I4 = radio4_ADC_I4, IO_IS = radioADCI[4]
1067    PORT    radio_ADC_I5 = radio4_ADC_I5, IO_IS = radioADCI[5]
1068    PORT    radio_ADC_I6 = radio4_ADC_I6, IO_IS = radioADCI[6]
1069    PORT    radio_ADC_I7 = radio4_ADC_I7, IO_IS = radioADCI[7]
1070    PORT    radio_ADC_I8 = radio4_ADC_I8, IO_IS = radioADCI[8]
1071    PORT    radio_ADC_I9 = radio4_ADC_I9, IO_IS = radioADCI[9]
1072    PORT    radio_ADC_I10 = radio4_ADC_I10, IO_IS = radioADCI[10]
1073    PORT    radio_ADC_I11 = radio4_ADC_I11, IO_IS = radioADCI[11]
1074    PORT    radio_ADC_I12 = radio4_ADC_I12, IO_IS = radioADCI[12]
1075    PORT    radio_ADC_I13 = radio4_ADC_I13, IO_IS = radioADCI[13]
1076
1077    PORT    radio_ADC_Q0 = radio4_ADC_Q0, IO_IS = radioADCQ[0]
1078    PORT    radio_ADC_Q1 = radio4_ADC_Q1, IO_IS = radioADCQ[1]
1079    PORT    radio_ADC_Q2 = radio4_ADC_Q2, IO_IS = radioADCQ[2]
1080    PORT    radio_ADC_Q3 = radio4_ADC_Q3, IO_IS = radioADCQ[3]
1081    PORT    radio_ADC_Q4 = radio4_ADC_Q4, IO_IS = radioADCQ[4]
1082    PORT    radio_ADC_Q5 = radio4_ADC_Q5, IO_IS = radioADCQ[5]
1083    PORT    radio_ADC_Q6 = radio4_ADC_Q6, IO_IS = radioADCQ[6]
1084    PORT    radio_ADC_Q7 = radio4_ADC_Q7, IO_IS = radioADCQ[7]
1085    PORT    radio_ADC_Q8 = radio4_ADC_Q8, IO_IS = radioADCQ[8]
1086    PORT    radio_ADC_Q9 = radio4_ADC_Q9, IO_IS = radioADCQ[9]
1087    PORT    radio_ADC_Q10 = radio4_ADC_Q10, IO_IS = radioADCQ[10]
1088    PORT    radio_ADC_Q11 = radio4_ADC_Q11, IO_IS = radioADCQ[11]
1089    PORT    radio_ADC_Q12 = radio4_ADC_Q12, IO_IS = radioADCQ[12]
1090    PORT    radio_ADC_Q13 = radio4_ADC_Q13, IO_IS = radioADCQ[13]
1091
1092    PORT    radio_DAC_I0 = radio4_DAC_I0, IO_IS = radioDACI[0]
1093    PORT    radio_DAC_I1 = radio4_DAC_I1, IO_IS = radioDACI[1]
1094    PORT    radio_DAC_I2 = radio4_DAC_I2, IO_IS = radioDACI[2]
1095    PORT    radio_DAC_I3 = radio4_DAC_I3, IO_IS = radioDACI[3]
1096    PORT    radio_DAC_I4 = radio4_DAC_I4, IO_IS = radioDACI[4]
1097    PORT    radio_DAC_I5 = radio4_DAC_I5, IO_IS = radioDACI[5]
1098    PORT    radio_DAC_I6 = radio4_DAC_I6, IO_IS = radioDACI[6]
1099    PORT    radio_DAC_I7 = radio4_DAC_I7, IO_IS = radioDACI[7]
1100    PORT    radio_DAC_I8 = radio4_DAC_I8, IO_IS = radioDACI[8]
1101    PORT    radio_DAC_I9 = radio4_DAC_I9, IO_IS = radioDACI[9]
1102    PORT    radio_DAC_I10 = radio4_DAC_I10, IO_IS = radioDACI[10]
1103    PORT    radio_DAC_I11 = radio4_DAC_I11, IO_IS = radioDACI[11]
1104    PORT    radio_DAC_I12 = radio4_DAC_I12, IO_IS = radioDACI[12]
1105    PORT    radio_DAC_I13 = radio4_DAC_I13, IO_IS = radioDACI[13]
1106    PORT    radio_DAC_I14 = radio4_DAC_I14, IO_IS = radioDACI[14]
1107    PORT    radio_DAC_I15 = radio4_DAC_I15, IO_IS = radioDACI[15]
1108
1109    PORT    radio_DAC_Q0 = radio4_DAC_Q0, IO_IS = radioDACQ[0]
1110    PORT    radio_DAC_Q1 = radio4_DAC_Q1, IO_IS = radioDACQ[1]
1111    PORT    radio_DAC_Q2 = radio4_DAC_Q2, IO_IS = radioDACQ[2]
1112    PORT    radio_DAC_Q3 = radio4_DAC_Q3, IO_IS = radioDACQ[3]
1113    PORT    radio_DAC_Q4 = radio4_DAC_Q4, IO_IS = radioDACQ[4]
1114    PORT    radio_DAC_Q5 = radio4_DAC_Q5, IO_IS = radioDACQ[5]
1115    PORT    radio_DAC_Q6 = radio4_DAC_Q6, IO_IS = radioDACQ[6]
1116    PORT    radio_DAC_Q7 = radio4_DAC_Q7, IO_IS = radioDACQ[7]
1117    PORT    radio_DAC_Q8 = radio4_DAC_Q8, IO_IS = radioDACQ[8]
1118    PORT    radio_DAC_Q9 = radio4_DAC_Q9, IO_IS = radioDACQ[9]
1119    PORT    radio_DAC_Q10 = radio4_DAC_Q10, IO_IS = radioDACQ[10]
1120    PORT    radio_DAC_Q11 = radio4_DAC_Q11, IO_IS = radioDACQ[11]
1121    PORT    radio_DAC_Q12 = radio4_DAC_Q12, IO_IS = radioDACQ[12]
1122    PORT    radio_DAC_Q13 = radio4_DAC_Q13, IO_IS = radioDACQ[13]
1123    PORT    radio_DAC_Q14 = radio4_DAC_Q14, IO_IS = radioDACQ[14]
1124    PORT    radio_DAC_Q15 = radio4_DAC_Q15, IO_IS = radioDACQ[15]
1125
1126    ##########################################
1127    #Radio Controller <-> Radio Bridge Ports #
1128    ##########################################
1129    PORT    controller_logic_clk = controller_logic_clk
1130    PORT    controller_interpfiltbypass = controller_radio4_interpfiltbypass
1131    PORT    controller_decfiltbypass = controller_radio4_decfiltbypass
1132    PORT    controller_spi_clk = controller_spi_clk
1133    PORT    controller_spi_data = controller_spi_data
1134    PORT    controller_radio_cs = controller_radio4_cs
1135    PORT    controller_dac_cs = controller_dac4_cs
1136    PORT    controller_SHDN = controller_radio4_SHDN
1137    PORT    controller_TxEn = controller_radio4_TxEn
1138    PORT    controller_RxEn = controller_radio4_RxEn
1139    PORT    controller_RxHP = controller_radio4_RxHP
1140    PORT    controller_24PA = controller_radio4_24PA
1141    PORT    controller_5PA = controller_radio4_5PA
1142    PORT    controller_ANTSW0 = controller_radio4_ANTSW0, IO_IS = c2b_ANTSW[0]
1143    PORT    controller_ANTSW1 = controller_radio4_ANTSW1, IO_IS = c2b_ANTSW[1]
1144    PORT    controller_LED0 = controller_radio4_LED0, IO_IS = c2b_LED[0]
1145    PORT    controller_LED1 = controller_radio4_LED1, IO_IS = c2b_LED[1]
1146    PORT    controller_LED2 = controller_radio4_LED2, IO_IS = c2b_LED[2]
1147    PORT    controller_RX_ADC_DCS = controller_radio4_RX_ADC_DCS
1148    PORT    controller_RX_ADC_DFS = controller_radio4_RX_ADC_DFS
1149    PORT    controller_RX_ADC_PWDNA = controller_radio4_RX_ADC_PWDNA
1150    PORT    controller_RX_ADC_PWDNB = controller_radio4_RX_ADC_PWDNB
1151    PORT    controller_DIPSW0 = controller_radio4_DIPSW0, IO_IS = c2b_DIPSW[0]
1152    PORT    controller_DIPSW1 = controller_radio4_DIPSW1, IO_IS = c2b_DIPSW[1]
1153    PORT    controller_DIPSW2 = controller_radio4_DIPSW2, IO_IS = c2b_DIPSW[2]
1154    PORT    controller_DIPSW3 = controller_radio4_DIPSW3, IO_IS = c2b_DIPSW[3]
1155    PORT    controller_RSSI_ADC_CLAMP = controller_radio4_RSSI_ADC_CLAMP
1156    PORT    controller_RSSI_ADC_HIZ = controller_radio4_RSSI_ADC_HIZ
1157    PORT    controller_RSSI_ADC_SLEEP = controller_radio4_RSSI_ADC_SLEEP
1158    PORT    controller_RSSI_ADC_D0 = controller_radio4_RSSI_ADC_D0, IO_IS = c2b_RSSI_ADC_D[0]
1159    PORT    controller_RSSI_ADC_D1 = controller_radio4_RSSI_ADC_D1, IO_IS = c2b_RSSI_ADC_D[1]
1160    PORT    controller_RSSI_ADC_D2 = controller_radio4_RSSI_ADC_D2, IO_IS = c2b_RSSI_ADC_D[2]
1161    PORT    controller_RSSI_ADC_D3 = controller_radio4_RSSI_ADC_D3, IO_IS = c2b_RSSI_ADC_D[3]
1162    PORT    controller_RSSI_ADC_D4 = controller_radio4_RSSI_ADC_D4, IO_IS = c2b_RSSI_ADC_D[4]
1163    PORT    controller_RSSI_ADC_D5 = controller_radio4_RSSI_ADC_D5, IO_IS = c2b_RSSI_ADC_D[5]
1164    PORT    controller_RSSI_ADC_D6 = controller_radio4_RSSI_ADC_D6, IO_IS = c2b_RSSI_ADC_D[6]
1165    PORT    controller_RSSI_ADC_D7 = controller_radio4_RSSI_ADC_D7, IO_IS = c2b_RSSI_ADC_D[7]
1166    PORT    controller_RSSI_ADC_D8 = controller_radio4_RSSI_ADC_D8, IO_IS = c2b_RSSI_ADC_D[8]
1167    PORT    controller_RSSI_ADC_D9 = controller_radio4_RSSI_ADC_D9, IO_IS = c2b_RSSI_ADC_D[9]
1168    PORT    controller_LD = controller_radio4_LD
1169    PORT    controller_RX_ADC_OTRA = controller_radio4_RX_ADC_OTRA
1170    PORT    controller_RX_ADC_OTRB = controller_radio4_RX_ADC_OTRB
1171    PORT    controller_RSSI_ADC_OTR = controller_radio4_RSSI_ADC_OTR
1172    PORT    controller_dac_PLL_LOCK = controller_dac4_PLL_LOCK
1173    PORT    controller_dac_RESET = controller_dac4_RESET
1174    PORT    user_Tx_gain0 = controller_radio4_TxGain0, IO_IS = userTxG[0]
1175    PORT    user_Tx_gain1 = controller_radio4_TxGain1, IO_IS = userTxG[1]
1176    PORT    user_Tx_gain2 = controller_radio4_TxGain2, IO_IS = userTxG[2]
1177    PORT    user_Tx_gain3 = controller_radio4_TxGain3, IO_IS = userTxG[3]
1178    PORT    user_Tx_gain4 = controller_radio4_TxGain4, IO_IS = userTxG[4]
1179    PORT    user_Tx_gain5 = controller_radio4_TxGain5, IO_IS = userTxG[5]
1180    PORT    controller_TxStart = controller_radio4_TxStart
1181    PORT    controller_SHDN_external = controller_radio4_SHDN_external
1182    PORT    controller_RxEn_external = controller_radio4_RxEn_external
1183    PORT    controller_TxEn_external = controller_radio4_TxEn_external
1184    PORT    controller_RxHP_external = controller_radio4_RxHP_external
1185
1186    #####################################
1187    #Radio Bridge <-> Radio Board Ports #
1188    #####################################
1189    PORT    dac_spi_data = dac4_spi_data
1190    PORT    dac_spi_cs = dac4_spi_cs
1191    PORT    dac_spi_clk = dac4_spi_clk
1192    PORT    radio_spi_clk = radio4_spi_clk
1193    PORT    radio_spi_data = radio4_spi_data
1194    PORT    radio_spi_cs = radio4_spi_cs
1195    PORT    radio_SHDN = radio4_SHDN
1196    PORT    radio_TxEn = radio4_TxEn
1197    PORT    radio_RxEn = radio4_RxEn
1198    PORT    radio_RxHP = radio4_RxHP
1199    PORT    radio_24PA = radio4_24PA
1200    PORT    radio_5PA = radio4_5PA
1201    PORT    radio_ANTSW0 = radio4_ANTSW0, IO_IS = b2r_ANTSW[0]
1202    PORT    radio_ANTSW1 = radio4_ANTSW1, IO_IS = b2r_ANTSW[1]
1203    PORT    radio_LED0 = radio4_LED0, IO_IS = b2r_LED[0]
1204    PORT    radio_LED1 = radio4_LED1, IO_IS = b2r_LED[1]
1205    PORT    radio_LED2 = radio4_LED2, IO_IS = b2r_LED[2]
1206    PORT    radio_RX_ADC_DCS = radio4_RX_ADC_DCS
1207    PORT    radio_RX_ADC_DFS = radio4_RX_ADC_DFS
1208    PORT    radio_RX_ADC_PWDNA = radio4_RX_ADC_PWDNA
1209    PORT    radio_RX_ADC_PWDNB = radio4_RX_ADC_PWDNB
1210    PORT    radio_DIPSW0 = radio4_DIPSW0, IO_IS = b2r_DIPSW[0]
1211    PORT    radio_DIPSW1 = radio4_DIPSW1, IO_IS = b2r_DIPSW[1]
1212    PORT    radio_DIPSW2 = radio4_DIPSW2, IO_IS = b2r_DIPSW[2]
1213    PORT    radio_DIPSW3 = radio4_DIPSW3, IO_IS = b2r_DIPSW[3]
1214    PORT    radio_RSSI_ADC_clk = radio4_RSSI_ADC_clk
1215    PORT    radio_RSSI_ADC_CLAMP = radio4_RSSI_ADC_CLAMP
1216    PORT    radio_RSSI_ADC_HIZ = radio4_RSSI_ADC_HIZ
1217    PORT    radio_RSSI_ADC_SLEEP = radio4_RSSI_ADC_SLEEP
1218    PORT    radio_RSSI_ADC_D0 = radio4_RSSI_ADC_D0, IO_IS = b2r_RSSI_ADC_D[0]
1219    PORT    radio_RSSI_ADC_D1 = radio4_RSSI_ADC_D1, IO_IS = b2r_RSSI_ADC_D[1]
1220    PORT    radio_RSSI_ADC_D2 = radio4_RSSI_ADC_D2, IO_IS = b2r_RSSI_ADC_D[2]
1221    PORT    radio_RSSI_ADC_D3 = radio4_RSSI_ADC_D3, IO_IS = b2r_RSSI_ADC_D[3]
1222    PORT    radio_RSSI_ADC_D4 = radio4_RSSI_ADC_D4, IO_IS = b2r_RSSI_ADC_D[4]
1223    PORT    radio_RSSI_ADC_D5 = radio4_RSSI_ADC_D5, IO_IS = b2r_RSSI_ADC_D[5]
1224    PORT    radio_RSSI_ADC_D6 = radio4_RSSI_ADC_D6, IO_IS = b2r_RSSI_ADC_D[6]
1225    PORT    radio_RSSI_ADC_D7 = radio4_RSSI_ADC_D7, IO_IS = b2r_RSSI_ADC_D[7]
1226    PORT    radio_RSSI_ADC_D8 = radio4_RSSI_ADC_D8, IO_IS = b2r_RSSI_ADC_D[8]
1227    PORT    radio_RSSI_ADC_D9 = radio4_RSSI_ADC_D9, IO_IS = b2r_RSSI_ADC_D[9]
1228    PORT    radio_LD = radio4_LD
1229    PORT    radio_RX_ADC_OTRA = radio4_RX_ADC_OTRA
1230    PORT    radio_RX_ADC_OTRB = radio4_RX_ADC_OTRB
1231    PORT    radio_RSSI_ADC_OTR = radio4_RSSI_ADC_OTR
1232    PORT    radio_dac_PLL_LOCK = radio4_dac4_PLL_LOCK
1233    PORT    radio_dac_RESET = radio4_dac4_RESET
1234
1235    PORT    user_EEPROM_IO_T = DQ4_T_user_EEPROM_IO_T
1236    PORT    user_EEPROM_IO_O = DQ4_O_user_EEPROM_IO_O
1237    PORT    user_EEPROM_IO_I = DQ4_I_user_EEPROM_IO_I
1238    PORT    radio_EEPROM_IO = radio4_EEPROM_IO
1239END
1240
1241#Analog Bridge for Slot 1
1242BEGIN IO_INTERFACE
1243    ATTRIBUTE IOTYPE = WARP_ANALOGBRIDGE_V1
1244    ATTRIBUTE INSTANCE = analog_bridge_slot_1
1245    ATTRIBUTE EXCLUSIVE = slot1
1246    ATTRIBUTE ALERT = 'Enable this peripheral only if a analog board is mounted in daughtercard slot 1.'
1247   
1248    PORT    clock_out = analog1_clock_out
1249
1250    PORT    analog_DAC1_A0 = analog1_DAC1_A0, IO_IS = analogDAC1A[0]
1251    PORT    analog_DAC1_A1 = analog1_DAC1_A1, IO_IS = analogDAC1A[1]
1252    PORT    analog_DAC1_A2 = analog1_DAC1_A2, IO_IS = analogDAC1A[2]
1253    PORT    analog_DAC1_A3 = analog1_DAC1_A3, IO_IS = analogDAC1A[3]
1254    PORT    analog_DAC1_A4 = analog1_DAC1_A4, IO_IS = analogDAC1A[4]
1255    PORT    analog_DAC1_A5 = analog1_DAC1_A5, IO_IS = analogDAC1A[5]
1256    PORT    analog_DAC1_A6 = analog1_DAC1_A6, IO_IS = analogDAC1A[6]
1257    PORT    analog_DAC1_A7 = analog1_DAC1_A7, IO_IS = analogDAC1A[7]
1258    PORT    analog_DAC1_A8 = analog1_DAC1_A8, IO_IS = analogDAC1A[8]
1259    PORT    analog_DAC1_A9 = analog1_DAC1_A9, IO_IS = analogDAC1A[9]
1260    PORT    analog_DAC1_A10 = analog1_DAC1_A10, IO_IS = analogDAC1A[10]
1261    PORT    analog_DAC1_A11 = analog1_DAC1_A11, IO_IS = analogDAC1A[11]
1262    PORT    analog_DAC1_A12 = analog1_DAC1_A12, IO_IS = analogDAC1A[12]
1263    PORT    analog_DAC1_A13 = analog1_DAC1_A13, IO_IS = analogDAC1A[13]
1264
1265    PORT    analog_DAC1_B0 = analog1_DAC1_B0, IO_IS = analogDAC1B[0]
1266    PORT    analog_DAC1_B1 = analog1_DAC1_B1, IO_IS = analogDAC1B[1]
1267    PORT    analog_DAC1_B2 = analog1_DAC1_B2, IO_IS = analogDAC1B[2]
1268    PORT    analog_DAC1_B3 = analog1_DAC1_B3, IO_IS = analogDAC1B[3]
1269    PORT    analog_DAC1_B4 = analog1_DAC1_B4, IO_IS = analogDAC1B[4]
1270    PORT    analog_DAC1_B5 = analog1_DAC1_B5, IO_IS = analogDAC1B[5]
1271    PORT    analog_DAC1_B6 = analog1_DAC1_B6, IO_IS = analogDAC1B[6]
1272    PORT    analog_DAC1_B7 = analog1_DAC1_B7, IO_IS = analogDAC1B[7]
1273    PORT    analog_DAC1_B8 = analog1_DAC1_B8, IO_IS = analogDAC1B[8]
1274    PORT    analog_DAC1_B9 = analog1_DAC1_B9, IO_IS = analogDAC1B[9]
1275    PORT    analog_DAC1_B10 = analog1_DAC1_B10, IO_IS = analogDAC1B[10]
1276    PORT    analog_DAC1_B11 = analog1_DAC1_B11, IO_IS = analogDAC1B[11]
1277    PORT    analog_DAC1_B12 = analog1_DAC1_B12, IO_IS = analogDAC1B[12]
1278    PORT    analog_DAC1_B13 = analog1_DAC1_B13, IO_IS = analogDAC1B[13]
1279
1280    PORT    analog_DAC2_A0 = analog1_DAC2_A0, IO_IS = analogDAC2A[0]
1281    PORT    analog_DAC2_A1 = analog1_DAC2_A1, IO_IS = analogDAC2A[1]
1282    PORT    analog_DAC2_A2 = analog1_DAC2_A2, IO_IS = analogDAC2A[2]
1283    PORT    analog_DAC2_A3 = analog1_DAC2_A3, IO_IS = analogDAC2A[3]
1284    PORT    analog_DAC2_A4 = analog1_DAC2_A4, IO_IS = analogDAC2A[4]
1285    PORT    analog_DAC2_A5 = analog1_DAC2_A5, IO_IS = analogDAC2A[5]
1286    PORT    analog_DAC2_A6 = analog1_DAC2_A6, IO_IS = analogDAC2A[6]
1287    PORT    analog_DAC2_A7 = analog1_DAC2_A7, IO_IS = analogDAC2A[7]
1288    PORT    analog_DAC2_A8 = analog1_DAC2_A8, IO_IS = analogDAC2A[8]
1289    PORT    analog_DAC2_A9 = analog1_DAC2_A9, IO_IS = analogDAC2A[9]
1290    PORT    analog_DAC2_A10 = analog1_DAC2_A10, IO_IS = analogDAC2A[10]
1291    PORT    analog_DAC2_A11 = analog1_DAC2_A11, IO_IS = analogDAC2A[11]
1292    PORT    analog_DAC2_A12 = analog1_DAC2_A12, IO_IS = analogDAC2A[12]
1293    PORT    analog_DAC2_A13 = analog1_DAC2_A13, IO_IS = analogDAC2A[13]
1294
1295    PORT    analog_DAC2_B0 = analog1_DAC2_B0, IO_IS = analogDAC2B[0]
1296    PORT    analog_DAC2_B1 = analog1_DAC2_B1, IO_IS = analogDAC2B[1]
1297    PORT    analog_DAC2_B2 = analog1_DAC2_B2, IO_IS = analogDAC2B[2]
1298    PORT    analog_DAC2_B3 = analog1_DAC2_B3, IO_IS = analogDAC2B[3]
1299    PORT    analog_DAC2_B4 = analog1_DAC2_B4, IO_IS = analogDAC2B[4]
1300    PORT    analog_DAC2_B5 = analog1_DAC2_B5, IO_IS = analogDAC2B[5]
1301    PORT    analog_DAC2_B6 = analog1_DAC2_B6, IO_IS = analogDAC2B[6]
1302    PORT    analog_DAC2_B7 = analog1_DAC2_B7, IO_IS = analogDAC2B[7]
1303    PORT    analog_DAC2_B8 = analog1_DAC2_B8, IO_IS = analogDAC2B[8]
1304    PORT    analog_DAC2_B9 = analog1_DAC2_B9, IO_IS = analogDAC2B[9]
1305    PORT    analog_DAC2_B10 = analog1_DAC2_B10, IO_IS = analogDAC2B[10]
1306    PORT    analog_DAC2_B11 = analog1_DAC2_B11, IO_IS = analogDAC2B[11]
1307    PORT    analog_DAC2_B12 = analog1_DAC2_B12, IO_IS = analogDAC2B[12]
1308    PORT    analog_DAC2_B13 = analog1_DAC2_B13, IO_IS = analogDAC2B[13]
1309
1310    PORT    analog_DAC1_sleep = analog1_DAC1_sleep
1311    PORT    analog_DAC2_sleep = analog1_DAC2_sleep
1312
1313    PORT    analog_ADC_A0 = analog1_ADC_A0, IO_IS = analogADCA[0]
1314    PORT    analog_ADC_A1 = analog1_ADC_A1, IO_IS = analogADCA[1]
1315    PORT    analog_ADC_A2 = analog1_ADC_A2, IO_IS = analogADCA[2]
1316    PORT    analog_ADC_A3 = analog1_ADC_A3, IO_IS = analogADCA[3]
1317    PORT    analog_ADC_A4 = analog1_ADC_A4, IO_IS = analogADCA[4]
1318    PORT    analog_ADC_A5 = analog1_ADC_A5, IO_IS = analogADCA[5]
1319    PORT    analog_ADC_A6 = analog1_ADC_A6, IO_IS = analogADCA[6]
1320    PORT    analog_ADC_A7 = analog1_ADC_A7, IO_IS = analogADCA[7]
1321    PORT    analog_ADC_A8 = analog1_ADC_A8, IO_IS = analogADCA[8]
1322    PORT    analog_ADC_A9 = analog1_ADC_A9, IO_IS = analogADCA[9]
1323    PORT    analog_ADC_A10 = analog1_ADC_A10, IO_IS = analogADCA[10]
1324    PORT    analog_ADC_A11 = analog1_ADC_A11, IO_IS = analogADCA[11]
1325    PORT    analog_ADC_A12 = analog1_ADC_A12, IO_IS = analogADCA[12]
1326    PORT    analog_ADC_A13 = analog1_ADC_A13, IO_IS = analogADCA[13]
1327
1328    PORT    analog_ADC_B0 = analog1_ADC_B0, IO_IS = analogADCB[0]
1329    PORT    analog_ADC_B1 = analog1_ADC_B1, IO_IS = analogADCB[1]
1330    PORT    analog_ADC_B2 = analog1_ADC_B2, IO_IS = analogADCB[2]
1331    PORT    analog_ADC_B3 = analog1_ADC_B3, IO_IS = analogADCB[3]
1332    PORT    analog_ADC_B4 = analog1_ADC_B4, IO_IS = analogADCB[4]
1333    PORT    analog_ADC_B5 = analog1_ADC_B5, IO_IS = analogADCB[5]
1334    PORT    analog_ADC_B6 = analog1_ADC_B6, IO_IS = analogADCB[6]
1335    PORT    analog_ADC_B7 = analog1_ADC_B7, IO_IS = analogADCB[7]
1336    PORT    analog_ADC_B8 = analog1_ADC_B8, IO_IS = analogADCB[8]
1337    PORT    analog_ADC_B9 = analog1_ADC_B9, IO_IS = analogADCB[9]
1338    PORT    analog_ADC_B10 = analog1_ADC_B10, IO_IS = analogADCB[10]
1339    PORT    analog_ADC_B11 = analog1_ADC_B11, IO_IS = analogADCB[11]
1340    PORT    analog_ADC_B12 = analog1_ADC_B12, IO_IS = analogADCB[12]
1341    PORT    analog_ADC_B13 = analog1_ADC_B13, IO_IS = analogADCB[13]
1342
1343    PORT    analog_ADC_DFS = analog1_ADC_DFS
1344    PORT    analog_ADC_DCS = analog1_ADC_DCS
1345    PORT    analog_ADC_pdwnA = analog1_ADC_pdwnA
1346    PORT    analog_ADC_pdwnB = analog1_ADC_pdwnB
1347    PORT    analog_ADC_otrA = analog1_ADC_otrA
1348    PORT    analog_ADC_otrB = analog1_ADC_otrB
1349   
1350    PORT    analog_LED0 = analog1_LED0, IO_IS = analogLED[0]
1351    PORT    analog_LED1 = analog1_LED1, IO_IS = analogLED[1]
1352    PORT    analog_LED2 = analog1_LED2, IO_IS = analogLED[2]
1353   
1354END
1355
1356#Analog Bridge for Slot 2
1357BEGIN IO_INTERFACE
1358    ATTRIBUTE IOTYPE = WARP_ANALOGBRIDGE_V1
1359    ATTRIBUTE INSTANCE = analog_bridge_slot_2
1360    ATTRIBUTE EXCLUSIVE = slot2
1361    ATTRIBUTE ALERT = 'Enable this peripheral only if a analog board is mounted in daughtercard slot 2.'
1362   
1363    PORT    clock_out = analog2_clock_out
1364
1365    PORT    analog_DAC1_A0 = analog2_DAC1_A0, IO_IS = analogDAC1A[0]
1366    PORT    analog_DAC1_A1 = analog2_DAC1_A1, IO_IS = analogDAC1A[1]
1367    PORT    analog_DAC1_A2 = analog2_DAC1_A2, IO_IS = analogDAC1A[2]
1368    PORT    analog_DAC1_A3 = analog2_DAC1_A3, IO_IS = analogDAC1A[3]
1369    PORT    analog_DAC1_A4 = analog2_DAC1_A4, IO_IS = analogDAC1A[4]
1370    PORT    analog_DAC1_A5 = analog2_DAC1_A5, IO_IS = analogDAC1A[5]
1371    PORT    analog_DAC1_A6 = analog2_DAC1_A6, IO_IS = analogDAC1A[6]
1372    PORT    analog_DAC1_A7 = analog2_DAC1_A7, IO_IS = analogDAC1A[7]
1373    PORT    analog_DAC1_A8 = analog2_DAC1_A8, IO_IS = analogDAC1A[8]
1374    PORT    analog_DAC1_A9 = analog2_DAC1_A9, IO_IS = analogDAC1A[9]
1375    PORT    analog_DAC1_A10 = analog2_DAC1_A10, IO_IS = analogDAC1A[10]
1376    PORT    analog_DAC1_A11 = analog2_DAC1_A11, IO_IS = analogDAC1A[11]
1377    PORT    analog_DAC1_A12 = analog2_DAC1_A12, IO_IS = analogDAC1A[12]
1378    PORT    analog_DAC1_A13 = analog2_DAC1_A13, IO_IS = analogDAC1A[13]
1379
1380    PORT    analog_DAC1_B0 = analog2_DAC1_B0, IO_IS = analogDAC1B[0]
1381    PORT    analog_DAC1_B1 = analog2_DAC1_B1, IO_IS = analogDAC1B[1]
1382    PORT    analog_DAC1_B2 = analog2_DAC1_B2, IO_IS = analogDAC1B[2]
1383    PORT    analog_DAC1_B3 = analog2_DAC1_B3, IO_IS = analogDAC1B[3]
1384    PORT    analog_DAC1_B4 = analog2_DAC1_B4, IO_IS = analogDAC1B[4]
1385    PORT    analog_DAC1_B5 = analog2_DAC1_B5, IO_IS = analogDAC1B[5]
1386    PORT    analog_DAC1_B6 = analog2_DAC1_B6, IO_IS = analogDAC1B[6]
1387    PORT    analog_DAC1_B7 = analog2_DAC1_B7, IO_IS = analogDAC1B[7]
1388    PORT    analog_DAC1_B8 = analog2_DAC1_B8, IO_IS = analogDAC1B[8]
1389    PORT    analog_DAC1_B9 = analog2_DAC1_B9, IO_IS = analogDAC1B[9]
1390    PORT    analog_DAC1_B10 = analog2_DAC1_B10, IO_IS = analogDAC1B[10]
1391    PORT    analog_DAC1_B11 = analog2_DAC1_B11, IO_IS = analogDAC1B[11]
1392    PORT    analog_DAC1_B12 = analog2_DAC1_B12, IO_IS = analogDAC1B[12]
1393    PORT    analog_DAC1_B13 = analog2_DAC1_B13, IO_IS = analogDAC1B[13]
1394
1395    PORT    analog_DAC2_A0 = analog2_DAC2_A0, IO_IS = analogDAC2A[0]
1396    PORT    analog_DAC2_A1 = analog2_DAC2_A1, IO_IS = analogDAC2A[1]
1397    PORT    analog_DAC2_A2 = analog2_DAC2_A2, IO_IS = analogDAC2A[2]
1398    PORT    analog_DAC2_A3 = analog2_DAC2_A3, IO_IS = analogDAC2A[3]
1399    PORT    analog_DAC2_A4 = analog2_DAC2_A4, IO_IS = analogDAC2A[4]
1400    PORT    analog_DAC2_A5 = analog2_DAC2_A5, IO_IS = analogDAC2A[5]
1401    PORT    analog_DAC2_A6 = analog2_DAC2_A6, IO_IS = analogDAC2A[6]
1402    PORT    analog_DAC2_A7 = analog2_DAC2_A7, IO_IS = analogDAC2A[7]
1403    PORT    analog_DAC2_A8 = analog2_DAC2_A8, IO_IS = analogDAC2A[8]
1404    PORT    analog_DAC2_A9 = analog2_DAC2_A9, IO_IS = analogDAC2A[9]
1405    PORT    analog_DAC2_A10 = analog2_DAC2_A10, IO_IS = analogDAC2A[10]
1406    PORT    analog_DAC2_A11 = analog2_DAC2_A11, IO_IS = analogDAC2A[11]
1407    PORT    analog_DAC2_A12 = analog2_DAC2_A12, IO_IS = analogDAC2A[12]
1408    PORT    analog_DAC2_A13 = analog2_DAC2_A13, IO_IS = analogDAC2A[13]
1409
1410    PORT    analog_DAC2_B0 = analog2_DAC2_B0, IO_IS = analogDAC2B[0]
1411    PORT    analog_DAC2_B1 = analog2_DAC2_B1, IO_IS = analogDAC2B[1]
1412    PORT    analog_DAC2_B2 = analog2_DAC2_B2, IO_IS = analogDAC2B[2]
1413    PORT    analog_DAC2_B3 = analog2_DAC2_B3, IO_IS = analogDAC2B[3]
1414    PORT    analog_DAC2_B4 = analog2_DAC2_B4, IO_IS = analogDAC2B[4]
1415    PORT    analog_DAC2_B5 = analog2_DAC2_B5, IO_IS = analogDAC2B[5]
1416    PORT    analog_DAC2_B6 = analog2_DAC2_B6, IO_IS = analogDAC2B[6]
1417    PORT    analog_DAC2_B7 = analog2_DAC2_B7, IO_IS = analogDAC2B[7]
1418    PORT    analog_DAC2_B8 = analog2_DAC2_B8, IO_IS = analogDAC2B[8]
1419    PORT    analog_DAC2_B9 = analog2_DAC2_B9, IO_IS = analogDAC2B[9]
1420    PORT    analog_DAC2_B10 = analog2_DAC2_B10, IO_IS = analogDAC2B[10]
1421    PORT    analog_DAC2_B11 = analog2_DAC2_B11, IO_IS = analogDAC2B[11]
1422    PORT    analog_DAC2_B12 = analog2_DAC2_B12, IO_IS = analogDAC2B[12]
1423    PORT    analog_DAC2_B13 = analog2_DAC2_B13, IO_IS = analogDAC2B[13]
1424
1425    PORT    analog_DAC1_sleep = analog2_DAC1_sleep
1426    PORT    analog_DAC2_sleep = analog2_DAC2_sleep
1427
1428    PORT    analog_ADC_A0 = analog2_ADC_A0, IO_IS = analogADCA[0]
1429    PORT    analog_ADC_A1 = analog2_ADC_A1, IO_IS = analogADCA[1]
1430    PORT    analog_ADC_A2 = analog2_ADC_A2, IO_IS = analogADCA[2]
1431    PORT    analog_ADC_A3 = analog2_ADC_A3, IO_IS = analogADCA[3]
1432    PORT    analog_ADC_A4 = analog2_ADC_A4, IO_IS = analogADCA[4]
1433    PORT    analog_ADC_A5 = analog2_ADC_A5, IO_IS = analogADCA[5]
1434    PORT    analog_ADC_A6 = analog2_ADC_A6, IO_IS = analogADCA[6]
1435    PORT    analog_ADC_A7 = analog2_ADC_A7, IO_IS = analogADCA[7]
1436    PORT    analog_ADC_A8 = analog2_ADC_A8, IO_IS = analogADCA[8]
1437    PORT    analog_ADC_A9 = analog2_ADC_A9, IO_IS = analogADCA[9]
1438    PORT    analog_ADC_A10 = analog2_ADC_A10, IO_IS = analogADCA[10]
1439    PORT    analog_ADC_A11 = analog2_ADC_A11, IO_IS = analogADCA[11]
1440    PORT    analog_ADC_A12 = analog2_ADC_A12, IO_IS = analogADCA[12]
1441    PORT    analog_ADC_A13 = analog2_ADC_A13, IO_IS = analogADCA[13]
1442
1443    PORT    analog_ADC_B0 = analog2_ADC_B0, IO_IS = analogADCB[0]
1444    PORT    analog_ADC_B1 = analog2_ADC_B1, IO_IS = analogADCB[1]
1445    PORT    analog_ADC_B2 = analog2_ADC_B2, IO_IS = analogADCB[2]
1446    PORT    analog_ADC_B3 = analog2_ADC_B3, IO_IS = analogADCB[3]
1447    PORT    analog_ADC_B4 = analog2_ADC_B4, IO_IS = analogADCB[4]
1448    PORT    analog_ADC_B5 = analog2_ADC_B5, IO_IS = analogADCB[5]
1449    PORT    analog_ADC_B6 = analog2_ADC_B6, IO_IS = analogADCB[6]
1450    PORT    analog_ADC_B7 = analog2_ADC_B7, IO_IS = analogADCB[7]
1451    PORT    analog_ADC_B8 = analog2_ADC_B8, IO_IS = analogADCB[8]
1452    PORT    analog_ADC_B9 = analog2_ADC_B9, IO_IS = analogADCB[9]
1453    PORT    analog_ADC_B10 = analog2_ADC_B10, IO_IS = analogADCB[10]
1454    PORT    analog_ADC_B11 = analog2_ADC_B11, IO_IS = analogADCB[11]
1455    PORT    analog_ADC_B12 = analog2_ADC_B12, IO_IS = analogADCB[12]
1456    PORT    analog_ADC_B13 = analog2_ADC_B13, IO_IS = analogADCB[13]
1457
1458    PORT    analog_ADC_DFS = analog2_ADC_DFS
1459    PORT    analog_ADC_DCS = analog2_ADC_DCS
1460    PORT    analog_ADC_pdwnA = analog2_ADC_pdwnA
1461    PORT    analog_ADC_pdwnB = analog2_ADC_pdwnB
1462    PORT    analog_ADC_otrA = analog2_ADC_otrA
1463    PORT    analog_ADC_otrB = analog2_ADC_otrB
1464   
1465    PORT    analog_LED0 = analog2_LED0, IO_IS = analogLED[0]
1466    PORT    analog_LED1 = analog2_LED1, IO_IS = analogLED[1]
1467    PORT    analog_LED2 = analog2_LED2, IO_IS = analogLED[2]
1468   
1469END
1470
1471#Analog Bridge for Slot 3
1472BEGIN IO_INTERFACE
1473    ATTRIBUTE IOTYPE = WARP_ANALOGBRIDGE_V1
1474    ATTRIBUTE INSTANCE = analog_bridge_slot_3
1475    ATTRIBUTE EXCLUSIVE = slot3
1476    ATTRIBUTE ALERT = 'Enable this peripheral only if a analog board is mounted in daughtercard slot 3.'
1477   
1478    PORT    clock_out = analog3_clock_out
1479
1480    PORT    analog_DAC1_A0 = analog3_DAC1_A0, IO_IS = analogDAC1A[0]
1481    PORT    analog_DAC1_A1 = analog3_DAC1_A1, IO_IS = analogDAC1A[1]
1482    PORT    analog_DAC1_A2 = analog3_DAC1_A2, IO_IS = analogDAC1A[2]
1483    PORT    analog_DAC1_A3 = analog3_DAC1_A3, IO_IS = analogDAC1A[3]
1484    PORT    analog_DAC1_A4 = analog3_DAC1_A4, IO_IS = analogDAC1A[4]
1485    PORT    analog_DAC1_A5 = analog3_DAC1_A5, IO_IS = analogDAC1A[5]
1486    PORT    analog_DAC1_A6 = analog3_DAC1_A6, IO_IS = analogDAC1A[6]
1487    PORT    analog_DAC1_A7 = analog3_DAC1_A7, IO_IS = analogDAC1A[7]
1488    PORT    analog_DAC1_A8 = analog3_DAC1_A8, IO_IS = analogDAC1A[8]
1489    PORT    analog_DAC1_A9 = analog3_DAC1_A9, IO_IS = analogDAC1A[9]
1490    PORT    analog_DAC1_A10 = analog3_DAC1_A10, IO_IS = analogDAC1A[10]
1491    PORT    analog_DAC1_A11 = analog3_DAC1_A11, IO_IS = analogDAC1A[11]
1492    PORT    analog_DAC1_A12 = analog3_DAC1_A12, IO_IS = analogDAC1A[12]
1493    PORT    analog_DAC1_A13 = analog3_DAC1_A13, IO_IS = analogDAC1A[13]
1494
1495    PORT    analog_DAC1_B0 = analog3_DAC1_B0, IO_IS = analogDAC1B[0]
1496    PORT    analog_DAC1_B1 = analog3_DAC1_B1, IO_IS = analogDAC1B[1]
1497    PORT    analog_DAC1_B2 = analog3_DAC1_B2, IO_IS = analogDAC1B[2]
1498    PORT    analog_DAC1_B3 = analog3_DAC1_B3, IO_IS = analogDAC1B[3]
1499    PORT    analog_DAC1_B4 = analog3_DAC1_B4, IO_IS = analogDAC1B[4]
1500    PORT    analog_DAC1_B5 = analog3_DAC1_B5, IO_IS = analogDAC1B[5]
1501    PORT    analog_DAC1_B6 = analog3_DAC1_B6, IO_IS = analogDAC1B[6]
1502    PORT    analog_DAC1_B7 = analog3_DAC1_B7, IO_IS = analogDAC1B[7]
1503    PORT    analog_DAC1_B8 = analog3_DAC1_B8, IO_IS = analogDAC1B[8]
1504    PORT    analog_DAC1_B9 = analog3_DAC1_B9, IO_IS = analogDAC1B[9]
1505    PORT    analog_DAC1_B10 = analog3_DAC1_B10, IO_IS = analogDAC1B[10]
1506    PORT    analog_DAC1_B11 = analog3_DAC1_B11, IO_IS = analogDAC1B[11]
1507    PORT    analog_DAC1_B12 = analog3_DAC1_B12, IO_IS = analogDAC1B[12]
1508    PORT    analog_DAC1_B13 = analog3_DAC1_B13, IO_IS = analogDAC1B[13]
1509
1510    PORT    analog_DAC2_A0 = analog3_DAC2_A0, IO_IS = analogDAC2A[0]
1511    PORT    analog_DAC2_A1 = analog3_DAC2_A1, IO_IS = analogDAC2A[1]
1512    PORT    analog_DAC2_A2 = analog3_DAC2_A2, IO_IS = analogDAC2A[2]
1513    PORT    analog_DAC2_A3 = analog3_DAC2_A3, IO_IS = analogDAC2A[3]
1514    PORT    analog_DAC2_A4 = analog3_DAC2_A4, IO_IS = analogDAC2A[4]
1515    PORT    analog_DAC2_A5 = analog3_DAC2_A5, IO_IS = analogDAC2A[5]
1516    PORT    analog_DAC2_A6 = analog3_DAC2_A6, IO_IS = analogDAC2A[6]
1517    PORT    analog_DAC2_A7 = analog3_DAC2_A7, IO_IS = analogDAC2A[7]
1518    PORT    analog_DAC2_A8 = analog3_DAC2_A8, IO_IS = analogDAC2A[8]
1519    PORT    analog_DAC2_A9 = analog3_DAC2_A9, IO_IS = analogDAC2A[9]
1520    PORT    analog_DAC2_A10 = analog3_DAC2_A10, IO_IS = analogDAC2A[10]
1521    PORT    analog_DAC2_A11 = analog3_DAC2_A11, IO_IS = analogDAC2A[11]
1522    PORT    analog_DAC2_A12 = analog3_DAC2_A12, IO_IS = analogDAC2A[12]
1523    PORT    analog_DAC2_A13 = analog3_DAC2_A13, IO_IS = analogDAC2A[13]
1524
1525    PORT    analog_DAC2_B0 = analog3_DAC2_B0, IO_IS = analogDAC2B[0]
1526    PORT    analog_DAC2_B1 = analog3_DAC2_B1, IO_IS = analogDAC2B[1]
1527    PORT    analog_DAC2_B2 = analog3_DAC2_B2, IO_IS = analogDAC2B[2]
1528    PORT    analog_DAC2_B3 = analog3_DAC2_B3, IO_IS = analogDAC2B[3]
1529    PORT    analog_DAC2_B4 = analog3_DAC2_B4, IO_IS = analogDAC2B[4]
1530    PORT    analog_DAC2_B5 = analog3_DAC2_B5, IO_IS = analogDAC2B[5]
1531    PORT    analog_DAC2_B6 = analog3_DAC2_B6, IO_IS = analogDAC2B[6]
1532    PORT    analog_DAC2_B7 = analog3_DAC2_B7, IO_IS = analogDAC2B[7]
1533    PORT    analog_DAC2_B8 = analog3_DAC2_B8, IO_IS = analogDAC2B[8]
1534    PORT    analog_DAC2_B9 = analog3_DAC2_B9, IO_IS = analogDAC2B[9]
1535    PORT    analog_DAC2_B10 = analog3_DAC2_B10, IO_IS = analogDAC2B[10]
1536    PORT    analog_DAC2_B11 = analog3_DAC2_B11, IO_IS = analogDAC2B[11]
1537    PORT    analog_DAC2_B12 = analog3_DAC2_B12, IO_IS = analogDAC2B[12]
1538    PORT    analog_DAC2_B13 = analog3_DAC2_B13, IO_IS = analogDAC2B[13]
1539
1540    PORT    analog_DAC1_sleep = analog3_DAC1_sleep
1541    PORT    analog_DAC2_sleep = analog3_DAC2_sleep
1542
1543    PORT    analog_ADC_A0 = analog3_ADC_A0, IO_IS = analogADCA[0]
1544    PORT    analog_ADC_A1 = analog3_ADC_A1, IO_IS = analogADCA[1]
1545    PORT    analog_ADC_A2 = analog3_ADC_A2, IO_IS = analogADCA[2]
1546    PORT    analog_ADC_A3 = analog3_ADC_A3, IO_IS = analogADCA[3]
1547    PORT    analog_ADC_A4 = analog3_ADC_A4, IO_IS = analogADCA[4]
1548    PORT    analog_ADC_A5 = analog3_ADC_A5, IO_IS = analogADCA[5]
1549    PORT    analog_ADC_A6 = analog3_ADC_A6, IO_IS = analogADCA[6]
1550    PORT    analog_ADC_A7 = analog3_ADC_A7, IO_IS = analogADCA[7]
1551    PORT    analog_ADC_A8 = analog3_ADC_A8, IO_IS = analogADCA[8]
1552    PORT    analog_ADC_A9 = analog3_ADC_A9, IO_IS = analogADCA[9]
1553    PORT    analog_ADC_A10 = analog3_ADC_A10, IO_IS = analogADCA[10]
1554    PORT    analog_ADC_A11 = analog3_ADC_A11, IO_IS = analogADCA[11]
1555    PORT    analog_ADC_A12 = analog3_ADC_A12, IO_IS = analogADCA[12]
1556    PORT    analog_ADC_A13 = analog3_ADC_A13, IO_IS = analogADCA[13]
1557
1558    PORT    analog_ADC_B0 = analog3_ADC_B0, IO_IS = analogADCB[0]
1559    PORT    analog_ADC_B1 = analog3_ADC_B1, IO_IS = analogADCB[1]
1560    PORT    analog_ADC_B2 = analog3_ADC_B2, IO_IS = analogADCB[2]
1561    PORT    analog_ADC_B3 = analog3_ADC_B3, IO_IS = analogADCB[3]
1562    PORT    analog_ADC_B4 = analog3_ADC_B4, IO_IS = analogADCB[4]
1563    PORT    analog_ADC_B5 = analog3_ADC_B5, IO_IS = analogADCB[5]
1564    PORT    analog_ADC_B6 = analog3_ADC_B6, IO_IS = analogADCB[6]
1565    PORT    analog_ADC_B7 = analog3_ADC_B7, IO_IS = analogADCB[7]
1566    PORT    analog_ADC_B8 = analog3_ADC_B8, IO_IS = analogADCB[8]
1567    PORT    analog_ADC_B9 = analog3_ADC_B9, IO_IS = analogADCB[9]
1568    PORT    analog_ADC_B10 = analog3_ADC_B10, IO_IS = analogADCB[10]
1569    PORT    analog_ADC_B11 = analog3_ADC_B11, IO_IS = analogADCB[11]
1570    PORT    analog_ADC_B12 = analog3_ADC_B12, IO_IS = analogADCB[12]
1571    PORT    analog_ADC_B13 = analog3_ADC_B13, IO_IS = analogADCB[13]
1572
1573    PORT    analog_ADC_DFS = analog3_ADC_DFS
1574    PORT    analog_ADC_DCS = analog3_ADC_DCS
1575    PORT    analog_ADC_pdwnA = analog3_ADC_pdwnA
1576    PORT    analog_ADC_pdwnB = analog3_ADC_pdwnB
1577    PORT    analog_ADC_otrA = analog3_ADC_otrA
1578    PORT    analog_ADC_otrB = analog3_ADC_otrB
1579   
1580    PORT    analog_LED0 = analog3_LED0, IO_IS = analogLED[0]
1581    PORT    analog_LED1 = analog3_LED1, IO_IS = analogLED[1]
1582    PORT    analog_LED2 = analog3_LED2, IO_IS = analogLED[2]
1583   
1584END
1585
1586#Analog Bridge for Slot 4
1587BEGIN IO_INTERFACE
1588    ATTRIBUTE IOTYPE = WARP_ANALOGBRIDGE_V1
1589    ATTRIBUTE INSTANCE = analog_bridge_slot_4
1590    ATTRIBUTE EXCLUSIVE = slot4
1591    ATTRIBUTE ALERT = 'Enable this peripheral only if a analog board is mounted in daughtercard slot 4.'
1592   
1593    PORT    clock_out = analog4_clock_out
1594
1595    PORT    analog_DAC1_A0 = analog4_DAC1_A0, IO_IS = analogDAC1A[0]
1596    PORT    analog_DAC1_A1 = analog4_DAC1_A1, IO_IS = analogDAC1A[1]
1597    PORT    analog_DAC1_A2 = analog4_DAC1_A2, IO_IS = analogDAC1A[2]
1598    PORT    analog_DAC1_A3 = analog4_DAC1_A3, IO_IS = analogDAC1A[3]
1599    PORT    analog_DAC1_A4 = analog4_DAC1_A4, IO_IS = analogDAC1A[4]
1600    PORT    analog_DAC1_A5 = analog4_DAC1_A5, IO_IS = analogDAC1A[5]
1601    PORT    analog_DAC1_A6 = analog4_DAC1_A6, IO_IS = analogDAC1A[6]
1602    PORT    analog_DAC1_A7 = analog4_DAC1_A7, IO_IS = analogDAC1A[7]
1603    PORT    analog_DAC1_A8 = analog4_DAC1_A8, IO_IS = analogDAC1A[8]
1604    PORT    analog_DAC1_A9 = analog4_DAC1_A9, IO_IS = analogDAC1A[9]
1605    PORT    analog_DAC1_A10 = analog4_DAC1_A10, IO_IS = analogDAC1A[10]
1606    PORT    analog_DAC1_A11 = analog4_DAC1_A11, IO_IS = analogDAC1A[11]
1607    PORT    analog_DAC1_A12 = analog4_DAC1_A12, IO_IS = analogDAC1A[12]
1608    PORT    analog_DAC1_A13 = analog4_DAC1_A13, IO_IS = analogDAC1A[13]
1609
1610    PORT    analog_DAC1_B0 = analog4_DAC1_B0, IO_IS = analogDAC1B[0]
1611    PORT    analog_DAC1_B1 = analog4_DAC1_B1, IO_IS = analogDAC1B[1]
1612    PORT    analog_DAC1_B2 = analog4_DAC1_B2, IO_IS = analogDAC1B[2]
1613    PORT    analog_DAC1_B3 = analog4_DAC1_B3, IO_IS = analogDAC1B[3]
1614    PORT    analog_DAC1_B4 = analog4_DAC1_B4, IO_IS = analogDAC1B[4]
1615    PORT    analog_DAC1_B5 = analog4_DAC1_B5, IO_IS = analogDAC1B[5]
1616    PORT    analog_DAC1_B6 = analog4_DAC1_B6, IO_IS = analogDAC1B[6]
1617    PORT    analog_DAC1_B7 = analog4_DAC1_B7, IO_IS = analogDAC1B[7]
1618    PORT    analog_DAC1_B8 = analog4_DAC1_B8, IO_IS = analogDAC1B[8]
1619    PORT    analog_DAC1_B9 = analog4_DAC1_B9, IO_IS = analogDAC1B[9]
1620    PORT    analog_DAC1_B10 = analog4_DAC1_B10, IO_IS = analogDAC1B[10]
1621    PORT    analog_DAC1_B11 = analog4_DAC1_B11, IO_IS = analogDAC1B[11]
1622    PORT    analog_DAC1_B12 = analog4_DAC1_B12, IO_IS = analogDAC1B[12]
1623    PORT    analog_DAC1_B13 = analog4_DAC1_B13, IO_IS = analogDAC1B[13]
1624
1625    PORT    analog_DAC2_A0 = analog4_DAC2_A0, IO_IS = analogDAC2A[0]
1626    PORT    analog_DAC2_A1 = analog4_DAC2_A1, IO_IS = analogDAC2A[1]
1627    PORT    analog_DAC2_A2 = analog4_DAC2_A2, IO_IS = analogDAC2A[2]
1628    PORT    analog_DAC2_A3 = analog4_DAC2_A3, IO_IS = analogDAC2A[3]
1629    PORT    analog_DAC2_A4 = analog4_DAC2_A4, IO_IS = analogDAC2A[4]
1630    PORT    analog_DAC2_A5 = analog4_DAC2_A5, IO_IS = analogDAC2A[5]
1631    PORT    analog_DAC2_A6 = analog4_DAC2_A6, IO_IS = analogDAC2A[6]
1632    PORT    analog_DAC2_A7 = analog4_DAC2_A7, IO_IS = analogDAC2A[7]
1633    PORT    analog_DAC2_A8 = analog4_DAC2_A8, IO_IS = analogDAC2A[8]
1634    PORT    analog_DAC2_A9 = analog4_DAC2_A9, IO_IS = analogDAC2A[9]
1635    PORT    analog_DAC2_A10 = analog4_DAC2_A10, IO_IS = analogDAC2A[10]
1636    PORT    analog_DAC2_A11 = analog4_DAC2_A11, IO_IS = analogDAC2A[11]
1637    PORT    analog_DAC2_A12 = analog4_DAC2_A12, IO_IS = analogDAC2A[12]
1638    PORT    analog_DAC2_A13 = analog4_DAC2_A13, IO_IS = analogDAC2A[13]
1639
1640    PORT    analog_DAC2_B0 = analog4_DAC2_B0, IO_IS = analogDAC2B[0]
1641    PORT    analog_DAC2_B1 = analog4_DAC2_B1, IO_IS = analogDAC2B[1]
1642    PORT    analog_DAC2_B2 = analog4_DAC2_B2, IO_IS = analogDAC2B[2]
1643    PORT    analog_DAC2_B3 = analog4_DAC2_B3, IO_IS = analogDAC2B[3]
1644    PORT    analog_DAC2_B4 = analog4_DAC2_B4, IO_IS = analogDAC2B[4]
1645    PORT    analog_DAC2_B5 = analog4_DAC2_B5, IO_IS = analogDAC2B[5]
1646    PORT    analog_DAC2_B6 = analog4_DAC2_B6, IO_IS = analogDAC2B[6]
1647    PORT    analog_DAC2_B7 = analog4_DAC2_B7, IO_IS = analogDAC2B[7]
1648    PORT    analog_DAC2_B8 = analog4_DAC2_B8, IO_IS = analogDAC2B[8]
1649    PORT    analog_DAC2_B9 = analog4_DAC2_B9, IO_IS = analogDAC2B[9]
1650    PORT    analog_DAC2_B10 = analog4_DAC2_B10, IO_IS = analogDAC2B[10]
1651    PORT    analog_DAC2_B11 = analog4_DAC2_B11, IO_IS = analogDAC2B[11]
1652    PORT    analog_DAC2_B12 = analog4_DAC2_B12, IO_IS = analogDAC2B[12]
1653    PORT    analog_DAC2_B13 = analog4_DAC2_B13, IO_IS = analogDAC2B[13]
1654
1655    PORT    analog_DAC1_sleep = analog4_DAC1_sleep
1656    PORT    analog_DAC2_sleep = analog4_DAC2_sleep
1657
1658    PORT    analog_ADC_A0 = analog4_ADC_A0, IO_IS = analogADCA[0]
1659    PORT    analog_ADC_A1 = analog4_ADC_A1, IO_IS = analogADCA[1]
1660    PORT    analog_ADC_A2 = analog4_ADC_A2, IO_IS = analogADCA[2]
1661    PORT    analog_ADC_A3 = analog4_ADC_A3, IO_IS = analogADCA[3]
1662    PORT    analog_ADC_A4 = analog4_ADC_A4, IO_IS = analogADCA[4]
1663    PORT    analog_ADC_A5 = analog4_ADC_A5, IO_IS = analogADCA[5]
1664    PORT    analog_ADC_A6 = analog4_ADC_A6, IO_IS = analogADCA[6]
1665    PORT    analog_ADC_A7 = analog4_ADC_A7, IO_IS = analogADCA[7]
1666    PORT    analog_ADC_A8 = analog4_ADC_A8, IO_IS = analogADCA[8]
1667    PORT    analog_ADC_A9 = analog4_ADC_A9, IO_IS = analogADCA[9]
1668    PORT    analog_ADC_A10 = analog4_ADC_A10, IO_IS = analogADCA[10]
1669    PORT    analog_ADC_A11 = analog4_ADC_A11, IO_IS = analogADCA[11]
1670    PORT    analog_ADC_A12 = analog4_ADC_A12, IO_IS = analogADCA[12]
1671    PORT    analog_ADC_A13 = analog4_ADC_A13, IO_IS = analogADCA[13]
1672
1673    PORT    analog_ADC_B0 = analog4_ADC_B0, IO_IS = analogADCB[0]
1674    PORT    analog_ADC_B1 = analog4_ADC_B1, IO_IS = analogADCB[1]
1675    PORT    analog_ADC_B2 = analog4_ADC_B2, IO_IS = analogADCB[2]
1676    PORT    analog_ADC_B3 = analog4_ADC_B3, IO_IS = analogADCB[3]
1677    PORT    analog_ADC_B4 = analog4_ADC_B4, IO_IS = analogADCB[4]
1678    PORT    analog_ADC_B5 = analog4_ADC_B5, IO_IS = analogADCB[5]
1679    PORT    analog_ADC_B6 = analog4_ADC_B6, IO_IS = analogADCB[6]
1680    PORT    analog_ADC_B7 = analog4_ADC_B7, IO_IS = analogADCB[7]
1681    PORT    analog_ADC_B8 = analog4_ADC_B8, IO_IS = analogADCB[8]
1682    PORT    analog_ADC_B9 = analog4_ADC_B9, IO_IS = analogADCB[9]
1683    PORT    analog_ADC_B10 = analog4_ADC_B10, IO_IS = analogADCB[10]
1684    PORT    analog_ADC_B11 = analog4_ADC_B11, IO_IS = analogADCB[11]
1685    PORT    analog_ADC_B12 = analog4_ADC_B12, IO_IS = analogADCB[12]
1686    PORT    analog_ADC_B13 = analog4_ADC_B13, IO_IS = analogADCB[13]
1687
1688    PORT    analog_ADC_DFS = analog4_ADC_DFS
1689    PORT    analog_ADC_DCS = analog4_ADC_DCS
1690    PORT    analog_ADC_pdwnA = analog4_ADC_pdwnA
1691    PORT    analog_ADC_pdwnB = analog4_ADC_pdwnB
1692    PORT    analog_ADC_otrA = analog4_ADC_otrA
1693    PORT    analog_ADC_otrB = analog4_ADC_otrB
1694   
1695    PORT    analog_LED0 = analog4_LED0, IO_IS = analogLED[0]
1696    PORT    analog_LED1 = analog4_LED1, IO_IS = analogLED[1]
1697    PORT    analog_LED2 = analog4_LED2, IO_IS = analogLED[2]
1698   
1699END
1700
1701# One user I/O board controller handles a single board
1702#  The user can enabled up to four controllers (one per slot)
1703BEGIN IO_INTERFACE
1704    ATTRIBUTE IOTYPE = XIL_USERIOBOARD_V1
1705    ATTRIBUTE INSTANCE = user_io_board_controller_slot1
1706    ATTRIBUTE EXCLUSIVE = slot1
1707
1708    #Hardware reset input (same as software reset)
1709    PORT reset = userio_board_slot1_reset, IO_IS = userio_board_reset
1710
1711    #LCD SPI interface
1712    PORT sdi = user_ioboard_slot1_sdi, IO_IS = userio_board_sdi
1713    PORT scl = userio_board_slot1_scl, IO_IS = userio_board_scl
1714    PORT resetlcd = userio_board_slot1_resetlcd, IO_IS = userio_board_resetlcd
1715    PORT cs = userio_board_slot1_cs, IO_IS = userio_board_cs
1716
1717    #Buzzer output
1718    PORT buzzer = userio_board_slot1_buzzer, IO_IS = userio_board_buzzer
1719
1720    #Trackball I/O
1721    PORT trackball_yscn = userio_board_slot1_trackball_yscn, IO_IS = userio_board_trackball_yscn
1722    PORT trackball_sel1 = userio_board_slot1_trackball_sel1, IO_IS = userio_board_trackball_sel1
1723    PORT trackball_xscn = userio_board_slot1_trackball_xscn, IO_IS = userio_board_trackball_xscn
1724    PORT trackball_sel2 = userio_board_slot1_trackball_sel2, IO_IS = userio_board_trackball_sel2
1725    PORT trackball_oyn = userio_board_slot1_trackball_oyn, IO_IS = userio_board_trackball_oyn
1726    PORT trackball_oy = userio_board_slot1_trackball_oy, IO_IS = userio_board_trackball_oy
1727    PORT trackball_oxn = userio_board_slot1_trackball_oxn, IO_IS = userio_board_trackball_oxn
1728    PORT trackball_ox = userio_board_slot1_trackball_ox, IO_IS = userio_board_trackball_ox
1729
1730    #Eight LEDs
1731    PORT leds_0 = userio_board_slot1_leds_0, IO_IS = userio_board_leds[0]
1732    PORT leds_1 = userio_board_slot1_leds_1, IO_IS = userio_board_leds[1]
1733    PORT leds_2 = userio_board_slot1_leds_2, IO_IS = userio_board_leds[2]
1734    PORT leds_3 = userio_board_slot1_leds_3, IO_IS = userio_board_leds[3]
1735    PORT leds_4 = userio_board_slot1_leds_4, IO_IS = userio_board_leds[4]
1736    PORT leds_5 = userio_board_slot1_leds_5, IO_IS = userio_board_leds[5]
1737    PORT leds_6 = userio_board_slot1_leds_6, IO_IS = userio_board_leds[6]
1738    PORT leds_7 = userio_board_slot1_leds_7, IO_IS = userio_board_leds[7]
1739
1740    #DIP switch
1741    PORT dip_switch_0 = userio_board_slot1_dip_switch_0, IO_IS = userio_board_dip_switch[0]
1742    PORT dip_switch_1 = userio_board_slot1_dip_switch_1, IO_IS = userio_board_dip_switch[1]
1743    PORT dip_switch_2 = userio_board_slot1_dip_switch_2, IO_IS = userio_board_dip_switch[2]
1744    PORT dip_switch_3 = userio_board_slot1_dip_switch_3, IO_IS = userio_board_dip_switch[3]
1745
1746    #Six small push buttons
1747    PORT buttons_small_0 = userio_board_slot1_buttons_small_0, IO_IS = userio_board_buttons_small[0]
1748    PORT buttons_small_1 = userio_board_slot1_buttons_small_1, IO_IS = userio_board_buttons_small[1]
1749    PORT buttons_small_2 = userio_board_slot1_buttons_small_2, IO_IS = userio_board_buttons_small[2]
1750    PORT buttons_small_3 = userio_board_slot1_buttons_small_3, IO_IS = userio_board_buttons_small[3]
1751    PORT buttons_small_4 = userio_board_slot1_buttons_small_4, IO_IS = userio_board_buttons_small[4]
1752    PORT buttons_small_5 = userio_board_slot1_buttons_small_5, IO_IS = userio_board_buttons_small[5]
1753
1754    #Two big push buttons
1755    PORT buttons_big_0 = userio_board_slot1_buttons_big_0, IO_IS = userio_board_buttons_big[0]
1756    PORT buttons_big_1 = userio_board_slot1_buttons_big_1, IO_IS = userio_board_buttons_big[1]
1757END
1758
1759BEGIN IO_INTERFACE
1760    ATTRIBUTE IOTYPE = XIL_EMC_V1
1761    ATTRIBUTE INSTANCE = SRAM0_ZBT_512Kx32
1762    PARAMETER C_NUM_BANKS_MEM = 1, IO_IS=C_NUM_BANKS_MEM
1763    PARAMETER C_DEV_MIR_ENABLE = 0, IO_IS=C_DEV_MIR_ENABLE
1764    PARAMETER C_MAX_MEM_WIDTH = 32, IO_IS=C_MAX_MEM_WIDTH
1765    PARAMETER C_INCLUDE_NEGEDGE_IOREGS = 1, IO_IS=C_INCLUDE_NEGEDGE_IOREGS
1766
1767    PARAMETER C_INCLUDE_BURST_CACHELN_SUPPORT = 1, IO_IS=C_INCLUDE_BURST_CACHELN_SUPPORT
1768    PARAMETER C_INCLUDE_DATAWIDTH_MATCHING_0 = 1, IO_IS=C_INCLUDE_DATAWIDTH_MATCHING_0
1769    PARAMETER C_MEM0_BASEADDR = 0x0, IO_IS=C_MEM0_BASEADDR, SHORT_DESC=SRAM_256Kx32
1770    PARAMETER C_MEM0_HIGHADDR = 0x1FFFFF, IO_IS=C_MEM0_HIGHADDR
1771    PARAMETER C_MEM0_WIDTH = 32, IO_IS=C_MEM0_WIDTH
1772    PARAMETER C_SYNCH_MEM_0 = 1, IO_IS=C_SYNCH_MEM_0
1773    PARAMETER C_SYNCH_PIPEDELAY_0 = 2, IO_IS=C_SYNCH_PIPEDELAY_0
1774
1775    PARAMETER C_READ_ADDR_TO_OUT_SLOW_PS_0 = 0,  IO_IS = C_READ_ADDR_TO_OUT_SLOW_PS_0
1776    PARAMETER C_WRITE_ADDR_TO_OUT_SLOW_PS_0 = 0, IO_IS = C_WRITE_ADDR_TO_OUT_SLOW_PS_0
1777    PARAMETER C_WRITE_MIN_PULSE_WIDTH_PS_0 = 0,  IO_IS = C_WRITE_MIN_PULSE_WIDTH_PS_0
1778    PARAMETER C_READ_ADDR_TO_OUT_FAST_PS_0 = 0,  IO_IS = C_READ_ADDR_TO_OUT_FAST_PS_0
1779    PARAMETER C_WRITE_ADDR_TO_OUT_FAST_PS_0 = 0, IO_IS = C_WRITE_ADDR_TO_OUT_FAST_PS_0
1780    PARAMETER C_READ_RECOVERY_BEFORE_WRITE_PS_0 = 0, IO_IS = C_READ_RECOVERY_BEFORE_WRITE_PS_0
1781    PARAMETER C_WRITE_RECOVERY_BEFORE_READ_PS_0 = 0, IO_IS = C_WRITE_RECOVERY_BEFORE_READ_PS_0
1782
1783    PORT A0 = CONN_SRAM0_A0, IO_IS = emc_addr[29]
1784    PORT A1 = CONN_SRAM0_A1, IO_IS = emc_addr[28]
1785    PORT A2 = CONN_SRAM0_A2, IO_IS = emc_addr[27]
1786    PORT A3 = CONN_SRAM0_A3, IO_IS = emc_addr[26]
1787    PORT A4 = CONN_SRAM0_A4, IO_IS = emc_addr[25]
1788    PORT A5 = CONN_SRAM0_A5, IO_IS = emc_addr[24]
1789    PORT A6 = CONN_SRAM0_A6, IO_IS = emc_addr[23]
1790    PORT A7 = CONN_SRAM0_A7, IO_IS = emc_addr[22]
1791    PORT A8 = CONN_SRAM0_A8, IO_IS = emc_addr[21]
1792    PORT A9 = CONN_SRAM0_A9, IO_IS = emc_addr[20]
1793    PORT A10 = CONN_SRAM0_A10, IO_IS = emc_addr[19]
1794    PORT A11 = CONN_SRAM0_A11, IO_IS = emc_addr[18]
1795    PORT A12 = CONN_SRAM0_A12, IO_IS = emc_addr[17]
1796    PORT A13 = CONN_SRAM0_A13, IO_IS = emc_addr[16]
1797    PORT A14 = CONN_SRAM0_A14, IO_IS = emc_addr[15]
1798    PORT A15 = CONN_SRAM0_A15, IO_IS = emc_addr[14]
1799    PORT A16 = CONN_SRAM0_A16, IO_IS = emc_addr[13]
1800    PORT A17 = CONN_SRAM0_A17, IO_IS = emc_addr[12]
1801    PORT A18 = CONN_SRAM0_A18, IO_IS = emc_addr[11]
1802
1803    PORT BEN0 = CONN_SRAM0_BEN0, IO_IS = emc_ben[3]
1804    PORT BEN1 = CONN_SRAM0_BEN1, IO_IS = emc_ben[2]
1805    PORT BEN2 = CONN_SRAM0_BEN2, IO_IS = emc_ben[1]
1806    PORT BEN3 = CONN_SRAM0_BEN3, IO_IS = emc_ben[0]
1807    PORT WEN = CONN_SRAM0_WEN, IO_IS=emc_wen
1808
1809    PORT D0 = CONN_SRAM0_D0, IO_IS = emc_data[31]
1810    PORT D1 = CONN_SRAM0_D1, IO_IS = emc_data[30]
1811    PORT D2 = CONN_SRAM0_D2, IO_IS = emc_data[29]
1812    PORT D3 = CONN_SRAM0_D3, IO_IS = emc_data[28]
1813    PORT D4 = CONN_SRAM0_D4, IO_IS = emc_data[27]
1814    PORT D5 = CONN_SRAM0_D5, IO_IS = emc_data[26]
1815    PORT D6 = CONN_SRAM0_D6,  IO_IS = emc_data[25]
1816    PORT D7 = CONN_SRAM0_D7, IO_IS = emc_data[24]
1817    PORT D8 = CONN_SRAM0_D8, IO_IS = emc_data[23]
1818    PORT D9 = CONN_SRAM0_D9, IO_IS = emc_data[22]
1819    PORT D10 = CONN_SRAM0_D10, IO_IS = emc_data[21]
1820    PORT D11 = CONN_SRAM0_D11, IO_IS = emc_data[20]
1821    PORT D12 = CONN_SRAM0_D12, IO_IS = emc_data[19]
1822    PORT D13 = CONN_SRAM0_D13, IO_IS = emc_data[18]
1823    PORT D14 = CONN_SRAM0_D14, IO_IS = emc_data[17]
1824    PORT D15 = CONN_SRAM0_D15, IO_IS = emc_data[16]
1825    PORT D16 = CONN_SRAM0_D16, IO_IS = emc_data[15]
1826    PORT D17 = CONN_SRAM0_D17, IO_IS = emc_data[14]
1827    PORT D18 = CONN_SRAM0_D18, IO_IS = emc_data[13]
1828    PORT D19 = CONN_SRAM0_D19, IO_IS = emc_data[12]
1829    PORT D20 = CONN_SRAM0_D20, IO_IS = emc_data[11]
1830    PORT D21 = CONN_SRAM0_D21, IO_IS = emc_data[10]
1831    PORT D22 = CONN_SRAM0_D22, IO_IS = emc_data[9]
1832    PORT D23 = CONN_SRAM0_D23, IO_IS = emc_data[8]
1833    PORT D24 = CONN_SRAM0_D24, IO_IS = emc_data[7]
1834    PORT D25 = CONN_SRAM0_D25, IO_IS = emc_data[6]
1835    PORT D26 = CONN_SRAM0_D26, IO_IS = emc_data[5]
1836    PORT D27 = CONN_SRAM0_D27, IO_IS = emc_data[4]
1837    PORT D28 = CONN_SRAM0_D28, IO_IS = emc_data[3]
1838    PORT D29 = CONN_SRAM0_D29, IO_IS = emc_data[2]
1839    PORT D30 = CONN_SRAM0_D30, IO_IS = emc_data[1]
1840    PORT D31 = CONN_SRAM0_D31, IO_IS = emc_data[0]
1841
1842    PORT OEN = CONN_SRAM0_OEN, IO_IS = emc_oen[0]
1843    PORT ADV_LDN = CONN_SRAM0_ADV_LDN, IO_IS = emc_adv_ldn
1844    PORT ZBT_CLK_OUT = CONN_SRAM0_CLK, IO_IS=EMC_CLK_OUT
1845
1846    PORT CKEN_PORT = CONN_SRAM0_CKEN, IO_IS = emc_cken
1847    PORT CE_PORT = CONN_SRAM0_CE, IO_IS = emc_ce   
1848END
1849
1850BEGIN IO_INTERFACE
1851    ATTRIBUTE IOTYPE = XIL_EMC_V1
1852    ATTRIBUTE INSTANCE = SRAM1_ZBT_512Kx32
1853    PARAMETER C_NUM_BANKS_MEM = 1, IO_IS=C_NUM_BANKS_MEM
1854    PARAMETER C_DEV_MIR_ENABLE = 0, IO_IS=C_DEV_MIR_ENABLE
1855    PARAMETER C_MAX_MEM_WIDTH = 32, IO_IS=C_MAX_MEM_WIDTH
1856    PARAMETER C_INCLUDE_NEGEDGE_IOREGS = 1, IO_IS=C_INCLUDE_NEGEDGE_IOREGS
1857
1858    PARAMETER C_INCLUDE_BURST_CACHELN_SUPPORT = 1, IO_IS=C_INCLUDE_BURST_CACHELN_SUPPORT
1859    PARAMETER C_INCLUDE_DATAWIDTH_MATCHING_0 = 1, IO_IS=C_INCLUDE_DATAWIDTH_MATCHING_0
1860    PARAMETER C_MEM0_BASEADDR = 0x0, IO_IS=C_MEM0_BASEADDR, SHORT_DESC=SRAM_256Kx32
1861    PARAMETER C_MEM0_HIGHADDR = 0x1FFFFF, IO_IS=C_MEM0_HIGHADDR
1862    PARAMETER C_MEM0_WIDTH = 32, IO_IS=C_MEM0_WIDTH
1863    PARAMETER C_SYNCH_MEM_0 = 1, IO_IS=C_SYNCH_MEM_0
1864    PARAMETER C_SYNCH_PIPEDELAY_0 = 2, IO_IS=C_SYNCH_PIPEDELAY_0
1865
1866    PARAMETER C_READ_ADDR_TO_OUT_SLOW_PS_0 = 0,  IO_IS = C_READ_ADDR_TO_OUT_SLOW_PS_0
1867    PARAMETER C_WRITE_ADDR_TO_OUT_SLOW_PS_0 = 0, IO_IS = C_WRITE_ADDR_TO_OUT_SLOW_PS_0
1868    PARAMETER C_WRITE_MIN_PULSE_WIDTH_PS_0 = 0,  IO_IS = C_WRITE_MIN_PULSE_WIDTH_PS_0
1869    PARAMETER C_READ_ADDR_TO_OUT_FAST_PS_0 = 0,  IO_IS = C_READ_ADDR_TO_OUT_FAST_PS_0
1870    PARAMETER C_WRITE_ADDR_TO_OUT_FAST_PS_0 = 0, IO_IS = C_WRITE_ADDR_TO_OUT_FAST_PS_0
1871    PARAMETER C_READ_RECOVERY_BEFORE_WRITE_PS_0 = 0, IO_IS = C_READ_RECOVERY_BEFORE_WRITE_PS_0
1872    PARAMETER C_WRITE_RECOVERY_BEFORE_READ_PS_0 = 0, IO_IS = C_WRITE_RECOVERY_BEFORE_READ_PS_0
1873
1874    PORT A0 = CONN_SRAM1_A0, IO_IS = emc_addr[29]
1875    PORT A1 = CONN_SRAM1_A1, IO_IS = emc_addr[28]
1876    PORT A2 = CONN_SRAM1_A2, IO_IS = emc_addr[27]
1877    PORT A3 = CONN_SRAM1_A3, IO_IS = emc_addr[26]
1878    PORT A4 = CONN_SRAM1_A4, IO_IS = emc_addr[25]
1879    PORT A5 = CONN_SRAM1_A5, IO_IS = emc_addr[24]
1880    PORT A6 = CONN_SRAM1_A6, IO_IS = emc_addr[23]
1881    PORT A7 = CONN_SRAM1_A7, IO_IS = emc_addr[22]
1882    PORT A8 = CONN_SRAM1_A8, IO_IS = emc_addr[21]
1883    PORT A9 = CONN_SRAM1_A9, IO_IS = emc_addr[20]
1884    PORT A10 = CONN_SRAM1_A10, IO_IS = emc_addr[19]
1885    PORT A11 = CONN_SRAM1_A11, IO_IS = emc_addr[18]
1886    PORT A12 = CONN_SRAM1_A12, IO_IS = emc_addr[17]
1887    PORT A13 = CONN_SRAM1_A13, IO_IS = emc_addr[16]
1888    PORT A14 = CONN_SRAM1_A14, IO_IS = emc_addr[15]
1889    PORT A15 = CONN_SRAM1_A15, IO_IS = emc_addr[14]
1890    PORT A16 = CONN_SRAM1_A16, IO_IS = emc_addr[13]
1891    PORT A17 = CONN_SRAM1_A17, IO_IS = emc_addr[12]
1892    PORT A18 = CONN_SRAM1_A18, IO_IS = emc_addr[11]
1893
1894    PORT BEN0 = CONN_SRAM1_BEN0, IO_IS = emc_ben[3]
1895    PORT BEN1 = CONN_SRAM1_BEN1, IO_IS = emc_ben[2]
1896    PORT BEN2 = CONN_SRAM1_BEN2, IO_IS = emc_ben[1]
1897    PORT BEN3 = CONN_SRAM1_BEN3, IO_IS = emc_ben[0]
1898    PORT WEN = CONN_SRAM1_WEN, IO_IS=emc_wen
1899
1900    PORT D0 = CONN_SRAM1_D0, IO_IS = emc_data[31]
1901    PORT D1 = CONN_SRAM1_D1, IO_IS = emc_data[30]
1902    PORT D2 = CONN_SRAM1_D2, IO_IS = emc_data[29]
1903    PORT D3 = CONN_SRAM1_D3, IO_IS = emc_data[28]
1904    PORT D4 = CONN_SRAM1_D4, IO_IS = emc_data[27]
1905    PORT D5 = CONN_SRAM1_D5, IO_IS = emc_data[26]
1906    PORT D6 = CONN_SRAM1_D6,  IO_IS = emc_data[25]
1907    PORT D7 = CONN_SRAM1_D7, IO_IS = emc_data[24]
1908    PORT D8 = CONN_SRAM1_D8, IO_IS = emc_data[23]
1909    PORT D9 = CONN_SRAM1_D9, IO_IS = emc_data[22]
1910    PORT D10 = CONN_SRAM1_D10, IO_IS = emc_data[21]
1911    PORT D11 = CONN_SRAM1_D11, IO_IS = emc_data[20]
1912    PORT D12 = CONN_SRAM1_D12, IO_IS = emc_data[19]
1913    PORT D13 = CONN_SRAM1_D13, IO_IS = emc_data[18]
1914    PORT D14 = CONN_SRAM1_D14, IO_IS = emc_data[17]
1915    PORT D15 = CONN_SRAM1_D15, IO_IS = emc_data[16]
1916    PORT D16 = CONN_SRAM1_D16, IO_IS = emc_data[15]
1917    PORT D17 = CONN_SRAM1_D17, IO_IS = emc_data[14]
1918    PORT D18 = CONN_SRAM1_D18, IO_IS = emc_data[13]
1919    PORT D19 = CONN_SRAM1_D19, IO_IS = emc_data[12]
1920    PORT D20 = CONN_SRAM1_D20, IO_IS = emc_data[11]
1921    PORT D21 = CONN_SRAM1_D21, IO_IS = emc_data[10]
1922    PORT D22 = CONN_SRAM1_D22, IO_IS = emc_data[9]
1923    PORT D23 = CONN_SRAM1_D23, IO_IS = emc_data[8]
1924    PORT D24 = CONN_SRAM1_D24, IO_IS = emc_data[7]
1925    PORT D25 = CONN_SRAM1_D25, IO_IS = emc_data[6]
1926    PORT D26 = CONN_SRAM1_D26, IO_IS = emc_data[5]
1927    PORT D27 = CONN_SRAM1_D27, IO_IS = emc_data[4]
1928    PORT D28 = CONN_SRAM1_D28, IO_IS = emc_data[3]
1929    PORT D29 = CONN_SRAM1_D29, IO_IS = emc_data[2]
1930    PORT D30 = CONN_SRAM1_D30, IO_IS = emc_data[1]
1931    PORT D31 = CONN_SRAM1_D31, IO_IS = emc_data[0]
1932
1933    PORT OEN = CONN_SRAM1_OEN, IO_IS = emc_oen[0]
1934    PORT ADV_LDN = CONN_SRAM1_ADV_LDN, IO_IS = emc_adv_ldn
1935    PORT ZBT_CLK_OUT = CONN_SRAM1_CLK, IO_IS=EMC_CLK_OUT
1936
1937    PORT CKEN_PORT = CONN_SRAM1_CKEN, IO_IS = emc_cken
1938    PORT CE_PORT = CONN_SRAM1_CE, IO_IS = emc_ce   
1939END
1940
1941# This is the FPGA definition. First characterize the processor.
1942BEGIN FPGA
1943    ATTRIBUTE INSTANCE = fpga_0
1944    ATTRIBUTE FAMILY = virtex2p
1945    ATTRIBUTE  DEVICE =  XC2VP70
1946    ATTRIBUTE PACKAGE =  FF1517
1947    ATTRIBUTE SPEED_GRADE = -6
1948    ATTRIBUTE JTAG_POSITION = 2 #SysaceCF is in position 1
1949
1950### Clock ###  Use the same port connection names as defined above.
1951#   PORT CLK_0 = CONN_GCLK0_GCLK5S, UCF_NET_STRING=("LOC=AH21", "IOSTANDARD = LVTTL")
1952    PORT CLK_100 = clk100_osc, UCF_NET_STRING=("LOC=AH21", "IOSTANDARD = LVTTL")
1953    PORT CLK_40 = CONN_GCLK0_GCLK6P, UCF_NET_STRING=("LOC=AT20", "IOSTANDARD = LVTTL")
1954
1955### RESET ### #Down push button
1956    PORT RESET = CONN_INIT_INIT, UCF_NET_STRING=("LOC=AM16", "IOSTANDARD = LVTTL")
1957
1958### Clock Board Configurator ###
1959    PORT clk_board_radio_DO = clk_board_radio_DO, UCF_NET_STRING=("LOC=AN25", "IOSTANDARD=LVTTL", "SLEW = SLOW")
1960    PORT clk_board_radio_CS = clk_board_radio_CS, UCF_NET_STRING=("LOC=AK26", "IOSTANDARD=LVTTL", "SLEW = SLOW")
1961    PORT clk_board_radio_EN = clk_board_radio_EN, UCF_NET_STRING=("LOC=AJ25", "IOSTANDARD=LVTTL", "SLEW = SLOW")
1962    PORT clk_board_radio_CLK = clk_board_radio_CLK, UCF_NET_STRING=("LOC=AL26", "IOSTANDARD=LVTTL", "SLEW = SLOW")
1963    PORT clk_board_logic_DO = clk_board_logic_DO, UCF_NET_STRING=("LOC=AT27", "IOSTANDARD=LVTTL", "SLEW = SLOW")
1964    PORT clk_board_logic_CS = clk_board_logic_CS, UCF_NET_STRING=("LOC=AR27", "IOSTANDARD=LVTTL", "SLEW = SLOW")
1965    PORT clk_board_logic_EN = clk_board_logic_EN, UCF_NET_STRING=("LOC=AN27", "IOSTANDARD=LVTTL", "SLEW = SLOW")
1966    PORT clk_board_logic_CLK = clk_board_logic_CLK, UCF_NET_STRING=("LOC=AM27", "IOSTANDARD=LVTTL", "SLEW = SLOW")
1967
1968##Radio Bridge for Slot #1
1969#   PORT radio1_conv_clk_p = radio1_conv_clk_p, UCF_NET_STRING=("LOC=M8", "IOSTANDARD=LVDCI_33")
1970    PORT radio1_conv_clk_p = radio1_conv_clk_p, UCF_NET_STRING=("LOC=L2", "IOSTANDARD=LVTTL")
1971    PORT radio1_EEPROM_IO = radio1_EEPROM_IO, UCF_NET_STRING=("LOC=K3", "IOSTANDARD=LVTTL", "SLEW = SLOW", "DRIVE = 8")
1972    PORT dac1_spi_clk_pin = dac1_spi_clk, UCF_NET_STRING=("LOC=T7", "IOSTANDARD=LVTTL")
1973    PORT dac1_spi_cs_pin = dac1_spi_cs, UCF_NET_STRING=("LOC=T6", "IOSTANDARD=LVTTL")
1974    PORT dac1_spi_data_pin = dac1_spi_data, UCF_NET_STRING=("LOC=R8", "IOSTANDARD=LVTTL")
1975    PORT radio1_24PA_pin = radio1_24PA, UCF_NET_STRING=("LOC=E6", "IOSTANDARD=LVTTL", "SLEW = SLOW", "DRIVE = 2")
1976    PORT radio1_5PA_pin = radio1_5PA, UCF_NET_STRING=("LOC=N10", "IOSTANDARD=LVTTL", "SLEW = SLOW", "DRIVE = 2")
1977    PORT radio1_ANTSW0_pin = radio1_ANTSW0, UCF_NET_STRING=("LOC=D5", "IOSTANDARD=LVTTL", "SLEW = SLOW", "DRIVE = 2")
1978    PORT radio1_ANTSW1_pin = radio1_ANTSW1, UCF_NET_STRING=("LOC=K6", "IOSTANDARD=LVTTL", "SLEW = SLOW", "DRIVE = 2")
1979    PORT radio1_dac1_PLL_LOCK_pin = radio1_dac1_PLL_LOCK, UCF_NET_STRING=("LOC=V5", "IOSTANDARD=LVTTL")
1980    PORT radio1_dac1_RESET_pin = radio1_dac1_RESET, UCF_NET_STRING=("LOC=U2", "IOSTANDARD=LVTTL")
1981    PORT radio1_DIPSW0_pin = radio1_DIPSW0, UCF_NET_STRING=("LOC=T2", "IOSTANDARD=LVTTL")
1982    PORT radio1_DIPSW1_pin = radio1_DIPSW1, UCF_NET_STRING=("LOC=P3", "IOSTANDARD=LVTTL")
1983    PORT radio1_DIPSW2_pin = radio1_DIPSW2, UCF_NET_STRING=("LOC=R1", "IOSTANDARD=LVTTL")
1984    PORT radio1_DIPSW3_pin = radio1_DIPSW3, UCF_NET_STRING=("LOC=R2", "IOSTANDARD=LVTTL")
1985    PORT radio1_LD_pin = radio1_LD, UCF_NET_STRING=("LOC=P6", "IOSTANDARD=LVTTL")
1986    PORT radio1_LED0_pin = radio1_LED0, UCF_NET_STRING=("LOC=F7", "IOSTANDARD=LVTTL", "SLEW = SLOW", "DRIVE = 2")
1987    PORT radio1_LED1_pin = radio1_LED1, UCF_NET_STRING=("LOC=L8", "IOSTANDARD=LVTTL", "SLEW = SLOW", "DRIVE = 2")
1988    PORT radio1_LED2_pin = radio1_LED2, UCF_NET_STRING=("LOC=H2", "IOSTANDARD=LVTTL", "SLEW = SLOW", "DRIVE = 2")
1989    PORT radio1_rssi_ADC_clk_pin = radio1_rssi_ADC_clk, UCF_NET_STRING=("LOC=N1", "IOSTANDARD=LVTTL")
1990    PORT radio1_RSSI_ADC_CLAMP_pin = radio1_RSSI_ADC_CLAMP, UCF_NET_STRING=("LOC=V2", "IOSTANDARD=LVTTL")
1991    PORT radio1_RSSI_ADC_D0_pin = radio1_RSSI_ADC_D0, UCF_NET_STRING=("LOC=R9", "IOSTANDARD=LVTTL", "PULLDOWN")
1992    PORT radio1_RSSI_ADC_D1_pin = radio1_RSSI_ADC_D1, UCF_NET_STRING=("LOC=W3", "IOSTANDARD=LVTTL", "PULLDOWN")
1993    PORT radio1_RSSI_ADC_D2_pin = radio1_RSSI_ADC_D2, UCF_NET_STRING=("LOC=R6", "IOSTANDARD=LVTTL", "PULLDOWN")
1994    PORT radio1_RSSI_ADC_D3_pin = radio1_RSSI_ADC_D3, UCF_NET_STRING=("LOC=R7", "IOSTANDARD=LVTTL", "PULLDOWN")
1995    PORT radio1_RSSI_ADC_D4_pin = radio1_RSSI_ADC_D4, UCF_NET_STRING=("LOC=P5", "IOSTANDARD=LVTTL", "PULLDOWN")
1996    PORT radio1_RSSI_ADC_D5_pin = radio1_RSSI_ADC_D5, UCF_NET_STRING=("LOC=T12", "IOSTANDARD=LVTTL", "PULLDOWN")
1997    PORT radio1_RSSI_ADC_D6_pin = radio1_RSSI_ADC_D6, UCF_NET_STRING=("LOC=U6", "IOSTANDARD=LVTTL", "PULLDOWN")
1998    PORT radio1_RSSI_ADC_D7_pin = radio1_RSSI_ADC_D7, UCF_NET_STRING=("LOC=W6", "IOSTANDARD=LVTTL", "PULLDOWN")
1999    PORT radio1_RSSI_ADC_D8_pin = radio1_RSSI_ADC_D8, UCF_NET_STRING=("LOC=W7", "IOSTANDARD=LVTTL", "PULLDOWN")
2000    PORT radio1_RSSI_ADC_D9_pin = radio1_RSSI_ADC_D9, UCF_NET_STRING=("LOC=Y7", "IOSTANDARD=LVTTL", "PULLDOWN")
2001    PORT radio1_RSSI_ADC_HIZ_pin = radio1_RSSI_ADC_HIZ, UCF_NET_STRING=("LOC=V1", "IOSTANDARD=LVTTL")
2002    PORT radio1_RSSI_ADC_OTR_pin = radio1_RSSI_ADC_OTR, UCF_NET_STRING=("LOC=T8", "IOSTANDARD=LVTTL")
2003    PORT radio1_RSSI_ADC_SLEEP_pin = radio1_RSSI_ADC_SLEEP, UCF_NET_STRING=("LOC=P7", "IOSTANDARD=LVTTL")
2004    PORT radio1_RX_ADC_DCS_pin = radio1_RX_ADC_DCS, UCF_NET_STRING=("LOC=M7", "IOSTANDARD=LVTTL")
2005    PORT radio1_RX_ADC_DFS_pin = radio1_RX_ADC_DFS, UCF_NET_STRING=("LOC=N2", "IOSTANDARD=LVTTL")
2006    PORT radio1_RX_ADC_OTRA_pin = radio1_RX_ADC_OTRA, UCF_NET_STRING=("LOC=H7", "IOSTANDARD=LVTTL")
2007    PORT radio1_RX_ADC_OTRB_pin = radio1_RX_ADC_OTRB, UCF_NET_STRING=("LOC=K9", "IOSTANDARD=LVTTL")
2008    PORT radio1_RX_ADC_PWDNA_pin = radio1_RX_ADC_PWDNA, UCF_NET_STRING=("LOC=H8", "IOSTANDARD=LVTTL")
2009    PORT radio1_RX_ADC_PWDNB_pin = radio1_RX_ADC_PWDNB, UCF_NET_STRING=("LOC=R11", "IOSTANDARD=LVTTL")
2010    PORT radio1_TxEn_pin = radio1_TxEn, UCF_NET_STRING=("LOC=R4", "IOSTANDARD=LVTTL", "SLEW = SLOW")
2011    PORT radio1_RxEn_pin = radio1_RxEn, UCF_NET_STRING=("LOC=L4", "IOSTANDARD=LVTTL", "SLEW = SLOW")
2012    PORT radio1_RxHP_pin = radio1_RxHP, UCF_NET_STRING=("LOC=M6", "IOSTANDARD=LVTTL", "SLEW = SLOW")
2013    PORT radio1_SHDN_pin = radio1_SHDN, UCF_NET_STRING=("LOC=L5", "IOSTANDARD=LVTTL", "SLEW = SLOW")
2014    PORT radio1_spi_clk_pin = radio1_spi_clk, UCF_NET_STRING=("LOC=P9", "IOSTANDARD=LVTTL")
2015    PORT radio1_spi_cs_pin = radio1_spi_cs, UCF_NET_STRING=("LOC=R3", "IOSTANDARD=LVTTL")
2016    PORT radio1_spi_data_pin = radio1_spi_data, UCF_NET_STRING=("LOC=R5", "IOSTANDARD=LVTTL")
2017
2018    PORT radio1_b0_pin = radio1_b0, UCF_NET_STRING=("LOC=P1", "IOSTANDARD = LVTTL", "SLEW = SLOW") #Radio_B1
2019    PORT radio1_b1_pin = radio1_b1, UCF_NET_STRING=("LOC=P8", "IOSTANDARD = LVTTL", "SLEW = SLOW") #Radio_B2
2020    PORT radio1_b2_pin = radio1_b2, UCF_NET_STRING=("LOC=P10", "IOSTANDARD = LVTTL", "SLEW = SLOW") #Radio_B3
2021    PORT radio1_b3_pin = radio1_b3, UCF_NET_STRING=("LOC=N3", "IOSTANDARD = LVTTL", "SLEW = SLOW") #Radio_B4
2022    PORT radio1_b4_pin = radio1_b4, UCF_NET_STRING=("LOC=P4", "IOSTANDARD = LVTTL", "SLEW = SLOW") #Radio_B5
2023    PORT radio1_b5_pin = radio1_b5, UCF_NET_STRING=("LOC=K1", "IOSTANDARD = LVTTL", "SLEW = SLOW") #Radio_B6
2024    PORT radio1_b6_pin = radio1_b6, UCF_NET_STRING=("LOC=K2", "IOSTANDARD = LVTTL", "SLEW = SLOW") #Radio_B7
2025
2026    PORT radio1_DAC_I0_pin = radio1_DAC_I0, UCF_NET_STRING=("LOC=Y3", "IOSTANDARD = LVTTL")
2027    PORT radio1_DAC_I1_pin = radio1_DAC_I1, UCF_NET_STRING=("LOC=W13", "IOSTANDARD = LVTTL")
2028    PORT radio1_DAC_I2_pin = radio1_DAC_I2, UCF_NET_STRING=("LOC=V13", "IOSTANDARD = LVTTL")
2029    PORT radio1_DAC_I3_pin = radio1_DAC_I3, UCF_NET_STRING=("LOC=W12", "IOSTANDARD = LVTTL")
2030    PORT radio1_DAC_I4_pin = radio1_DAC_I4, UCF_NET_STRING=("LOC=Y4", "IOSTANDARD = LVTTL")
2031    PORT radio1_DAC_I5_pin = radio1_DAC_I5, UCF_NET_STRING=("LOC=V12", "IOSTANDARD = LVTTL")
2032    PORT radio1_DAC_I6_pin = radio1_DAC_I6, UCF_NET_STRING=("LOC=W10", "IOSTANDARD = LVTTL")
2033    PORT radio1_DAC_I7_pin = radio1_DAC_I7, UCF_NET_STRING=("LOC=Y8", "IOSTANDARD = LVTTL")
2034    PORT radio1_DAC_I8_pin = radio1_DAC_I8, UCF_NET_STRING=("LOC=Y9", "IOSTANDARD = LVTTL")
2035    PORT radio1_DAC_I9_pin = radio1_DAC_I9, UCF_NET_STRING=("LOC=V11", "IOSTANDARD = LVTTL")
2036    PORT radio1_DAC_I10_pin = radio1_DAC_I10, UCF_NET_STRING=("LOC=V10", "IOSTANDARD = LVTTL")
2037    PORT radio1_DAC_I11_pin = radio1_DAC_I11, UCF_NET_STRING=("LOC=W11", "IOSTANDARD = LVTTL")
2038    PORT radio1_DAC_I12_pin = radio1_DAC_I12, UCF_NET_STRING=("LOC=W9", "IOSTANDARD = LVTTL")
2039    PORT radio1_DAC_I13_pin = radio1_DAC_I13, UCF_NET_STRING=("LOC=W8", "IOSTANDARD = LVTTL")
2040    PORT radio1_DAC_I14_pin = radio1_DAC_I14, UCF_NET_STRING=("LOC=T10", "IOSTANDARD = LVTTL")
2041    PORT radio1_DAC_I15_pin = radio1_DAC_I15, UCF_NET_STRING=("LOC=U12", "IOSTANDARD = LVTTL")
2042
2043    PORT radio1_DAC_Q0_pin = radio1_DAC_Q0, UCF_NET_STRING=("LOC=U8", "IOSTANDARD = LVTTL")
2044    PORT radio1_DAC_Q1_pin = radio1_DAC_Q1, UCF_NET_STRING=("LOC=V7", "IOSTANDARD = LVTTL")
2045    PORT radio1_DAC_Q2_pin = radio1_DAC_Q2, UCF_NET_STRING=("LOC=R10", "IOSTANDARD = LVTTL")
2046    PORT radio1_DAC_Q3_pin = radio1_DAC_Q3, UCF_NET_STRING=("LOC=U5", "IOSTANDARD = LVTTL")
2047    PORT radio1_DAC_Q4_pin = radio1_DAC_Q4, UCF_NET_STRING=("LOC=T4", "IOSTANDARD = LVTTL")
2048    PORT radio1_DAC_Q5_pin = radio1_DAC_Q5, UCF_NET_STRING=("LOC=T3", "IOSTANDARD = LVTTL")
2049    PORT radio1_DAC_Q6_pin = radio1_DAC_Q6, UCF_NET_STRING=("LOC=U9", "IOSTANDARD = LVTTL")
2050    PORT radio1_DAC_Q7_pin = radio1_DAC_Q7, UCF_NET_STRING=("LOC=U4", "IOSTANDARD = LVTTL")
2051    PORT radio1_DAC_Q8_pin = radio1_DAC_Q8, UCF_NET_STRING=("LOC=T11", "IOSTANDARD = LVTTL")
2052    PORT radio1_DAC_Q9_pin = radio1_DAC_Q9, UCF_NET_STRING=("LOC=V6", "IOSTANDARD = LVTTL")
2053    PORT radio1_DAC_Q10_pin = radio1_DAC_Q10, UCF_NET_STRING=("LOC=U10", "IOSTANDARD = LVTTL")
2054    PORT radio1_DAC_Q11_pin = radio1_DAC_Q11, UCF_NET_STRING=("LOC=V9", "IOSTANDARD = LVTTL")
2055    PORT radio1_DAC_Q12_pin = radio1_DAC_Q12, UCF_NET_STRING=("LOC=V4", "IOSTANDARD = LVTTL")
2056    PORT radio1_DAC_Q13_pin = radio1_DAC_Q13, UCF_NET_STRING=("LOC=V8", "IOSTANDARD = LVTTL")
2057    PORT radio1_DAC_Q14_pin = radio1_DAC_Q14, UCF_NET_STRING=("LOC=V3", "IOSTANDARD = LVTTL")
2058    PORT radio1_DAC_Q15_pin = radio1_DAC_Q15, UCF_NET_STRING=("LOC=W4", "IOSTANDARD = LVTTL")
2059
2060    PORT radio1_ADC_I0_pin = radio1_ADC_I0, UCF_NET_STRING=("LOC=E7", "IOSTANDARD = LVTTL", "PULLDOWN")
2061    PORT radio1_ADC_I1_pin = radio1_ADC_I1, UCF_NET_STRING=("LOC=J1", "IOSTANDARD = LVTTL", "PULLDOWN")
2062    PORT radio1_ADC_I2_pin = radio1_ADC_I2, UCF_NET_STRING=("LOC=E3", "IOSTANDARD = LVTTL", "PULLDOWN")
2063    PORT radio1_ADC_I3_pin = radio1_ADC_I3, UCF_NET_STRING=("LOC=M20", "IOSTANDARD = LVTTL", "PULLDOWN")
2064    PORT radio1_ADC_I4_pin = radio1_ADC_I4, UCF_NET_STRING=("LOC=D6", "IOSTANDARD = LVTTL", "PULLDOWN")
2065    PORT radio1_ADC_I5_pin = radio1_ADC_I5, UCF_NET_STRING=("LOC=K4", "IOSTANDARD = LVTTL", "PULLDOWN")
2066    PORT radio1_ADC_I6_pin = radio1_ADC_I6, UCF_NET_STRING=("LOC=E2", "IOSTANDARD = LVTTL", "PULLDOWN")
2067    PORT radio1_ADC_I7_pin = radio1_ADC_I7, UCF_NET_STRING=("LOC=J7", "IOSTANDARD = LVTTL", "PULLDOWN")
2068    PORT radio1_ADC_I8_pin = radio1_ADC_I8, UCF_NET_STRING=("LOC=H6", "IOSTANDARD = LVTTL", "PULLDOWN")
2069    PORT radio1_ADC_I9_pin = radio1_ADC_I9, UCF_NET_STRING=("LOC=J2", "IOSTANDARD = LVTTL", "PULLDOWN")
2070    PORT radio1_ADC_I10_pin = radio1_ADC_I10, UCF_NET_STRING=("LOC=K8", "IOSTANDARD = LVTTL", "PULLDOWN")
2071    PORT radio1_ADC_I11_pin = radio1_ADC_I11, UCF_NET_STRING=("LOC=J6", "IOSTANDARD = LVTTL", "PULLDOWN")
2072    PORT radio1_ADC_I12_pin = radio1_ADC_I12, UCF_NET_STRING=("LOC=J9", "IOSTANDARD = LVTTL", "PULLDOWN")
2073    PORT radio1_ADC_I13_pin = radio1_ADC_I13, UCF_NET_STRING=("LOC=L9", "IOSTANDARD = LVTTL", "PULLDOWN")
2074
2075    PORT radio1_ADC_Q0_pin = radio1_ADC_Q0, UCF_NET_STRING=("LOC=M2", "IOSTANDARD = LVTTL", "PULLDOWN")
2076    PORT radio1_ADC_Q1_pin = radio1_ADC_Q1, UCF_NET_STRING=("LOC=L3", "IOSTANDARD = LVTTL", "PULLDOWN")
2077    PORT radio1_ADC_Q2_pin = radio1_ADC_Q2, UCF_NET_STRING=("LOC=M10", "IOSTANDARD = LVTTL", "PULLDOWN")
2078    PORT radio1_ADC_Q3_pin = radio1_ADC_Q3, UCF_NET_STRING=("LOC=M4", "IOSTANDARD = LVTTL", "PULLDOWN")
2079    PORT radio1_ADC_Q4_pin = radio1_ADC_Q4, UCF_NET_STRING=("LOC=K5", "IOSTANDARD = LVTTL", "PULLDOWN")
2080    PORT radio1_ADC_Q5_pin = radio1_ADC_Q5, UCF_NET_STRING=("LOC=N7", "IOSTANDARD = LVTTL", "PULLDOWN")
2081    PORT radio1_ADC_Q6_pin = radio1_ADC_Q6, UCF_NET_STRING=("LOC=L7", "IOSTANDARD = LVTTL", "PULLDOWN")
2082    PORT radio1_ADC_Q7_pin = radio1_ADC_Q7, UCF_NET_STRING=("LOC=L1", "IOSTANDARD = LVTTL", "PULLDOWN")
2083    PORT radio1_ADC_Q8_pin = radio1_ADC_Q8, UCF_NET_STRING=("LOC=J3", "IOSTANDARD = LVTTL", "PULLDOWN")
2084    PORT radio1_ADC_Q9_pin = radio1_ADC_Q9, UCF_NET_STRING=("LOC=H4", "IOSTANDARD = LVTTL", "PULLDOWN")
2085    PORT radio1_ADC_Q10_pin = radio1_ADC_Q10, UCF_NET_STRING=("LOC=H3", "IOSTANDARD = LVTTL", "PULLDOWN")
2086    PORT radio1_ADC_Q11_pin = radio1_ADC_Q11, UCF_NET_STRING=("LOC=J5", "IOSTANDARD = LVTTL", "PULLDOWN")
2087    PORT radio1_ADC_Q12_pin = radio1_ADC_Q12, UCF_NET_STRING=("LOC=G9", "IOSTANDARD = LVTTL", "PULLDOWN")
2088    PORT radio1_ADC_Q13_pin = radio1_ADC_Q13, UCF_NET_STRING=("LOC=H9", "IOSTANDARD = LVTTL", "PULLDOWN")
2089
2090#Radio Bridge for Slot #2
2091#   PORT radio2_conv_clk_p = radio2_conv_clk_p, UCF_NET_STRING=("LOC=AH2", "IOSTANDARD=LVDCI_33")
2092    PORT radio2_conv_clk_p = radio2_conv_clk_p, UCF_NET_STRING=("LOC=AG2", "IOSTANDARD=LVTTL")
2093    PORT radio2_EEPROM_IO = radio2_EEPROM_IO, UCF_NET_STRING=("LOC=AG3", "IOSTANDARD=LVTTL", "SLEW = SLOW", "DRIVE = 8")
2094    PORT dac2_spi_clk_pin = dac2_spi_clk, UCF_NET_STRING=("LOC=AK6", "IOSTANDARD=LVTTL")
2095    PORT dac2_spi_cs_pin = dac2_spi_cs, UCF_NET_STRING=("LOC=AJ6", "IOSTANDARD=LVTTL")
2096    PORT dac2_spi_data_pin = dac2_spi_data, UCF_NET_STRING=("LOC=AL5", "IOSTANDARD=LVTTL")
2097    PORT radio2_24PA_pin = radio2_24PA, UCF_NET_STRING=("LOC=AA9", "IOSTANDARD=LVTTL", "SLEW = SLOW", "DRIVE = 2")
2098    PORT radio2_5PA_pin = radio2_5PA, UCF_NET_STRING=("LOC=AB2", "IOSTANDARD=LVTTL", "SLEW = SLOW", "DRIVE = 2")
2099    PORT radio2_ANTSW0_pin = radio2_ANTSW0, UCF_NET_STRING=("LOC=AB1", "IOSTANDARD=LVTTL", "SLEW = SLOW", "DRIVE = 2")
2100    PORT radio2_ANTSW1_pin = radio2_ANTSW1, UCF_NET_STRING=("LOC=AA6", "IOSTANDARD=LVTTL", "SLEW = SLOW", "DRIVE = 2")
2101    PORT radio2_dac2_PLL_LOCK_pin = radio2_dac2_PLL_LOCK, UCF_NET_STRING=("LOC=AG10", "IOSTANDARD=LVTTL")
2102    PORT radio2_dac2_RESET_pin = radio2_dac2_RESET, UCF_NET_STRING=("LOC=AM3", "IOSTANDARD=LVTTL")
2103    PORT radio2_DIPSW0_pin = radio2_DIPSW0, UCF_NET_STRING=("LOC=AG7", "IOSTANDARD=LVTTL")
2104    PORT radio2_DIPSW1_pin = radio2_DIPSW1, UCF_NET_STRING=("LOC=AL2", "IOSTANDARD=LVTTL")
2105    PORT radio2_DIPSW2_pin = radio2_DIPSW2, UCF_NET_STRING=("LOC=AJ4", "IOSTANDARD=LVTTL")
2106    PORT radio2_DIPSW3_pin = radio2_DIPSW3, UCF_NET_STRING=("LOC=AK3", "IOSTANDARD=LVTTL")
2107    PORT radio2_LD_pin = radio2_LD, UCF_NET_STRING=("LOC=AK5", "IOSTANDARD=LVTTL")
2108    PORT radio2_LED0_pin = radio2_LED0, UCF_NET_STRING=("LOC=AA5", "IOSTANDARD=LVTTL", "SLEW = SLOW", "DRIVE = 2")
2109    PORT radio2_LED1_pin = radio2_LED1, UCF_NET_STRING=("LOC=AA8", "IOSTANDARD=LVTTL", "SLEW = SLOW", "DRIVE = 2")
2110    PORT radio2_LED2_pin = radio2_LED2, UCF_NET_STRING=("LOC=AC2", "IOSTANDARD=LVTTL", "SLEW = SLOW", "DRIVE = 2")
2111    PORT radio2_rssi_ADC_clk_pin = radio2_rssi_ADC_clk, UCF_NET_STRING=("LOC=AK2", "IOSTANDARD=LVTTL")
2112    PORT radio2_RSSI_ADC_CLAMP_pin = radio2_RSSI_ADC_CLAMP, UCF_NET_STRING=("LOC=AH6", "IOSTANDARD=LVTTL")
2113    PORT radio2_RSSI_ADC_D0_pin = radio2_RSSI_ADC_D0, UCF_NET_STRING=("LOC=AF11", "IOSTANDARD=LVTTL", "PULLDOWN")
2114    PORT radio2_RSSI_ADC_D1_pin = radio2_RSSI_ADC_D1, UCF_NET_STRING=("LOC=AE8", "IOSTANDARD=LVTTL", "PULLDOWN")
2115    PORT radio2_RSSI_ADC_D2_pin = radio2_RSSI_ADC_D2, UCF_NET_STRING=("LOC=AF10", "IOSTANDARD=LVTTL", "PULLDOWN")
2116    PORT radio2_RSSI_ADC_D3_pin = radio2_RSSI_ADC_D3, UCF_NET_STRING=("LOC=AD11", "IOSTANDARD=LVTTL", "PULLDOWN")
2117    PORT radio2_RSSI_ADC_D4_pin = radio2_RSSI_ADC_D4, UCF_NET_STRING=("LOC=AJ7", "IOSTANDARD=LVTTL", "PULLDOWN")
2118    PORT radio2_RSSI_ADC_D5_pin = radio2_RSSI_ADC_D5, UCF_NET_STRING=("LOC=AJ5", "IOSTANDARD=LVTTL", "PULLDOWN")
2119    PORT radio2_RSSI_ADC_D6_pin = radio2_RSSI_ADC_D6, UCF_NET_STRING=("LOC=AJ8", "IOSTANDARD=LVTTL", "PULLDOWN")
2120    PORT radio2_RSSI_ADC_D7_pin = radio2_RSSI_ADC_D7, UCF_NET_STRING=("LOC=AG11", "IOSTANDARD=LVTTL", "PULLDOWN")
2121    PORT radio2_RSSI_ADC_D8_pin = radio2_RSSI_ADC_D8, UCF_NET_STRING=("LOC=AM9", "IOSTANDARD=LVTTL", "PULLDOWN")
2122    PORT radio2_RSSI_ADC_D9_pin = radio2_RSSI_ADC_D9, UCF_NET_STRING=("LOC=AK7", "IOSTANDARD=LVTTL", "PULLDOWN")
2123    PORT radio2_RSSI_ADC_HIZ_pin = radio2_RSSI_ADC_HIZ, UCF_NET_STRING=("LOC=AF8", "IOSTANDARD=LVTTL")
2124    PORT radio2_RSSI_ADC_OTR_pin = radio2_RSSI_ADC_OTR, UCF_NET_STRING=("LOC=AJ9", "IOSTANDARD=LVTTL")
2125    PORT radio2_RSSI_ADC_SLEEP_pin = radio2_RSSI_ADC_SLEEP, UCF_NET_STRING=("LOC=AF7", "IOSTANDARD=LVTTL")
2126    PORT radio2_RX_ADC_DCS_pin = radio2_RX_ADC_DCS, UCF_NET_STRING=("LOC=AD8", "IOSTANDARD=LVTTL")
2127    PORT radio2_RX_ADC_DFS_pin = radio2_RX_ADC_DFS, UCF_NET_STRING=("LOC=AK1", "IOSTANDARD=LVTTL")
2128    PORT radio2_RX_ADC_OTRA_pin = radio2_RX_ADC_OTRA, UCF_NET_STRING=("LOC=AA4", "IOSTANDARD=LVTTL")
2129    PORT radio2_RX_ADC_OTRB_pin = radio2_RX_ADC_OTRB, UCF_NET_STRING=("LOC=AC4", "IOSTANDARD=LVTTL")
2130    PORT radio2_RX_ADC_PWDNA_pin = radio2_RX_ADC_PWDNA, UCF_NET_STRING=("LOC=AA3", "IOSTANDARD=LVTTL")
2131    PORT radio2_RX_ADC_PWDNB_pin = radio2_RX_ADC_PWDNB, UCF_NET_STRING=("LOC=AF6", "IOSTANDARD=LVTTL")
2132    PORT radio2_TxEn_pin = radio2_TxEn, UCF_NET_STRING=("LOC=AM2", "IOSTANDARD=LVTTL", "SLEW = SLOW")
2133    PORT radio2_RxEn_pin = radio2_RxEn, UCF_NET_STRING=("LOC=AD10", "IOSTANDARD=LVTTL", "SLEW = SLOW")
2134    PORT radio2_RxHP_pin = radio2_RxHP, UCF_NET_STRING=("LOC=AE6", "IOSTANDARD=LVTTL", "SLEW = SLOW")
2135    PORT radio2_SHDN_pin = radio2_SHDN, UCF_NET_STRING=("LOC=AF5", "IOSTANDARD=LVTTL", "SLEW = SLOW")
2136    PORT radio2_spi_clk_pin = radio2_spi_clk, UCF_NET_STRING=("LOC=AL3", "IOSTANDARD=LVTTL")
2137    PORT radio2_spi_cs_pin = radio2_spi_cs, UCF_NET_STRING=("LOC=AF9", "IOSTANDARD=LVTTL")
2138    PORT radio2_spi_data_pin = radio2_spi_data, UCF_NET_STRING=("LOC=AK4", "IOSTANDARD=LVTTL")
2139
2140    PORT radio2_b0_pin = radio2_b0, UCF_NET_STRING=("LOC=AB7", "IOSTANDARD = LVTTL", "SLEW = SLOW") #Radio_B1
2141    PORT radio2_b1_pin = radio2_b1, UCF_NET_STRING=("LOC=AB8", "IOSTANDARD = LVTTL", "SLEW = SLOW") #Radio_B2
2142    PORT radio2_b2_pin = radio2_b2, UCF_NET_STRING=("LOC=AC10", "IOSTANDARD = LVTTL", "SLEW = SLOW") #Radio_B3
2143    PORT radio2_b3_pin = radio2_b3, UCF_NET_STRING=("LOC=AB9", "IOSTANDARD = LVTTL", "SLEW = SLOW") #Radio_B4
2144    PORT radio2_b4_pin = radio2_b4, UCF_NET_STRING=("LOC=AF3", "IOSTANDARD = LVTTL", "SLEW = SLOW") #Radio_B5
2145    PORT radio2_b5_pin = radio2_b5, UCF_NET_STRING=("LOC=AL1", "IOSTANDARD = LVTTL", "SLEW = SLOW") #Radio_B6
2146    PORT radio2_b6_pin = radio2_b6, UCF_NET_STRING=("LOC=AE4", "IOSTANDARD = LVTTL", "SLEW = SLOW") #Radio_B7
2147
2148    PORT radio2_DAC_I0_pin = radio2_DAC_I0, UCF_NET_STRING=("LOC=AD13", "IOSTANDARD = LVTTL")
2149    PORT radio2_DAC_I1_pin = radio2_DAC_I1, UCF_NET_STRING=("LOC=AT8", "IOSTANDARD = LVTTL")
2150    PORT radio2_DAC_I2_pin = radio2_DAC_I2, UCF_NET_STRING=("LOC=AR8", "IOSTANDARD = LVTTL")
2151    PORT radio2_DAC_I3_pin = radio2_DAC_I3, UCF_NET_STRING=("LOC=AT5", "IOSTANDARD = LVTTL")
2152    PORT radio2_DAC_I4_pin = radio2_DAC_I4, UCF_NET_STRING=("LOC=AH11", "IOSTANDARD = LVTTL")
2153    PORT radio2_DAC_I5_pin = radio2_DAC_I5, UCF_NET_STRING=("LOC=AT6", "IOSTANDARD = LVTTL")
2154    PORT radio2_DAC_I6_pin = radio2_DAC_I6, UCF_NET_STRING=("LOC=AD12", "IOSTANDARD = LVTTL")
2155    PORT radio2_DAC_I7_pin = radio2_DAC_I7, UCF_NET_STRING=("LOC=AU5", "IOSTANDARD = LVTTL")
2156    PORT radio2_DAC_I8_pin = radio2_DAC_I8, UCF_NET_STRING=("LOC=AN9", "IOSTANDARD = LVTTL")
2157    PORT radio2_DAC_I9_pin = radio2_DAC_I9, UCF_NET_STRING=("LOC=AE13", "IOSTANDARD = LVTTL")
2158    PORT radio2_DAC_I10_pin = radio2_DAC_I10, UCF_NET_STRING=("LOC=AK9", "IOSTANDARD = LVTTL")
2159    PORT radio2_DAC_I11_pin = radio2_DAC_I11, UCF_NET_STRING=("LOC=AR7", "IOSTANDARD = LVTTL")
2160    PORT radio2_DAC_I12_pin = radio2_DAC_I12, UCF_NET_STRING=("LOC=AP7", "IOSTANDARD = LVTTL")
2161    PORT radio2_DAC_I13_pin = radio2_DAC_I13, UCF_NET_STRING=("LOC=AF12", "IOSTANDARD = LVTTL")
2162    PORT radio2_DAC_I14_pin = radio2_DAC_I14, UCF_NET_STRING=("LOC=AH10", "IOSTANDARD = LVTTL")
2163    PORT radio2_DAC_I15_pin = radio2_DAC_I15, UCF_NET_STRING=("LOC=AM6", "IOSTANDARD = LVTTL")
2164
2165    PORT radio2_DAC_Q0_pin = radio2_DAC_Q0, UCF_NET_STRING=("LOC=AE10", "IOSTANDARD = LVTTL")
2166    PORT radio2_DAC_Q1_pin = radio2_DAC_Q1, UCF_NET_STRING=("LOC=AH8", "IOSTANDARD = LVTTL")
2167    PORT radio2_DAC_Q2_pin = radio2_DAC_Q2, UCF_NET_STRING=("LOC=AM4", "IOSTANDARD = LVTTL")
2168    PORT radio2_DAC_Q3_pin = radio2_DAC_Q3, UCF_NET_STRING=("LOC=AL7", "IOSTANDARD = LVTTL")
2169    PORT radio2_DAC_Q4_pin = radio2_DAC_Q4, UCF_NET_STRING=("LOC=AE11", "IOSTANDARD = LVTTL")
2170    PORT radio2_DAC_Q5_pin = radio2_DAC_Q5, UCF_NET_STRING=("LOC=AL6", "IOSTANDARD = LVTTL")
2171    PORT radio2_DAC_Q6_pin = radio2_DAC_Q6, UCF_NET_STRING=("LOC=AN6", "IOSTANDARD = LVTTL")
2172    PORT radio2_DAC_Q7_pin = radio2_DAC_Q7, UCF_NET_STRING=("LOC=AK8", "IOSTANDARD = LVTTL")
2173    PORT radio2_DAC_Q8_pin = radio2_DAC_Q8, UCF_NET_STRING=("LOC=AG9", "IOSTANDARD = LVTTL")
2174    PORT radio2_DAC_Q9_pin = radio2_DAC_Q9, UCF_NET_STRING=("LOC=AM7", "IOSTANDARD = LVTTL")
2175    PORT radio2_DAC_Q10_pin = radio2_DAC_Q10, UCF_NET_STRING=("LOC=AL9", "IOSTANDARD = LVTTL")
2176    PORT radio2_DAC_Q11_pin = radio2_DAC_Q11, UCF_NET_STRING=("LOC=AE12", "IOSTANDARD = LVTTL")
2177    PORT radio2_DAC_Q12_pin = radio2_DAC_Q12, UCF_NET_STRING=("LOC=AN7", "IOSTANDARD = LVTTL")
2178    PORT radio2_DAC_Q13_pin = radio2_DAC_Q13, UCF_NET_STRING=("LOC=AH7", "IOSTANDARD = LVTTL")
2179    PORT radio2_DAC_Q14_pin = radio2_DAC_Q14, UCF_NET_STRING=("LOC=AR6", "IOSTANDARD = LVTTL")
2180    PORT radio2_DAC_Q15_pin = radio2_DAC_Q15, UCF_NET_STRING=("LOC=AM8", "IOSTANDARD = LVTTL")
2181
2182    PORT radio2_ADC_I0_pin = radio2_ADC_I0, UCF_NET_STRING=("LOC=AD4", "IOSTANDARD = LVTTL", "PULLDOWN")
2183    PORT radio2_ADC_I1_pin = radio2_ADC_I1, UCF_NET_STRING=("LOC=AB11", "IOSTANDARD = LVTTL", "PULLDOWN")
2184    PORT radio2_ADC_I2_pin = radio2_ADC_I2, UCF_NET_STRING=("LOC=AB10", "IOSTANDARD = LVTTL", "PULLDOWN")
2185    PORT radio2_ADC_I3_pin = radio2_ADC_I3, UCF_NET_STRING=("LOC=AG20", "IOSTANDARD = LVTTL", "PULLDOWN")
2186    PORT radio2_ADC_I4_pin = radio2_ADC_I4, UCF_NET_STRING=("LOC=AG1", "IOSTANDARD = LVTTL", "PULLDOWN")
2187    PORT radio2_ADC_I5_pin = radio2_ADC_I5, UCF_NET_STRING=("LOC=AE3", "IOSTANDARD = LVTTL", "PULLDOWN")
2188    PORT radio2_ADC_I6_pin = radio2_ADC_I6, UCF_NET_STRING=("LOC=AC5", "IOSTANDARD = LVTTL", "PULLDOWN")
2189    PORT radio2_ADC_I7_pin = radio2_ADC_I7, UCF_NET_STRING=("LOC=AE1", "IOSTANDARD = LVTTL", "PULLDOWN")
2190    PORT radio2_ADC_I8_pin = radio2_ADC_I8, UCF_NET_STRING=("LOC=AB5", "IOSTANDARD = LVTTL", "PULLDOWN")
2191    PORT radio2_ADC_I9_pin = radio2_ADC_I9, UCF_NET_STRING=("LOC=AB4", "IOSTANDARD = LVTTL", "PULLDOWN")
2192    PORT radio2_ADC_I10_pin = radio2_ADC_I10, UCF_NET_STRING=("LOC=AB6", "IOSTANDARD = LVTTL", "PULLDOWN")
2193    PORT radio2_ADC_I11_pin = radio2_ADC_I11, UCF_NET_STRING=("LOC=AD2", "IOSTANDARD = LVTTL", "PULLDOWN")
2194    PORT radio2_ADC_I12_pin = radio2_ADC_I12, UCF_NET_STRING=("LOC=AA7", "IOSTANDARD = LVTTL", "PULLDOWN")
2195    PORT radio2_ADC_I13_pin = radio2_ADC_I13, UCF_NET_STRING=("LOC=AB3", "IOSTANDARD = LVTTL", "PULLDOWN")
2196
2197    PORT radio2_ADC_Q0_pin = radio2_ADC_Q0, UCF_NET_STRING=("LOC=AE7", "IOSTANDARD = LVTTL", "PULLDOWN")
2198    PORT radio2_ADC_Q1_pin = radio2_ADC_Q1, UCF_NET_STRING=("LOC=AC12", "IOSTANDARD = LVTTL", "PULLDOWN")
2199    PORT radio2_ADC_Q2_pin = radio2_ADC_Q2, UCF_NET_STRING=("LOC=AJ2", "IOSTANDARD = LVTTL", "PULLDOWN")
2200    PORT radio2_ADC_Q3_pin = radio2_ADC_Q3, UCF_NET_STRING=("LOC=AG5", "IOSTANDARD = LVTTL", "PULLDOWN")
2201    PORT radio2_ADC_Q4_pin = radio2_ADC_Q4, UCF_NET_STRING=("LOC=AJ1", "IOSTANDARD = LVTTL", "PULLDOWN")
2202    PORT radio2_ADC_Q5_pin = radio2_ADC_Q5, UCF_NET_STRING=("LOC=AH3", "IOSTANDARD = LVTTL", "PULLDOWN")
2203    PORT radio2_ADC_Q6_pin = radio2_ADC_Q6, UCF_NET_STRING=("LOC=AH4", "IOSTANDARD = LVTTL", "PULLDOWN")
2204    PORT radio2_ADC_Q7_pin = radio2_ADC_Q7, UCF_NET_STRING=("LOC=AJ3", "IOSTANDARD = LVTTL", "PULLDOWN")
2205    PORT radio2_ADC_Q8_pin = radio2_ADC_Q8, UCF_NET_STRING=("LOC=AA10", "IOSTANDARD = LVTTL", "PULLDOWN")
2206    PORT radio2_ADC_Q9_pin = radio2_ADC_Q9, UCF_NET_STRING=("LOC=AE2", "IOSTANDARD = LVTTL", "PULLDOWN")
2207    PORT radio2_ADC_Q10_pin = radio2_ADC_Q10, UCF_NET_STRING=("LOC=AA12", "IOSTANDARD = LVTTL", "PULLDOWN")
2208    PORT radio2_ADC_Q11_pin = radio2_ADC_Q11, UCF_NET_STRING=("LOC=AF1", "IOSTANDARD = LVTTL", "PULLDOWN")
2209    PORT radio2_ADC_Q12_pin = radio2_ADC_Q12, UCF_NET_STRING=("LOC=AD3", "IOSTANDARD = LVTTL", "PULLDOWN")
2210    PORT radio2_ADC_Q13_pin = radio2_ADC_Q13, UCF_NET_STRING=("LOC=AF2", "IOSTANDARD = LVTTL", "PULLDOWN")
2211
2212##Radio Bridge for Slot #3
2213#   PORT radio3_conv_clk_p = radio3_conv_clk_p, UCF_NET_STRING=("LOC=AM31", "IOSTANDARD=LVDCI_33")
2214    PORT radio3_conv_clk_p = radio3_conv_clk_p, UCF_NET_STRING=("LOC=AP33", "IOSTANDARD=LVTTL")
2215    PORT radio3_EEPROM_IO = radio3_EEPROM_IO, UCF_NET_STRING=("LOC=AJ31", "IOSTANDARD=LVTTL", "SLEW = SLOW", "DRIVE = 8")
2216    PORT dac3_spi_clk_pin = dac3_spi_clk, UCF_NET_STRING=("LOC=AC31", "IOSTANDARD=LVTTL")
2217    PORT dac3_spi_cs_pin = dac3_spi_cs, UCF_NET_STRING=("LOC=AB31", "IOSTANDARD=LVTTL")
2218    PORT dac3_spi_data_pin = dac3_spi_data, UCF_NET_STRING=("LOC=AC32", "IOSTANDARD=LVTTL")
2219    PORT radio3_24PA_pin = radio3_24PA, UCF_NET_STRING=("LOC=AE33", "IOSTANDARD=LVTTL", "SLEW = SLOW", "DRIVE = 2")
2220    PORT radio3_5PA_pin = radio3_5PA, UCF_NET_STRING=("LOC=AH34", "IOSTANDARD=LVTTL", "SLEW = SLOW", "DRIVE = 2")
2221    PORT radio3_ANTSW0_pin = radio3_ANTSW0, UCF_NET_STRING=("LOC=AG33", "IOSTANDARD=LVTTL", "SLEW = SLOW", "DRIVE = 2")
2222    PORT radio3_ANTSW1_pin = radio3_ANTSW1, UCF_NET_STRING=("LOC=AH33", "IOSTANDARD=LVTTL", "SLEW = SLOW", "DRIVE = 2")
2223    PORT radio3_dac3_PLL_LOCK_pin = radio3_dac3_PLL_LOCK, UCF_NET_STRING=("LOC=AH38", "IOSTANDARD=LVTTL")
2224    PORT radio3_dac3_RESET_pin = radio3_dac3_RESET, UCF_NET_STRING=("LOC=AE35", "IOSTANDARD=LVTTL")
2225    PORT radio3_DIPSW0_pin = radio3_DIPSW0, UCF_NET_STRING=("LOC=AG37", "IOSTANDARD=LVTTL")
2226    PORT radio3_DIPSW1_pin = radio3_DIPSW1, UCF_NET_STRING=("LOC=AD34", "IOSTANDARD=LVTTL")
2227    PORT radio3_DIPSW2_pin = radio3_DIPSW2, UCF_NET_STRING=("LOC=AF36", "IOSTANDARD=LVTTL")
2228    PORT radio3_DIPSW3_pin = radio3_DIPSW3, UCF_NET_STRING=("LOC=AL39", "IOSTANDARD=LVTTL")
2229    PORT radio3_LD_pin = radio3_LD, UCF_NET_STRING=("LOC=AG35", "IOSTANDARD=LVTTL")
2230    PORT radio3_LED0_pin = radio3_LED0, UCF_NET_STRING=("LOC=AN34", "IOSTANDARD=LVTTL", "SLEW = SLOW", "DRIVE = 2")
2231    PORT radio3_LED1_pin = radio3_LED1, UCF_NET_STRING=("LOC=AK35", "IOSTANDARD=LVTTL", "SLEW = SLOW", "DRIVE = 2")
2232    PORT radio3_LED2_pin = radio3_LED2, UCF_NET_STRING=("LOC=AK34", "IOSTANDARD=LVTTL", "SLEW = SLOW", "DRIVE = 2")
2233    PORT radio3_rssi_ADC_clk_pin = radio3_rssi_ADC_clk, UCF_NET_STRING=("LOC=AM37", "IOSTANDARD=LVTTL")
2234    PORT radio3_RSSI_ADC_CLAMP_pin = radio3_RSSI_ADC_CLAMP, UCF_NET_STRING=("LOC=AA32", "IOSTANDARD=LVTTL")
2235    PORT radio3_RSSI_ADC_D0_pin = radio3_RSSI_ADC_D0, UCF_NET_STRING=("LOC=AA33", "IOSTANDARD=LVTTL", "PULLDOWN")
2236    PORT radio3_RSSI_ADC_D1_pin = radio3_RSSI_ADC_D1, UCF_NET_STRING=("LOC=AD36", "IOSTANDARD=LVTTL", "PULLDOWN")
2237    PORT radio3_RSSI_ADC_D2_pin = radio3_RSSI_ADC_D2, UCF_NET_STRING=("LOC=AC38", "IOSTANDARD=LVTTL", "PULLDOWN")
2238    PORT radio3_RSSI_ADC_D3_pin = radio3_RSSI_ADC_D3, UCF_NET_STRING=("LOC=AB37", "IOSTANDARD=LVTTL", "PULLDOWN")
2239    PORT radio3_RSSI_ADC_D4_pin = radio3_RSSI_ADC_D4, UCF_NET_STRING=("LOC=AA36", "IOSTANDARD=LVTTL", "PULLDOWN")
2240    PORT radio3_RSSI_ADC_D5_pin = radio3_RSSI_ADC_D5, UCF_NET_STRING=("LOC=AC39", "IOSTANDARD=LVTTL", "PULLDOWN")
2241    PORT radio3_RSSI_ADC_D6_pin = radio3_RSSI_ADC_D6, UCF_NET_STRING=("LOC=AA34", "IOSTANDARD=LVTTL", "PULLDOWN")
2242    PORT radio3_RSSI_ADC_D7_pin = radio3_RSSI_ADC_D7, UCF_NET_STRING=("LOC=AA31", "IOSTANDARD=LVTTL", "PULLDOWN")
2243    PORT radio3_RSSI_ADC_D8_pin = radio3_RSSI_ADC_D8, UCF_NET_STRING=("LOC=AA35", "IOSTANDARD=LVTTL", "PULLDOWN")
2244    PORT radio3_RSSI_ADC_D9_pin = radio3_RSSI_ADC_D9, UCF_NET_STRING=("LOC=AE37", "IOSTANDARD=LVTTL", "PULLDOWN")
2245    PORT radio3_RSSI_ADC_HIZ_pin = radio3_RSSI_ADC_HIZ, UCF_NET_STRING=("LOC=AB38", "IOSTANDARD=LVTTL")
2246    PORT radio3_RSSI_ADC_OTR_pin = radio3_RSSI_ADC_OTR, UCF_NET_STRING=("LOC=AD38", "IOSTANDARD=LVTTL")
2247    PORT radio3_RSSI_ADC_SLEEP_pin = radio3_RSSI_ADC_SLEEP, UCF_NET_STRING=("LOC=AA37", "IOSTANDARD=LVTTL")
2248    PORT radio3_RX_ADC_DCS_pin = radio3_RX_ADC_DCS, UCF_NET_STRING=("LOC=AH30", "IOSTANDARD=LVTTL")
2249    PORT radio3_RX_ADC_DFS_pin = radio3_RX_ADC_DFS, UCF_NET_STRING=("LOC=AM34", "IOSTANDARD=LVTTL")
2250    PORT radio3_RX_ADC_OTRA_pin = radio3_RX_ADC_OTRA, UCF_NET_STRING=("LOC=AG31", "IOSTANDARD=LVTTL")
2251    PORT radio3_RX_ADC_OTRB_pin = radio3_RX_ADC_OTRB, UCF_NET_STRING=("LOC=AF30", "IOSTANDARD=LVTTL")
2252    PORT radio3_RX_ADC_PWDNA_pin = radio3_RX_ADC_PWDNA, UCF_NET_STRING=("LOC=AD30", "IOSTANDARD=LVTTL")
2253    PORT radio3_RX_ADC_PWDNB_pin = radio3_RX_ADC_PWDNB, UCF_NET_STRING=("LOC=AF29", "IOSTANDARD=LVTTL")
2254    PORT radio3_TxEn_pin = radio3_TxEn, UCF_NET_STRING=("LOC=AC34", "IOSTANDARD=LVTTL", "SLEW = SLOW")
2255    PORT radio3_RxEn_pin = radio3_RxEn, UCF_NET_STRING=("LOC=AL34", "IOSTANDARD=LVTTL", "SLEW = SLOW")
2256    PORT radio3_RxHP_pin = radio3_RxHP, UCF_NET_STRING=("LOC=AK32", "IOSTANDARD=LVTTL", "SLEW = SLOW")
2257    PORT radio3_SHDN_pin = radio3_SHDN, UCF_NET_STRING=("LOC=AE30", "IOSTANDARD=LVTTL", "SLEW = SLOW")
2258    PORT radio3_spi_clk_pin = radio3_spi_clk, UCF_NET_STRING=("LOC=AD33", "IOSTANDARD=LVTTL")
2259    PORT radio3_spi_cs_pin = radio3_spi_cs, UCF_NET_STRING=("LOC=AC28", "IOSTANDARD=LVTTL")
2260    PORT radio3_spi_data_pin = radio3_spi_data, UCF_NET_STRING=("LOC=AK38", "IOSTANDARD=LVTTL")
2261
2262    PORT radio3_b0_pin = radio3_b0, UCF_NET_STRING=("LOC=AJ33", "IOSTANDARD = LVTTL", "SLEW = SLOW") #Radio_B1
2263    PORT radio3_b1_pin = radio3_b1, UCF_NET_STRING=("LOC=AL37", "IOSTANDARD = LVTTL", "SLEW = SLOW") #Radio_B2
2264    PORT radio3_b2_pin = radio3_b2, UCF_NET_STRING=("LOC=AK36", "IOSTANDARD = LVTTL", "SLEW = SLOW") #Radio_B3
2265    PORT radio3_b3_pin = radio3_b3, UCF_NET_STRING=("LOC=AM38", "IOSTANDARD = LVTTL", "SLEW = SLOW") #Radio_B4
2266    PORT radio3_b4_pin = radio3_b4, UCF_NET_STRING=("LOC=AK37", "IOSTANDARD = LVTTL", "SLEW = SLOW") #Radio_B5
2267    PORT radio3_b5_pin = radio3_b5, UCF_NET_STRING=("LOC=AJ36", "IOSTANDARD = LVTTL", "SLEW = SLOW") #Radio_B6
2268    PORT radio3_b6_pin = radio3_b6, UCF_NET_STRING=("LOC=AL38", "IOSTANDARD = LVTTL", "SLEW = SLOW") #Radio_B7
2269
2270    PORT radio3_DAC_I0_pin = radio3_DAC_I0, UCF_NET_STRING=("LOC=AB30", "IOSTANDARD = LVTTL")
2271    PORT radio3_DAC_I1_pin = radio3_DAC_I1, UCF_NET_STRING=("LOC=AF38", "IOSTANDARD = LVTTL")
2272    PORT radio3_DAC_I2_pin = radio3_DAC_I2, UCF_NET_STRING=("LOC=AD37", "IOSTANDARD = LVTTL")
2273    PORT radio3_DAC_I3_pin = radio3_DAC_I3, UCF_NET_STRING=("LOC=AF37", "IOSTANDARD = LVTTL")
2274    PORT radio3_DAC_I4_pin = radio3_DAC_I4, UCF_NET_STRING=("LOC=AB34", "IOSTANDARD = LVTTL")
2275    PORT radio3_DAC_I5_pin = radio3_DAC_I5, UCF_NET_STRING=("LOC=AF39", "IOSTANDARD = LVTTL")
2276    PORT radio3_DAC_I6_pin = radio3_DAC_I6, UCF_NET_STRING=("LOC=AA30", "IOSTANDARD = LVTTL")
2277    PORT radio3_DAC_I7_pin = radio3_DAC_I7, UCF_NET_STRING=("LOC=AC35", "IOSTANDARD = LVTTL")
2278    PORT radio3_DAC_I8_pin = radio3_DAC_I8, UCF_NET_STRING=("LOC=AC36", "IOSTANDARD = LVTTL")
2279    PORT radio3_DAC_I9_pin = radio3_DAC_I9, UCF_NET_STRING=("LOC=AE38", "IOSTANDARD = LVTTL")
2280    PORT radio3_DAC_I10_pin = radio3_DAC_I10, UCF_NET_STRING=("LOC=AE36", "IOSTANDARD = LVTTL")
2281    PORT radio3_DAC_I11_pin = radio3_DAC_I11, UCF_NET_STRING=("LOC=AB36", "IOSTANDARD = LVTTL")
2282    PORT radio3_DAC_I12_pin = radio3_DAC_I12, UCF_NET_STRING=("LOC=AB33", "IOSTANDARD = LVTTL")
2283    PORT radio3_DAC_I13_pin = radio3_DAC_I13, UCF_NET_STRING=("LOC=AE39", "IOSTANDARD = LVTTL")
2284    PORT radio3_DAC_I14_pin = radio3_DAC_I14, UCF_NET_STRING=("LOC=AB35", "IOSTANDARD = LVTTL")
2285    PORT radio3_DAC_I15_pin = radio3_DAC_I15, UCF_NET_STRING=("LOC=AB32", "IOSTANDARD = LVTTL")
2286
2287    PORT radio3_DAC_Q0_pin = radio3_DAC_Q0, UCF_NET_STRING=("LOC=AD32", "IOSTANDARD = LVTTL")
2288    PORT radio3_DAC_Q1_pin = radio3_DAC_Q1, UCF_NET_STRING=("LOC=AK39", "IOSTANDARD = LVTTL")
2289    PORT radio3_DAC_Q2_pin = radio3_DAC_Q2, UCF_NET_STRING=("LOC=AF34", "IOSTANDARD = LVTTL")
2290    PORT radio3_DAC_Q3_pin = radio3_DAC_Q3, UCF_NET_STRING=("LOC=AB29", "IOSTANDARD = LVTTL")
2291    PORT radio3_DAC_Q4_pin = radio3_DAC_Q4, UCF_NET_STRING=("LOC=AC27", "IOSTANDARD = LVTTL")
2292    PORT radio3_DAC_Q5_pin = radio3_DAC_Q5, UCF_NET_STRING=("LOC=AE34", "IOSTANDARD = LVTTL")
2293    PORT radio3_DAC_Q6_pin = radio3_DAC_Q6, UCF_NET_STRING=("LOC=AJ37", "IOSTANDARD = LVTTL")
2294    PORT radio3_DAC_Q7_pin = radio3_DAC_Q7, UCF_NET_STRING=("LOC=AC30", "IOSTANDARD = LVTTL")
2295    PORT radio3_DAC_Q8_pin = radio3_DAC_Q8, UCF_NET_STRING=("LOC=AH36", "IOSTANDARD = LVTTL")
2296    PORT radio3_DAC_Q9_pin = radio3_DAC_Q9, UCF_NET_STRING=("LOC=AJ38", "IOSTANDARD = LVTTL")
2297    PORT radio3_DAC_Q10_pin = radio3_DAC_Q10, UCF_NET_STRING=("LOC=AJ39", "IOSTANDARD = LVTTL")
2298    PORT radio3_DAC_Q11_pin = radio3_DAC_Q11, UCF_NET_STRING=("LOC=AG39", "IOSTANDARD = LVTTL")
2299    PORT radio3_DAC_Q12_pin = radio3_DAC_Q12, UCF_NET_STRING=("LOC=AF33", "IOSTANDARD = LVTTL")
2300    PORT radio3_DAC_Q13_pin = radio3_DAC_Q13, UCF_NET_STRING=("LOC=AH37", "IOSTANDARD = LVTTL")
2301    PORT radio3_DAC_Q14_pin = radio3_DAC_Q14, UCF_NET_STRING=("LOC=AG34", "IOSTANDARD = LVTTL")
2302    PORT radio3_DAC_Q15_pin = radio3_DAC_Q15, UCF_NET_STRING=("LOC=AG38", "IOSTANDARD = LVTTL")
2303
2304    PORT radio3_ADC_I0_pin = radio3_ADC_I0, UCF_NET_STRING=("LOC=AG29", "IOSTANDARD = LVTTL", "PULLDOWN")
2305    PORT radio3_ADC_I1_pin = radio3_ADC_I1, UCF_NET_STRING=("LOC=AR34", "IOSTANDARD = LVTTL", "PULLDOWN")
2306    PORT radio3_ADC_I2_pin = radio3_ADC_I2, UCF_NET_STRING=("LOC=AU35", "IOSTANDARD = LVTTL", "PULLDOWN")
2307    PORT radio3_ADC_I3_pin = radio3_ADC_I3, UCF_NET_STRING=("LOC=AJ21", "IOSTANDARD = LVTTL", "PULLDOWN")
2308    PORT radio3_ADC_I4_pin = radio3_ADC_I4, UCF_NET_STRING=("LOC=AT32", "IOSTANDARD = LVTTL", "PULLDOWN")
2309    PORT radio3_ADC_I5_pin = radio3_ADC_I5, UCF_NET_STRING=("LOC=AT34", "IOSTANDARD = LVTTL", "PULLDOWN")
2310    PORT radio3_ADC_I6_pin = radio3_ADC_I6, UCF_NET_STRING=("LOC=AR33", "IOSTANDARD = LVTTL", "PULLDOWN")
2311    PORT radio3_ADC_I7_pin = radio3_ADC_I7, UCF_NET_STRING=("LOC=AM36", "IOSTANDARD = LVTTL", "PULLDOWN")
2312    PORT radio3_ADC_I8_pin = radio3_ADC_I8, UCF_NET_STRING=("LOC=AD28", "IOSTANDARD = LVTTL", "PULLDOWN")
2313    PORT radio3_ADC_I9_pin = radio3_ADC_I9, UCF_NET_STRING=("LOC=AL33", "IOSTANDARD = LVTTL", "PULLDOWN")
2314    PORT radio3_ADC_I10_pin = radio3_ADC_I10, UCF_NET_STRING=("LOC=AH32", "IOSTANDARD = LVTTL", "PULLDOWN")
2315    PORT radio3_ADC_I11_pin = radio3_ADC_I11, UCF_NET_STRING=("LOC=AD29", "IOSTANDARD = LVTTL", "PULLDOWN")
2316    PORT radio3_ADC_I12_pin = radio3_ADC_I12, UCF_NET_STRING=("LOC=AK33", "IOSTANDARD = LVTTL", "PULLDOWN")
2317    PORT radio3_ADC_I13_pin = radio3_ADC_I13, UCF_NET_STRING=("LOC=AE31", "IOSTANDARD = LVTTL", "PULLDOWN")
2318
2319    PORT radio3_ADC_Q0_pin = radio3_ADC_Q0, UCF_NET_STRING=("LOC=AH29", "IOSTANDARD = LVTTL", "PULLDOWN")
2320    PORT radio3_ADC_Q1_pin = radio3_ADC_Q1, UCF_NET_STRING=("LOC=AK31", "IOSTANDARD = LVTTL", "PULLDOWN")
2321    PORT radio3_ADC_Q2_pin = radio3_ADC_Q2, UCF_NET_STRING=("LOC=AE28", "IOSTANDARD = LVTTL", "PULLDOWN")
2322    PORT radio3_ADC_Q3_pin = radio3_ADC_Q3, UCF_NET_STRING=("LOC=AF28", "IOSTANDARD = LVTTL", "PULLDOWN")
2323    PORT radio3_ADC_Q4_pin = radio3_ADC_Q4, UCF_NET_STRING=("LOC=AE27", "IOSTANDARD = LVTTL", "PULLDOWN")
2324    PORT radio3_ADC_Q5_pin = radio3_ADC_Q5, UCF_NET_STRING=("LOC=AD27", "IOSTANDARD = LVTTL", "PULLDOWN")
2325    PORT radio3_ADC_Q6_pin = radio3_ADC_Q6, UCF_NET_STRING=("LOC=AN33", "IOSTANDARD = LVTTL", "PULLDOWN")
2326    PORT radio3_ADC_Q7_pin = radio3_ADC_Q7, UCF_NET_STRING=("LOC=AL31", "IOSTANDARD = LVTTL", "PULLDOWN")
2327    PORT radio3_ADC_Q8_pin = radio3_ADC_Q8, UCF_NET_STRING=("LOC=AR32", "IOSTANDARD = LVTTL", "PULLDOWN")
2328    PORT radio3_ADC_Q9_pin = radio3_ADC_Q9, UCF_NET_STRING=("LOC=AM33", "IOSTANDARD = LVTTL", "PULLDOWN")
2329    PORT radio3_ADC_Q10_pin = radio3_ADC_Q10, UCF_NET_STRING=("LOC=AG30", "IOSTANDARD = LVTTL", "PULLDOWN")
2330    PORT radio3_ADC_Q11_pin = radio3_ADC_Q11, UCF_NET_STRING=("LOC=AM32", "IOSTANDARD = LVTTL", "PULLDOWN")
2331    PORT radio3_ADC_Q12_pin = radio3_ADC_Q12, UCF_NET_STRING=("LOC=AE29", "IOSTANDARD = LVTTL", "PULLDOWN")
2332    PORT radio3_ADC_Q13_pin = radio3_ADC_Q13, UCF_NET_STRING=("LOC=AN31", "IOSTANDARD = LVTTL", "PULLDOWN")
2333
2334##Radio Bridge for Slot #4
2335#   PORT radio4_conv_clk_p = radio4_conv_clk_p, UCF_NET_STRING=("LOC=U32", "IOSTANDARD=LVDCI_33")
2336    PORT radio4_conv_clk_p = radio4_conv_clk_p, UCF_NET_STRING=("LOC=W30", "IOSTANDARD=LVTTL")
2337    PORT radio4_EEPROM_IO = radio4_EEPROM_IO, UCF_NET_STRING=("LOC=P32", "IOSTANDARD=LVTTL", "SLEW = SLOW", "DRIVE = 8")
2338    PORT dac4_spi_clk_pin = dac4_spi_clk, UCF_NET_STRING=("LOC=M38", "IOSTANDARD=LVTTL")
2339    PORT dac4_spi_cs_pin = dac4_spi_cs, UCF_NET_STRING=("LOC=N34", "IOSTANDARD=LVTTL")
2340    PORT dac4_spi_data_pin = dac4_spi_data, UCF_NET_STRING=("LOC=L31", "IOSTANDARD=LVTTL")
2341    PORT radio4_24PA_pin = radio4_24PA, UCF_NET_STRING=("LOC=Y36", "IOSTANDARD=LVTTL", "SLEW = SLOW", "DRIVE = 2")
2342    PORT radio4_5PA_pin = radio4_5PA, UCF_NET_STRING=("LOC=P35", "IOSTANDARD=LVTTL", "SLEW = SLOW", "DRIVE = 2")
2343    PORT radio4_ANTSW0_pin = radio4_ANTSW0, UCF_NET_STRING=("LOC=R30", "IOSTANDARD=LVTTL", "SLEW = SLOW", "DRIVE = 2")
2344    PORT radio4_ANTSW1_pin = radio4_ANTSW1, UCF_NET_STRING=("LOC=R33", "IOSTANDARD=LVTTL", "SLEW = SLOW", "DRIVE = 2")
2345    PORT radio4_dac4_PLL_LOCK_pin = radio4_dac4_PLL_LOCK, UCF_NET_STRING=("LOC=K36", "IOSTANDARD=LVTTL")
2346    PORT radio4_dac4_RESET_pin = radio4_dac4_RESET, UCF_NET_STRING=("LOC=N35", "IOSTANDARD=LVTTL")
2347    PORT radio4_DIPSW0_pin = radio4_DIPSW0, UCF_NET_STRING=("LOC=P36", "IOSTANDARD=LVTTL")
2348    PORT radio4_DIPSW1_pin = radio4_DIPSW1, UCF_NET_STRING=("LOC=P39", "IOSTANDARD=LVTTL")
2349    PORT radio4_DIPSW2_pin = radio4_DIPSW2, UCF_NET_STRING=("LOC=P34", "IOSTANDARD=LVTTL")
2350    PORT radio4_DIPSW3_pin = radio4_DIPSW3, UCF_NET_STRING=("LOC=P37", "IOSTANDARD=LVTTL")
2351    PORT radio4_LD_pin = radio4_LD, UCF_NET_STRING=("LOC=P33", "IOSTANDARD=LVTTL")
2352    PORT radio4_LED0_pin = radio4_LED0, UCF_NET_STRING=("LOC=R28", "IOSTANDARD=LVTTL", "SLEW = SLOW", "DRIVE = 2")
2353    PORT radio4_LED1_pin = radio4_LED1, UCF_NET_STRING=("LOC=V34", "IOSTANDARD=LVTTL", "SLEW = SLOW", "DRIVE = 2")
2354    PORT radio4_LED2_pin = radio4_LED2, UCF_NET_STRING=("LOC=P31", "IOSTANDARD=LVTTL", "SLEW = SLOW", "DRIVE = 2")
2355    PORT radio4_rssi_ADC_clk_pin = radio4_rssi_ADC_clk, UCF_NET_STRING=("LOC=U39", "IOSTANDARD=LVTTL")
2356    PORT radio4_RSSI_ADC_CLAMP_pin = radio4_RSSI_ADC_CLAMP, UCF_NET_STRING=("LOC=K39", "IOSTANDARD=LVTTL")
2357    PORT radio4_RSSI_ADC_D0_pin = radio4_RSSI_ADC_D0, UCF_NET_STRING=("LOC=F39", "IOSTANDARD=LVTTL", "PULLDOWN")
2358    PORT radio4_RSSI_ADC_D1_pin = radio4_RSSI_ADC_D1, UCF_NET_STRING=("LOC=D39", "IOSTANDARD=LVTTL", "PULLDOWN")
2359    PORT radio4_RSSI_ADC_D2_pin = radio4_RSSI_ADC_D2, UCF_NET_STRING=("LOC=J35", "IOSTANDARD=LVTTL", "PULLDOWN")
2360    PORT radio4_RSSI_ADC_D3_pin = radio4_RSSI_ADC_D3, UCF_NET_STRING=("LOC=K33", "IOSTANDARD=LVTTL", "PULLDOWN")
2361    PORT radio4_RSSI_ADC_D4_pin = radio4_RSSI_ADC_D4, UCF_NET_STRING=("LOC=K34", "IOSTANDARD=LVTTL", "PULLDOWN")
2362    PORT radio4_RSSI_ADC_D5_pin = radio4_RSSI_ADC_D5, UCF_NET_STRING=("LOC=K35", "IOSTANDARD=LVTTL", "PULLDOWN")
2363    PORT radio4_RSSI_ADC_D6_pin = radio4_RSSI_ADC_D6, UCF_NET_STRING=("LOC=J34", "IOSTANDARD=LVTTL", "PULLDOWN")
2364    PORT radio4_RSSI_ADC_D7_pin = radio4_RSSI_ADC_D7, UCF_NET_STRING=("LOC=K32", "IOSTANDARD=LVTTL", "PULLDOWN")
2365    PORT radio4_RSSI_ADC_D8_pin = radio4_RSSI_ADC_D8, UCF_NET_STRING=("LOC=K31", "IOSTANDARD=LVTTL", "PULLDOWN")
2366    PORT radio4_RSSI_ADC_D9_pin = radio4_RSSI_ADC_D9, UCF_NET_STRING=("LOC=G39", "IOSTANDARD=LVTTL", "PULLDOWN")
2367    PORT radio4_RSSI_ADC_HIZ_pin = radio4_RSSI_ADC_HIZ, UCF_NET_STRING=("LOC=K38", "IOSTANDARD=LVTTL")
2368    PORT radio4_RSSI_ADC_OTR_pin = radio4_RSSI_ADC_OTR, UCF_NET_STRING=("LOC=J33", "IOSTANDARD=LVTTL")
2369    PORT radio4_RSSI_ADC_SLEEP_pin = radio4_RSSI_ADC_SLEEP, UCF_NET_STRING=("LOC=M30", "IOSTANDARD=LVTTL")
2370    PORT radio4_RX_ADC_DCS_pin = radio4_RX_ADC_DCS, UCF_NET_STRING=("LOC=R32", "IOSTANDARD=LVTTL")
2371    PORT radio4_RX_ADC_DFS_pin = radio4_RX_ADC_DFS, UCF_NET_STRING=("LOC=T34", "IOSTANDARD=LVTTL")
2372    PORT radio4_RX_ADC_OTRA_pin = radio4_RX_ADC_OTRA, UCF_NET_STRING=("LOC=W37", "IOSTANDARD=LVTTL")
2373    PORT radio4_RX_ADC_OTRB_pin = radio4_RX_ADC_OTRB, UCF_NET_STRING=("LOC=W31", "IOSTANDARD=LVTTL")
2374    PORT radio4_RX_ADC_PWDNA_pin = radio4_RX_ADC_PWDNA, UCF_NET_STRING=("LOC=V33", "IOSTANDARD=LVTTL")
2375    PORT radio4_RX_ADC_PWDNB_pin = radio4_RX_ADC_PWDNB, UCF_NET_STRING=("LOC=T30", "IOSTANDARD=LVTTL")
2376    PORT radio4_TxEn_pin = radio4_TxEn, UCF_NET_STRING=("LOC=P38", "IOSTANDARD=LVTTL", "SLEW = SLOW")
2377    PORT radio4_RxEn_pin = radio4_RxEn, UCF_NET_STRING=("LOC=T33", "IOSTANDARD=LVTTL", "SLEW = SLOW")
2378    PORT radio4_RxHP_pin = radio4_RxHP, UCF_NET_STRING=("LOC=V38", "IOSTANDARD=LVTTL", "SLEW = SLOW")
2379    PORT radio4_SHDN_pin = radio4_SHDN, UCF_NET_STRING=("LOC=V39", "IOSTANDARD=LVTTL", "SLEW = SLOW")
2380    PORT radio4_spi_clk_pin = radio4_spi_clk, UCF_NET_STRING=("LOC=N38", "IOSTANDARD=LVTTL")
2381    PORT radio4_spi_cs_pin = radio4_spi_cs, UCF_NET_STRING=("LOC=N37", "IOSTANDARD=LVTTL")
2382    PORT radio4_spi_data_pin = radio4_spi_data, UCF_NET_STRING=("LOC=N39", "IOSTANDARD=LVTTL")
2383
2384    PORT radio4_b0_pin = radio4_b0, UCF_NET_STRING=("LOC=R34", "IOSTANDARD = LVTTL", "SLEW = SLOW") #Radio_B1
2385    PORT radio4_b1_pin = radio4_b1, UCF_NET_STRING=("LOC=R35", "IOSTANDARD = LVTTL", "SLEW = SLOW") #Radio_B2
2386    PORT radio4_b2_pin = radio4_b2, UCF_NET_STRING=("LOC=T38", "IOSTANDARD = LVTTL", "SLEW = SLOW") #Radio_B3
2387    PORT radio4_b3_pin = radio4_b3, UCF_NET_STRING=("LOC=R37", "IOSTANDARD = LVTTL", "SLEW = SLOW") #Radio_B4
2388    PORT radio4_b4_pin = radio4_b4, UCF_NET_STRING=("LOC=R36", "IOSTANDARD = LVTTL", "SLEW = SLOW") #Radio_B5
2389    PORT radio4_b5_pin = radio4_b5, UCF_NET_STRING=("LOC=R39", "IOSTANDARD = LVTTL", "SLEW = SLOW") #Radio_B6
2390    PORT radio4_b6_pin = radio4_b6, UCF_NET_STRING=("LOC=R38", "IOSTANDARD = LVTTL", "SLEW = SLOW") #Radio_B7
2391
2392    PORT radio4_DAC_I0_pin = radio4_DAC_I0, UCF_NET_STRING=("LOC=H31", "IOSTANDARD = LVTTL")
2393    PORT radio4_DAC_I1_pin = radio4_DAC_I1, UCF_NET_STRING=("LOC=G31", "IOSTANDARD = LVTTL")
2394    PORT radio4_DAC_I2_pin = radio4_DAC_I2, UCF_NET_STRING=("LOC=F33", "IOSTANDARD = LVTTL")
2395    PORT radio4_DAC_I3_pin = radio4_DAC_I3, UCF_NET_STRING=("LOC=E33", "IOSTANDARD = LVTTL")
2396    PORT radio4_DAC_I4_pin = radio4_DAC_I4, UCF_NET_STRING=("LOC=E38", "IOSTANDARD = LVTTL")
2397    PORT radio4_DAC_I5_pin = radio4_DAC_I5, UCF_NET_STRING=("LOC=E34", "IOSTANDARD = LVTTL")
2398    PORT radio4_DAC_I6_pin = radio4_DAC_I6, UCF_NET_STRING=("LOC=D38", "IOSTANDARD = LVTTL")
2399    PORT radio4_DAC_I7_pin = radio4_DAC_I7, UCF_NET_STRING=("LOC=D35", "IOSTANDARD = LVTTL")
2400    PORT radio4_DAC_I8_pin = radio4_DAC_I8, UCF_NET_STRING=("LOC=H32", "IOSTANDARD = LVTTL")
2401    PORT radio4_DAC_I9_pin = radio4_DAC_I9, UCF_NET_STRING=("LOC=D34", "IOSTANDARD = LVTTL")
2402    PORT radio4_DAC_I10_pin = radio4_DAC_I10, UCF_NET_STRING=("LOC=C35", "IOSTANDARD = LVTTL")
2403    PORT radio4_DAC_I11_pin = radio4_DAC_I11, UCF_NET_STRING=("LOC=H33", "IOSTANDARD = LVTTL")
2404    PORT radio4_DAC_I12_pin = radio4_DAC_I12, UCF_NET_STRING=("LOC=J39", "IOSTANDARD = LVTTL")
2405    PORT radio4_DAC_I13_pin = radio4_DAC_I13, UCF_NET_STRING=("LOC=J38", "IOSTANDARD = LVTTL")
2406    PORT radio4_DAC_I14_pin = radio4_DAC_I14, UCF_NET_STRING=("LOC=H38", "IOSTANDARD = LVTTL")
2407    PORT radio4_DAC_I15_pin = radio4_DAC_I15, UCF_NET_STRING=("LOC=J31", "IOSTANDARD = LVTTL")
2408
2409    PORT radio4_DAC_Q0_pin = radio4_DAC_Q0, UCF_NET_STRING=("LOC=N33", "IOSTANDARD = LVTTL")
2410    PORT radio4_DAC_Q1_pin = radio4_DAC_Q1, UCF_NET_STRING=("LOC=M37", "IOSTANDARD = LVTTL")
2411    PORT radio4_DAC_Q2_pin = radio4_DAC_Q2, UCF_NET_STRING=("LOC=M34", "IOSTANDARD = LVTTL")
2412    PORT radio4_DAC_Q3_pin = radio4_DAC_Q3, UCF_NET_STRING=("LOC=L39", "IOSTANDARD = LVTTL")
2413    PORT radio4_DAC_Q4_pin = radio4_DAC_Q4, UCF_NET_STRING=("LOC=M36", "IOSTANDARD = LVTTL")
2414    PORT radio4_DAC_Q5_pin = radio4_DAC_Q5, UCF_NET_STRING=("LOC=N30", "IOSTANDARD = LVTTL")
2415    PORT radio4_DAC_Q6_pin = radio4_DAC_Q6, UCF_NET_STRING=("LOC=L37", "IOSTANDARD = LVTTL")
2416    PORT radio4_DAC_Q7_pin = radio4_DAC_Q7, UCF_NET_STRING=("LOC=M33", "IOSTANDARD = LVTTL")
2417    PORT radio4_DAC_Q8_pin = radio4_DAC_Q8, UCF_NET_STRING=("LOC=L35", "IOSTANDARD = LVTTL")
2418    PORT radio4_DAC_Q9_pin = radio4_DAC_Q9, UCF_NET_STRING=("LOC=L38", "IOSTANDARD = LVTTL")
2419    PORT radio4_DAC_Q10_pin = radio4_DAC_Q10, UCF_NET_STRING=("LOC=N31", "IOSTANDARD = LVTTL")
2420    PORT radio4_DAC_Q11_pin = radio4_DAC_Q11, UCF_NET_STRING=("LOC=L36", "IOSTANDARD = LVTTL")
2421    PORT radio4_DAC_Q12_pin = radio4_DAC_Q12, UCF_NET_STRING=("LOC=M32", "IOSTANDARD = LVTTL")
2422    PORT radio4_DAC_Q13_pin = radio4_DAC_Q13, UCF_NET_STRING=("LOC=K37", "IOSTANDARD = LVTTL")
2423    PORT radio4_DAC_Q14_pin = radio4_DAC_Q14, UCF_NET_STRING=("LOC=L34", "IOSTANDARD = LVTTL")
2424    PORT radio4_DAC_Q15_pin = radio4_DAC_Q15, UCF_NET_STRING=("LOC=L33", "IOSTANDARD = LVTTL")
2425
2426    PORT radio4_ADC_I0_pin = radio4_ADC_I0, UCF_NET_STRING=("LOC=Y31", "IOSTANDARD = LVTTL", "PULLDOWN")
2427    PORT radio4_ADC_I1_pin = radio4_ADC_I1, UCF_NET_STRING=("LOC=Y32", "IOSTANDARD = LVTTL", "PULLDOWN")
2428    PORT radio4_ADC_I2_pin = radio4_ADC_I2, UCF_NET_STRING=("LOC=W28", "IOSTANDARD = LVTTL", "PULLDOWN")
2429    PORT radio4_ADC_I3_pin = radio4_ADC_I3, UCF_NET_STRING=("LOC=L21", "IOSTANDARD = LVTTL", "PULLDOWN")
2430    PORT radio4_ADC_I4_pin = radio4_ADC_I4, UCF_NET_STRING=("LOC=W27", "IOSTANDARD = LVTTL", "PULLDOWN")
2431    PORT radio4_ADC_I5_pin = radio4_ADC_I5, UCF_NET_STRING=("LOC=V27", "IOSTANDARD = LVTTL", "PULLDOWN")
2432    PORT radio4_ADC_I6_pin = radio4_ADC_I6, UCF_NET_STRING=("LOC=W29", "IOSTANDARD = LVTTL", "PULLDOWN")
2433    PORT radio4_ADC_I7_pin = radio4_ADC_I7, UCF_NET_STRING=("LOC=V32", "IOSTANDARD = LVTTL", "PULLDOWN")
2434    PORT radio4_ADC_I8_pin = radio4_ADC_I8, UCF_NET_STRING=("LOC=W32", "IOSTANDARD = LVTTL", "PULLDOWN")
2435    PORT radio4_ADC_I9_pin = radio4_ADC_I9, UCF_NET_STRING=("LOC=W35", "IOSTANDARD = LVTTL", "PULLDOWN")
2436    PORT radio4_ADC_I10_pin = radio4_ADC_I10, UCF_NET_STRING=("LOC=T29", "IOSTANDARD = LVTTL", "PULLDOWN")
2437    PORT radio4_ADC_I11_pin = radio4_ADC_I11, UCF_NET_STRING=("LOC=V31", "IOSTANDARD = LVTTL", "PULLDOWN")
2438    PORT radio4_ADC_I12_pin = radio4_ADC_I12, UCF_NET_STRING=("LOC=V35", "IOSTANDARD = LVTTL", "PULLDOWN")
2439    PORT radio4_ADC_I13_pin = radio4_ADC_I13, UCF_NET_STRING=("LOC=U34", "IOSTANDARD = LVTTL", "PULLDOWN")
2440
2441    PORT radio4_ADC_Q0_pin = radio4_ADC_Q0, UCF_NET_STRING=("LOC=U36", "IOSTANDARD = LVTTL", "PULLDOWN")
2442    PORT radio4_ADC_Q1_pin = radio4_ADC_Q1, UCF_NET_STRING=("LOC=U30", "IOSTANDARD = LVTTL", "PULLDOWN")
2443    PORT radio4_ADC_Q2_pin = radio4_ADC_Q2, UCF_NET_STRING=("LOC=V36", "IOSTANDARD = LVTTL", "PULLDOWN")
2444    PORT radio4_ADC_Q3_pin = radio4_ADC_Q3, UCF_NET_STRING=("LOC=T32", "IOSTANDARD = LVTTL", "PULLDOWN")
2445    PORT radio4_ADC_Q4_pin = radio4_ADC_Q4, UCF_NET_STRING=("LOC=V30", "IOSTANDARD = LVTTL", "PULLDOWN")
2446    PORT radio4_ADC_Q5_pin = radio4_ADC_Q5, UCF_NET_STRING=("LOC=U31", "IOSTANDARD = LVTTL", "PULLDOWN")
2447    PORT radio4_ADC_Q6_pin = radio4_ADC_Q6, UCF_NET_STRING=("LOC=V37", "IOSTANDARD = LVTTL", "PULLDOWN")
2448    PORT radio4_ADC_Q7_pin = radio4_ADC_Q7, UCF_NET_STRING=("LOC=W36", "IOSTANDARD = LVTTL", "PULLDOWN")
2449    PORT radio4_ADC_Q8_pin = radio4_ADC_Q8, UCF_NET_STRING=("LOC=Y33", "IOSTANDARD = LVTTL", "PULLDOWN")
2450    PORT radio4_ADC_Q9_pin = radio4_ADC_Q9, UCF_NET_STRING=("LOC=W34", "IOSTANDARD = LVTTL", "PULLDOWN")
2451    PORT radio4_ADC_Q10_pin = radio4_ADC_Q10, UCF_NET_STRING=("LOC=V29", "IOSTANDARD = LVTTL", "PULLDOWN")
2452    PORT radio4_ADC_Q11_pin = radio4_ADC_Q11, UCF_NET_STRING=("LOC=V28", "IOSTANDARD = LVTTL", "PULLDOWN")
2453    PORT radio4_ADC_Q12_pin = radio4_ADC_Q12, UCF_NET_STRING=("LOC=W33", "IOSTANDARD = LVTTL", "PULLDOWN")
2454    PORT radio4_ADC_Q13_pin = radio4_ADC_Q13, UCF_NET_STRING=("LOC=T28", "IOSTANDARD = LVTTL", "PULLDOWN")
2455
2456### Analog Bridge slot1 ###
2457    PORT analog1_clock_out_pin = analog1_clock_out, UCF_NET_STRING=("LOC=W4", "IOSTANDARD = LVTTL")
2458
2459    PORT analog1_DAC1_A0_pin = analog1_DAC1_A0, UCF_NET_STRING=("LOC=D5", "IOSTANDARD = LVTTL")
2460    PORT analog1_DAC1_A1_pin = analog1_DAC1_A1, UCF_NET_STRING=("LOC=K6", "IOSTANDARD = LVTTL")
2461    PORT analog1_DAC1_A2_pin = analog1_DAC1_A2, UCF_NET_STRING=("LOC=E6", "IOSTANDARD = LVTTL")
2462    PORT analog1_DAC1_A3_pin = analog1_DAC1_A3, UCF_NET_STRING=("LOC=N10", "IOSTANDARD = LVTTL")
2463    PORT analog1_DAC1_A4_pin = analog1_DAC1_A4, UCF_NET_STRING=("LOC=K7", "IOSTANDARD = LVTTL")
2464    PORT analog1_DAC1_A5_pin = analog1_DAC1_A5, UCF_NET_STRING=("LOC=F7", "IOSTANDARD = LVTTL")
2465    PORT analog1_DAC1_A6_pin = analog1_DAC1_A6, UCF_NET_STRING=("LOC=L8", "IOSTANDARD = LVTTL")
2466    PORT analog1_DAC1_A7_pin = analog1_DAC1_A7, UCF_NET_STRING=("LOC=H2", "IOSTANDARD = LVTTL")
2467    PORT analog1_DAC1_A8_pin = analog1_DAC1_A8, UCF_NET_STRING=("LOC=H8", "IOSTANDARD = LVTTL")
2468    PORT analog1_DAC1_A9_pin = analog1_DAC1_A9, UCF_NET_STRING=("LOC=H7", "IOSTANDARD = LVTTL")
2469    PORT analog1_DAC1_A10_pin = analog1_DAC1_A10, UCF_NET_STRING=("LOC=L9", "IOSTANDARD = LVTTL")
2470    PORT analog1_DAC1_A11_pin = analog1_DAC1_A11, UCF_NET_STRING=("LOC=J9", "IOSTANDARD = LVTTL")
2471    PORT analog1_DAC1_A12_pin = analog1_DAC1_A12, UCF_NET_STRING=("LOC=K8", "IOSTANDARD = LVTTL")
2472    PORT analog1_DAC1_A13_pin = analog1_DAC1_A13, UCF_NET_STRING=("LOC=J2", "IOSTANDARD = LVTTL")
2473
2474    PORT analog1_DAC1_B0_pin = analog1_DAC1_B0, UCF_NET_STRING=("LOC=P2", "IOSTANDARD = LVTTL")
2475    PORT analog1_DAC1_B1_pin = analog1_DAC1_B1, UCF_NET_STRING=("LOC=M3", "IOSTANDARD = LVTTL")
2476    PORT analog1_DAC1_B2_pin = analog1_DAC1_B2, UCF_NET_STRING=("LOC=N9", "IOSTANDARD = LVTTL")
2477    PORT analog1_DAC1_B3_pin = analog1_DAC1_B3, UCF_NET_STRING=("LOC=N1", "IOSTANDARD = LVTTL")
2478    PORT analog1_DAC1_B4_pin = analog1_DAC1_B4, UCF_NET_STRING=("LOC=L6", "IOSTANDARD = LVTTL")
2479    PORT analog1_DAC1_B5_pin = analog1_DAC1_B5, UCF_NET_STRING=("LOC=N6", "IOSTANDARD = LVTTL")
2480    PORT analog1_DAC1_B6_pin = analog1_DAC1_B6, UCF_NET_STRING=("LOC=N5", "IOSTANDARD = LVTTL")
2481    PORT analog1_DAC1_B7_pin = analog1_DAC1_B7, UCF_NET_STRING=("LOC=P1", "IOSTANDARD = LVTTL")
2482    PORT analog1_DAC1_B8_pin = analog1_DAC1_B8, UCF_NET_STRING=("LOC=P8", "IOSTANDARD = LVTTL")
2483    PORT analog1_DAC1_B9_pin = analog1_DAC1_B9, UCF_NET_STRING=("LOC=P10", "IOSTANDARD = LVTTL")
2484    PORT analog1_DAC1_B10_pin = analog1_DAC1_B10, UCF_NET_STRING=("LOC=N3", "IOSTANDARD = LVTTL")
2485    PORT analog1_DAC1_B11_pin = analog1_DAC1_B11, UCF_NET_STRING=("LOC=P4", "IOSTANDARD = LVTTL")
2486    PORT analog1_DAC1_B12_pin = analog1_DAC1_B12, UCF_NET_STRING=("LOC=K1", "IOSTANDARD = LVTTL")
2487    PORT analog1_DAC1_B13_pin = analog1_DAC1_B13, UCF_NET_STRING=("LOC=K2", "IOSTANDARD = LVTTL")
2488
2489    PORT analog1_DAC2_A0_pin = analog1_DAC2_A0, UCF_NET_STRING=("LOC=M6", "IOSTANDARD = LVTTL")
2490    PORT analog1_DAC2_A1_pin = analog1_DAC2_A1, UCF_NET_STRING=("LOC=L4", "IOSTANDARD = LVTTL")
2491    PORT analog1_DAC2_A2_pin = analog1_DAC2_A2, UCF_NET_STRING=("LOC=L5", "IOSTANDARD = LVTTL")
2492    PORT analog1_DAC2_A3_pin = analog1_DAC2_A3, UCF_NET_STRING=("LOC=M7", "IOSTANDARD = LVTTL")
2493    PORT analog1_DAC2_A4_pin = analog1_DAC2_A4, UCF_NET_STRING=("LOC=N2", "IOSTANDARD = LVTTL")
2494    PORT analog1_DAC2_A5_pin = analog1_DAC2_A5, UCF_NET_STRING=("LOC=R11", "IOSTANDARD = LVTTL")
2495    PORT analog1_DAC2_A6_pin = analog1_DAC2_A6, UCF_NET_STRING=("LOC=M2", "IOSTANDARD = LVTTL")
2496    PORT analog1_DAC2_A7_pin = analog1_DAC2_A7, UCF_NET_STRING=("LOC=L3", "IOSTANDARD = LVTTL")
2497    PORT analog1_DAC2_A8_pin = analog1_DAC2_A8, UCF_NET_STRING=("LOC=M10", "IOSTANDARD = LVTTL")
2498    PORT analog1_DAC2_A9_pin = analog1_DAC2_A9, UCF_NET_STRING=("LOC=M4", "IOSTANDARD = LVTTL")
2499    PORT analog1_DAC2_A10_pin = analog1_DAC2_A10, UCF_NET_STRING=("LOC=K5", "IOSTANDARD = LVTTL")
2500    PORT analog1_DAC2_A11_pin = analog1_DAC2_A11, UCF_NET_STRING=("LOC=N7", "IOSTANDARD = LVTTL")
2501    PORT analog1_DAC2_A12_pin = analog1_DAC2_A12, UCF_NET_STRING=("LOC=L7", "IOSTANDARD = LVTTL")
2502    PORT analog1_DAC2_A13_pin = analog1_DAC2_A13, UCF_NET_STRING=("LOC=L1", "IOSTANDARD = LVTTL")
2503
2504    PORT analog1_DAC2_B0_pin = analog1_DAC2_B0, UCF_NET_STRING=("LOC=T3", "IOSTANDARD = LVTTL")
2505    PORT analog1_DAC2_B1_pin = analog1_DAC2_B1, UCF_NET_STRING=("LOC=T4", "IOSTANDARD = LVTTL")
2506    PORT analog1_DAC2_B2_pin = analog1_DAC2_B2, UCF_NET_STRING=("LOC=U5", "IOSTANDARD = LVTTL")
2507    PORT analog1_DAC2_B3_pin = analog1_DAC2_B3, UCF_NET_STRING=("LOC=R10", "IOSTANDARD = LVTTL")
2508    PORT analog1_DAC2_B4_pin = analog1_DAC2_B4, UCF_NET_STRING=("LOC=V7", "IOSTANDARD = LVTTL")
2509    PORT analog1_DAC2_B5_pin = analog1_DAC2_B5, UCF_NET_STRING=("LOC=U8", "IOSTANDARD = LVTTL")
2510    PORT analog1_DAC2_B6_pin = analog1_DAC2_B6, UCF_NET_STRING=("LOC=U1", "IOSTANDARD = LVTTL")
2511    PORT analog1_DAC2_B7_pin = analog1_DAC2_B7, UCF_NET_STRING=("LOC=R8", "IOSTANDARD = LVTTL")
2512    PORT analog1_DAC2_B8_pin = analog1_DAC2_B8, UCF_NET_STRING=("LOC=T7", "IOSTANDARD = LVTTL")
2513    PORT analog1_DAC2_B9_pin = analog1_DAC2_B9, UCF_NET_STRING=("LOC=T6", "IOSTANDARD = LVTTL")
2514    PORT analog1_DAC2_B10_pin = analog1_DAC2_B10, UCF_NET_STRING=("LOC=U2", "IOSTANDARD = LVTTL")
2515    PORT analog1_DAC2_B11_pin = analog1_DAC2_B11, UCF_NET_STRING=("LOC=P6", "IOSTANDARD = LVTTL")
2516    PORT analog1_DAC2_B12_pin = analog1_DAC2_B12, UCF_NET_STRING=("LOC=R3", "IOSTANDARD = LVTTL")
2517    PORT analog1_DAC2_B13_pin = analog1_DAC2_B13, UCF_NET_STRING=("LOC=P9", "IOSTANDARD = LVTTL")
2518
2519    PORT analog1_DAC1_sleep_pin = analog1_DAC1_sleep, UCF_NET_STRING=("LOC=J6", "IOSTANDARD = LVTTL")
2520    PORT analog1_DAC2_sleep_pin = analog1_DAC2_sleep, UCF_NET_STRING=("LOC=J7", "IOSTANDARD = LVTTL")
2521
2522    PORT analog1_ADC_A0_pin = analog1_ADC_A0, UCF_NET_STRING=("LOC=W7", "IOSTANDARD = LVTTL", "PULLDOWN")
2523    PORT analog1_ADC_A1_pin = analog1_ADC_A1, UCF_NET_STRING=("LOC=U12", "IOSTANDARD = LVTTL", "PULLDOWN")
2524    PORT analog1_ADC_A2_pin = analog1_ADC_A2, UCF_NET_STRING=("LOC=T10", "IOSTANDARD = LVTTL", "PULLDOWN")
2525    PORT analog1_ADC_A3_pin = analog1_ADC_A3, UCF_NET_STRING=("LOC=W8", "IOSTANDARD = LVTTL", "PULLDOWN")
2526    PORT analog1_ADC_A4_pin = analog1_ADC_A4, UCF_NET_STRING=("LOC=W9", "IOSTANDARD = LVTTL", "PULLDOWN")
2527    PORT analog1_ADC_A5_pin = analog1_ADC_A5, UCF_NET_STRING=("LOC=W11", "IOSTANDARD = LVTTL", "PULLDOWN")
2528    PORT analog1_ADC_A6_pin = analog1_ADC_A6, UCF_NET_STRING=("LOC=V10", "IOSTANDARD = LVTTL", "PULLDOWN")
2529    PORT analog1_ADC_A7_pin = analog1_ADC_A7, UCF_NET_STRING=("LOC=V11", "IOSTANDARD = LVTTL", "PULLDOWN")
2530    PORT analog1_ADC_A8_pin = analog1_ADC_A8, UCF_NET_STRING=("LOC=Y9", "IOSTANDARD = LVTTL", "PULLDOWN")
2531    PORT analog1_ADC_A9_pin = analog1_ADC_A9, UCF_NET_STRING=("LOC=Y8", "IOSTANDARD = LVTTL", "PULLDOWN")
2532    PORT analog1_ADC_A10_pin = analog1_ADC_A10, UCF_NET_STRING=("LOC=T2", "IOSTANDARD = LVTTL", "PULLDOWN")
2533    PORT analog1_ADC_A11_pin = analog1_ADC_A11, UCF_NET_STRING=("LOC=P3", "IOSTANDARD = LVTTL", "PULLDOWN")
2534    PORT analog1_ADC_A12_pin = analog1_ADC_A12, UCF_NET_STRING=("LOC=R2", "IOSTANDARD = LVTTL", "PULLDOWN")
2535    PORT analog1_ADC_A13_pin = analog1_ADC_A13, UCF_NET_STRING=("LOC=W12", "IOSTANDARD = LVTTL", "PULLDOWN")
2536
2537    PORT analog1_ADC_B0_pin = analog1_ADC_B0, UCF_NET_STRING=("LOC=V2", "IOSTANDARD = LVTTL", "PULLDOWN")
2538    PORT analog1_ADC_B1_pin = analog1_ADC_B1, UCF_NET_STRING=("LOC=W5", "IOSTANDARD = LVTTL", "PULLDOWN")
2539    PORT analog1_ADC_B2_pin = analog1_ADC_B2, UCF_NET_STRING=("LOC=P7", "IOSTANDARD = LVTTL", "PULLDOWN")
2540    PORT analog1_ADC_B3_pin = analog1_ADC_B3, UCF_NET_STRING=("LOC=V1", "IOSTANDARD = LVTTL", "PULLDOWN")
2541    PORT analog1_ADC_B4_pin = analog1_ADC_B4, UCF_NET_STRING=("LOC=T12", "IOSTANDARD = LVTTL", "PULLDOWN")
2542    PORT analog1_ADC_B5_pin = analog1_ADC_B5, UCF_NET_STRING=("LOC=P5", "IOSTANDARD = LVTTL", "PULLDOWN")
2543    PORT analog1_ADC_B6_pin = analog1_ADC_B6, UCF_NET_STRING=("LOC=R7", "IOSTANDARD = LVTTL", "PULLDOWN")
2544    PORT analog1_ADC_B7_pin = analog1_ADC_B7, UCF_NET_STRING=("LOC=R6", "IOSTANDARD = LVTTL", "PULLDOWN")
2545    PORT analog1_ADC_B8_pin = analog1_ADC_B8, UCF_NET_STRING=("LOC=W3", "IOSTANDARD = LVTTL", "PULLDOWN")
2546    PORT analog1_ADC_B9_pin = analog1_ADC_B9, UCF_NET_STRING=("LOC=R9", "IOSTANDARD = LVTTL", "PULLDOWN")
2547    PORT analog1_ADC_B10_pin = analog1_ADC_B10, UCF_NET_STRING=("LOC=U6", "IOSTANDARD = LVTTL", "PULLDOWN")
2548    PORT analog1_ADC_B11_pin = analog1_ADC_B11, UCF_NET_STRING=("LOC=W6", "IOSTANDARD = LVTTL", "PULLDOWN")
2549    PORT analog1_ADC_B12_pin = analog1_ADC_B12, UCF_NET_STRING=("LOC=T8", "IOSTANDARD = LVTTL", "PULLDOWN")
2550    PORT analog1_ADC_B13_pin = analog1_ADC_B13, UCF_NET_STRING=("LOC=Y7", "IOSTANDARD = LVTTL", "PULLDOWN")
2551
2552    PORT analog1_ADC_DFS_pin = analog1_ADC_DFS, UCF_NET_STRING=("LOC=V12", "IOSTANDARD = LVTTL")
2553    PORT analog1_ADC_DCS_pin = analog1_ADC_DCS, UCF_NET_STRING=("LOC=Y4", "IOSTANDARD = LVTTL")
2554    PORT analog1_ADC_pdwnA_pin = analog1_ADC_pdwnA, UCF_NET_STRING=("LOC=R4", "IOSTANDARD = LVTTL")
2555    PORT analog1_ADC_pdwnB_pin = analog1_ADC_pdwnB, UCF_NET_STRING=("LOC=W10", "IOSTANDARD = LVTTL")
2556    PORT analog1_ADC_otrA_pin = analog1_ADC_otrA, UCF_NET_STRING=("LOC=R5", "IOSTANDARD = LVTTL")
2557    PORT analog1_ADC_otrB_pin = analog1_ADC_otrB, UCF_NET_STRING=("LOC=R1", "IOSTANDARD = LVTTL")
2558   
2559    PORT analog1_LED0_pin = analog1_LED0, UCF_NET_STRING=("LOC=D6", "IOSTANDARD = LVTTL")
2560    PORT analog1_LED1_pin = analog1_LED1, UCF_NET_STRING=("LOC=E3", "IOSTANDARD = LVTTL")
2561    PORT analog1_LED2_pin = analog1_LED2, UCF_NET_STRING=("LOC=M20", "IOSTANDARD = LVTTL")
2562
2563### Analog Bridge slot2 ###
2564    PORT analog2_clock_out_pin = analog2_clock_out, UCF_NET_STRING=("LOC=AM8", "IOSTANDARD = LVTTL")
2565
2566    PORT analog2_DAC1_A0_pin = analog2_DAC1_A0, UCF_NET_STRING=("LOC=AB1", "IOSTANDARD = LVTTL")
2567    PORT analog2_DAC1_A1_pin = analog2_DAC1_A1, UCF_NET_STRING=("LOC=AA6", "IOSTANDARD = LVTTL")
2568    PORT analog2_DAC1_A2_pin = analog2_DAC1_A2, UCF_NET_STRING=("LOC=AA9", "IOSTANDARD = LVTTL")
2569    PORT analog2_DAC1_A3_pin = analog2_DAC1_A3, UCF_NET_STRING=("LOC=AB2", "IOSTANDARD = LVTTL")
2570    PORT analog2_DAC1_A4_pin = analog2_DAC1_A4, UCF_NET_STRING=("LOC=AC1", "IOSTANDARD = LVTTL")
2571    PORT analog2_DAC1_A5_pin = analog2_DAC1_A5, UCF_NET_STRING=("LOC=AA5", "IOSTANDARD = LVTTL")
2572    PORT analog2_DAC1_A6_pin = analog2_DAC1_A6, UCF_NET_STRING=("LOC=AA8", "IOSTANDARD = LVTTL")
2573    PORT analog2_DAC1_A7_pin = analog2_DAC1_A7, UCF_NET_STRING=("LOC=AC2", "IOSTANDARD = LVTTL")
2574    PORT analog2_DAC1_A8_pin = analog2_DAC1_A8, UCF_NET_STRING=("LOC=AA3", "IOSTANDARD = LVTTL")
2575    PORT analog2_DAC1_A9_pin = analog2_DAC1_A9, UCF_NET_STRING=("LOC=AA4", "IOSTANDARD = LVTTL")
2576    PORT analog2_DAC1_A10_pin = analog2_DAC1_A10, UCF_NET_STRING=("LOC=AB3", "IOSTANDARD = LVTTL")
2577    PORT analog2_DAC1_A11_pin = analog2_DAC1_A11, UCF_NET_STRING=("LOC=AA7", "IOSTANDARD = LVTTL")
2578    PORT analog2_DAC1_A12_pin = analog2_DAC1_A12, UCF_NET_STRING=("LOC=AB6", "IOSTANDARD = LVTTL")
2579    PORT analog2_DAC1_A13_pin = analog2_DAC1_A13, UCF_NET_STRING=("LOC=AB4", "IOSTANDARD = LVTTL")
2580
2581    PORT analog2_DAC1_B0_pin = analog2_DAC1_B0, UCF_NET_STRING=("LOC=AF4", "IOSTANDARD = LVTTL")
2582    PORT analog2_DAC1_B1_pin = analog2_DAC1_B1, UCF_NET_STRING=("LOC=AD6", "IOSTANDARD = LVTTL")
2583    PORT analog2_DAC1_B2_pin = analog2_DAC1_B2, UCF_NET_STRING=("LOC=AE5", "IOSTANDARD = LVTTL")
2584    PORT analog2_DAC1_B3_pin = analog2_DAC1_B3, UCF_NET_STRING=("LOC=AK2", "IOSTANDARD = LVTTL")
2585    PORT analog2_DAC1_B4_pin = analog2_DAC1_B4, UCF_NET_STRING=("LOC=AD7", "IOSTANDARD = LVTTL")
2586    PORT analog2_DAC1_B5_pin = analog2_DAC1_B5, UCF_NET_STRING=("LOC=AC8", "IOSTANDARD = LVTTL")
2587    PORT analog2_DAC1_B6_pin = analog2_DAC1_B6, UCF_NET_STRING=("LOC=AC9", "IOSTANDARD = LVTTL")
2588    PORT analog2_DAC1_B7_pin = analog2_DAC1_B7, UCF_NET_STRING=("LOC=AB7", "IOSTANDARD = LVTTL")
2589    PORT analog2_DAC1_B8_pin = analog2_DAC1_B8, UCF_NET_STRING=("LOC=AB8", "IOSTANDARD = LVTTL")
2590    PORT analog2_DAC1_B9_pin = analog2_DAC1_B9, UCF_NET_STRING=("LOC=AC10", "IOSTANDARD = LVTTL")
2591    PORT analog2_DAC1_B10_pin = analog2_DAC1_B10, UCF_NET_STRING=("LOC=AB9", "IOSTANDARD = LVTTL")
2592    PORT analog2_DAC1_B11_pin = analog2_DAC1_B11, UCF_NET_STRING=("LOC=AF3", "IOSTANDARD = LVTTL")
2593    PORT analog2_DAC1_B12_pin = analog2_DAC1_B12, UCF_NET_STRING=("LOC=AL1", "IOSTANDARD = LVTTL")
2594    PORT analog2_DAC1_B13_pin = analog2_DAC1_B13, UCF_NET_STRING=("LOC=AE4", "IOSTANDARD = LVTTL")
2595
2596    PORT analog2_DAC2_A0_pin = analog2_DAC2_A0, UCF_NET_STRING=("LOC=AE6", "IOSTANDARD = LVTTL")
2597    PORT analog2_DAC2_A1_pin = analog2_DAC2_A1, UCF_NET_STRING=("LOC=AD10", "IOSTANDARD = LVTTL")
2598    PORT analog2_DAC2_A2_pin = analog2_DAC2_A2, UCF_NET_STRING=("LOC=AF5", "IOSTANDARD = LVTTL")
2599    PORT analog2_DAC2_A3_pin = analog2_DAC2_A3, UCF_NET_STRING=("LOC=AD8", "IOSTANDARD = LVTTL")
2600    PORT analog2_DAC2_A4_pin = analog2_DAC2_A4, UCF_NET_STRING=("LOC=AK1", "IOSTANDARD = LVTTL")
2601    PORT analog2_DAC2_A5_pin = analog2_DAC2_A5, UCF_NET_STRING=("LOC=AF6", "IOSTANDARD = LVTTL")
2602    PORT analog2_DAC2_A6_pin = analog2_DAC2_A6, UCF_NET_STRING=("LOC=AE7", "IOSTANDARD = LVTTL")
2603    PORT analog2_DAC2_A7_pin = analog2_DAC2_A7, UCF_NET_STRING=("LOC=AC12", "IOSTANDARD = LVTTL")
2604    PORT analog2_DAC2_A8_pin = analog2_DAC2_A8, UCF_NET_STRING=("LOC=AJ2", "IOSTANDARD = LVTTL")
2605    PORT analog2_DAC2_A9_pin = analog2_DAC2_A9, UCF_NET_STRING=("LOC=AG5", "IOSTANDARD = LVTTL")
2606    PORT analog2_DAC2_A10_pin = analog2_DAC2_A10, UCF_NET_STRING=("LOC=AJ1", "IOSTANDARD = LVTTL")
2607    PORT analog2_DAC2_A11_pin = analog2_DAC2_A11, UCF_NET_STRING=("LOC=AH3", "IOSTANDARD = LVTTL")
2608    PORT analog2_DAC2_A12_pin = analog2_DAC2_A12, UCF_NET_STRING=("LOC=AH4", "IOSTANDARD = LVTTL")
2609    PORT analog2_DAC2_A13_pin = analog2_DAC2_A13, UCF_NET_STRING=("LOC=AJ3", "IOSTANDARD = LVTTL")
2610
2611    PORT analog2_DAC2_B0_pin = analog2_DAC2_B0, UCF_NET_STRING=("LOC=AL6", "IOSTANDARD = LVTTL")
2612    PORT analog2_DAC2_B1_pin = analog2_DAC2_B1, UCF_NET_STRING=("LOC=AE11", "IOSTANDARD = LVTTL")
2613    PORT analog2_DAC2_B2_pin = analog2_DAC2_B2, UCF_NET_STRING=("LOC=AL7", "IOSTANDARD = LVTTL")
2614    PORT analog2_DAC2_B3_pin = analog2_DAC2_B3, UCF_NET_STRING=("LOC=AM4", "IOSTANDARD = LVTTL")
2615    PORT analog2_DAC2_B4_pin = analog2_DAC2_B4, UCF_NET_STRING=("LOC=AH8", "IOSTANDARD = LVTTL")
2616    PORT analog2_DAC2_B5_pin = analog2_DAC2_B5, UCF_NET_STRING=("LOC=AE10", "IOSTANDARD = LVTTL")
2617    PORT analog2_DAC2_B6_pin = analog2_DAC2_B6, UCF_NET_STRING=("LOC=AE9", "IOSTANDARD = LVTTL")
2618    PORT analog2_DAC2_B7_pin = analog2_DAC2_B7, UCF_NET_STRING=("LOC=AL5", "IOSTANDARD = LVTTL")
2619    PORT analog2_DAC2_B8_pin = analog2_DAC2_B8, UCF_NET_STRING=("LOC=AK6", "IOSTANDARD = LVTTL")
2620    PORT analog2_DAC2_B9_pin = analog2_DAC2_B9, UCF_NET_STRING=("LOC=AJ6", "IOSTANDARD = LVTTL")
2621    PORT analog2_DAC2_B10_pin = analog2_DAC2_B10, UCF_NET_STRING=("LOC=AM3", "IOSTANDARD = LVTTL")
2622    PORT analog2_DAC2_B11_pin = analog2_DAC2_B11, UCF_NET_STRING=("LOC=AK5", "IOSTANDARD = LVTTL")
2623    PORT analog2_DAC2_B12_pin = analog2_DAC2_B12, UCF_NET_STRING=("LOC=AF9", "IOSTANDARD = LVTTL")
2624    PORT analog2_DAC2_B13_pin = analog2_DAC2_B13, UCF_NET_STRING=("LOC=AL3", "IOSTANDARD = LVTTL")
2625
2626    PORT analog2_DAC1_sleep_pin = analog2_DAC1_sleep, UCF_NET_STRING=("LOC=AD2", "IOSTANDARD = LVTTL")
2627    PORT analog2_DAC2_sleep_pin = analog2_DAC2_sleep, UCF_NET_STRING=("LOC=AE1", "IOSTANDARD = LVTTL")
2628
2629    PORT analog2_ADC_A0_pin = analog2_ADC_A0, UCF_NET_STRING=("LOC=AM9", "IOSTANDARD = LVTTL", "PULLDOWN")
2630    PORT analog2_ADC_A1_pin = analog2_ADC_A1, UCF_NET_STRING=("LOC=AM6", "IOSTANDARD = LVTTL", "PULLDOWN")
2631    PORT analog2_ADC_A2_pin = analog2_ADC_A2, UCF_NET_STRING=("LOC=AH10", "IOSTANDARD = LVTTL", "PULLDOWN")
2632    PORT analog2_ADC_A3_pin = analog2_ADC_A3, UCF_NET_STRING=("LOC=AF12", "IOSTANDARD = LVTTL", "PULLDOWN")
2633    PORT analog2_ADC_A4_pin = analog2_ADC_A4, UCF_NET_STRING=("LOC=AP7", "IOSTANDARD = LVTTL", "PULLDOWN")
2634    PORT analog2_ADC_A5_pin = analog2_ADC_A5, UCF_NET_STRING=("LOC=AR7", "IOSTANDARD = LVTTL", "PULLDOWN")
2635    PORT analog2_ADC_A6_pin = analog2_ADC_A6, UCF_NET_STRING=("LOC=AK9", "IOSTANDARD = LVTTL", "PULLDOWN")
2636    PORT analog2_ADC_A7_pin = analog2_ADC_A7, UCF_NET_STRING=("LOC=AE13", "IOSTANDARD = LVTTL", "PULLDOWN")
2637    PORT analog2_ADC_A8_pin = analog2_ADC_A8, UCF_NET_STRING=("LOC=AN9", "IOSTANDARD = LVTTL", "PULLDOWN")
2638    PORT analog2_ADC_A9_pin = analog2_ADC_A9, UCF_NET_STRING=("LOC=AU5", "IOSTANDARD = LVTTL", "PULLDOWN")
2639    PORT analog2_ADC_A10_pin = analog2_ADC_A10, UCF_NET_STRING=("LOC=AG7", "IOSTANDARD = LVTTL", "PULLDOWN")
2640    PORT analog2_ADC_A11_pin = analog2_ADC_A11, UCF_NET_STRING=("LOC=AL2", "IOSTANDARD = LVTTL", "PULLDOWN")
2641    PORT analog2_ADC_A12_pin = analog2_ADC_A12, UCF_NET_STRING=("LOC=AK3", "IOSTANDARD = LVTTL", "PULLDOWN")
2642    PORT analog2_ADC_A13_pin = analog2_ADC_A13, UCF_NET_STRING=("LOC=AT5", "IOSTANDARD = LVTTL", "PULLDOWN")
2643
2644    PORT analog2_ADC_B0_pin = analog2_ADC_B0, UCF_NET_STRING=("LOC=AH6", "IOSTANDARD = LVTTL", "PULLDOWN")
2645    PORT analog2_ADC_B1_pin = analog2_ADC_B1, UCF_NET_STRING=("LOC=AG6", "IOSTANDARD = LVTTL", "PULLDOWN")
2646    PORT analog2_ADC_B2_pin = analog2_ADC_B2, UCF_NET_STRING=("LOC=AF7", "IOSTANDARD = LVTTL", "PULLDOWN")
2647    PORT analog2_ADC_B3_pin = analog2_ADC_B3, UCF_NET_STRING=("LOC=AF8", "IOSTANDARD = LVTTL", "PULLDOWN")
2648    PORT analog2_ADC_B4_pin = analog2_ADC_B4, UCF_NET_STRING=("LOC=AJ5", "IOSTANDARD = LVTTL", "PULLDOWN")
2649    PORT analog2_ADC_B5_pin = analog2_ADC_B5, UCF_NET_STRING=("LOC=AJ7", "IOSTANDARD = LVTTL", "PULLDOWN")
2650    PORT analog2_ADC_B6_pin = analog2_ADC_B6, UCF_NET_STRING=("LOC=AD11", "IOSTANDARD = LVTTL", "PULLDOWN")
2651    PORT analog2_ADC_B7_pin = analog2_ADC_B7, UCF_NET_STRING=("LOC=AF10", "IOSTANDARD = LVTTL", "PULLDOWN")
2652    PORT analog2_ADC_B8_pin = analog2_ADC_B8, UCF_NET_STRING=("LOC=AE8", "IOSTANDARD = LVTTL", "PULLDOWN")
2653    PORT analog2_ADC_B9_pin = analog2_ADC_B9, UCF_NET_STRING=("LOC=AF11", "IOSTANDARD = LVTTL", "PULLDOWN")
2654    PORT analog2_ADC_B10_pin = analog2_ADC_B10, UCF_NET_STRING=("LOC=AJ8", "IOSTANDARD = LVTTL", "PULLDOWN")
2655    PORT analog2_ADC_B11_pin = analog2_ADC_B11, UCF_NET_STRING=("LOC=AG11", "IOSTANDARD = LVTTL", "PULLDOWN")
2656    PORT analog2_ADC_B12_pin = analog2_ADC_B12, UCF_NET_STRING=("LOC=AJ9", "IOSTANDARD = LVTTL", "PULLDOWN")
2657    PORT analog2_ADC_B13_pin = analog2_ADC_B13, UCF_NET_STRING=("LOC=AK7", "IOSTANDARD = LVTTL", "PULLDOWN")
2658
2659    PORT analog2_ADC_DFS_pin = analog2_ADC_DFS, UCF_NET_STRING=("LOC=AT6", "IOSTANDARD = LVTTL")
2660    PORT analog2_ADC_DCS_pin = analog2_ADC_DCS, UCF_NET_STRING=("LOC=AH11", "IOSTANDARD = LVTTL")
2661    PORT analog2_ADC_pdwnA_pin = analog2_ADC_pdwnA, UCF_NET_STRING=("LOC=AM2", "IOSTANDARD = LVTTL")
2662    PORT analog2_ADC_pdwnB_pin = analog2_ADC_pdwnB, UCF_NET_STRING=("LOC=AD12", "IOSTANDARD = LVTTL")
2663    PORT analog2_ADC_otrA_pin = analog2_ADC_otrA, UCF_NET_STRING=("LOC=AK4", "IOSTANDARD = LVTTL")
2664    PORT analog2_ADC_otrB_pin = analog2_ADC_otrB, UCF_NET_STRING=("LOC=AJ4", "IOSTANDARD = LVTTL")
2665   
2666    PORT analog2_LED0_pin = analog2_LED0, UCF_NET_STRING=("LOC=AG1", "IOSTANDARD = LVTTL")
2667    PORT analog2_LED1_pin = analog2_LED1, UCF_NET_STRING=("LOC=AB10", "IOSTANDARD = LVTTL")
2668    PORT analog2_LED2_pin = analog2_LED2, UCF_NET_STRING=("LOC=AG20", "IOSTANDARD = LVTTL")
2669
2670### Analog Bridge slot3 ###
2671    PORT analog3_clock_out_pin = analog3_clock_out, UCF_NET_STRING=("LOC=AG38", "IOSTANDARD = LVTTL")
2672
2673    PORT analog3_DAC1_A0_pin = analog3_DAC1_A0, UCF_NET_STRING=("LOC=AG33", "IOSTANDARD = LVTTL")
2674    PORT analog3_DAC1_A1_pin = analog3_DAC1_A1, UCF_NET_STRING=("LOC=AH33", "IOSTANDARD = LVTTL")
2675    PORT analog3_DAC1_A2_pin = analog3_DAC1_A2, UCF_NET_STRING=("LOC=AE33", "IOSTANDARD = LVTTL")
2676    PORT analog3_DAC1_A3_pin = analog3_DAC1_A3, UCF_NET_STRING=("LOC=AH34", "IOSTANDARD = LVTTL")
2677    PORT analog3_DAC1_A4_pin = analog3_DAC1_A4, UCF_NET_STRING=("LOC=AJ34", "IOSTANDARD = LVTTL")
2678    PORT analog3_DAC1_A5_pin = analog3_DAC1_A5, UCF_NET_STRING=("LOC=AN34", "IOSTANDARD = LVTTL")
2679    PORT analog3_DAC1_A6_pin = analog3_DAC1_A6, UCF_NET_STRING=("LOC=AK35", "IOSTANDARD = LVTTL")
2680    PORT analog3_DAC1_A7_pin = analog3_DAC1_A7, UCF_NET_STRING=("LOC=AK34", "IOSTANDARD = LVTTL")
2681    PORT analog3_DAC1_A8_pin = analog3_DAC1_A8, UCF_NET_STRING=("LOC=AD30", "IOSTANDARD = LVTTL")
2682    PORT analog3_DAC1_A9_pin = analog3_DAC1_A9, UCF_NET_STRING=("LOC=AG31", "IOSTANDARD = LVTTL")
2683    PORT analog3_DAC1_A10_pin = analog3_DAC1_A10, UCF_NET_STRING=("LOC=AE31", "IOSTANDARD = LVTTL")
2684    PORT analog3_DAC1_A11_pin = analog3_DAC1_A11, UCF_NET_STRING=("LOC=AK33", "IOSTANDARD = LVTTL")
2685    PORT analog3_DAC1_A12_pin = analog3_DAC1_A12, UCF_NET_STRING=("LOC=AH32", "IOSTANDARD = LVTTL")
2686    PORT analog3_DAC1_A13_pin = analog3_DAC1_A13, UCF_NET_STRING=("LOC=AL33", "IOSTANDARD = LVTTL")
2687
2688    PORT analog3_DAC1_B0_pin = analog3_DAC1_B0, UCF_NET_STRING=("LOC=AJ35", "IOSTANDARD = LVTTL")
2689    PORT analog3_DAC1_B1_pin = analog3_DAC1_B1, UCF_NET_STRING=("LOC=AF32", "IOSTANDARD = LVTTL")
2690    PORT analog3_DAC1_B2_pin = analog3_DAC1_B2, UCF_NET_STRING=("LOC=AF31", "IOSTANDARD = LVTTL")
2691    PORT analog3_DAC1_B3_pin = analog3_DAC1_B3, UCF_NET_STRING=("LOC=AM37", "IOSTANDARD = LVTTL")
2692    PORT analog3_DAC1_B4_pin = analog3_DAC1_B4, UCF_NET_STRING=("LOC=AE32", "IOSTANDARD = LVTTL")
2693    PORT analog3_DAC1_B5_pin = analog3_DAC1_B5, UCF_NET_STRING=("LOC=AJ32", "IOSTANDARD = LVTTL")
2694    PORT analog3_DAC1_B6_pin = analog3_DAC1_B6, UCF_NET_STRING=("LOC=AL35", "IOSTANDARD = LVTTL")
2695    PORT analog3_DAC1_B7_pin = analog3_DAC1_B7, UCF_NET_STRING=("LOC=AJ33", "IOSTANDARD = LVTTL")
2696    PORT analog3_DAC1_B8_pin = analog3_DAC1_B8, UCF_NET_STRING=("LOC=AL37", "IOSTANDARD = LVTTL")
2697    PORT analog3_DAC1_B9_pin = analog3_DAC1_B9, UCF_NET_STRING=("LOC=AK36", "IOSTANDARD = LVTTL")
2698    PORT analog3_DAC1_B10_pin = analog3_DAC1_B10, UCF_NET_STRING=("LOC=AM38", "IOSTANDARD = LVTTL")
2699    PORT analog3_DAC1_B11_pin = analog3_DAC1_B11, UCF_NET_STRING=("LOC=AK37", "IOSTANDARD = LVTTL")
2700    PORT analog3_DAC1_B12_pin = analog3_DAC1_B12, UCF_NET_STRING=("LOC=AJ36", "IOSTANDARD = LVTTL")
2701    PORT analog3_DAC1_B13_pin = analog3_DAC1_B13, UCF_NET_STRING=("LOC=AL38", "IOSTANDARD = LVTTL")
2702
2703    PORT analog3_DAC2_A0_pin = analog3_DAC2_A0, UCF_NET_STRING=("LOC=AK32", "IOSTANDARD = LVTTL")
2704    PORT analog3_DAC2_A1_pin = analog3_DAC2_A1, UCF_NET_STRING=("LOC=AL34", "IOSTANDARD = LVTTL")
2705    PORT analog3_DAC2_A2_pin = analog3_DAC2_A2, UCF_NET_STRING=("LOC=AE30", "IOSTANDARD = LVTTL")
2706    PORT analog3_DAC2_A3_pin = analog3_DAC2_A3, UCF_NET_STRING=("LOC=AH30", "IOSTANDARD = LVTTL")
2707    PORT analog3_DAC2_A4_pin = analog3_DAC2_A4, UCF_NET_STRING=("LOC=AM34", "IOSTANDARD = LVTTL")
2708    PORT analog3_DAC2_A5_pin = analog3_DAC2_A5, UCF_NET_STRING=("LOC=AF29", "IOSTANDARD = LVTTL")
2709    PORT analog3_DAC2_A6_pin = analog3_DAC2_A6, UCF_NET_STRING=("LOC=AH29", "IOSTANDARD = LVTTL")
2710    PORT analog3_DAC2_A7_pin = analog3_DAC2_A7, UCF_NET_STRING=("LOC=AK31", "IOSTANDARD = LVTTL")
2711    PORT analog3_DAC2_A8_pin = analog3_DAC2_A8, UCF_NET_STRING=("LOC=AE28", "IOSTANDARD = LVTTL")
2712    PORT analog3_DAC2_A9_pin = analog3_DAC2_A9, UCF_NET_STRING=("LOC=AF28", "IOSTANDARD = LVTTL")
2713    PORT analog3_DAC2_A10_pin = analog3_DAC2_A10, UCF_NET_STRING=("LOC=AE27", "IOSTANDARD = LVTTL")
2714    PORT analog3_DAC2_A11_pin = analog3_DAC2_A11, UCF_NET_STRING=("LOC=AD27", "IOSTANDARD = LVTTL")
2715    PORT analog3_DAC2_A12_pin = analog3_DAC2_A12, UCF_NET_STRING=("LOC=AN33", "IOSTANDARD = LVTTL")
2716    PORT analog3_DAC2_A13_pin = analog3_DAC2_A13, UCF_NET_STRING=("LOC=AL31", "IOSTANDARD = LVTTL")
2717
2718    PORT analog3_DAC2_B0_pin = analog3_DAC2_B0, UCF_NET_STRING=("LOC=AE34", "IOSTANDARD = LVTTL")
2719    PORT analog3_DAC2_B1_pin = analog3_DAC2_B1, UCF_NET_STRING=("LOC=AC27", "IOSTANDARD = LVTTL")
2720    PORT analog3_DAC2_B2_pin = analog3_DAC2_B2, UCF_NET_STRING=("LOC=AB29", "IOSTANDARD = LVTTL")
2721    PORT analog3_DAC2_B3_pin = analog3_DAC2_B3, UCF_NET_STRING=("LOC=AF34", "IOSTANDARD = LVTTL")
2722    PORT analog3_DAC2_B4_pin = analog3_DAC2_B4, UCF_NET_STRING=("LOC=AK39", "IOSTANDARD = LVTTL")
2723    PORT analog3_DAC2_B5_pin = analog3_DAC2_B5, UCF_NET_STRING=("LOC=AD32", "IOSTANDARD = LVTTL")
2724    PORT analog3_DAC2_B6_pin = analog3_DAC2_B6, UCF_NET_STRING=("LOC=AF35", "IOSTANDARD = LVTTL")
2725    PORT analog3_DAC2_B7_pin = analog3_DAC2_B7, UCF_NET_STRING=("LOC=AC32", "IOSTANDARD = LVTTL")
2726    PORT analog3_DAC2_B8_pin = analog3_DAC2_B8, UCF_NET_STRING=("LOC=AC31", "IOSTANDARD = LVTTL")
2727    PORT analog3_DAC2_B9_pin = analog3_DAC2_B9, UCF_NET_STRING=("LOC=AB31", "IOSTANDARD = LVTTL")
2728    PORT analog3_DAC2_B10_pin = analog3_DAC2_B10, UCF_NET_STRING=("LOC=AE35", "IOSTANDARD = LVTTL")
2729    PORT analog3_DAC2_B11_pin = analog3_DAC2_B11, UCF_NET_STRING=("LOC=AG35", "IOSTANDARD = LVTTL")
2730    PORT analog3_DAC2_B12_pin = analog3_DAC2_B12, UCF_NET_STRING=("LOC=AC28", "IOSTANDARD = LVTTL")
2731    PORT analog3_DAC2_B13_pin = analog3_DAC2_B13, UCF_NET_STRING=("LOC=AD33", "IOSTANDARD = LVTTL")
2732
2733    PORT analog3_DAC1_sleep_pin = analog3_DAC1_sleep, UCF_NET_STRING=("LOC=AD29", "IOSTANDARD = LVTTL")
2734    PORT analog3_DAC2_sleep_pin = analog3_DAC2_sleep, UCF_NET_STRING=("LOC=AM36", "IOSTANDARD = LVTTL")
2735
2736    PORT analog3_ADC_A0_pin = analog3_ADC_A0, UCF_NET_STRING=("LOC=AA35", "IOSTANDARD = LVTTL", "PULLDOWN")
2737    PORT analog3_ADC_A1_pin = analog3_ADC_A1, UCF_NET_STRING=("LOC=AB32", "IOSTANDARD = LVTTL", "PULLDOWN")
2738    PORT analog3_ADC_A2_pin = analog3_ADC_A2, UCF_NET_STRING=("LOC=AB35", "IOSTANDARD = LVTTL", "PULLDOWN")
2739    PORT analog3_ADC_A3_pin = analog3_ADC_A3, UCF_NET_STRING=("LOC=AE39", "IOSTANDARD = LVTTL", "PULLDOWN")
2740    PORT analog3_ADC_A4_pin = analog3_ADC_A4, UCF_NET_STRING=("LOC=AB33", "IOSTANDARD = LVTTL", "PULLDOWN")
2741    PORT analog3_ADC_A5_pin = analog3_ADC_A5, UCF_NET_STRING=("LOC=AB36", "IOSTANDARD = LVTTL", "PULLDOWN")
2742    PORT analog3_ADC_A6_pin = analog3_ADC_A6, UCF_NET_STRING=("LOC=AE36", "IOSTANDARD = LVTTL", "PULLDOWN")
2743    PORT analog3_ADC_A7_pin = analog3_ADC_A7, UCF_NET_STRING=("LOC=AE38", "IOSTANDARD = LVTTL", "PULLDOWN")
2744    PORT analog3_ADC_A8_pin = analog3_ADC_A8, UCF_NET_STRING=("LOC=AC36", "IOSTANDARD = LVTTL", "PULLDOWN")
2745    PORT analog3_ADC_A9_pin = analog3_ADC_A9, UCF_NET_STRING=("LOC=AC35", "IOSTANDARD = LVTTL", "PULLDOWN")
2746    PORT analog3_ADC_A10_pin = analog3_ADC_A10, UCF_NET_STRING=("LOC=AG37", "IOSTANDARD = LVTTL", "PULLDOWN")
2747    PORT analog3_ADC_A11_pin = analog3_ADC_A11, UCF_NET_STRING=("LOC=AD34", "IOSTANDARD = LVTTL", "PULLDOWN")
2748    PORT analog3_ADC_A12_pin = analog3_ADC_A12, UCF_NET_STRING=("LOC=AL39", "IOSTANDARD = LVTTL", "PULLDOWN")
2749    PORT analog3_ADC_A13_pin = analog3_ADC_A13, UCF_NET_STRING=("LOC=AF37", "IOSTANDARD = LVTTL", "PULLDOWN")
2750
2751    PORT analog3_ADC_B0_pin = analog3_ADC_B0, UCF_NET_STRING=("LOC=AA32", "IOSTANDARD = LVTTL", "PULLDOWN")
2752    PORT analog3_ADC_B1_pin = analog3_ADC_B1, UCF_NET_STRING=("LOC=AB39", "IOSTANDARD = LVTTL", "PULLDOWN")
2753    PORT analog3_ADC_B2_pin = analog3_ADC_B2, UCF_NET_STRING=("LOC=AA37", "IOSTANDARD = LVTTL", "PULLDOWN")
2754    PORT analog3_ADC_B3_pin = analog3_ADC_B3, UCF_NET_STRING=("LOC=AB38", "IOSTANDARD = LVTTL", "PULLDOWN")
2755    PORT analog3_ADC_B4_pin = analog3_ADC_B4, UCF_NET_STRING=("LOC=AC39", "IOSTANDARD = LVTTL", "PULLDOWN")
2756    PORT analog3_ADC_B5_pin = analog3_ADC_B5, UCF_NET_STRING=("LOC=AA36", "IOSTANDARD = LVTTL", "PULLDOWN")
2757    PORT analog3_ADC_B6_pin = analog3_ADC_B6, UCF_NET_STRING=("LOC=AB37", "IOSTANDARD = LVTTL", "PULLDOWN")
2758    PORT analog3_ADC_B7_pin = analog3_ADC_B7, UCF_NET_STRING=("LOC=AC38", "IOSTANDARD = LVTTL", "PULLDOWN")
2759    PORT analog3_ADC_B8_pin = analog3_ADC_B8, UCF_NET_STRING=("LOC=AD36", "IOSTANDARD = LVTTL", "PULLDOWN")
2760    PORT analog3_ADC_B9_pin = analog3_ADC_B9, UCF_NET_STRING=("LOC=AA33", "IOSTANDARD = LVTTL", "PULLDOWN")
2761    PORT analog3_ADC_B10_pin = analog3_ADC_B10, UCF_NET_STRING=("LOC=AA34", "IOSTANDARD = LVTTL", "PULLDOWN")
2762    PORT analog3_ADC_B11_pin = analog3_ADC_B11, UCF_NET_STRING=("LOC=AA31", "IOSTANDARD = LVTTL", "PULLDOWN")
2763    PORT analog3_ADC_B12_pin = analog3_ADC_B12, UCF_NET_STRING=("LOC=AD38", "IOSTANDARD = LVTTL", "PULLDOWN")
2764    PORT analog3_ADC_B13_pin = analog3_ADC_B13, UCF_NET_STRING=("LOC=AE37", "IOSTANDARD = LVTTL", "PULLDOWN")
2765
2766    PORT analog3_ADC_DFS_pin = analog3_ADC_DFS, UCF_NET_STRING=("LOC=AF39", "IOSTANDARD = LVTTL")
2767    PORT analog3_ADC_DCS_pin = analog3_ADC_DCS, UCF_NET_STRING=("LOC=AB34", "IOSTANDARD = LVTTL")
2768    PORT analog3_ADC_pdwnA_pin = analog3_ADC_pdwnA, UCF_NET_STRING=("LOC=AC34", "IOSTANDARD = LVTTL")
2769    PORT analog3_ADC_pdwnB_pin = analog3_ADC_pdwnB, UCF_NET_STRING=("LOC=AA30", "IOSTANDARD = LVTTL")
2770    PORT analog3_ADC_otrA_pin = analog3_ADC_otrA, UCF_NET_STRING=("LOC=AK38", "IOSTANDARD = LVTTL")
2771    PORT analog3_ADC_otrB_pin = analog3_ADC_otrB, UCF_NET_STRING=("LOC=AF36", "IOSTANDARD = LVTTL")
2772   
2773    PORT analog3_LED0_pin = analog3_LED0, UCF_NET_STRING=("LOC=AT32", "IOSTANDARD = LVTTL")
2774    PORT analog3_LED1_pin = analog3_LED1, UCF_NET_STRING=("LOC=AU35", "IOSTANDARD = LVTTL")
2775    PORT analog3_LED2_pin = analog3_LED2, UCF_NET_STRING=("LOC=AJ21", "IOSTANDARD = LVTTL")
2776   
2777### Analog Bridge slot4 ###
2778    PORT analog4_clock_out_pin = analog4_clock_out, UCF_NET_STRING=("LOC=L33", "IOSTANDARD = LVTTL")
2779
2780    PORT analog4_DAC1_A0_pin = analog4_DAC1_A0, UCF_NET_STRING=("LOC=R30", "IOSTANDARD = LVTTL")
2781    PORT analog4_DAC1_A1_pin = analog4_DAC1_A1, UCF_NET_STRING=("LOC=R33", "IOSTANDARD = LVTTL")
2782    PORT analog4_DAC1_A2_pin = analog4_DAC1_A2, UCF_NET_STRING=("LOC=Y36", "IOSTANDARD = LVTTL")
2783    PORT analog4_DAC1_A3_pin = analog4_DAC1_A3, UCF_NET_STRING=("LOC=P35", "IOSTANDARD = LVTTL")
2784    PORT analog4_DAC1_A4_pin = analog4_DAC1_A4, UCF_NET_STRING=("LOC=Y37", "IOSTANDARD = LVTTL")
2785    PORT analog4_DAC1_A5_pin = analog4_DAC1_A5, UCF_NET_STRING=("LOC=R28", "IOSTANDARD = LVTTL")
2786    PORT analog4_DAC1_A6_pin = analog4_DAC1_A6, UCF_NET_STRING=("LOC=V34", "IOSTANDARD = LVTTL")
2787    PORT analog4_DAC1_A7_pin = analog4_DAC1_A7, UCF_NET_STRING=("LOC=P31", "IOSTANDARD = LVTTL")
2788    PORT analog4_DAC1_A8_pin = analog4_DAC1_A8, UCF_NET_STRING=("LOC=V33", "IOSTANDARD = LVTTL")
2789    PORT analog4_DAC1_A9_pin = analog4_DAC1_A9, UCF_NET_STRING=("LOC=W37", "IOSTANDARD = LVTTL")
2790    PORT analog4_DAC1_A10_pin = analog4_DAC1_A10, UCF_NET_STRING=("LOC=U34", "IOSTANDARD = LVTTL")
2791    PORT analog4_DAC1_A11_pin = analog4_DAC1_A11, UCF_NET_STRING=("LOC=V35", "IOSTANDARD = LVTTL")
2792    PORT analog4_DAC1_A12_pin = analog4_DAC1_A12, UCF_NET_STRING=("LOC=T29", "IOSTANDARD = LVTTL")
2793    PORT analog4_DAC1_A13_pin = analog4_DAC1_A13, UCF_NET_STRING=("LOC=W35", "IOSTANDARD = LVTTL")
2794
2795    PORT analog4_DAC1_B0_pin = analog4_DAC1_B0, UCF_NET_STRING=("LOC=T36", "IOSTANDARD = LVTTL")
2796    PORT analog4_DAC1_B1_pin = analog4_DAC1_B1, UCF_NET_STRING=("LOC=R29", "IOSTANDARD = LVTTL")
2797    PORT analog4_DAC1_B2_pin = analog4_DAC1_B2, UCF_NET_STRING=("LOC=R31", "IOSTANDARD = LVTTL")
2798    PORT analog4_DAC1_B3_pin = analog4_DAC1_B3, UCF_NET_STRING=("LOC=U39", "IOSTANDARD = LVTTL")
2799    PORT analog4_DAC1_B4_pin = analog4_DAC1_B4, UCF_NET_STRING=("LOC=T37", "IOSTANDARD = LVTTL")
2800    PORT analog4_DAC1_B5_pin = analog4_DAC1_B5, UCF_NET_STRING=("LOC=U35", "IOSTANDARD = LVTTL")
2801    PORT analog4_DAC1_B6_pin = analog4_DAC1_B6, UCF_NET_STRING=("LOC=U38", "IOSTANDARD = LVTTL")
2802    PORT analog4_DAC1_B7_pin = analog4_DAC1_B7, UCF_NET_STRING=("LOC=R34", "IOSTANDARD = LVTTL")
2803    PORT analog4_DAC1_B8_pin = analog4_DAC1_B8, UCF_NET_STRING=("LOC=R35", "IOSTANDARD = LVTTL")
2804    PORT analog4_DAC1_B9_pin = analog4_DAC1_B9, UCF_NET_STRING=("LOC=T38", "IOSTANDARD = LVTTL")
2805    PORT analog4_DAC1_B10_pin = analog4_DAC1_B10, UCF_NET_STRING=("LOC=R37", "IOSTANDARD = LVTTL")
2806    PORT analog4_DAC1_B11_pin = analog4_DAC1_B11, UCF_NET_STRING=("LOC=R36", "IOSTANDARD = LVTTL")
2807    PORT analog4_DAC1_B12_pin = analog4_DAC1_B12, UCF_NET_STRING=("LOC=R39", "IOSTANDARD = LVTTL")
2808    PORT analog4_DAC1_B13_pin = analog4_DAC1_B13, UCF_NET_STRING=("LOC=R38", "IOSTANDARD = LVTTL")
2809
2810    PORT analog4_DAC2_A0_pin = analog4_DAC2_A0, UCF_NET_STRING=("LOC=V38", "IOSTANDARD = LVTTL")
2811    PORT analog4_DAC2_A1_pin = analog4_DAC2_A1, UCF_NET_STRING=("LOC=T33", "IOSTANDARD = LVTTL")
2812    PORT analog4_DAC2_A2_pin = analog4_DAC2_A2, UCF_NET_STRING=("LOC=V39", "IOSTANDARD = LVTTL")
2813    PORT analog4_DAC2_A3_pin = analog4_DAC2_A3, UCF_NET_STRING=("LOC=R32", "IOSTANDARD = LVTTL")
2814    PORT analog4_DAC2_A4_pin = analog4_DAC2_A4, UCF_NET_STRING=("LOC=T34", "IOSTANDARD = LVTTL")
2815    PORT analog4_DAC2_A5_pin = analog4_DAC2_A5, UCF_NET_STRING=("LOC=T30", "IOSTANDARD = LVTTL")
2816    PORT analog4_DAC2_A6_pin = analog4_DAC2_A6, UCF_NET_STRING=("LOC=U36", "IOSTANDARD = LVTTL")
2817    PORT analog4_DAC2_A7_pin = analog4_DAC2_A7, UCF_NET_STRING=("LOC=U30", "IOSTANDARD = LVTTL")
2818    PORT analog4_DAC2_A8_pin = analog4_DAC2_A8, UCF_NET_STRING=("LOC=V36", "IOSTANDARD = LVTTL")
2819    PORT analog4_DAC2_A9_pin = analog4_DAC2_A9, UCF_NET_STRING=("LOC=T32", "IOSTANDARD = LVTTL")
2820    PORT analog4_DAC2_A10_pin = analog4_DAC2_A10, UCF_NET_STRING=("LOC=V30", "IOSTANDARD = LVTTL")
2821    PORT analog4_DAC2_A11_pin = analog4_DAC2_A11, UCF_NET_STRING=("LOC=U31", "IOSTANDARD = LVTTL")
2822    PORT analog4_DAC2_A12_pin = analog4_DAC2_A12, UCF_NET_STRING=("LOC=V37", "IOSTANDARD = LVTTL")
2823    PORT analog4_DAC2_A13_pin = analog4_DAC2_A13, UCF_NET_STRING=("LOC=W36", "IOSTANDARD = LVTTL")
2824
2825    PORT analog4_DAC2_B0_pin = analog4_DAC2_B0, UCF_NET_STRING=("LOC=N30", "IOSTANDARD = LVTTL")
2826    PORT analog4_DAC2_B1_pin = analog4_DAC2_B1, UCF_NET_STRING=("LOC=M36", "IOSTANDARD = LVTTL")
2827    PORT analog4_DAC2_B2_pin = analog4_DAC2_B2, UCF_NET_STRING=("LOC=L39", "IOSTANDARD = LVTTL")
2828    PORT analog4_DAC2_B3_pin = analog4_DAC2_B3, UCF_NET_STRING=("LOC=M34", "IOSTANDARD = LVTTL")
2829    PORT analog4_DAC2_B4_pin = analog4_DAC2_B4, UCF_NET_STRING=("LOC=M37", "IOSTANDARD = LVTTL")
2830    PORT analog4_DAC2_B5_pin = analog4_DAC2_B5, UCF_NET_STRING=("LOC=N33", "IOSTANDARD = LVTTL")
2831    PORT analog4_DAC2_B6_pin = analog4_DAC2_B6, UCF_NET_STRING=("LOC=L32", "IOSTANDARD = LVTTL")
2832    PORT analog4_DAC2_B7_pin = analog4_DAC2_B7, UCF_NET_STRING=("LOC=L31", "IOSTANDARD = LVTTL")
2833    PORT analog4_DAC2_B8_pin = analog4_DAC2_B8, UCF_NET_STRING=("LOC=M38", "IOSTANDARD = LVTTL")
2834    PORT analog4_DAC2_B9_pin = analog4_DAC2_B9, UCF_NET_STRING=("LOC=N34", "IOSTANDARD = LVTTL")
2835    PORT analog4_DAC2_B10_pin = analog4_DAC2_B10, UCF_NET_STRING=("LOC=N35", "IOSTANDARD = LVTTL")
2836    PORT analog4_DAC2_B11_pin = analog4_DAC2_B11, UCF_NET_STRING=("LOC=P33", "IOSTANDARD = LVTTL")
2837    PORT analog4_DAC2_B12_pin = analog4_DAC2_B12, UCF_NET_STRING=("LOC=N37", "IOSTANDARD = LVTTL")
2838    PORT analog4_DAC2_B13_pin = analog4_DAC2_B13, UCF_NET_STRING=("LOC=N38", "IOSTANDARD = LVTTL")
2839
2840    PORT analog4_DAC1_sleep_pin = analog4_DAC1_sleep, UCF_NET_STRING=("LOC=V31", "IOSTANDARD = LVTTL")
2841    PORT analog4_DAC2_sleep_pin = analog4_DAC2_sleep, UCF_NET_STRING=("LOC=V32", "IOSTANDARD = LVTTL")
2842
2843    PORT analog4_ADC_A0_pin = analog4_ADC_A0, UCF_NET_STRING=("LOC=K31", "IOSTANDARD = LVTTL", "PULLDOWN")
2844    PORT analog4_ADC_A1_pin = analog4_ADC_A1, UCF_NET_STRING=("LOC=J31", "IOSTANDARD = LVTTL", "PULLDOWN")
2845    PORT analog4_ADC_A2_pin = analog4_ADC_A2, UCF_NET_STRING=("LOC=H38", "IOSTANDARD = LVTTL", "PULLDOWN")
2846    PORT analog4_ADC_A3_pin = analog4_ADC_A3, UCF_NET_STRING=("LOC=J38", "IOSTANDARD = LVTTL", "PULLDOWN")
2847    PORT analog4_ADC_A4_pin = analog4_ADC_A4, UCF_NET_STRING=("LOC=J39", "IOSTANDARD = LVTTL", "PULLDOWN")
2848    PORT analog4_ADC_A5_pin = analog4_ADC_A5, UCF_NET_STRING=("LOC=H33", "IOSTANDARD = LVTTL", "PULLDOWN")
2849    PORT analog4_ADC_A6_pin = analog4_ADC_A6, UCF_NET_STRING=("LOC=C35", "IOSTANDARD = LVTTL", "PULLDOWN")
2850    PORT analog4_ADC_A7_pin = analog4_ADC_A7, UCF_NET_STRING=("LOC=D34", "IOSTANDARD = LVTTL", "PULLDOWN")
2851    PORT analog4_ADC_A8_pin = analog4_ADC_A8, UCF_NET_STRING=("LOC=H32", "IOSTANDARD = LVTTL", "PULLDOWN")
2852    PORT analog4_ADC_A9_pin = analog4_ADC_A9, UCF_NET_STRING=("LOC=D35", "IOSTANDARD = LVTTL", "PULLDOWN")
2853    PORT analog4_ADC_A10_pin = analog4_ADC_A10, UCF_NET_STRING=("LOC=P36", "IOSTANDARD = LVTTL", "PULLDOWN")
2854    PORT analog4_ADC_A11_pin = analog4_ADC_A11, UCF_NET_STRING=("LOC=P39", "IOSTANDARD = LVTTL", "PULLDOWN")
2855    PORT analog4_ADC_A12_pin = analog4_ADC_A12, UCF_NET_STRING=("LOC=P37", "IOSTANDARD = LVTTL", "PULLDOWN")
2856    PORT analog4_ADC_A13_pin = analog4_ADC_A13, UCF_NET_STRING=("LOC=E33", "IOSTANDARD = LVTTL", "PULLDOWN")
2857
2858    PORT analog4_ADC_B0_pin = analog4_ADC_B0, UCF_NET_STRING=("LOC=K39", "IOSTANDARD = LVTTL", "PULLDOWN")
2859    PORT analog4_ADC_B1_pin = analog4_ADC_B1, UCF_NET_STRING=("LOC=J37", "IOSTANDARD = LVTTL", "PULLDOWN")
2860    PORT analog4_ADC_B2_pin = analog4_ADC_B2, UCF_NET_STRING=("LOC=M30", "IOSTANDARD = LVTTL", "PULLDOWN")
2861    PORT analog4_ADC_B3_pin = analog4_ADC_B3, UCF_NET_STRING=("LOC=K38", "IOSTANDARD = LVTTL", "PULLDOWN")
2862    PORT analog4_ADC_B4_pin = analog4_ADC_B4, UCF_NET_STRING=("LOC=K35", "IOSTANDARD = LVTTL", "PULLDOWN")
2863    PORT analog4_ADC_B5_pin = analog4_ADC_B5, UCF_NET_STRING=("LOC=K34", "IOSTANDARD = LVTTL", "PULLDOWN")
2864    PORT analog4_ADC_B6_pin = analog4_ADC_B6, UCF_NET_STRING=("LOC=K33", "IOSTANDARD = LVTTL", "PULLDOWN")
2865    PORT analog4_ADC_B7_pin = analog4_ADC_B7, UCF_NET_STRING=("LOC=J35", "IOSTANDARD = LVTTL", "PULLDOWN")
2866    PORT analog4_ADC_B8_pin = analog4_ADC_B8, UCF_NET_STRING=("LOC=D39", "IOSTANDARD = LVTTL", "PULLDOWN")
2867    PORT analog4_ADC_B9_pin = analog4_ADC_B9, UCF_NET_STRING=("LOC=F39", "IOSTANDARD = LVTTL", "PULLDOWN")
2868    PORT analog4_ADC_B10_pin = analog4_ADC_B10, UCF_NET_STRING=("LOC=J34", "IOSTANDARD = LVTTL", "PULLDOWN")
2869    PORT analog4_ADC_B11_pin = analog4_ADC_B11, UCF_NET_STRING=("LOC=K32", "IOSTANDARD = LVTTL", "PULLDOWN")
2870    PORT analog4_ADC_B12_pin = analog4_ADC_B12, UCF_NET_STRING=("LOC=J33", "IOSTANDARD = LVTTL", "PULLDOWN")
2871    PORT analog4_ADC_B13_pin = analog4_ADC_B13, UCF_NET_STRING=("LOC=G39", "IOSTANDARD = LVTTL", "PULLDOWN")
2872
2873    PORT analog4_ADC_DFS_pin = analog4_ADC_DFS, UCF_NET_STRING=("LOC=E34", "IOSTANDARD = LVTTL")
2874    PORT analog4_ADC_DCS_pin = analog4_ADC_DCS, UCF_NET_STRING=("LOC=E38", "IOSTANDARD = LVTTL")
2875    PORT analog4_ADC_pdwnA_pin = analog4_ADC_pdwnA, UCF_NET_STRING=("LOC=P38", "IOSTANDARD = LVTTL")
2876    PORT analog4_ADC_pdwnB_pin = analog4_ADC_pdwnB, UCF_NET_STRING=("LOC=D38", "IOSTANDARD = LVTTL")
2877    PORT analog4_ADC_otrA_pin = analog4_ADC_otrA, UCF_NET_STRING=("LOC=N39", "IOSTANDARD = LVTTL")
2878    PORT analog4_ADC_otrB_pin = analog4_ADC_otrB, UCF_NET_STRING=("LOC=P34", "IOSTANDARD = LVTTL")
2879   
2880    PORT analog4_LED0_pin = analog4_LED0, UCF_NET_STRING=("LOC=W27", "IOSTANDARD = LVTTL")
2881    PORT analog4_LED1_pin = analog4_LED1, UCF_NET_STRING=("LOC=W28", "IOSTANDARD = LVTTL")
2882    PORT analog4_LED2_pin = analog4_LED2, UCF_NET_STRING=("LOC=L21", "IOSTANDARD = LVTTL")
2883
2884### LED 7Seg0 ###
2885    PORT SEG_LED0 = CONN_0_SEG1, UCF_NET_STRING=("LOC=AJ26", "IOSTANDARD = LVTTL")
2886    PORT SEG_LED1 = CONN_0_SEG2, UCF_NET_STRING=("LOC=AH26", "IOSTANDARD = LVTTL")
2887    PORT SEG_LED2 = CONN_0_SEG3, UCF_NET_STRING=("LOC=AH24", "IOSTANDARD = LVTTL")
2888    PORT SEG_LED3 = CONN_0_SEG4, UCF_NET_STRING=("LOC=AH25", "IOSTANDARD = LVTTL")
2889    PORT SEG_LED4 = CONN_0_SEG5, UCF_NET_STRING=("LOC=AH23", "IOSTANDARD = LVTTL")
2890    PORT SEG_LED5 = CONN_0_SEG6, UCF_NET_STRING=("LOC=AG22", "IOSTANDARD = LVTTL")
2891    PORT SEG_LED6 = CONN_0_SEG7, UCF_NET_STRING=("LOC=AG23", "IOSTANDARD = LVTTL")
2892
2893### LED 7Seg1 ###
2894    PORT SEG_1_LED0 = CONN_1_SEG1, UCF_NET_STRING=("LOC=AG19", "IOSTANDARD = LVTTL")
2895    PORT SEG_1_LED1 = CONN_1_SEG2, UCF_NET_STRING=("LOC=AG21", "IOSTANDARD = LVTTL")
2896    PORT SEG_1_LED2 = CONN_1_SEG3, UCF_NET_STRING=("LOC=AH19", "IOSTANDARD = LVTTL")
2897    PORT SEG_1_LED3 = CONN_1_SEG4, UCF_NET_STRING=("LOC=AJ19", "IOSTANDARD = LVTTL")
2898    PORT SEG_1_LED4 = CONN_1_SEG5, UCF_NET_STRING=("LOC=AP12", "IOSTANDARD = LVTTL")
2899    PORT SEG_1_LED5 = CONN_1_SEG6, UCF_NET_STRING=("LOC=AN13", "IOSTANDARD = LVTTL")
2900    PORT SEG_1_LED6 = CONN_1_SEG7, UCF_NET_STRING=("LOC=AL15", "IOSTANDARD = LVTTL")
2901
2902### LED ###
2903    PORT LED0 = CONN_LEDs_LED0, UCF_NET_STRING=("LOC=AJ14", "IOSTANDARD = LVTTL")
2904    PORT LED1 = CONN_LEDs_LED1, UCF_NET_STRING=("LOC=AM13", "IOSTANDARD = LVTTL")
2905    PORT LED2 = CONN_LEDs_LED2, UCF_NET_STRING=("LOC=AR12", "IOSTANDARD = LVTTL")
2906    PORT LED3 = CONN_LEDs_LED3, UCF_NET_STRING=("LOC=AH13", "IOSTANDARD = LVTTL")
2907
2908### PUSH BUTTONS ###
2909    PORT PUSHU = CONN_PUSHU, UCF_NET_STRING=("LOC=AJ22", "IOSTANDARD = LVTTL")
2910    PORT PUSHL = CONN_PUSHL, UCF_NET_STRING=("LOC=AJ15", "IOSTANDARD = LVTTL")
2911    PORT PUSHR = CONN_PUSHR, UCF_NET_STRING=("LOC=AG18", "IOSTANDARD = LVTTL")
2912    PORT PUSHC = CONN_PUSHC, UCF_NET_STRING=("LOC=AG17", "IOSTANDARD = LVTTL")
2913
2914### UART ###
2915    PORT RXD = CONN_RXD, UCF_NET_STRING=("LOC=AA29", "IOSTANDARD = LVTTL")
2916    PORT TXD = CONN_TXD, UCF_NET_STRING=("LOC=AA28", "IOSTANDARD = LVTTL")
2917
2918### SYSACE FLASH ###
2919    PORT SYSACE_CLK = sysace_clk, UCF_NET_STRING=("LOC=N20", "IOSTANDARD = LVTTL") # Input CLK
2920# PORT SYSACE_CLK_OE_N = sysace_clk_oe_n, UCF_NET_STRING=("LOC= ", "IOSTANDARD = LVTTL")
2921    PORT MPA00 = sysace_mpa_0, UCF_NET_STRING=("LOC=N18", "IOSTANDARD = LVTTL")
2922    PORT MPA01 = sysace_mpa_1, UCF_NET_STRING=("LOC=N17", "IOSTANDARD = LVTTL")
2923    PORT MPA02 = sysace_mpa_2, UCF_NET_STRING=("LOC=M14", "IOSTANDARD = LVTTL")
2924    PORT MPA03 = sysace_mpa_3, UCF_NET_STRING=("LOC=M16", "IOSTANDARD = LVTTL")
2925    PORT MPA04 = sysace_mpa_4, UCF_NET_STRING=("LOC=G11", "IOSTANDARD = LVTTL")
2926    PORT MPA05 = sysace_mpa_5, UCF_NET_STRING=("LOC=E11", "IOSTANDARD = LVTTL")
2927    PORT MPA06 = sysace_mpa_6, UCF_NET_STRING=("LOC=F11", "IOSTANDARD = LVTTL")
2928    PORT MPD00 = sysace_mpd_0, UCF_NET_STRING=("LOC=M17", "IOSTANDARD = LVTTL")
2929    PORT MPD01 = sysace_mpd_1, UCF_NET_STRING=("LOC=L13", "IOSTANDARD = LVTTL")
2930    PORT MPD02 = sysace_mpd_2, UCF_NET_STRING=("LOC=K14", "IOSTANDARD = LVTTL")
2931    PORT MPD03 = sysace_mpd_3, UCF_NET_STRING=("LOC=L12", "IOSTANDARD = LVTTL")
2932    PORT MPD04 = sysace_mpd_4, UCF_NET_STRING=("LOC=J14", "IOSTANDARD = LVTTL")
2933    PORT MPD05 = sysace_mpd_5, UCF_NET_STRING=("LOC=K10", "IOSTANDARD = LVTTL")
2934    PORT MPD06 = sysace_mpd_6, UCF_NET_STRING=("LOC=J10", "IOSTANDARD = LVTTL")
2935    PORT MPD07 = sysace_mpd_7, UCF_NET_STRING=("LOC=K12", "IOSTANDARD = LVTTL")
2936    PORT MPD08 = sysace_mpd_8, UCF_NET_STRING=("LOC=H10", "IOSTANDARD = LVTTL")
2937    PORT MPD09 = sysace_mpd_9, UCF_NET_STRING=("LOC=J12", "IOSTANDARD = LVTTL")
2938    PORT MPD10 = sysace_mpd_10, UCF_NET_STRING=("LOC=G10", "IOSTANDARD = LVTTL")
2939    PORT MPD11 = sysace_mpd_11, UCF_NET_STRING=("LOC=K11", "IOSTANDARD = LVTTL")
2940    PORT MPD12 = sysace_mpd_12, UCF_NET_STRING=("LOC=F10", "IOSTANDARD = LVTTL")
2941    PORT MPD13 = sysace_mpd_13, UCF_NET_STRING=("LOC=J11", "IOSTANDARD = LVTTL")
2942    PORT MPD14 = sysace_mpd_14, UCF_NET_STRING=("LOC=H11", "IOSTANDARD = LVTTL")
2943    PORT MPD15 = sysace_mpd_15, UCF_NET_STRING=("LOC=H12", "IOSTANDARD = LVTTL")
2944    PORT MPCE  = sysace_mpce, UCF_NET_STRING=("LOC=C10", "IOSTANDARD = LVTTL")
2945    PORT MPOE  = sysace_mpoe, UCF_NET_STRING=("LOC=M15", "IOSTANDARD = LVTTL")
2946    PORT MPWE  = sysace_mpwe, UCF_NET_STRING=("LOC=M13", "IOSTANDARD = LVTTL")
2947    PORT MPIRQ = sysace_mpirq, UCF_NET_STRING=("LOC=E10", "IOSTANDARD = LVTTL")
2948
2949
2950# FPGA BOARD EEPROM Serial Number and Memory interface
2951    PORT DQ0 = EEPROM_0_DQ0, UCF_NET_STRING=("LOC=AB28", "IOSTANDARD = LVTTL", "SLEW = SLOW", "DRIVE = 8")
2952
2953# 10/100 Ethernet MAC
2954    PORT PHY_SLW0   = phy_slew0,     UCF_NET_STRING=("LOC=H20", "IOSTANDARD = LVTTL", "SLEW = SLOW", "DRIVE = 8")
2955    PORT PHY_SLW1   = phy_slew1,     UCF_NET_STRING=("LOC=J22", "IOSTANDARD = LVTTL", "SLEW = SLOW", "DRIVE = 8")
2956    PORT PHY_RESET  = phy_rst_n,     UCF_NET_STRING=("LOC=J27", "IOSTANDARD = LVTTL", "SLEW = SLOW", "DRIVE = 8")
2957    PORT PHY_MDINT  = phy_mii_int_n, UCF_NET_STRING=("LOC=G27", "IOSTANDARD = LVTTL")
2958    PORT PHY_CRS    = phy_crs,       UCF_NET_STRING=("LOC=D29", "IOSTANDARD = LVTTL")
2959    PORT PHY_COL    = phy_col,       UCF_NET_STRING=("LOC=J26", "IOSTANDARD = LVTTL")
2960    PORT PHY_TXD3   = phy_tx_data_3, UCF_NET_STRING=("LOC=G26", "IOSTANDARD = LVTTL", "SLEW = SLOW", "DRIVE = 8")
2961    PORT PHY_TXD2   = phy_tx_data_2, UCF_NET_STRING=("LOC=D26", "IOSTANDARD = LVTTL", "SLEW = SLOW", "DRIVE = 8")
2962    PORT PHY_TXD1   = phy_tx_data_1, UCF_NET_STRING=("LOC=H23", "IOSTANDARD = LVTTL", "SLEW = SLOW", "DRIVE = 8")
2963    PORT PHY_TXD0   = phy_tx_data_0, UCF_NET_STRING=("LOC=D22", "IOSTANDARD = LVTTL", "SLEW = SLOW", "DRIVE = 8")
2964    PORT PHY_TX_EN  = phy_tx_en,     UCF_NET_STRING=("LOC=H22", "IOSTANDARD = LVTTL", "SLEW = SLOW", "DRIVE = 8")
2965    PORT PHY_TX_CLK = phy_tx_clk,    UCF_NET_STRING=("LOC=F20", "IOSTANDARD = LVTTL")
2966    PORT PHY_TX_ER  = phy_tx_er,     UCF_NET_STRING=("LOC=H26", "IOSTANDARD = LVTTL")
2967    PORT PHY_RX_ER  = phy_rx_er,     UCF_NET_STRING=("LOC=F21", "IOSTANDARD = LVTTL")
2968    PORT PHY_RX_CLK = phy_rx_clk,    UCF_NET_STRING=("LOC=E24", "IOSTANDARD = LVTTL")
2969    PORT PHY_RX_DV  = phy_dv,        UCF_NET_STRING=("LOC=F22", "IOSTANDARD = LVTTL")
2970    PORT PHY_RXD0   = phy_rx_data_0, UCF_NET_STRING=("LOC=C22", "IOSTANDARD = LVTTL")
2971    PORT PHY_RXD1   = phy_rx_data_1, UCF_NET_STRING=("LOC=E21", "IOSTANDARD = LVTTL")
2972    PORT PHY_RXD2   = phy_rx_data_2, UCF_NET_STRING=("LOC=C21", "IOSTANDARD = LVTTL")
2973    PORT PHY_RXD3   = phy_rx_data_3, UCF_NET_STRING=("LOC=D23", "IOSTANDARD = LVTTL")
2974    PORT PHY_MDC    = phy_mii_clk,   UCF_NET_STRING=("LOC=J24", "IOSTANDARD = LVTTL", "SLEW = SLOW", "DRIVE = 8")
2975    PORT PHY_MDIO   = phy_mii_data,  UCF_NET_STRING=("LOC=C23", "IOSTANDARD = LVTTL", "SLEW = SLOW", "DRIVE = 8")
2976
2977# 4 Dip Switchs
2978    PORT SW_0 = SW_0, UCF_NET_STRING=("LOC=Y27", "IOSTANDARD = LVTTL")
2979    PORT SW_1 = SW_1, UCF_NET_STRING=("LOC=Y28", "IOSTANDARD = LVTTL")
2980    PORT SW_2 = SW_2, UCF_NET_STRING=("LOC=AA27", "IOSTANDARD = LVTTL")
2981    PORT SW_3 = SW_3, UCF_NET_STRING=("LOC=Y29", "IOSTANDARD = LVTTL")
2982
2983### SRAM0_256Kx32 ###
2984    PORT SRAM0_OEN = CONN_SRAM0_OEN, UCF_NET_STRING=("LOC=AP15", "IOSTANDARD = LVTTL", "SLEW = FAST", "DRIVE = 8")
2985    PORT SRAM0_ADV_LDN = CONN_SRAM0_ADV_LDN, UCF_NET_STRING=("LOC=AP14", "IOSTANDARD = LVTTL", "SLEW = FAST", "DRIVE = 8")
2986    PORT SRAM0_CLOCK = CONN_SRAM0_CLK, UCF_NET_STRING=("LOC=AR15", "SLEW = FAST", "IOSTANDARD = LVTTL", "DRIVE = 16")
2987    PORT SRAM0_CKEN = CONN_SRAM0_CKEN, UCF_NET_STRING=("LOC=AH14", "SLEW = FAST", "IOSTANDARD = LVTTL", "DRIVE = 8")
2988    PORT SRAM0_CE = CONN_SRAM0_CE, UCF_NET_STRING=("LOC=AP11", "IOSTANDARD = LVTTL")
2989    PORT SRAM0_BEN_0 = CONN_SRAM0_BEN0,  UCF_NET_STRING=("LOC=AP16", "IOSTANDARD = LVTTL", "SLEW = FAST", "DRIVE = 8")
2990    PORT SRAM0_BEN_1 = CONN_SRAM0_BEN1,  UCF_NET_STRING=("LOC=AR17", "IOSTANDARD = LVTTL", "SLEW = FAST", "DRIVE = 8")
2991    PORT SRAM0_BEN_2 = CONN_SRAM0_BEN2,  UCF_NET_STRING=("LOC=AN14", "IOSTANDARD = LVTTL", "SLEW = FAST", "DRIVE = 8")
2992    PORT SRAM0_BEN_3 = CONN_SRAM0_BEN3,  UCF_NET_STRING=("LOC=AT15", "IOSTANDARD = LVTTL", "SLEW = FAST", "DRIVE = 8")
2993    PORT SRAM0_WEN = CONN_SRAM0_WEN, UCF_NET_STRING=("LOC=AN15", "IOSTANDARD = LVTTL", "SLEW = FAST", "DRIVE = 8")
2994
2995    PORT SRAM0_A0 = CONN_SRAM0_A0,   UCF_NET_STRING=("LOC=AL16", "SLEW = FAST", "IOSTANDARD = LVTTL", "DRIVE = 12")
2996    PORT SRAM0_A1 = CONN_SRAM0_A1,   UCF_NET_STRING=("LOC=AH15", "SLEW = FAST", "IOSTANDARD = LVTTL", "DRIVE = 12")
2997    PORT SRAM0_A2 = CONN_SRAM0_A2,   UCF_NET_STRING=("LOC=AL14", "SLEW = FAST", "IOSTANDARD = LVTTL", "DRIVE = 12")
2998    PORT SRAM0_A3 = CONN_SRAM0_A3,   UCF_NET_STRING=("LOC=AM15", "SLEW = FAST", "IOSTANDARD = LVTTL", "DRIVE = 12")
2999    PORT SRAM0_A4 = CONN_SRAM0_A4,   UCF_NET_STRING=("LOC=AM14", "SLEW = FAST", "IOSTANDARD = LVTTL", "DRIVE = 12")
3000    PORT SRAM0_A5 = CONN_SRAM0_A5,   UCF_NET_STRING=("LOC=AT9", "SLEW = FAST", "IOSTANDARD = LVTTL", "DRIVE = 12")
3001    PORT SRAM0_A6 = CONN_SRAM0_A6,   UCF_NET_STRING=("LOC=AU11", "SLEW = FAST", "IOSTANDARD = LVTTL", "DRIVE = 12")
3002    PORT SRAM0_A7 = CONN_SRAM0_A7,   UCF_NET_STRING=("LOC=AN11", "SLEW = FAST", "IOSTANDARD = LVTTL", "DRIVE = 12")
3003    PORT SRAM0_A8 = CONN_SRAM0_A8,   UCF_NET_STRING=("LOC=AN17", "SLEW = FAST", "IOSTANDARD = LVTTL", "DRIVE = 12")
3004    PORT SRAM0_A9 = CONN_SRAM0_A9,   UCF_NET_STRING=("LOC=AH16", "SLEW = FAST", "IOSTANDARD = LVTTL", "DRIVE = 12")
3005    PORT SRAM0_A10 = CONN_SRAM0_A10,   UCF_NET_STRING=("LOC=AR16", "SLEW = FAST", "IOSTANDARD = LVTTL", "DRIVE = 12")
3006    PORT SRAM0_A11 = CONN_SRAM0_A11,   UCF_NET_STRING=("LOC=AU15", "SLEW = FAST", "IOSTANDARD = LVTTL", "DRIVE = 12")
3007    PORT SRAM0_A12 = CONN_SRAM0_A12,   UCF_NET_STRING=("LOC=AP18", "SLEW = FAST", "IOSTANDARD = LVTTL", "DRIVE = 12")
3008    PORT SRAM0_A13 = CONN_SRAM0_A13,   UCF_NET_STRING=("LOC=AJ18", "SLEW = FAST", "IOSTANDARD = LVTTL", "DRIVE = 12")
3009    PORT SRAM0_A14 = CONN_SRAM0_A14,   UCF_NET_STRING=("LOC=AM17", "SLEW = FAST", "IOSTANDARD = LVTTL", "DRIVE = 12")
3010    PORT SRAM0_A15 = CONN_SRAM0_A15,   UCF_NET_STRING=("LOC=AM18", "SLEW = FAST", "IOSTANDARD = LVTTL", "DRIVE = 12")
3011    PORT SRAM0_A16 = CONN_SRAM0_A16,   UCF_NET_STRING=("LOC=AK16", "SLEW = FAST", "IOSTANDARD = LVTTL", "DRIVE = 12")
3012    PORT SRAM0_A17 = CONN_SRAM0_A17,   UCF_NET_STRING=("LOC=AU14", "SLEW = FAST", "IOSTANDARD = LVTTL", "DRIVE = 12")
3013    PORT SRAM0_A18 = CONN_SRAM0_A18,   UCF_NET_STRING=("LOC=AT13", "SLEW = FAST", "IOSTANDARD = LVTTL", "DRIVE = 12")
3014
3015    PORT SRAM0_D0 = CONN_SRAM0_D0,   UCF_NET_STRING=("LOC=AU19", "SLEW = FAST", "IOSTANDARD = LVTTL", "DRIVE = 12")
3016    PORT SRAM0_D1 = CONN_SRAM0_D1,   UCF_NET_STRING=("LOC=AH18", "SLEW = FAST", "IOSTANDARD = LVTTL", "DRIVE = 12")
3017    PORT SRAM0_D2 = CONN_SRAM0_D2,   UCF_NET_STRING=("LOC=AP19", "SLEW = FAST", "IOSTANDARD = LVTTL", "DRIVE = 12")
3018    PORT SRAM0_D3 = CONN_SRAM0_D3,   UCF_NET_STRING=("LOC=AT19", "SLEW = FAST", "IOSTANDARD = LVTTL", "DRIVE = 12")
3019    PORT SRAM0_D4 = CONN_SRAM0_D4,   UCF_NET_STRING=("LOC=AN18", "SLEW = FAST", "IOSTANDARD = LVTTL", "DRIVE = 12")
3020    PORT SRAM0_D5 = CONN_SRAM0_D5,   UCF_NET_STRING=("LOC=AR19", "SLEW = FAST", "IOSTANDARD = LVTTL", "DRIVE = 12")
3021    PORT SRAM0_D6 = CONN_SRAM0_D6,   UCF_NET_STRING=("LOC=AJ17", "SLEW = FAST", "IOSTANDARD = LVTTL", "DRIVE = 12")
3022    PORT SRAM0_D7 = CONN_SRAM0_D7,   UCF_NET_STRING=("LOC=AT18", "SLEW = FAST", "IOSTANDARD = LVTTL", "DRIVE = 12")
3023    PORT SRAM0_D8 = CONN_SRAM0_D8,   UCF_NET_STRING=("LOC=AM19", "SLEW = FAST", "IOSTANDARD = LVTTL", "DRIVE = 12")
3024    PORT SRAM0_D9 = CONN_SRAM0_D9,   UCF_NET_STRING=("LOC=AL19", "SLEW = FAST", "IOSTANDARD = LVTTL", "DRIVE = 12")
3025    PORT SRAM0_D10 = CONN_SRAM0_D10,   UCF_NET_STRING=("LOC=AK18", "SLEW = FAST", "IOSTANDARD = LVTTL", "DRIVE = 12")
3026    PORT SRAM0_D11 = CONN_SRAM0_D11,   UCF_NET_STRING=("LOC=AL18", "SLEW = FAST", "IOSTANDARD = LVTTL", "DRIVE = 12")
3027    PORT SRAM0_D12 = CONN_SRAM0_D12,   UCF_NET_STRING=("LOC=AU17", "SLEW = FAST", "IOSTANDARD = LVTTL", "DRIVE = 12")
3028    PORT SRAM0_D13 = CONN_SRAM0_D13,   UCF_NET_STRING=("LOC=AR18", "SLEW = FAST", "IOSTANDARD = LVTTL", "DRIVE = 12")
3029    PORT SRAM0_D14 = CONN_SRAM0_D14,   UCF_NET_STRING=("LOC=AU18", "SLEW = FAST", "IOSTANDARD = LVTTL", "DRIVE = 12")
3030    PORT SRAM0_D15 = CONN_SRAM0_D15,   UCF_NET_STRING=("LOC=AN19", "SLEW = FAST", "IOSTANDARD = LVTTL", "DRIVE = 12")
3031    PORT SRAM0_D16 = CONN_SRAM0_D16,   UCF_NET_STRING=("LOC=AK12", "SLEW = FAST", "IOSTANDARD = LVTTL", "DRIVE = 12")
3032    PORT SRAM0_D17 = CONN_SRAM0_D17,   UCF_NET_STRING=("LOC=AL12", "SLEW = FAST", "IOSTANDARD = LVTTL", "DRIVE = 12")
3033    PORT SRAM0_D18 = CONN_SRAM0_D18,   UCF_NET_STRING=("LOC=AK14", "SLEW = FAST", "IOSTANDARD = LVTTL", "DRIVE = 12")
3034    PORT SRAM0_D19 = CONN_SRAM0_D19,   UCF_NET_STRING=("LOC=AR14", "SLEW = FAST", "IOSTANDARD = LVTTL", "DRIVE = 12")
3035    PORT SRAM0_D20 = CONN_SRAM0_D20,   UCF_NET_STRING=("LOC=AL11", "SLEW = FAST", "IOSTANDARD = LVTTL", "DRIVE = 12")
3036    PORT SRAM0_D21 = CONN_SRAM0_D21,   UCF_NET_STRING=("LOC=AT11", "SLEW = FAST", "IOSTANDARD = LVTTL", "DRIVE = 12")
3037    PORT SRAM0_D22 = CONN_SRAM0_D22,   UCF_NET_STRING=("LOC=AU10", "SLEW = FAST", "IOSTANDARD = LVTTL", "DRIVE = 12")
3038    PORT SRAM0_D23 = CONN_SRAM0_D23,   UCF_NET_STRING=("LOC=AR11", "SLEW = FAST", "IOSTANDARD = LVTTL", "DRIVE = 12")
3039    PORT SRAM0_D24 = CONN_SRAM0_D24,   UCF_NET_STRING=("LOC=AR13", "SLEW = FAST", "IOSTANDARD = LVTTL", "DRIVE = 12")
3040    PORT SRAM0_D25 = CONN_SRAM0_D25,   UCF_NET_STRING=("LOC=AL13", "SLEW = FAST", "IOSTANDARD = LVTTL", "DRIVE = 12")
3041    PORT SRAM0_D26 = CONN_SRAM0_D26,   UCF_NET_STRING=("LOC=AJ13", "SLEW = FAST", "IOSTANDARD = LVTTL", "DRIVE = 12")
3042    PORT SRAM0_D27 = CONN_SRAM0_D27,   UCF_NET_STRING=("LOC=AM10", "SLEW = FAST", "IOSTANDARD = LVTTL", "DRIVE = 12")
3043    PORT SRAM0_D28 = CONN_SRAM0_D28,   UCF_NET_STRING=("LOC=AJ12", "SLEW = FAST", "IOSTANDARD = LVTTL", "DRIVE = 12")
3044    PORT SRAM0_D29 = CONN_SRAM0_D29,   UCF_NET_STRING=("LOC=AM11", "SLEW = FAST", "IOSTANDARD = LVTTL", "DRIVE = 12")
3045    PORT SRAM0_D30 = CONN_SRAM0_D30,   UCF_NET_STRING=("LOC=AL10", "SLEW = FAST", "IOSTANDARD = LVTTL", "DRIVE = 12")
3046    PORT SRAM0_D31 = CONN_SRAM0_D31,   UCF_NET_STRING=("LOC=AT10", "SLEW = FAST", "IOSTANDARD = LVTTL", "DRIVE = 12")
3047
3048
3049### SRAM1_256Kx32 ###
3050
3051    PORT SRAM1_OEN = CONN_SRAM1_OEN, UCF_NET_STRING=("LOC=AP25", "IOSTANDARD = LVTTL", "SLEW = FAST", "DRIVE = 8")
3052    PORT SRAM1_ADV_LDN = CONN_SRAM1_ADV_LDN, UCF_NET_STRING=("LOC=AU26", "IOSTANDARD = LVTTL", "SLEW = FAST", "DRIVE = 8")
3053    PORT SRAM1_CLOCK = CONN_SRAM1_CLK, UCF_NET_STRING=("LOC=AP24", "SLEW = FAST", "IOSTANDARD = LVTTL", "DRIVE = 16")
3054    PORT SRAM1_CKEN = CONN_SRAM1_CKEN, UCF_NET_STRING=("LOC=AR25", "SLEW = FAST", "IOSTANDARD = LVTTL", "DRIVE = 8")
3055    PORT SRAM1_BEN_0 = CONN_SRAM1_BEN0,  UCF_NET_STRING=("LOC=AP26", "IOSTANDARD = LVTTL", "SLEW = FAST", "DRIVE = 8")
3056    PORT SRAM1_BEN_1 = CONN_SRAM1_BEN1,  UCF_NET_STRING=("LOC=AN29", "IOSTANDARD = LVTTL", "SLEW = FAST", "DRIVE = 8")
3057    PORT SRAM1_BEN_2 = CONN_SRAM1_BEN2,  UCF_NET_STRING=("LOC=AR23", "IOSTANDARD = LVTTL", "SLEW = FAST", "DRIVE = 8")
3058    PORT SRAM1_BEN_3 = CONN_SRAM1_BEN3,  UCF_NET_STRING=("LOC=AT25", "IOSTANDARD = LVTTL", "SLEW = FAST", "DRIVE = 8")
3059    PORT SRAM1_WEN = CONN_SRAM1_WEN, UCF_NET_STRING=("LOC=AM24", "IOSTANDARD = LVTTL", "SLEW = FAST", "DRIVE = 8")
3060    PORT SRAM1_CE = CONN_SRAM1_CE, UCF_NET_STRING=("LOC=AM23", "IOSTANDARD = LVTTL")
3061
3062    PORT SRAM1_A0 = CONN_SRAM1_A0, UCF_NET_STRING=("LOC=AK25", "SLEW = FAST", "IOSTANDARD = LVTTL", "DRIVE = 12")
3063    PORT SRAM1_A1 = CONN_SRAM1_A1, UCF_NET_STRING=("LOC=AK24", "SLEW = FAST", "IOSTANDARD = LVTTL", "DRIVE = 12")
3064    PORT SRAM1_A2 = CONN_SRAM1_A2, UCF_NET_STRING=("LOC=AU25", "SLEW = FAST", "IOSTANDARD = LVTTL", "DRIVE = 12")
3065    PORT SRAM1_A3 = CONN_SRAM1_A3, UCF_NET_STRING=("LOC=AR24", "SLEW = FAST", "IOSTANDARD = LVTTL", "DRIVE = 12")
3066    PORT SRAM1_A4 = CONN_SRAM1_A4, UCF_NET_STRING=("LOC=AN23", "SLEW = FAST", "IOSTANDARD = LVTTL", "DRIVE = 12")
3067    PORT SRAM1_A5 = CONN_SRAM1_A5, UCF_NET_STRING=("LOC=AH22", "SLEW = FAST", "IOSTANDARD = LVTTL", "DRIVE = 12")
3068    PORT SRAM1_A6 = CONN_SRAM1_A6, UCF_NET_STRING=("LOC=AL23", "SLEW = FAST", "IOSTANDARD = LVTTL", "DRIVE = 12")
3069    PORT SRAM1_A7 = CONN_SRAM1_A7, UCF_NET_STRING=("LOC=AN22", "SLEW = FAST", "IOSTANDARD = LVTTL", "DRIVE = 12")
3070    PORT SRAM1_A8 = CONN_SRAM1_A8, UCF_NET_STRING=("LOC=AT28", "SLEW = FAST", "IOSTANDARD = LVTTL", "DRIVE = 12")
3071    PORT SRAM1_A9 = CONN_SRAM1_A9, UCF_NET_STRING=("LOC=AR28", "SLEW = FAST", "IOSTANDARD = LVTTL", "DRIVE = 12")
3072    PORT SRAM1_A10 = CONN_SRAM1_A10, UCF_NET_STRING=("LOC=AT26", "SLEW = FAST", "IOSTANDARD = LVTTL", "DRIVE = 12")
3073    PORT SRAM1_A11 = CONN_SRAM1_A11, UCF_NET_STRING=("LOC=AL28", "SLEW = FAST", "IOSTANDARD = LVTTL", "DRIVE = 12")
3074    PORT SRAM1_A12 = CONN_SRAM1_A12, UCF_NET_STRING=("LOC=AK29", "SLEW = FAST", "IOSTANDARD = LVTTL", "DRIVE = 12")
3075    PORT SRAM1_A13 = CONN_SRAM1_A13, UCF_NET_STRING=("LOC=AR26", "SLEW = FAST", "IOSTANDARD = LVTTL", "DRIVE = 12")
3076    PORT SRAM1_A14 = CONN_SRAM1_A14, UCF_NET_STRING=("LOC=AH27", "SLEW = FAST", "IOSTANDARD = LVTTL", "DRIVE = 12")
3077    PORT SRAM1_A15 = CONN_SRAM1_A15, UCF_NET_STRING=("LOC=AU29", "SLEW = FAST", "IOSTANDARD = LVTTL", "DRIVE = 12")
3078    PORT SRAM1_A16 = CONN_SRAM1_A16, UCF_NET_STRING=("LOC=AP29", "SLEW = FAST", "IOSTANDARD = LVTTL", "DRIVE = 12")
3079    PORT SRAM1_A17 = CONN_SRAM1_A17, UCF_NET_STRING=("LOC=AJ23", "SLEW = FAST", "IOSTANDARD = LVTTL", "DRIVE = 12")
3080    PORT SRAM1_A18 = CONN_SRAM1_A18, UCF_NET_STRING=("LOC=AP28", "SLEW = FAST", "IOSTANDARD = LVTTL", "DRIVE = 12")
3081
3082    PORT SRAM1_D0 = CONN_SRAM1_D0, UCF_NET_STRING=("LOC=AT31", "SLEW = FAST", "IOSTANDARD = LVTTL", "DRIVE = 12")
3083    PORT SRAM1_D1 = CONN_SRAM1_D1, UCF_NET_STRING=("LOC=AT30", "SLEW = FAST", "IOSTANDARD = LVTTL", "DRIVE = 12")
3084    PORT SRAM1_D2 = CONN_SRAM1_D2, UCF_NET_STRING=("LOC=AL30", "SLEW = FAST", "IOSTANDARD = LVTTL", "DRIVE = 12")
3085    PORT SRAM1_D3 = CONN_SRAM1_D3, UCF_NET_STRING=("LOC=AJ28", "SLEW = FAST", "IOSTANDARD = LVTTL", "DRIVE = 12")
3086    PORT SRAM1_D4 = CONN_SRAM1_D4, UCF_NET_STRING=("LOC=AK28", "SLEW = FAST", "IOSTANDARD = LVTTL", "DRIVE = 12")
3087    PORT SRAM1_D5 = CONN_SRAM1_D5, UCF_NET_STRING=("LOC=AM30", "SLEW = FAST", "IOSTANDARD = LVTTL", "DRIVE = 12")
3088    PORT SRAM1_D6 = CONN_SRAM1_D6, UCF_NET_STRING=("LOC=AR29", "SLEW = FAST", "IOSTANDARD = LVTTL", "DRIVE = 12")
3089    PORT SRAM1_D7 = CONN_SRAM1_D7, UCF_NET_STRING=("LOC=AL29", "SLEW = FAST", "IOSTANDARD = LVTTL", "DRIVE = 12")
3090    PORT SRAM1_D8 = CONN_SRAM1_D8, UCF_NET_STRING=("LOC=AL27", "SLEW = FAST", "IOSTANDARD = LVTTL", "DRIVE = 12")
3091    PORT SRAM1_D9 = CONN_SRAM1_D9, UCF_NET_STRING=("LOC=AM25", "SLEW = FAST", "IOSTANDARD = LVTTL", "DRIVE = 12")
3092    PORT SRAM1_D10 = CONN_SRAM1_D10, UCF_NET_STRING=("LOC=AR31", "SLEW = FAST", "IOSTANDARD = LVTTL", "DRIVE = 12")
3093    PORT SRAM1_D11 = CONN_SRAM1_D11, UCF_NET_STRING=("LOC=AM29", "SLEW = FAST", "IOSTANDARD = LVTTL", "DRIVE = 12")
3094    PORT SRAM1_D12 = CONN_SRAM1_D12, UCF_NET_STRING=("LOC=AN30", "SLEW = FAST", "IOSTANDARD = LVTTL", "DRIVE = 12")
3095    PORT SRAM1_D13 = CONN_SRAM1_D13, UCF_NET_STRING=("LOC=AL25", "SLEW = FAST", "IOSTANDARD = LVTTL", "DRIVE = 12")
3096    PORT SRAM1_D14 = CONN_SRAM1_D14, UCF_NET_STRING=("LOC=AM28", "SLEW = FAST", "IOSTANDARD = LVTTL", "DRIVE = 12")
3097    PORT SRAM1_D15 = CONN_SRAM1_D15, UCF_NET_STRING=("LOC=AU30", "SLEW = FAST", "IOSTANDARD = LVTTL", "DRIVE = 12")
3098    PORT SRAM1_D16 = CONN_SRAM1_D16, UCF_NET_STRING=("LOC=AR22", "SLEW = FAST", "IOSTANDARD = LVTTL", "DRIVE = 12")
3099    PORT SRAM1_D17 = CONN_SRAM1_D17, UCF_NET_STRING=("LOC=AM22", "SLEW = FAST", "IOSTANDARD = LVTTL", "DRIVE = 12")
3100    PORT SRAM1_D18 = CONN_SRAM1_D18, UCF_NET_STRING=("LOC=AT23", "SLEW = FAST", "IOSTANDARD = LVTTL", "DRIVE = 12")
3101    PORT SRAM1_D19 = CONN_SRAM1_D19, UCF_NET_STRING=("LOC=AU22", "SLEW = FAST", "IOSTANDARD = LVTTL", "DRIVE = 12")
3102    PORT SRAM1_D20 = CONN_SRAM1_D20, UCF_NET_STRING=("LOC=AT22", "SLEW = FAST", "IOSTANDARD = LVTTL", "DRIVE = 12")
3103    PORT SRAM1_D21 = CONN_SRAM1_D21, UCF_NET_STRING=("LOC=AL21", "SLEW = FAST", "IOSTANDARD = LVTTL", "DRIVE = 12")
3104    PORT SRAM1_D22 = CONN_SRAM1_D22, UCF_NET_STRING=("LOC=AU21", "SLEW = FAST", "IOSTANDARD = LVTTL", "DRIVE = 12")
3105    PORT SRAM1_D23 = CONN_SRAM1_D23, UCF_NET_STRING=("LOC=AP21", "SLEW = FAST", "IOSTANDARD = LVTTL", "DRIVE = 12")
3106    PORT SRAM1_D24 = CONN_SRAM1_D24, UCF_NET_STRING=("LOC=AT21", "SLEW = FAST", "IOSTANDARD = LVTTL", "DRIVE = 12")
3107    PORT SRAM1_D25 = CONN_SRAM1_D25, UCF_NET_STRING=("LOC=AN21", "SLEW = FAST", "IOSTANDARD = LVTTL", "DRIVE = 12")
3108    PORT SRAM1_D26 = CONN_SRAM1_D26, UCF_NET_STRING=("LOC=AK22", "SLEW = FAST", "IOSTANDARD = LVTTL", "DRIVE = 12")
3109    PORT SRAM1_D27 = CONN_SRAM1_D27, UCF_NET_STRING=("LOC=AP22", "SLEW = FAST", "IOSTANDARD = LVTTL", "DRIVE = 12")
3110    PORT SRAM1_D28 = CONN_SRAM1_D28, UCF_NET_STRING=("LOC=AR21", "SLEW = FAST", "IOSTANDARD = LVTTL", "DRIVE = 12")
3111    PORT SRAM1_D29 = CONN_SRAM1_D29, UCF_NET_STRING=("LOC=AM20", "SLEW = FAST", "IOSTANDARD = LVTTL", "DRIVE = 12")
3112    PORT SRAM1_D30 = CONN_SRAM1_D30, UCF_NET_STRING=("LOC=AK21", "SLEW = FAST", "IOSTANDARD = LVTTL", "DRIVE = 12")
3113    PORT SRAM1_D31 = CONN_SRAM1_D31, UCF_NET_STRING=("LOC=AP20", "SLEW = FAST", "IOSTANDARD = LVTTL", "DRIVE = 12")
3114
3115    #USER I/O Board in Slot 1
3116    #LCD SPI interface
3117    PORT user_ioboard_slot1_sdi = user_ioboard_slot1_sdi, UCF_NET_STRING=("LOC=W4", "SLEW=SLOW", "IOSTANDARD=LVTTL")
3118    PORT userio_board_slot1_scl = userio_board_slot1_scl, UCF_NET_STRING=("LOC=V3", "SLEW=SLOW", "IOSTANDARD=LVTTL")
3119    PORT userio_board_slot1_resetlcd = userio_board_slot1_resetlcd, UCF_NET_STRING=("LOC=V5", "SLEW=SLOW", "IOSTANDARD=LVTTL")
3120    PORT userio_board_slot1_cs = userio_board_slot1_cs, UCF_NET_STRING=("LOC=V8", "SLEW=SLOW", "IOSTANDARD=LVTTL")
3121
3122    #Buzzer output
3123    PORT userio_board_slot1_buzzer = userio_board_slot1_buzzer, UCF_NET_STRING=("LOC=P3", "SLEW=SLOW", "IOSTANDARD=LVTTL")
3124
3125    #Trackball I/O
3126    PORT userio_board_slot1_trackball_yscn = userio_board_slot1_trackball_yscn, UCF_NET_STRING=("LOC=T3", "SLEW=SLOW", "IOSTANDARD=LVTTL")
3127    PORT userio_board_slot1_trackball_sel1 = userio_board_slot1_trackball_sel1, UCF_NET_STRING=("LOC=U4", "SLEW=SLOW", "IOSTANDARD=LVTTL")
3128    PORT userio_board_slot1_trackball_xscn = userio_board_slot1_trackball_xscn, UCF_NET_STRING=("LOC=V4", "SLEW=SLOW", "IOSTANDARD=LVTTL")
3129    PORT userio_board_slot1_trackball_sel2 = userio_board_slot1_trackball_sel2, UCF_NET_STRING=("LOC=V6", "SLEW=SLOW", "IOSTANDARD=LVTTL")
3130    PORT userio_board_slot1_trackball_oyn = userio_board_slot1_trackball_oyn, UCF_NET_STRING=("LOC=T11", "SLEW=SLOW", "IOSTANDARD=LVTTL")
3131    PORT userio_board_slot1_trackball_oy = userio_board_slot1_trackball_oy, UCF_NET_STRING=("LOC=U9", "SLEW=SLOW", "IOSTANDARD=LVTTL")
3132    PORT userio_board_slot1_trackball_oxn = userio_board_slot1_trackball_oxn, UCF_NET_STRING=("LOC=U10", "SLEW=SLOW", "IOSTANDARD=LVTTL")
3133    PORT userio_board_slot1_trackball_ox = userio_board_slot1_trackball_ox, UCF_NET_STRING=("LOC=V9", "SLEW=SLOW", "IOSTANDARD=LVTTL")
3134
3135    #Eight LEDs
3136    PORT userio_board_slot1_leds_0 = userio_board_slot1_leds_0, UCF_NET_STRING=("LOC=P10", "SLEW=SLOW", "IOSTANDARD=LVTTL")
3137    PORT userio_board_slot1_leds_1 = userio_board_slot1_leds_1, UCF_NET_STRING=("LOC=P8", "SLEW=SLOW", "IOSTANDARD=LVTTL")
3138    PORT userio_board_slot1_leds_2 = userio_board_slot1_leds_2, UCF_NET_STRING=("LOC=P1", "SLEW=SLOW", "IOSTANDARD=LVTTL")
3139    PORT userio_board_slot1_leds_3 = userio_board_slot1_leds_3, UCF_NET_STRING=("LOC=P2", "SLEW=SLOW", "IOSTANDARD=LVTTL")
3140    PORT userio_board_slot1_leds_4 = userio_board_slot1_leds_4, UCF_NET_STRING=("LOC=N5", "SLEW=SLOW", "IOSTANDARD=LVTTL")
3141    PORT userio_board_slot1_leds_5 = userio_board_slot1_leds_5, UCF_NET_STRING=("LOC=M3", "SLEW=SLOW", "IOSTANDARD=LVTTL")
3142    PORT userio_board_slot1_leds_6 = userio_board_slot1_leds_6, UCF_NET_STRING=("LOC=N6", "SLEW=SLOW", "IOSTANDARD=LVTTL")
3143    PORT userio_board_slot1_leds_7 = userio_board_slot1_leds_7, UCF_NET_STRING=("LOC=L6", "SLEW=SLOW", "IOSTANDARD=LVTTL")
3144
3145    #DIP switch
3146    PORT userio_board_slot1_dip_switch_0 = userio_board_slot1_dip_switch_0, UCF_NET_STRING=("LOC=K2", "SLEW=SLOW", "IOSTANDARD=LVTTL")
3147    PORT userio_board_slot1_dip_switch_1 = userio_board_slot1_dip_switch_1, UCF_NET_STRING=("LOC=K1", "SLEW=SLOW", "IOSTANDARD=LVTTL")
3148    PORT userio_board_slot1_dip_switch_2 = userio_board_slot1_dip_switch_2, UCF_NET_STRING=("LOC=P4", "SLEW=SLOW", "IOSTANDARD=LVTTL")
3149    PORT userio_board_slot1_dip_switch_3 = userio_board_slot1_dip_switch_3, UCF_NET_STRING=("LOC=N3", "SLEW=SLOW", "IOSTANDARD=LVTTL")
3150
3151    #Six small push buttons
3152    PORT userio_board_slot1_buttons_small_0 = userio_board_slot1_buttons_small_0, UCF_NET_STRING=("LOC=M2", "SLEW=SLOW", "IOSTANDARD=LVTTL")
3153    PORT userio_board_slot1_buttons_small_1 = userio_board_slot1_buttons_small_1, UCF_NET_STRING=("LOC=R11", "SLEW=SLOW", "IOSTANDARD=LVTTL")
3154    PORT userio_board_slot1_buttons_small_2 = userio_board_slot1_buttons_small_2, UCF_NET_STRING=("LOC=N2", "SLEW=SLOW", "IOSTANDARD=LVTTL")
3155    PORT userio_board_slot1_buttons_small_3 = userio_board_slot1_buttons_small_3, UCF_NET_STRING=("LOC=M7", "SLEW=SLOW", "IOSTANDARD=LVTTL")
3156    PORT userio_board_slot1_buttons_small_4 = userio_board_slot1_buttons_small_4, UCF_NET_STRING=("LOC=L5", "SLEW=SLOW", "IOSTANDARD=LVTTL")
3157    PORT userio_board_slot1_buttons_small_5 = userio_board_slot1_buttons_small_5, UCF_NET_STRING=("LOC=L4", "SLEW=SLOW", "IOSTANDARD=LVTTL")
3158
3159    #Two big push buttons
3160    PORT userio_board_slot1_buttons_big_0 = userio_board_slot1_buttons_big_0, UCF_NET_STRING=("LOC=R1", "SLEW=SLOW", "IOSTANDARD=LVTTL")
3161    PORT userio_board_slot1_buttons_big_1 = userio_board_slot1_buttons_big_1, UCF_NET_STRING=("LOC=R2", "SLEW=SLOW", "IOSTANDARD=LVTTL")
3162
3163END
Note: See TracBrowser for help on using the repository browser.