source: PlatformSupport/Deprecated/pcores/user_io_board_controller_opbw_v1_00_a/mdlsrc/user_io_board_controller_unconverted_backup.mdl

Last change on this file was 653, checked in by sgupta, 17 years ago

Adding user io board controller

File size: 375.0 KB
Line 
1Model {
2  Name            "user_io_board_controller_unconverted_backup"
3  Version         6.3
4  MdlSubVersion       0
5  GraphicalInterface {
6    NumRootInports      0
7    NumRootOutports     0
8    ParameterArgumentNames  ""
9    ComputedModelVersion    "1.149"
10    NumModelReferences      0
11    NumTestPointedSignals   0
12  }
13  SavedCharacterEncoding  "windows-1252"
14  SaveDefaultBlockParams  on
15  SampleTimeColors    on
16  LibraryLinkDisplay      "none"
17  WideLines       off
18  ShowLineDimensions      off
19  ShowPortDataTypes   on
20  ShowLoopsOnError    on
21  IgnoreBidirectionalLines off
22  ShowStorageClass    off
23  ShowTestPointIcons      on
24  ShowViewerIcons     on
25  SortedOrder         off
26  ExecutionContextIcon    off
27  ShowLinearizationAnnotations on
28  RecordCoverage      off
29  CovPath         "/"
30  CovSaveName         "covdata"
31  CovMetricSettings   "dw"
32  CovNameIncrementing     off
33  CovHtmlReporting    on
34  covSaveCumulativeToWorkspaceVar on
35  CovSaveSingleToWorkspaceVar on
36  CovCumulativeVarName    "covCumulativeData"
37  CovCumulativeReport     off
38  CovReportOnPause    on
39  ScopeRefreshTime    0.035000
40  OverrideScopeRefreshTime on
41  DisableAllScopes    off
42  DataTypeOverride    "UseLocalSettings"
43  MinMaxOverflowLogging   "UseLocalSettings"
44  MinMaxOverflowArchiveMode "Overwrite"
45  BlockNameDataTip    off
46  BlockParametersDataTip  off
47  BlockDescriptionStringDataTip off
48  ToolBar         on
49  StatusBar       on
50  BrowserShowLibraryLinks off
51  BrowserLookUnderMasks   off
52  InitFcn         "init_lcd"
53  StartFcn        "init_lcd"
54  Created         "Thu Aug 09 22:27:48 2007"
55  UpdateHistory       "UpdateHistoryNever"
56  ModifiedByFormat    "%<Auto>"
57  LastModifiedBy      "sgupta"
58  ModifiedDateFormat      "%<Auto>"
59  LastModifiedDate    "Fri Aug 31 00:37:35 2007"
60  ModelVersionFormat      "1.%<AutoIncrement:149>"
61  ConfigurationManager    "None"
62  LinearizationMsg    "none"
63  Profile         off
64  ParamWorkspaceSource    "MATLABWorkspace"
65  AccelSystemTargetFile   "accel.tlc"
66  AccelTemplateMakefile   "accel_default_tmf"
67  AccelMakeCommand    "make_rtw"
68  TryForcingSFcnDF    off
69  ExtModeBatchMode    off
70  ExtModeEnableFloating   on
71  ExtModeTrigType     "manual"
72  ExtModeTrigMode     "normal"
73  ExtModeTrigPort     "1"
74  ExtModeTrigElement      "any"
75  ExtModeTrigDuration     1000
76  ExtModeTrigDurationFloating "auto"
77  ExtModeTrigHoldOff      0
78  ExtModeTrigDelay    0
79  ExtModeTrigDirection    "rising"
80  ExtModeTrigLevel    0
81  ExtModeArchiveMode      "off"
82  ExtModeAutoIncOneShot   off
83  ExtModeIncDirWhenArm    off
84  ExtModeAddSuffixToVar   off
85  ExtModeWriteAllDataToWs off
86  ExtModeArmWhenConnect   on
87  ExtModeSkipDownloadWhenConnect off
88  ExtModeLogAll       on
89  ExtModeAutoUpdateStatusClock on
90  BufferReuse         on
91  StrictBusMsg        "Warning"
92  ProdHWDeviceType    "32-bit Generic"
93  ShowModelReferenceBlockVersion off
94  ShowModelReferenceBlockIO off
95  Array {
96    Type            "Handle"
97    Dimension           1
98    Simulink.ConfigSet {
99      $ObjectID           1
100      Version             "1.1.0"
101      Array {
102    Type            "Handle"
103    Dimension       7
104    Simulink.SolverCC {
105      $ObjectID       2
106      Version         "1.1.0"
107      StartTime       "0.0"
108      StopTime        "20000"
109      AbsTol          "auto"
110      FixedStep       "auto"
111      InitialStep         "auto"
112      MaxNumMinSteps      "-1"
113      MaxOrder        5
114      ExtrapolationOrder      4
115      NumberNewtonIterations  1
116      MaxStep         "auto"
117      MinStep         "auto"
118      RelTol          "1e-3"
119      SolverMode          "Auto"
120      Solver          "ode45"
121      SolverName          "ode45"
122      ZeroCrossControl    "UseLocalSettings"
123      AlgebraicLoopSolver     "TrustRegion"
124      SolverResetMethod   "Fast"
125      PositivePriorityOrder   off
126      AutoInsertRateTranBlk   off
127      SampleTimeConstraint    "Unconstrained"
128      RateTranMode        "Deterministic"
129    }
130    Simulink.DataIOCC {
131      $ObjectID       3
132      Version         "1.1.0"
133      Decimation          "1"
134      ExternalInput       "[t, u]"
135      FinalStateName      "xFinal"
136      InitialState        "xInitial"
137      LimitDataPoints     on
138      MaxDataPoints       "1000"
139      LoadExternalInput   off
140      LoadInitialState    off
141      SaveFinalState      off
142      SaveFormat          "Array"
143      SaveOutput          on
144      SaveState       off
145      SignalLogging       on
146      InspectSignalLogs   off
147      SaveTime        on
148      StateSaveName       "xout"
149      TimeSaveName        "tout"
150      OutputSaveName      "yout"
151      SignalLoggingName   "logsout"
152      OutputOption        "RefineOutputTimes"
153      OutputTimes         "[]"
154      Refine          "1"
155    }
156    Simulink.OptimizationCC {
157      $ObjectID       4
158      Array {
159        Type            "Cell"
160        Dimension           5
161        Cell            "ZeroExternalMemoryAtStartup"
162        Cell            "ZeroInternalMemoryAtStartup"
163        Cell            "InitFltsAndDblsToZero"
164        Cell            "OptimizeModelRefInitCode"
165        Cell            "NoFixptDivByZeroProtection"
166        PropName            "DisabledProps"
167      }
168      Version         "1.1.0"
169      BlockReduction      on
170      BooleanDataType     on
171      ConditionallyExecuteInputs on
172      InlineParams        off
173      InlineInvariantSignals  off
174      OptimizeBlockIOStorage  on
175      BufferReuse         on
176      EnforceIntegerDowncast  on
177      ExpressionFolding   on
178      FoldNonRolledExpr   on
179      LocalBlockOutputs   on
180      ParameterPooling    on
181      RollThreshold       5
182      SystemCodeInlineAuto    off
183      StateBitsets        off
184      DataBitsets         off
185      UseTempVars         off
186      ZeroExternalMemoryAtStartup on
187      ZeroInternalMemoryAtStartup on
188      InitFltsAndDblsToZero   on
189      NoFixptDivByZeroProtection off
190      EfficientFloat2IntCast  off
191      OptimizeModelRefInitCode off
192      LifeSpan        "inf"
193      BufferReusableBoundary  on
194    }
195    Simulink.DebuggingCC {
196      $ObjectID       5
197      Version         "1.1.0"
198      RTPrefix        "error"
199      ConsistencyChecking     "none"
200      ArrayBoundsChecking     "none"
201      SignalInfNanChecking    "none"
202      ReadBeforeWriteMsg      "UseLocalSettings"
203      WriteAfterWriteMsg      "UseLocalSettings"
204      WriteAfterReadMsg   "UseLocalSettings"
205      AlgebraicLoopMsg    "warning"
206      ArtificialAlgebraicLoopMsg "warning"
207      CheckSSInitialOutputMsg on
208      CheckExecutionContextPreStartOutputMsg off
209      CheckExecutionContextRuntimeOutputMsg off
210      SignalResolutionControl "TryResolveAllWithWarning"
211      BlockPriorityViolationMsg "warning"
212      MinStepSizeMsg      "warning"
213      SolverPrmCheckMsg   "warning"
214      InheritedTsInSrcMsg     "warning"
215      DiscreteInheritContinuousMsg "warning"
216      MultiTaskDSMMsg     "warning"
217      MultiTaskRateTransMsg   "error"
218      SingleTaskRateTransMsg  "none"
219      TasksWithSamePriorityMsg "warning"
220      SigSpecEnsureSampleTimeMsg "warning"
221      CheckMatrixSingularityMsg "none"
222      IntegerOverflowMsg      "warning"
223      Int32ToFloatConvMsg     "warning"
224      ParameterDowncastMsg    "error"
225      ParameterOverflowMsg    "error"
226      ParameterUnderflowMsg   "none"
227      ParameterPrecisionLossMsg "warning"
228      UnderSpecifiedDataTypeMsg "none"
229      UnnecessaryDatatypeConvMsg "none"
230      VectorMatrixConversionMsg "none"
231      InvalidFcnCallConnMsg   "error"
232      FcnCallInpInsideContextMsg "Use local settings"
233      SignalLabelMismatchMsg  "none"
234      UnconnectedInputMsg     "warning"
235      UnconnectedOutputMsg    "warning"
236      UnconnectedLineMsg      "warning"
237      SFcnCompatibilityMsg    "none"
238      UniqueDataStoreMsg      "none"
239      BusObjectLabelMismatch  "warning"
240      RootOutportRequireBusObject "warning"
241      AssertControl       "UseLocalSettings"
242      EnableOverflowDetection off
243      ModelReferenceIOMsg     "none"
244      ModelReferenceVersionMismatchMessage "none"
245      ModelReferenceIOMismatchMessage "none"
246      ModelReferenceCSMismatchMessage "none"
247      ModelReferenceSimTargetVerbose off
248      UnknownTsInhSupMsg      "warning"
249      ModelReferenceDataLoggingMessage "warning"
250      ModelReferenceSymbolNameMessage "warning"
251      ModelReferenceExtraNoncontSigs "error"
252    }
253    Simulink.HardwareCC {
254      $ObjectID       6
255      Version         "1.1.0"
256      ProdBitPerChar      8
257      ProdBitPerShort     16
258      ProdBitPerInt       32
259      ProdBitPerLong      32
260      ProdIntDivRoundTo   "Undefined"
261      ProdEndianess       "Unspecified"
262      ProdWordSize        32
263      ProdShiftRightIntArith  on
264      ProdHWDeviceType    "32-bit Generic"
265      TargetBitPerChar    8
266      TargetBitPerShort   16
267      TargetBitPerInt     32
268      TargetBitPerLong    32
269      TargetShiftRightIntArith on
270      TargetIntDivRoundTo     "Undefined"
271      TargetEndianess     "Unspecified"
272      TargetWordSize      32
273      TargetTypeEmulationWarnSuppressLevel 0
274      TargetPreprocMaxBitsSint 32
275      TargetPreprocMaxBitsUint 32
276      TargetHWDeviceType      "Specified"
277      TargetUnknown       off
278      ProdEqTarget        on
279    }
280    Simulink.ModelReferenceCC {
281      $ObjectID       7
282      Version         "1.1.0"
283      UpdateModelReferenceTargets "IfOutOfDateOrStructuralChange"
284      CheckModelReferenceTargetMessage "error"
285      ModelReferenceNumInstancesAllowed "Multi"
286      ModelReferencePassRootInputsByReference on
287      ModelReferenceMinAlgLoopOccurrences off
288    }
289    Simulink.RTWCC {
290      $BackupClass        "Simulink.RTWCC"
291      $ObjectID       8
292      Array {
293        Type            "Cell"
294        Dimension           1
295        Cell            "IncludeHyperlinkInReport"
296        PropName            "DisabledProps"
297      }
298      Version         "1.1.0"
299      SystemTargetFile    "grt.tlc"
300      GenCodeOnly         off
301      MakeCommand         "make_rtw"
302      TemplateMakefile    "grt_default_tmf"
303      GenerateReport      off
304      SaveLog         off
305      RTWVerbose          on
306      RetainRTWFile       off
307      ProfileTLC          off
308      TLCDebug        off
309      TLCCoverage         off
310      TLCAssert       off
311      ProcessScriptMode   "Default"
312      ConfigurationMode   "Optimized"
313      ConfigAtBuild       off
314      IncludeHyperlinkInReport off
315      LaunchReport        off
316      TargetLang          "C"
317      Array {
318        Type            "Handle"
319        Dimension           2
320        Simulink.CodeAppCC {
321          $ObjectID           9
322          Array {
323        Type            "Cell"
324        Dimension       9
325        Cell            "IgnoreCustomStorageClasses"
326        Cell            "InsertBlockDesc"
327        Cell            "SFDataObjDesc"
328        Cell            "SimulinkDataObjDesc"
329        Cell            "DefineNamingRule"
330        Cell            "SignalNamingRule"
331        Cell            "ParamNamingRule"
332        Cell            "InlinedPrmAccess"
333        Cell            "CustomSymbolStr"
334        PropName        "DisabledProps"
335          }
336          Version             "1.1.0"
337          ForceParamTrailComments off
338          GenerateComments        on
339          IgnoreCustomStorageClasses on
340          IncHierarchyInIds       off
341          MaxIdLength         31
342          PreserveName        off
343          PreserveNameWithParent  off
344          ShowEliminatedStatement off
345          IncAutoGenComments      off
346          SimulinkDataObjDesc     off
347          SFDataObjDesc       off
348          IncDataTypeInIds        off
349          PrefixModelToSubsysFcnNames on
350          CustomSymbolStr         "$R$N$M"
351          MangleLength        1
352          DefineNamingRule        "None"
353          ParamNamingRule         "None"
354          SignalNamingRule        "None"
355          InsertBlockDesc         off
356          SimulinkBlockComments   on
357          EnableCustomComments    off
358          InlinedPrmAccess        "Literals"
359          ReqsInCode          off
360        }
361        Simulink.GRTTargetCC {
362          $BackupClass        "Simulink.TargetCC"
363          $ObjectID           10
364          Array {
365        Type            "Cell"
366        Dimension       12
367        Cell            "IncludeMdlTerminateFcn"
368        Cell            "CombineOutputUpdateFcns"
369        Cell            "SuppressErrorStatus"
370        Cell            "ERTCustomFileBanners"
371        Cell            "GenerateSampleERTMain"
372        Cell            "MultiInstanceERTCode"
373        Cell            "PurelyIntegerCode"
374        Cell            "SupportNonFinite"
375        Cell            "SupportComplex"
376        Cell            "SupportAbsoluteTime"
377        Cell            "SupportContinuousTime"
378        Cell            "SupportNonInlinedSFcns"
379        PropName        "DisabledProps"
380          }
381          Version             "1.1.0"
382          TargetFcnLib        "ansi_tfl_tmw.mat"
383          TargetLibSuffix         ""
384          TargetPreCompLibLocation ""
385          GenFloatMathFcnCalls    "ANSI_C"
386          UtilityFuncGeneration   "Auto"
387          GenerateFullHeader      on
388          GenerateSampleERTMain   off
389          IsPILTarget         off
390          ModelReferenceCompliant on
391          IncludeMdlTerminateFcn  on
392          CombineOutputUpdateFcns off
393          SuppressErrorStatus     off
394          IncludeFileDelimiter    "Auto"
395          ERTCustomFileBanners    off
396          SupportAbsoluteTime     on
397          LogVarNameModifier      "rt_"
398          MatFileLogging          on
399          MultiInstanceERTCode    off
400          SupportNonFinite        on
401          SupportComplex          on
402          PurelyIntegerCode       off
403          SupportContinuousTime   on
404          SupportNonInlinedSFcns  on
405          ExtMode             off
406          ExtModeStaticAlloc      off
407          ExtModeTesting          off
408          ExtModeStaticAllocSize  1000000
409          ExtModeTransport        0
410          ExtModeMexFile          "ext_comm"
411          RTWCAPISignals          off
412          RTWCAPIParams       off
413          RTWCAPIStates       off
414          GenerateASAP2       off
415        }
416        PropName            "Components"
417      }
418    }
419    PropName        "Components"
420      }
421      Name            "Configuration"
422      SimulationMode          "normal"
423      CurrentDlgPage          "Solver"
424    }
425    PropName            "ConfigurationSets"
426  }
427  Simulink.ConfigSet {
428    $PropName           "ActiveConfigurationSet"
429    $ObjectID           1
430  }
431  BlockDefaults {
432    Orientation         "right"
433    ForegroundColor     "black"
434    BackgroundColor     "white"
435    DropShadow          off
436    NamePlacement       "normal"
437    FontName            "arial"
438    FontSize            10
439    FontWeight          "normal"
440    FontAngle           "normal"
441    ShowName            on
442  }
443  BlockParameterDefaults {
444    Block {
445      BlockType           DiscretePulseGenerator
446      PulseType           "Sample based"
447      TimeSource          "Use simulation time"
448      Amplitude           "1"
449      Period              "2"
450      PulseWidth          "1"
451      PhaseDelay          "0"
452      SampleTime          "1"
453      VectorParams1D          on
454    }
455    Block {
456      BlockType           Inport
457      Port            "1"
458      UseBusObject        off
459      BusObject           "BusObject"
460      BusOutputAsStruct       off
461      PortDimensions          "-1"
462      SampleTime          "-1"
463      DataType            "auto"
464      OutDataType         "sfix(16)"
465      OutScaling          "2^0"
466      SignalType          "auto"
467      SamplingMode        "auto"
468      LatchByDelayingOutsideSignal off
469      LatchByCopyingInsideSignal off
470      Interpolate         on
471    }
472    Block {
473      BlockType           InportShadow
474      UseBusObject        off
475      BusObject           "BusObject"
476      BusOutputAsStruct       off
477      PortDimensions          "-1"
478      SampleTime          "-1"
479      DataType            "auto"
480      OutDataType         "sfix(16)"
481      OutScaling          "2^0"
482      SignalType          "auto"
483      SamplingMode        "auto"
484      Interpolate         on
485    }
486    Block {
487      BlockType           Outport
488      Port            "1"
489      UseBusObject        off
490      BusObject           "BusObject"
491      BusOutputAsStruct       off
492      PortDimensions          "-1"
493      SampleTime          "-1"
494      DataType            "auto"
495      OutDataType         "sfix(16)"
496      OutScaling          "2^0"
497      SignalType          "auto"
498      SamplingMode        "auto"
499      OutputWhenDisabled      "held"
500      InitialOutput       "[]"
501    }
502    Block {
503      BlockType           Reference
504    }
505    Block {
506      BlockType           Scope
507      ModelBased          off
508      TickLabels          "OneTimeTick"
509      ZoomMode            "on"
510      Grid            "on"
511      TimeRange           "auto"
512      YMin            "-5"
513      YMax            "5"
514      SaveToWorkspace         off
515      SaveName            "ScopeData"
516      LimitDataPoints         on
517      MaxDataPoints       "5000"
518      Decimation          "1"
519      SampleInput         off
520      SampleTime          "-1"
521    }
522    Block {
523      BlockType           "S-Function"
524      FunctionName        "system"
525      SFunctionModules        "''"
526      PortCounts          "[]"
527    }
528    Block {
529      BlockType           Step
530      Time            "1"
531      Before              "0"
532      After           "1"
533      SampleTime          "-1"
534      VectorParams1D          on
535      ZeroCross           on
536    }
537    Block {
538      BlockType           SubSystem
539      ShowPortLabels          on
540      Permissions         "ReadWrite"
541      PermitHierarchicalResolution "All"
542      SystemSampleTime        "-1"
543      RTWFcnNameOpts          "Auto"
544      RTWFileNameOpts         "Auto"
545      SimViewingDevice        off
546      DataTypeOverride        "UseLocalSettings"
547      MinMaxOverflowLogging   "UseLocalSettings"
548    }
549    Block {
550      BlockType           Terminator
551    }
552  }
553  AnnotationDefaults {
554    HorizontalAlignment     "center"
555    VerticalAlignment       "middle"
556    ForegroundColor     "black"
557    BackgroundColor     "white"
558    DropShadow          off
559    FontName            "arial"
560    FontSize            10
561    FontWeight          "normal"
562    FontAngle           "normal"
563  }
564  LineDefaults {
565    FontName            "arial"
566    FontSize            9
567    FontWeight          "normal"
568    FontAngle           "normal"
569  }
570  System {
571    Name            "user_io_board_controller_unconverted_backup"
572    Location            [424, 78, 1868, 1079]
573    Open            on
574    ModelBrowserVisibility  off
575    ModelBrowserWidth       200
576    ScreenColor         "white"
577    PaperOrientation        "landscape"
578    PaperPositionMode       "auto"
579    PaperType           "usletter"
580    PaperUnits          "inches"
581    ZoomFactor          "100"
582    ReportName          "simulink-default.rpt"
583    Block {
584      BlockType           Reference
585      Name            " System Generator"
586      Tag             "genX"
587      Ports           []
588      Position            [249, 112, 300, 162]
589      ShowName            off
590      AttributesFormatString  "System\\nGenerator"
591      UserDataPersistent      on
592      UserData            "DataTag0"
593      SourceBlock         "xbsIndex_r4/ System Generator"
594      SourceType          "Xilinx System Generator Block"
595      ShowPortLabels          on
596      infoedit            " System Generator"
597      xilinxfamily        "Virtex4"
598      part            "xc4vsx35"
599      speed           "-10"
600      package             "ff668"
601      synthesis_tool          "XST"
602      directory           "./netlist"
603      testbench           off
604      simulink_period         "1"
605      sysclk_period       "10"
606      incr_netlist        off
607      trim_vbits          "Everywhere in SubSystem"
608      dbl_ovrd            "According to Block Masks"
609      core_generation         "According to Block Masks"
610      run_coregen         off
611      deprecated_control      off
612      eval_field          "0"
613      has_advanced_control    "0"
614      sggui_pos           "-1,-1,-1,-1"
615      block_type          "sysgen"
616      block_version       "8.2.02"
617      sg_icon_stat        "51,50,-1,-1,red,beige,0,07734"
618      sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npa"
619"tch([0 51 51 0 ],[0 0 50 50 ],[0.93 0.92 0.86]);\npatch([12 4 16 4 12 25 29 3"
620"3 47 36 25 17 29 17 25 36 47 33 29 25 12 ],[5 13 25 37 45 45 41 45 45 34 45 3"
621"7 25 13 5 16 5 5 9 5 5 ],[0.6 0.2 0.25]);\nplot([0 0 51 51 0 ],[0 50 50 0 0 ]"
622");\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin ico"
623"n text');\nfprintf('','COMMENT: end icon text');\n"
624      sg_blockgui_xml         "<!--  *  Copyright (c) 2005, Xilinx, Inc.  All "
625"Rights Reserved.            --><!--  *  Reproduction or reuse, in any form, w"
626"ithout the explicit written  --><!--  *  consent of Xilinx, Inc., is strictly"
627" prohibited.                  --><sysgenblock has_userdata=\"true\" tag=\"gen"
628"X\" block_type=\"sysgen\" simulinkname=\" System Generator\" >\n <icon width="
629"\"51\" bg_color=\"beige\" height=\"50\" caption_format=\"System\\nGenerator\""
630" wmark_color=\"red\" />\n <callbacks DeleteFcn=\"xlSysgenGUI('delete', gcs, g"
631"cbh);\" OpenFcn=\"xlSysgenGUI('startup',gcs,gcbh)\" ModelCloseFcn=\"xlSysgenG"
632"UI('Close',gcs,gcbh)\" PostSaveFcn=\"xlSysgenGUI('Save')\" />\n <libraries>\n"
633"  <library name=\"xbsIndex\" />\n  <library name=\"xbsBasic\" />\n  <library "
634"name=\"xbsTools\" />\n </libraries>\n <subsystem_model file=\"system_generato"
635"r_subsystem.mdl\" />\n <blockgui label=\"Xilinx System Generator\" >\n  <edit"
636"box evaluate=\"false\" multi_line=\"true\" name=\"infoedit\" read_only=\"true"
637"\" default=\" System Generator\" />\n  <editbox evaluate=\"false\" name=\"xil"
638"inxfamily\" default=\"Virtex4\" label=\"Xilinx family\" />\n  <editbox evalua"
639"te=\"false\" name=\"part\" default=\"xc4vsx35\" label=\"Part\" />\n  <editbox"
640" evaluate=\"false\" name=\"speed\" default=\"-10\" label=\"Speed\" />\n  <edi"
641"tbox evaluate=\"false\" name=\"package\" default=\"ff668\" label=\"Package\" "
642"/>\n  <listbox evaluate=\"true\" name=\"synthesis_tool\" default=\"XST\" labe"
643"l=\"Synthesis tool\" >\n   <item value=\"Spectrum\" />\n   <item value=\"Synp"
644"lify\" />\n   <item value=\"Synplify Pro\" />\n   <item value=\"XST\" />\n   "
645"<item value=\"Precision\" />\n  </listbox>\n  <editbox evaluate=\"false\" nam"
646"e=\"directory\" default=\"./netlist\" label=\"Target directory\" />\n  <check"
647"box evaluate=\"true\" name=\"testbench\" default=\"off\" label=\"Testbench\" "
648"/>\n  <editbox evaluate=\"true\" name=\"simulink_period\" default=\"1\" label"
649"=\"Simulink period\" />\n  <editbox evaluate=\"true\" name=\"sysclk_period\" "
650"default=\"10\" label=\"System clock period\" />\n  <checkbox evaluate=\"true"
651"\" name=\"incr_netlist\" default=\"off\" label=\"Incremental netlisting\" />"
652"\n  <listbox evaluate=\"true\" name=\"trim_vbits\" default=\"Everywhere in Su"
653"bSystem\" label=\"Trim valid bits\" >\n   <item value=\"According to Block Ma"
654"sks\" />\n   <item value=\"Everywhere in SubSystem\" />\n   <item value=\"No "
655"Where in SubSystem\" />\n  </listbox>\n  <listbox evaluate=\"true\" name=\"db"
656"l_ovrd\" default=\"According to Block Masks\" label=\"Override with doubles\""
657" >\n   <item value=\"According to Block Masks\" />\n   <item value=\"Everywhe"
658"re in SubSystem\" />\n   <item value=\"No Where in SubSystem\" />\n  </listbo"
659"x>\n  <listbox evaluate=\"true\" name=\"core_generation\" default=\"According"
660" to Block Masks\" label=\"Generate cores\" >\n   <item value=\"According to B"
661"lock Masks\" />\n   <item value=\"Everywhere Available\" />\n   <item value="
662"\"Not Needed - Already Generated\" />\n  </listbox>\n  <checkbox evaluate=\"t"
663"rue\" name=\"run_coregen\" default=\"off\" label=\"Run CoreGen\" />\n  <check"
664"box evaluate=\"true\" name=\"deprecated_control\" default=\"off\" label=\"Sho"
665"w deprecated controls\" />\n  <hiddenvar evaluate=\"true\" name=\"eval_field"
666"\" default=\"0\" />\n </blockgui>\n</sysgenblock>\n"
667    }
668    Block {
669      BlockType           "S-Function"
670      Name            "CS"
671      Ports           [1, 1]
672      Position            [1525, 455, 1585, 475]
673      CopyFcn             "xlBlockMoveCallback(gcbh);"
674      DeleteFcn           "xlDestroyGui(gcbh);"
675      LoadFcn             "xlBlockLoadCallback(gcbh);"
676      ModelCloseFcn       "xlDestroyGui(gcbh);"
677      PreSaveFcn          "xlBlockPreSaveCallback(gcbh);"
678      PostSaveFcn         "xlBlockPostSaveCallback(gcbh);"
679      DestroyFcn          "xlDestroyGui(gcbh);"
680      OpenFcn             "xlOpenGui(gcbh, @gatewayoutenablement, -1)"
681      CloseFcn            "xlDestroyGui(gcbh);"
682      MoveFcn             "xlBlockMoveCallback(gcbh);"
683      FunctionName        "sysgen"
684      Parameters          "ptable_"
685      MaskType            "Xilinx Gateway Out Block"
686      MaskDescription         "Gateway out block.  Converts Xilinx fixed point"
687" inputs into ouputs of type Simulink integer, double, or fixed point.\n\nHard"
688"ware notes:  In hardware these blocks become top level output ports or are di"
689"scarded, depending on how they are configured."
690      MaskHelp            "eval('xlWeb(xlhtmldoclink(''Gateway Out''))');"
691      MaskPromptString        " | |Translate into output port| |IOB timing con"
692"straint| |Specify IOB location constraints|IOB pad locations (cell array {'MS"
693"B', ..., 'LSB'})| |Define FPGA area for resource estimation|FPGA area [slices"
694", FFs, BRAMs, LUTs, IOBs, emb. mults, TBUFs]| | | | | | | | | "
695      MaskStyleString         "edit,edit,checkbox,edit,popup(None|Data Rate|Da"
696"ta Rate;  Set 'FAST' Attribute),edit,checkbox,edit,edit,checkbox,edit,edit,ed"
697"it,edit,edit,edit,edit,edit,edit,edit"
698      MaskTunableValueString  "on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on"
699",on,on,on,on"
700      MaskCallbackString      "|||||||||||||||||||"
701      MaskEnableString        "on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on"
702",on,on,on,on"
703      MaskVisibilityString    "off,off,on,off,on,off,on,on,off,on,on,off,off,o"
704"ff,off,off,off,off,off,off"
705      MaskToolTipString       "on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on"
706",on,on,on,on"
707      MaskVarAliasString      ",,,,,,,,,,,,,,,,,,,"
708      MaskVariables       "infoedit=&1;hdl_port_sgadvanced=&2;hdl_port=@3;"
709"timing_constraint_sgadvanced=&4;timing_constraint=@5;locs_specified_sgadvance"
710"d=&6;locs_specified=@7;LOCs=@8;xl_use_area_sgadvanced=&9;xl_use_area=@10;xl_a"
711"rea=@11;sginterface=&12;has_advanced_control=@13;sggui_pos=&14;block_type=&15"
712";block_version=&16;sg_icon_stat=&17;sg_mask_display=&18;sg_list_contents=&19;"
713"sg_blockgui_xml=&20;"
714      MaskInitialization      "try\n  tmp_gcb = gcb;\n  tmp_gcbh = gcbh;\n  xl"
715"MungeMaskParams;\n  block_config = 'Sysgen:gateway_out_config';\n  serialized"
716"_declarations = '{''LOCs''=>''StringVector'',''hdl_port''=>''Int'',''locs_spe"
717"cified''=>''Int'',''sginterface''=>''String'',''timing_constraint''=>''Int''}"
718"';\n  ptable_ = xlblockprep(get_param(tmp_gcb, 'MaskWSVariables'));\ncatch\n "
719" global xl_report_errors;\n  if(~isempty(xl_report_errors) && xl_report_error"
720"s)\n    e = regexprep(lasterr, '\\n', '\\nError: ');\n    disp(['Error: While"
721" running MaskInit code on block ' tmp_gcb ': ' e]);\n    error(e);\n  end\nen"
722"d\n"
723      MaskDisplay         "fprintf('','COMMENT: begin icon graphics');\npa"
724"tch([0 60 60 0 ],[0 0 20 20 ],[0.95 0.93 0.65]);\npatch([24 21 26 21 24 29 30"
725" 31 37 33 29 26 31 26 29 33 37 31 30 29 24 ],[2 5 10 15 18 18 17 18 18 14 18 "
726"15 10 5 2 6 2 2 3 2 2 ],[0.98 0.96 0.92]);\nplot([0 0 60 60 0 ],[0 20 20 0 0 "
727"]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin ic"
728"on text');\ncolor('black');port_label('input',1,' ');\ncolor('black');port_la"
729"bel('output',1,'\\fontsize{11pt}\\bf Out ','texmode','on');\nfprintf('','COMM"
730"ENT: end icon text');\n"
731      MaskSelfModifiable      on
732      MaskIconFrame       off
733      MaskIconOpaque          on
734      MaskIconRotate          "none"
735      MaskIconUnits       "autoscale"
736      MaskValueString         "Gateway out block.  Converts Xilinx fixed point"
737" inputs into ouputs of type Simulink integer, double, or fixed point.<P><P>Ha"
738"rdware notes:  In hardware these blocks become top level output ports or are "
739"discarded, depending on how they are configured.||on||None||off|{}||off|[0,0,"
740"0,0,0,0,0]||0|-1,-1,-1,-1|gatewayout|8.2.02|60,20,1,1,white,yellow,0,f0cec300"
741"|fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 ],[0 0 20 20 ]"
742",[0.95 0.93 0.65]);\npatch([24 21 26 21 24 29 30 31 37 33 29 26 31 26 29 33 3"
743"7 31 30 29 24 ],[2 5 10 15 18 18 17 18 18 14 18 15 10 5 2 6 2 2 3 2 2 ],[0.98"
744" 0.96 0.92]);\nplot([0 0 60 60 0 ],[0 20 20 0 0 ]);\nfprintf('','COMMENT: end"
745" icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');po"
746"rt_label('input',1,' ');\ncolor('black');port_label('output',1,'\\fontsize{11"
747"pt}\\bf Out ','texmode','on');\nfprintf('','COMMENT: end icon text');\n||<!--"
748"   *  Copyright (c) 2005, Xilinx, Inc.  All Rights Reserved.           --><!-"
749"-   *  Reproduction or reuse, in any form, without the explicit written --><!"
750"--   *  consent of Xilinx, Inc., is strictly prohibited.                 --><"
751"sysgenblock block_type=\"gatewayout\" simulinkname=\"Gateway Out\" >\n <icon "
752"width=\"60\" bg_color=\"yellow\" height=\"20\" wmark_color=\"white\" />\n <dl"
753"l entry_point=\"gateway_out_config\" name=\"Sysgen\" />\n <handlers enablemen"
754"t=\"gatewayoutenablement\" />\n <libraries>\n  <library name=\"xbsIndex\" />"
755"\n  <library name=\"xbsBasic\" />\n  <library name=\"xbsTypes\" />\n </librar"
756"ies>\n <blockgui label=\"Xilinx Gateway Out\" >\n  <editbox evaluate=\"false"
757"\" multi_line=\"true\" name=\"infoedit\" read_only=\"true\" default=\"Gateway"
758" out block.  Converts Xilinx fixed point inputs into ouputs of type Simulink "
759"integer, double, or fixed point.&lt;P>&lt;P>Hardware notes:  In hardware thes"
760"e blocks become top level output ports or are discarded, depending on how the"
761"y are configured.\" />\n  <checkbox ctype=\"Int\" evaluate=\"true\" name=\"hd"
762"l_port\" label=\"Translate into output port\" default=\"on\" />\n  <radiogrou"
763"p ctype=\"Int\" evaluate=\"true\" name=\"timing_constraint\" label=\"IOB timi"
764"ng constraint\" default=\"None\" >\n   <item value=\"None\" label=\"None\" />"
765"\n   <item value=\"Data Rate\" label=\"Data rate\" />\n   <item value=\"Data "
766"Rate;  Set 'FAST' Attribute\" label=\"Data rate;  set 'FAST' attribute\" />\n"
767"  </radiogroup>\n  <checkbox ctype=\"Int\" evaluate=\"true\" name=\"locs_spec"
768"ified\" label=\"Specify IOB location constraints\" default=\"off\" />\n  <edi"
769"tbox ctype=\"StringVector\" evaluate=\"true\" name=\"LOCs\" label=\"IOB pad l"
770"ocations (cell array {'MSB', ..., 'LSB'})\" default=\"{}\" />\n  <etch label="
771"\"FPGA Area Estimation\" >\n   <checkbox name=\"xl_use_area\" label=\"Define "
772"FPGA area for resource estimation\" default=\"off\" />\n   <editbox top_label"
773"=\"true\" name=\"xl_area\" label=\"FPGA area [slices, FFs, BRAMs, LUTs, IOBs,"
774" emb. mults, TBUFs]\" default=\"[0,0,0,0,0,0,0]\" />\n  </etch>\n  <hiddenvar"
775" ctype=\"String\" evaluate=\"false\" name=\"sginterface\" default=\"\" />\n <"
776"/blockgui>\n</sysgenblock>\n"
777      MaskTabNameString       ",,,,,,,,,,,,,,,,,,,"
778    }
779    Block {
780      BlockType           SubSystem
781      Name            "CommandROM"
782      Ports           [6, 3]
783      Position            [690, 320, 890, 550]
784      TreatAsAtomicUnit       off
785      MinAlgLoopOccurrences   off
786      RTWSystemCode       "Auto"
787      MaskHideContents        off
788      System {
789    Name            "CommandROM"
790    Location        [558, 165, 923, 397]
791    Open            off
792    ModelBrowserVisibility  off
793    ModelBrowserWidth   200
794    ScreenColor     "white"
795    PaperOrientation    "landscape"
796    PaperPositionMode   "auto"
797    PaperType       "usletter"
798    PaperUnits      "inches"
799    ZoomFactor      "100"
800    Block {
801      BlockType       Inport
802      Name            "ConfigLoc"
803      Position        [1015, 133, 1045, 147]
804      IconDisplay         "Port number"
805    }
806    Block {
807      BlockType       Inport
808      Name            "InvertRamAddr"
809      Position        [835, 143, 865, 157]
810      Port            "2"
811      IconDisplay         "Port number"
812    }
813    Block {
814      BlockType       Inport
815      Name            "SendCmds"
816      Position        [55, 243, 85, 257]
817      Port            "3"
818      IconDisplay         "Port number"
819    }
820    Block {
821      BlockType       Inport
822      Name            "TransferDone"
823      Position        [55, 273, 85, 287]
824      Port            "4"
825      IconDisplay         "Port number"
826    }
827    Block {
828      BlockType       Inport
829      Name            "TotalNoOfCmds"
830      Position        [435, 403, 465, 417]
831      Port            "5"
832      IconDisplay         "Port number"
833    }
834    Block {
835      BlockType       Inport
836      Name            "Reset"
837      Position        [370, 228, 400, 242]
838      Port            "6"
839      IconDisplay         "Port number"
840    }
841    Block {
842      BlockType       Reference
843      Name            "Accumulator"
844      Ports           [3, 1]
845      Position        [505, 316, 565, 374]
846      SourceBlock         "xbsIndex_r4/Accumulator"
847      SourceType          "Xilinx Accumulator Block"
848      infoedit        "Adder or subtractor-based accumulator.   Ou"
849"tput type and binary point position match the input.<P><P>Hardware notes: Whe"
850"n \"Reinitialize with input 'b' on reset\" is selected, the accumulator is fo"
851"rced to run at the system rate even if the input 'b' is running at a slower r"
852"ate."
853      operation       "Add"
854      n_bits          "7"
855      overflow        "Wrap"
856      scale           "1"
857      rst             "on"
858      hasbypass       "off"
859      en              "on"
860      dbl_ovrd        "off"
861      use_behavioral_HDL      "on"
862      xl_use_area         "off"
863      xl_area         "[0,0,0,0,0,0,0]"
864      has_advanced_control    "0"
865      sggui_pos       "20,20,356,449"
866      block_type          "accum"
867      block_version       "8.2.02"
868      sg_icon_stat        "60,58,3,1,white,blue,0,93e94b4a"
869      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');"
870"\npatch([0 60 60 0 ],[0 0 58 58 ],[0.77 0.82 0.91]);\npatch([15 5 19 5 15 30 "
871"34 38 54 41 29 20 34 20 29 41 54 38 34 30 15 ],[6 16 30 44 54 54 50 54 54 41 "
872"53 44 30 16 7 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 0 60 60 0 ],[0 58 5"
873"8 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: be"
874"gin icon text');\ncolor('black');port_label('input',1,'b');\ncolor('black');p"
875"ort_label('input',2,'rst');\ncolor('black');port_label('input',3,'en');\ncolo"
876"r('black');port_label('output',1,'q');\nfprintf('','COMMENT: end icon text');"
877"\n"
878    }
879    Block {
880      BlockType       Reference
881      Name            "Accumulator1"
882      Ports           [2, 1]
883      Position        [1265, 736, 1325, 794]
884      SourceBlock         "xbsIndex_r4/Accumulator"
885      SourceType          "Xilinx Accumulator Block"
886      infoedit        "Adder or subtractor-based accumulator.   Ou"
887"tput type and binary point position match the input.<P><P>Hardware notes: Whe"
888"n \"Reinitialize with input 'b' on reset\" is selected, the accumulator is fo"
889"rced to run at the system rate even if the input 'b' is running at a slower r"
890"ate."
891      operation       "Add"
892      n_bits          "4"
893      overflow        "Wrap"
894      scale           "1"
895      rst             "on"
896      hasbypass       "off"
897      en              "off"
898      dbl_ovrd        "off"
899      use_behavioral_HDL      "on"
900      xl_use_area         "off"
901      xl_area         "[0,0,0,0,0,0,0]"
902      has_advanced_control    "0"
903      sggui_pos       "20,20,356,449"
904      block_type          "accum"
905      block_version       "8.2.02"
906      sg_icon_stat        "60,58,2,1,white,blue,0,985aace6"
907      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');"
908"\npatch([0 60 60 0 ],[0 0 58 58 ],[0.77 0.82 0.91]);\npatch([15 5 19 5 15 30 "
909"34 38 54 41 29 20 34 20 29 41 54 38 34 30 15 ],[6 16 30 44 54 54 50 54 54 41 "
910"53 44 30 16 7 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 0 60 60 0 ],[0 58 5"
911"8 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: be"
912"gin icon text');\ncolor('black');port_label('input',1,'b');\ncolor('black');p"
913"ort_label('input',2,'rst');\ncolor('black');port_label('output',1,'q');\nfpri"
914"ntf('','COMMENT: end icon text');\n"
915    }
916    Block {
917      BlockType       Reference
918      Name            "AddSub"
919      Ports           [2, 1]
920      Position        [530, 396, 590, 454]
921      SourceBlock         "xbsIndex_r4/AddSub"
922      SourceType          "Xilinx Adder/Subtractor Block"
923      mode            "Subtraction"
924      use_carryin         "off"
925      use_carryout        "off"
926      en              "off"
927      latency         "0"
928      precision       "Full"
929      arith_type          "Unsigned"
930      n_bits          "16"
931      bin_pt          "14"
932      quantization        "Truncate"
933      overflow        "Wrap"
934      dbl_ovrd        "off"
935      use_behavioral_HDL      "off"
936      pipelined       "off"
937      use_rpm         "on"
938      xl_use_area         "off"
939      xl_area         "[0,0,0,0,0,0,0]"
940      has_advanced_control    "0"
941      sggui_pos       "-1,-1,-1,-1"
942      block_type          "addsub"
943      block_version       "8.2.02"
944      sg_icon_stat        "60,58,2,1,white,blue,0,f28631c4"
945      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');"
946"\npatch([0 60 60 0 ],[0 0 58 58 ],[0.77 0.82 0.91]);\npatch([15 5 19 5 15 30 "
947"34 38 54 41 29 20 34 20 29 41 54 38 34 30 15 ],[6 16 30 44 54 54 50 54 54 41 "
948"53 44 30 16 7 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 0 60 60 0 ],[0 58 5"
949"8 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: be"
950"gin icon text');\ncolor('black');port_label('input',1,'a');\ncolor('black');p"
951"ort_label('input',2,'b');\ncolor('black');port_label('output',1,'\\bf{a - b}'"
952",'texmode','on');\nfprintf('','COMMENT: end icon text');\n"
953    }
954    Block {
955      BlockType       Reference
956      Name            "Concat"
957      Ports           [2, 1]
958      Position        [1110, 150, 1170, 210]
959      SourceBlock         "xbsIndex_r4/Concat"
960      SourceType          "Xilinx Bus Concatenator Block"
961      infoedit        "Concatenates two or more inputs.  Output wi"
962"ll be cast to an unsigned value with the binary point at zero."
963      num_inputs          "2"
964      dbl_ovrd        "off"
965      has_advanced_control    "0"
966      sggui_pos       "-1,-1,-1,-1"
967      block_type          "concat"
968      block_version       "8.2.02"
969      sg_icon_stat        "60,60,2,1,white,blue,0,59d62d82"
970      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');"
971"\npatch([0 60 60 0 ],[0 0 60 60 ],[0.77 0.82 0.91]);\npatch([14 4 18 4 14 30 "
972"34 38 55 42 29 20 35 20 29 42 55 38 34 30 14 ],[6 16 30 44 54 54 50 54 54 41 "
973"54 45 30 15 6 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 0 60 60 0 ],[0 60 6"
974"0 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: be"
975"gin icon text');\ncolor('black');port_label('input',1,'hi');\ncolor('black');"
976"port_label('input',2,'lo');\nfprintf('','COMMENT: end icon text');\n"
977    }
978    Block {
979      BlockType       Reference
980      Name            "Concat1"
981      Ports           [2, 1]
982      Position        [805, 195, 865, 255]
983      SourceBlock         "xbsIndex_r4/Concat"
984      SourceType          "Xilinx Bus Concatenator Block"
985      infoedit        "Concatenates two or more inputs.  Output wi"
986"ll be cast to an unsigned value with the binary point at zero."
987      num_inputs          "2"
988      dbl_ovrd        "off"
989      has_advanced_control    "0"
990      sggui_pos       "-1,-1,-1,-1"
991      block_type          "concat"
992      block_version       "8.2.02"
993      sg_icon_stat        "60,60,2,1,white,blue,0,59d62d82"
994      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');"
995"\npatch([0 60 60 0 ],[0 0 60 60 ],[0.77 0.82 0.91]);\npatch([14 4 18 4 14 30 "
996"34 38 55 42 29 20 35 20 29 42 55 38 34 30 14 ],[6 16 30 44 54 54 50 54 54 41 "
997"54 45 30 15 6 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 0 60 60 0 ],[0 60 6"
998"0 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: be"
999"gin icon text');\ncolor('black');port_label('input',1,'hi');\ncolor('black');"
1000"port_label('input',2,'lo');\nfprintf('','COMMENT: end icon text');\n"
1001    }
1002    Block {
1003      BlockType       Reference
1004      Name            "Concat2"
1005      Ports           [2, 1]
1006      Position        [1900, 430, 1960, 490]
1007      SourceBlock         "xbsIndex_r4/Concat"
1008      SourceType          "Xilinx Bus Concatenator Block"
1009      infoedit        "Concatenates two or more inputs.  Output wi"
1010"ll be cast to an unsigned value with the binary point at zero."
1011      num_inputs          "2"
1012      dbl_ovrd        "off"
1013      has_advanced_control    "0"
1014      sggui_pos       "-1,-1,-1,-1"
1015      block_type          "concat"
1016      block_version       "8.2.02"
1017      sg_icon_stat        "60,60,2,1,white,blue,0,59d62d82"
1018      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');"
1019"\npatch([0 60 60 0 ],[0 0 60 60 ],[0.77 0.82 0.91]);\npatch([14 4 18 4 14 30 "
1020"34 38 55 42 29 20 35 20 29 42 55 38 34 30 14 ],[6 16 30 44 54 54 50 54 54 41 "
1021"54 45 30 15 6 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 0 60 60 0 ],[0 60 6"
1022"0 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: be"
1023"gin icon text');\ncolor('black');port_label('input',1,'hi');\ncolor('black');"
1024"port_label('input',2,'lo');\nfprintf('','COMMENT: end icon text');\n"
1025    }
1026    Block {
1027      BlockType       Reference
1028      Name            "Constant"
1029      Ports           [0, 1]
1030      Position        [1145, 257, 1200, 283]
1031      SourceBlock         "xbsIndex_r4/Constant"
1032      SourceType          "Xilinx Constant Block Block"
1033      arith_type          "Unsigned"
1034      const           "0"
1035      n_bits          "16"
1036      bin_pt          "0"
1037      explicit_period     "on"
1038      period          "1"
1039      dsp48_infoedit      "The use of this block for DSP48 instruction"
1040"s is deprecated.  Please use the Opmode block."
1041      equ             "P=C"
1042      opselect        "C"
1043      inp2            "PCIN>>17"
1044      opr             "+"
1045      inp1            "P"
1046      carry           "CIN"
1047      dbl_ovrd        "off"
1048      has_advanced_control    "0"
1049      sggui_pos       "-1,-1,-1,-1"
1050      block_type          "constant"
1051      block_version       "8.2.02"
1052      sg_icon_stat        "55,26,0,1,white,blue,0,c7c04a0c"
1053      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');"
1054"\npatch([0 55 55 0 ],[0 0 26 26 ],[0.77 0.82 0.91]);\npatch([20 16 22 16 20 2"
1055"7 29 31 38 32 26 22 28 22 26 32 38 31 29 27 20 ],[3 7 13 19 23 23 21 23 23 17"
1056" 23 19 13 7 3 9 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 0 55 55 0 ],[0 26 26 "
1057"0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begi"
1058"n icon text');\ncolor('black');port_label('output',1,'0');\nfprintf('','COMME"
1059"NT: end icon text');\n"
1060    }
1061    Block {
1062      BlockType       Reference
1063      Name            "Constant1"
1064      Ports           [0, 1]
1065      Position        [1145, 302, 1200, 328]
1066      SourceBlock         "xbsIndex_r4/Constant"
1067      SourceType          "Xilinx Constant Block Block"
1068      arith_type          "Boolean"
1069      const           "0"
1070      n_bits          "8"
1071      bin_pt          "0"
1072      explicit_period     "on"
1073      period          "1"
1074      dsp48_infoedit      "The use of this block for DSP48 instruction"
1075"s is deprecated.  Please use the Opmode block."
1076      equ             "P=C"
1077      opselect        "C"
1078      inp2            "PCIN>>17"
1079      opr             "+"
1080      inp1            "P"
1081      carry           "CIN"
1082      dbl_ovrd        "off"
1083      has_advanced_control    "0"
1084      sggui_pos       "-1,-1,-1,-1"
1085      block_type          "constant"
1086      block_version       "8.2.02"
1087      sg_icon_stat        "55,26,0,1,white,blue,0,c7c04a0c"
1088      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');"
1089"\npatch([0 55 55 0 ],[0 0 26 26 ],[0.77 0.82 0.91]);\npatch([20 16 22 16 20 2"
1090"7 29 31 38 32 26 22 28 22 26 32 38 31 29 27 20 ],[3 7 13 19 23 23 21 23 23 17"
1091" 23 19 13 7 3 9 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 0 55 55 0 ],[0 26 26 "
1092"0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begi"
1093"n icon text');\ncolor('black');port_label('output',1,'0');\nfprintf('','COMME"
1094"NT: end icon text');\n"
1095    }
1096    Block {
1097      BlockType       Reference
1098      Name            "Constant11"
1099      Ports           [0, 1]
1100      Position        [1525, 627, 1580, 653]
1101      SourceBlock         "xbsIndex_r4/Constant"
1102      SourceType          "Xilinx Constant Block Block"
1103      arith_type          "Unsigned"
1104      const           "hex2dec('15C')"
1105      n_bits          "9"
1106      bin_pt          "0"
1107      explicit_period     "on"
1108      period          "1"
1109      dsp48_infoedit      "The use of this block for DSP48 instruction"
1110"s is deprecated.  Please use the Opmode block."
1111      equ             "P=C"
1112      opselect        "C"
1113      inp2            "PCIN>>17"
1114      opr             "+"
1115      inp1            "P"
1116      carry           "CIN"
1117      dbl_ovrd        "off"
1118      has_advanced_control    "0"
1119      sggui_pos       "-1,-1,-1,-1"
1120      block_type          "constant"
1121      block_version       "8.2.02"
1122      sg_icon_stat        "55,26,0,1,white,blue,0,939288d9"
1123      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');"
1124"\npatch([0 55 55 0 ],[0 0 26 26 ],[0.77 0.82 0.91]);\npatch([20 16 22 16 20 2"
1125"7 29 31 38 32 26 22 28 22 26 32 38 31 29 27 20 ],[3 7 13 19 23 23 21 23 23 17"
1126" 23 19 13 7 3 9 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 0 55 55 0 ],[0 26 26 "
1127"0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begi"
1128"n icon text');\ncolor('black');port_label('output',1,'348');\nfprintf('','COM"
1129"MENT: end icon text');\n"
1130    }
1131    Block {
1132      BlockType       Reference
1133      Name            "Constant2"
1134      Ports           [0, 1]
1135      Position        [665, 532, 720, 558]
1136      SourceBlock         "xbsIndex_r4/Constant"
1137      SourceType          "Xilinx Constant Block Block"
1138      arith_type          "Boolean"
1139      const           "0"
1140      n_bits          "16"
1141      bin_pt          "14"
1142      explicit_period     "on"
1143      period          "1"
1144      dsp48_infoedit      "The use of this block for DSP48 instruction"
1145"s is deprecated.  Please use the Opmode block."
1146      equ             "P=C"
1147      opselect        "C"
1148      inp2            "PCIN>>17"
1149      opr             "+"
1150      inp1            "P"
1151      carry           "CIN"
1152      dbl_ovrd        "off"
1153      has_advanced_control    "0"
1154      sggui_pos       "-1,-1,-1,-1"
1155      block_type          "constant"
1156      block_version       "8.2.02"
1157      sg_icon_stat        "55,26,0,1,white,blue,0,c7c04a0c"
1158      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');"
1159"\npatch([0 55 55 0 ],[0 0 26 26 ],[0.77 0.82 0.91]);\npatch([20 16 22 16 20 2"
1160"7 29 31 38 32 26 22 28 22 26 32 38 31 29 27 20 ],[3 7 13 19 23 23 21 23 23 17"
1161" 23 19 13 7 3 9 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 0 55 55 0 ],[0 26 26 "
1162"0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begi"
1163"n icon text');\ncolor('black');port_label('output',1,'0');\nfprintf('','COMME"
1164"NT: end icon text');\n"
1165    }
1166    Block {
1167      BlockType       Reference
1168      Name            "Constant3"
1169      Ports           [0, 1]
1170      Position        [445, 442, 500, 468]
1171      SourceBlock         "xbsIndex_r4/Constant"
1172      SourceType          "Xilinx Constant Block Block"
1173      arith_type          "Unsigned"
1174      const           "1"
1175      n_bits          "1"
1176      bin_pt          "0"
1177      explicit_period     "on"
1178      period          "1"
1179      dsp48_infoedit      "The use of this block for DSP48 instruction"
1180"s is deprecated.  Please use the Opmode block."
1181      equ             "P=C"
1182      opselect        "C"
1183      inp2            "PCIN>>17"
1184      opr             "+"
1185      inp1            "P"
1186      carry           "CIN"
1187      dbl_ovrd        "off"
1188      has_advanced_control    "0"
1189      sggui_pos       "-1,-1,-1,-1"
1190      block_type          "constant"
1191      block_version       "8.2.02"
1192      sg_icon_stat        "55,26,0,1,white,blue,0,85613821"
1193      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');"
1194"\npatch([0 55 55 0 ],[0 0 26 26 ],[0.77 0.82 0.91]);\npatch([20 16 22 16 20 2"
1195"7 29 31 38 32 26 22 28 22 26 32 38 31 29 27 20 ],[3 7 13 19 23 23 21 23 23 17"
1196" 23 19 13 7 3 9 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 0 55 55 0 ],[0 26 26 "
1197"0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begi"
1198"n icon text');\ncolor('black');port_label('output',1,'1');\nfprintf('','COMME"
1199"NT: end icon text');\n"
1200    }
1201    Block {
1202      BlockType       Reference
1203      Name            "Constant4"
1204      Ports           [0, 1]
1205      Position        [960, 702, 1015, 728]
1206      SourceBlock         "xbsIndex_r4/Constant"
1207      SourceType          "Xilinx Constant Block Block"
1208      arith_type          "Unsigned"
1209      const           "8"
1210      n_bits          "4"
1211      bin_pt          "0"
1212      explicit_period     "on"
1213      period          "1"
1214      dsp48_infoedit      "The use of this block for DSP48 instruction"
1215"s is deprecated.  Please use the Opmode block."
1216      equ             "P=C"
1217      opselect        "C"
1218      inp2            "PCIN>>17"
1219      opr             "+"
1220      inp1            "P"
1221      carry           "CIN"
1222      dbl_ovrd        "off"
1223      has_advanced_control    "0"
1224      sggui_pos       "-1,-1,-1,-1"
1225      block_type          "constant"
1226      block_version       "8.2.02"
1227      sg_icon_stat        "55,26,0,1,white,blue,0,56172e47"
1228      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');"
1229"\npatch([0 55 55 0 ],[0 0 26 26 ],[0.77 0.82 0.91]);\npatch([20 16 22 16 20 2"
1230"7 29 31 38 32 26 22 28 22 26 32 38 31 29 27 20 ],[3 7 13 19 23 23 21 23 23 17"
1231" 23 19 13 7 3 9 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 0 55 55 0 ],[0 26 26 "
1232"0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begi"
1233"n icon text');\ncolor('black');port_label('output',1,'8');\nfprintf('','COMME"
1234"NT: end icon text');\n"
1235    }
1236    Block {
1237      BlockType       Reference
1238      Name            "Constant5"
1239      Ports           [0, 1]
1240      Position        [1525, 327, 1580, 353]
1241      SourceBlock         "xbsIndex_r4/Constant"
1242      SourceType          "Xilinx Constant Block Block"
1243      arith_type          "Unsigned"
1244      const           "hex2dec('115')"
1245      n_bits          "9"
1246      bin_pt          "0"
1247      explicit_period     "on"
1248      period          "1"
1249      dsp48_infoedit      "The use of this block for DSP48 instruction"
1250"s is deprecated.  Please use the Opmode block."
1251      equ             "P=C"
1252      opselect        "C"
1253      inp2            "PCIN>>17"
1254      opr             "+"
1255      inp1            "P"
1256      carry           "CIN"
1257      dbl_ovrd        "off"
1258      has_advanced_control    "0"
1259      sggui_pos       "-1,-1,-1,-1"
1260      block_type          "constant"
1261      block_version       "8.2.02"
1262      sg_icon_stat        "55,26,0,1,white,blue,0,fc4c2594"
1263      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');"
1264"\npatch([0 55 55 0 ],[0 0 26 26 ],[0.77 0.82 0.91]);\npatch([20 16 22 16 20 2"
1265"7 29 31 38 32 26 22 28 22 26 32 38 31 29 27 20 ],[3 7 13 19 23 23 21 23 23 17"
1266" 23 19 13 7 3 9 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 0 55 55 0 ],[0 26 26 "
1267"0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begi"
1268"n icon text');\ncolor('black');port_label('output',1,'277');\nfprintf('','COM"
1269"MENT: end icon text');\n"
1270    }
1271    Block {
1272      BlockType       Reference
1273      Name            "Constant6"
1274      Ports           [0, 1]
1275      Position        [1525, 477, 1580, 503]
1276      SourceBlock         "xbsIndex_r4/Constant"
1277      SourceType          "Xilinx Constant Block Block"
1278      arith_type          "Unsigned"
1279      const           "hex2dec('175')"
1280      n_bits          "9"
1281      bin_pt          "0"
1282      explicit_period     "on"
1283      period          "1"
1284      dsp48_infoedit      "The use of this block for DSP48 instruction"
1285"s is deprecated.  Please use the Opmode block."
1286      equ             "P=C"
1287      opselect        "C"
1288      inp2            "PCIN>>17"
1289      opr             "+"
1290      inp1            "P"
1291      carry           "CIN"
1292      dbl_ovrd        "off"
1293      has_advanced_control    "0"
1294      sggui_pos       "-1,-1,-1,-1"
1295      block_type          "constant"
1296      block_version       "8.2.02"
1297      sg_icon_stat        "55,26,0,1,white,blue,0,e482f4d5"
1298      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');"
1299"\npatch([0 55 55 0 ],[0 0 26 26 ],[0.77 0.82 0.91]);\npatch([20 16 22 16 20 2"
1300"7 29 31 38 32 26 22 28 22 26 32 38 31 29 27 20 ],[3 7 13 19 23 23 21 23 23 17"
1301" 23 19 13 7 3 9 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 0 55 55 0 ],[0 26 26 "
1302"0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begi"
1303"n icon text');\ncolor('black');port_label('output',1,'373');\nfprintf('','COM"
1304"MENT: end icon text');\n"
1305    }
1306    Block {
1307      BlockType       Reference
1308      Name            "Convert"
1309      Ports           [1, 1]
1310      Position        [400, 310, 445, 340]
1311      SourceBlock         "xbsIndex_r4/Convert"
1312      SourceType          "Xilinx Type Converter Block"
1313      infoedit        "Hardware notes: rounding and saturating req"
1314"uire hardware resources; truncating and wrapping do not."
1315      arith_type          "Unsigned"
1316      n_bits          "1"
1317      bin_pt          "0"
1318      quantization        "Truncate"
1319      overflow        "Wrap"
1320      latency         "0"
1321      dbl_ovrd        "off"
1322      pipeline        "off"
1323      xl_use_area         "off"
1324      xl_area         "[0,0,0,0,0,0,0]"
1325      has_advanced_control    "0"
1326      sggui_pos       "20,20,374,375"
1327      block_type          "convert"
1328      block_version       "8.2.02"
1329      sg_icon_stat        "45,30,1,1,white,blue,0,0eb6e735"
1330      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');"
1331"\npatch([0 45 45 0 ],[0 0 30 30 ],[0.77 0.82 0.91]);\npatch([15 10 17 10 15 2"
1332"3 25 27 35 28 22 17 23 17 22 28 35 27 25 23 15 ],[3 8 15 22 27 27 25 27 27 20"
1333" 26 21 15 9 4 10 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 0 45 45 0 ],[0 30 30"
1334" 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: beg"
1335"in icon text');\ncolor('black');port_label('output',1,'cast');\nfprintf('','C"
1336"OMMENT: end icon text');\n"
1337    }
1338    Block {
1339      BlockType       Reference
1340      Name            "Convert1"
1341      Ports           [1, 1]
1342      Position        [1200, 750, 1235, 780]
1343      SourceBlock         "xbsIndex_r4/Convert"
1344      SourceType          "Xilinx Type Converter Block"
1345      infoedit        "Hardware notes: rounding and saturating req"
1346"uire hardware resources; truncating and wrapping do not."
1347      arith_type          "Unsigned"
1348      n_bits          "1"
1349      bin_pt          "0"
1350      quantization        "Truncate"
1351      overflow        "Wrap"
1352      latency         "0"
1353      dbl_ovrd        "off"
1354      pipeline        "off"
1355      xl_use_area         "off"
1356      xl_area         "[0,0,0,0,0,0,0]"
1357      has_advanced_control    "0"
1358      sggui_pos       "20,20,374,375"
1359      block_type          "convert"
1360      block_version       "8.2.02"
1361      sg_icon_stat        "35,30,1,1,white,blue,0,0eb6e735"
1362      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');"
1363"\npatch([0 35 35 0 ],[0 0 30 30 ],[0.77 0.82 0.91]);\npatch([10 5 12 5 10 18 "
1364"20 22 30 23 17 12 18 12 17 23 30 22 20 18 10 ],[3 8 15 22 27 27 25 27 27 20 2"
1365"6 21 15 9 4 10 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 0 35 35 0 ],[0 30 30 0"
1366" 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin"
1367" icon text');\ncolor('black');port_label('output',1,'cast');\nfprintf('','COM"
1368"MENT: end icon text');\n"
1369    }
1370    Block {
1371      BlockType       Reference
1372      Name            "Convert2"
1373      Ports           [1, 1]
1374      Position        [1500, 880, 1545, 910]
1375      SourceBlock         "xbsIndex_r4/Convert"
1376      SourceType          "Xilinx Type Converter Block"
1377      infoedit        "Hardware notes: rounding and saturating req"
1378"uire hardware resources; truncating and wrapping do not."
1379      arith_type          "Boolean"
1380      n_bits          "1"
1381      bin_pt          "0"
1382      quantization        "Truncate"
1383      overflow        "Wrap"
1384      latency         "5"
1385      dbl_ovrd        "off"
1386      pipeline        "off"
1387      xl_use_area         "off"
1388      xl_area         "[0,0,0,0,0,0,0]"
1389      has_advanced_control    "0"
1390      sggui_pos       "20,20,374,375"
1391      block_type          "convert"
1392      block_version       "8.2.02"
1393      sg_icon_stat        "45,30,1,1,white,blue,0,aac82443"
1394      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');"
1395"\npatch([0 45 45 0 ],[0 0 30 30 ],[0.77 0.82 0.91]);\npatch([15 10 17 10 15 2"
1396"3 25 27 35 28 22 17 23 17 22 28 35 27 25 23 15 ],[3 8 15 22 27 27 25 27 27 20"
1397" 26 21 15 9 4 10 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 0 45 45 0 ],[0 30 30"
1398" 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: beg"
1399"in icon text');\ncolor('black');port_label('output',1,'cast');\ncolor('black'"
1400");disp('\\newlinez^{-5}','texmode','on');\nfprintf('','COMMENT: end icon text"
1401"');\n"
1402    }
1403    Block {
1404      BlockType       Reference
1405      Name            "Counter"
1406      Ports           [2, 1]
1407      Position        [435, 220, 495, 280]
1408      SourceBlock         "xbsIndex_r4/Counter"
1409      SourceType          "Xilinx Counter Block"
1410      infoedit        "Hardware notes: Free running counters are t"
1411"he least expensive in hardware.  A count limited counter is implemented by co"
1412"mbining a counter with a comparator."
1413      cnt_type        "Free Running"
1414      cnt_to          "Inf"
1415      operation       "Up"
1416      start_count         "127"
1417      cnt_by_val          "1"
1418      arith_type          "Unsigned"
1419      n_bits          "7"
1420      bin_pt          "0"
1421      load_pin        "off"
1422      rst             "on"
1423      en              "on"
1424      explicit_period     "on"
1425      period          "1"
1426      dbl_ovrd        "off"
1427      use_behavioral_HDL      "off"
1428      use_rpm         "off"
1429      xl_use_area         "off"
1430      xl_area         "[0,0,0,0,0,0,0]"
1431      has_advanced_control    "0"
1432      sggui_pos       "20,20,356,630"
1433      block_type          "counter"
1434      block_version       "8.2.02"
1435      sg_icon_stat        "60,60,2,1,white,blue,0,eceade92"
1436      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');"
1437"\npatch([0 60 60 0 ],[0 0 60 60 ],[0.77 0.82 0.91]);\npatch([14 4 18 4 14 30 "
1438"34 38 55 42 29 20 35 20 29 42 55 38 34 30 14 ],[6 16 30 44 54 54 50 54 54 41 "
1439"54 45 30 15 6 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 0 60 60 0 ],[0 60 6"
1440"0 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: be"
1441"gin icon text');\ncolor('black');port_label('input',1,'rst');\ncolor('black')"
1442";port_label('input',2,'en');\ncolor('black');port_label('output',1,'out');\nf"
1443"printf('','COMMENT: end icon text');\n"
1444    }
1445    Block {
1446      BlockType       Reference
1447      Name            "Counter1"
1448      Ports           [2, 1]
1449      Position        [1135, 865, 1195, 925]
1450      SourceBlock         "xbsIndex_r4/Counter"
1451      SourceType          "Xilinx Counter Block"
1452      infoedit        "Hardware notes: Free running counters are t"
1453"he least expensive in hardware.  A count limited counter is implemented by co"
1454"mbining a counter with a comparator."
1455      cnt_type        "Count Limited"
1456      cnt_to          "17435"
1457      operation       "Up"
1458      start_count         "0"
1459      cnt_by_val          "1"
1460      arith_type          "Unsigned"
1461      n_bits          "15"
1462      bin_pt          "0"
1463      load_pin        "off"
1464      rst             "on"
1465      en              "on"
1466      explicit_period     "on"
1467      period          "1"
1468      dbl_ovrd        "off"
1469      use_behavioral_HDL      "off"
1470      use_rpm         "off"
1471      xl_use_area         "off"
1472      xl_area         "[0,0,0,0,0,0,0]"
1473      has_advanced_control    "0"
1474      sggui_pos       "20,20,356,630"
1475      block_type          "counter"
1476      block_version       "8.2.02"
1477      sg_icon_stat        "60,60,2,1,white,blue,0,eceade92"
1478      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');"
1479"\npatch([0 60 60 0 ],[0 0 60 60 ],[0.77 0.82 0.91]);\npatch([14 4 18 4 14 30 "
1480"34 38 55 42 29 20 35 20 29 42 55 38 34 30 14 ],[6 16 30 44 54 54 50 54 54 41 "
1481"54 45 30 15 6 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 0 60 60 0 ],[0 60 6"
1482"0 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: be"
1483"gin icon text');\ncolor('black');port_label('input',1,'rst');\ncolor('black')"
1484";port_label('input',2,'en');\ncolor('black');port_label('output',1,'out');\nf"
1485"printf('','COMMENT: end icon text');\n"
1486    }
1487    Block {
1488      BlockType       Reference
1489      Name            "Delay"
1490      Ports           [1, 1]
1491      Position        [245, 277, 305, 333]
1492      SourceBlock         "xbsIndex_r4/Delay"
1493      SourceType          "Xilinx Delay Block"
1494      infoedit        "Hardware notes: A delay line is a chain, ea"
1495"ch link of which is an SRL16 followed by a flip-flop. If register retiming is"
1496" enabled, the delay line is a chain of flip-flops."
1497      en              "off"
1498      latency         "4"
1499      dbl_ovrd        "off"
1500      reg_retiming        "off"
1501      xl_use_area         "off"
1502      xl_area         "[0,0,0,0,0,0,0]"
1503      has_advanced_control    "0"
1504      sggui_pos       "20,20,356,256"
1505      block_type          "delay"
1506      block_version       "8.2.02"
1507      sg_icon_stat        "60,56,1,1,white,blue,0,c2217bc4"
1508      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');"
1509"\npatch([0 60 60 0 ],[0 0 56 56 ],[0.77 0.82 0.91]);\npatch([15 6 19 6 15 30 "
1510"34 38 54 41 29 20 32 20 29 41 54 38 34 30 15 ],[6 15 28 41 50 50 46 50 50 37 "
1511"49 40 28 16 7 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 0 60 60 0 ],[0 56 5"
1512"6 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: be"
1513"gin icon text');\ncolor('black');disp('z^{-4}','texmode','on');\nfprintf('','"
1514"COMMENT: end icon text');\n"
1515    }
1516    Block {
1517      BlockType       Reference
1518      Name            "From Register1"
1519      Ports           [0, 1]
1520      Position        [1440, 365, 1485, 415]
1521      ShowName        off
1522      AttributesFormatString  "From Register\\n<< %<shared_memory_name> >>"
1523      SourceBlock         "xbsIndex_r4/From Register"
1524      SourceType          "Xilinx Shared Memory Based From Register Bl"
1525"ock"
1526      infoedit        "Register block that reads data to a shared "
1527"memory register.  Delay of one sample period."
1528      shared_memory_name      "'LCD_FirstStart'"
1529      init            "0"
1530      period          "1"
1531      ownership       "Locally owned and initialized"
1532      arith_type          "Unsigned"
1533      n_bits          "9"
1534      bin_pt          "0"
1535      dbl_ovrd        "off"
1536      xl_use_area         "off"
1537      xl_area         "[0,0,0,0,0,0,0]"
1538      has_advanced_control    "0"
1539      sggui_pos       "20,20,379,246"
1540      block_type          "fromreg"
1541      block_version       "8.2.02"
1542      sg_icon_stat        "45,50,0,1,white,blue,0,137b37a7"
1543      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');"
1544"\npatch([0 45 45 0 ],[0 0 50 50 ],[0.77 0.82 0.91]);\npatch([10 3 14 3 10 22 "
1545"25 28 41 31 21 14 25 14 21 31 41 28 25 22 10 ],[7 14 25 36 43 43 40 43 43 33 "
1546"43 36 25 14 7 17 7 7 10 7 7 ],[0.98 0.96 0.92]);\nplot([0 0 45 45 0 ],[0 50 5"
1547"0 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: be"
1548"gin icon text');\ncolor('black');port_label('output',1,'dout');\nfprintf('','"
1549"COMMENT: end icon text');\n"
1550    }
1551    Block {
1552      BlockType       Reference
1553      Name            "From Register2"
1554      Ports           [0, 1]
1555      Position        [1525, 400, 1570, 450]
1556      ShowName        off
1557      AttributesFormatString  "From Register\\n<< %<shared_memory_name> >>"
1558      SourceBlock         "xbsIndex_r4/From Register"
1559      SourceType          "Xilinx Shared Memory Based From Register Bl"
1560"ock"
1561      infoedit        "Register block that reads data to a shared "
1562"memory register.  Delay of one sample period."
1563      shared_memory_name      "'LCD_FirstEnd'"
1564      init            "131"
1565      period          "1"
1566      ownership       "Locally owned and initialized"
1567      arith_type          "Unsigned"
1568      n_bits          "9"
1569      bin_pt          "0"
1570      dbl_ovrd        "off"
1571      xl_use_area         "off"
1572      xl_area         "[0,0,0,0,0,0,0]"
1573      has_advanced_control    "0"
1574      sggui_pos       "20,20,379,246"
1575      block_type          "fromreg"
1576      block_version       "8.2.02"
1577      sg_icon_stat        "45,50,0,1,white,blue,0,137b37a7"
1578      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');"
1579"\npatch([0 45 45 0 ],[0 0 50 50 ],[0.77 0.82 0.91]);\npatch([10 3 14 3 10 22 "
1580"25 28 41 31 21 14 25 14 21 31 41 28 25 22 10 ],[7 14 25 36 43 43 40 43 43 33 "
1581"43 36 25 14 7 17 7 7 10 7 7 ],[0.98 0.96 0.92]);\nplot([0 0 45 45 0 ],[0 50 5"
1582"0 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: be"
1583"gin icon text');\ncolor('black');port_label('output',1,'dout');\nfprintf('','"
1584"COMMENT: end icon text');\n"
1585    }
1586    Block {
1587      BlockType       Reference
1588      Name            "From Register3"
1589      Ports           [0, 1]
1590      Position        [1535, 665, 1580, 715]
1591      ShowName        off
1592      AttributesFormatString  "From Register\\n<< %<shared_memory_name> >>"
1593      SourceBlock         "xbsIndex_r4/From Register"
1594      SourceType          "Xilinx Shared Memory Based From Register Bl"
1595"ock"
1596      infoedit        "Register block that reads data to a shared "
1597"memory register.  Delay of one sample period."
1598      shared_memory_name      "'LCD_BackgroundColor'"
1599      init            "0"
1600      period          "1"
1601      ownership       "Locally owned and initialized"
1602      arith_type          "Unsigned"
1603      n_bits          "9"
1604      bin_pt          "0"
1605      dbl_ovrd        "off"
1606      xl_use_area         "off"
1607      xl_area         "[0,0,0,0,0,0,0]"
1608      has_advanced_control    "0"
1609      sggui_pos       "20,20,379,246"
1610      block_type          "fromreg"
1611      block_version       "8.2.02"
1612      sg_icon_stat        "45,50,0,1,white,blue,0,137b37a7"
1613      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');"
1614"\npatch([0 45 45 0 ],[0 0 50 50 ],[0.77 0.82 0.91]);\npatch([10 3 14 3 10 22 "
1615"25 28 41 31 21 14 25 14 21 31 41 28 25 22 10 ],[7 14 25 36 43 43 40 43 43 33 "
1616"43 36 25 14 7 17 7 7 10 7 7 ],[0.98 0.96 0.92]);\nplot([0 0 45 45 0 ],[0 50 5"
1617"0 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: be"
1618"gin icon text');\ncolor('black');port_label('output',1,'dout');\nfprintf('','"
1619"COMMENT: end icon text');\n"
1620    }
1621    Block {
1622      BlockType       Reference
1623      Name            "From Register4"
1624      Ports           [0, 1]
1625      Position        [1440, 515, 1485, 565]
1626      ShowName        off
1627      AttributesFormatString  "From Register\\n<< %<shared_memory_name> >>"
1628      SourceBlock         "xbsIndex_r4/From Register"
1629      SourceType          "Xilinx Shared Memory Based From Register Bl"
1630"ock"
1631      infoedit        "Register block that reads data to a shared "
1632"memory register.  Delay of one sample period."
1633      shared_memory_name      "'LCD_SecondStart'"
1634      init            "0"
1635      period          "1"
1636      ownership       "Locally owned and initialized"
1637      arith_type          "Unsigned"
1638      n_bits          "9"
1639      bin_pt          "0"
1640      dbl_ovrd        "off"
1641      xl_use_area         "off"
1642      xl_area         "[0,0,0,0,0,0,0]"
1643      has_advanced_control    "0"
1644      sggui_pos       "20,20,379,246"
1645      block_type          "fromreg"
1646      block_version       "8.2.02"
1647      sg_icon_stat        "45,50,0,1,white,blue,0,137b37a7"
1648      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');"
1649"\npatch([0 45 45 0 ],[0 0 50 50 ],[0.77 0.82 0.91]);\npatch([10 3 14 3 10 22 "
1650"25 28 41 31 21 14 25 14 21 31 41 28 25 22 10 ],[7 14 25 36 43 43 40 43 43 33 "
1651"43 36 25 14 7 17 7 7 10 7 7 ],[0.98 0.96 0.92]);\nplot([0 0 45 45 0 ],[0 50 5"
1652"0 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: be"
1653"gin icon text');\ncolor('black');port_label('output',1,'dout');\nfprintf('','"
1654"COMMENT: end icon text');\n"
1655    }
1656    Block {
1657      BlockType       Reference
1658      Name            "From Register5"
1659      Ports           [0, 1]
1660      Position        [1525, 545, 1570, 595]
1661      ShowName        off
1662      AttributesFormatString  "From Register\\n<< %<shared_memory_name> >>"
1663      SourceBlock         "xbsIndex_r4/From Register"
1664      SourceType          "Xilinx Shared Memory Based From Register Bl"
1665"ock"
1666      infoedit        "Register block that reads data to a shared "
1667"memory register.  Delay of one sample period."
1668      shared_memory_name      "'LCD_SecondEnd'"
1669      init            "131"
1670      period          "1"
1671      ownership       "Locally owned and initialized"
1672      arith_type          "Unsigned"
1673      n_bits          "9"
1674      bin_pt          "0"
1675      dbl_ovrd        "off"
1676      xl_use_area         "off"
1677      xl_area         "[0,0,0,0,0,0,0]"
1678      has_advanced_control    "0"
1679      sggui_pos       "20,20,379,246"
1680      block_type          "fromreg"
1681      block_version       "8.2.02"
1682      sg_icon_stat        "45,50,0,1,white,blue,0,137b37a7"
1683      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');"
1684"\npatch([0 45 45 0 ],[0 0 50 50 ],[0.77 0.82 0.91]);\npatch([10 3 14 3 10 22 "
1685"25 28 41 31 21 14 25 14 21 31 41 28 25 22 10 ],[7 14 25 36 43 43 40 43 43 33 "
1686"43 36 25 14 7 17 7 7 10 7 7 ],[0.98 0.96 0.92]);\nplot([0 0 45 45 0 ],[0 50 5"
1687"0 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: be"
1688"gin icon text');\ncolor('black');port_label('output',1,'dout');\nfprintf('','"
1689"COMMENT: end icon text');\n"
1690    }
1691    Block {
1692      BlockType       Reference
1693      Name            "Inverter"
1694      Ports           [1, 1]
1695      Position        [700, 221, 755, 279]
1696      SourceBlock         "xbsIndex_r4/Inverter"
1697      SourceType          "Xilinx Inverter Block"
1698      infoedit        "Bitwise logical negation (one's complement)"
1699" operator."
1700      en              "off"
1701      latency         "0"
1702      dbl_ovrd        "off"
1703      xl_use_area         "off"
1704      xl_area         "[0,0,0,0,0,0,0]"
1705      has_advanced_control    "0"
1706      sggui_pos       "-1,-1,-1,-1"
1707      block_type          "inv"
1708      block_version       "8.2.02"
1709      sg_icon_stat        "55,58,1,1,white,blue,0,1ab4a85f"
1710      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');"
1711"\npatch([0 55 55 0 ],[0 0 58 58 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13 28 "
1712"32 36 52 40 28 19 32 19 28 40 52 36 32 28 13 ],[7 16 29 42 51 51 47 51 51 39 "
1713"51 42 29 16 7 19 7 7 11 7 7 ],[0.98 0.96 0.92]);\nplot([0 0 55 55 0 ],[0 58 5"
1714"8 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: be"
1715"gin icon text');\ncolor('black');disp('not');\nfprintf('','COMMENT: end icon "
1716"text');\n"
1717    }
1718    Block {
1719      BlockType       Reference
1720      Name            "Inverter1"
1721      Ports           [1, 1]
1722      Position        [1800, 406, 1855, 464]
1723      SourceBlock         "xbsIndex_r4/Inverter"
1724      SourceType          "Xilinx Inverter Block"
1725      infoedit        "Bitwise logical negation (one's complement)"
1726" operator."
1727      en              "off"
1728      latency         "0"
1729      dbl_ovrd        "off"
1730      xl_use_area         "off"
1731      xl_area         "[0,0,0,0,0,0,0]"
1732      has_advanced_control    "0"
1733      sggui_pos       "-1,-1,-1,-1"
1734      block_type          "inv"
1735      block_version       "8.2.02"
1736      sg_icon_stat        "55,58,1,1,white,blue,0,1ab4a85f"
1737      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');"
1738"\npatch([0 55 55 0 ],[0 0 58 58 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13 28 "
1739"32 36 52 40 28 19 32 19 28 40 52 36 32 28 13 ],[7 16 29 42 51 51 47 51 51 39 "
1740"51 42 29 16 7 19 7 7 11 7 7 ],[0.98 0.96 0.92]);\nplot([0 0 55 55 0 ],[0 58 5"
1741"8 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: be"
1742"gin icon text');\ncolor('black');disp('not');\nfprintf('','COMMENT: end icon "
1743"text');\n"
1744    }
1745    Block {
1746      BlockType       Reference
1747      Name            "Inverter2"
1748      Ports           [1, 1]
1749      Position        [735, 401, 790, 459]
1750      SourceBlock         "xbsIndex_r4/Inverter"
1751      SourceType          "Xilinx Inverter Block"
1752      infoedit        "Bitwise logical negation (one's complement)"
1753" operator."
1754      en              "off"
1755      latency         "0"
1756      dbl_ovrd        "off"
1757      xl_use_area         "off"
1758      xl_area         "[0,0,0,0,0,0,0]"
1759      has_advanced_control    "0"
1760      sggui_pos       "-1,-1,-1,-1"
1761      block_type          "inv"
1762      block_version       "8.2.02"
1763      sg_icon_stat        "55,58,1,1,white,blue,0,1ab4a85f"
1764      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');"
1765"\npatch([0 55 55 0 ],[0 0 58 58 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13 28 "
1766"32 36 52 40 28 19 32 19 28 40 52 36 32 28 13 ],[7 16 29 42 51 51 47 51 51 39 "
1767"51 42 29 16 7 19 7 7 11 7 7 ],[0.98 0.96 0.92]);\nplot([0 0 55 55 0 ],[0 58 5"
1768"8 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: be"
1769"gin icon text');\ncolor('black');disp('not');\nfprintf('','COMMENT: end icon "
1770"text');\n"
1771    }
1772    Block {
1773      BlockType       Reference
1774      Name            "Logical"
1775      Ports           [2, 1]
1776      Position        [130, 235, 185, 295]
1777      SourceBlock         "xbsIndex_r4/Logical"
1778      SourceType          "Xilinx Logical Block Block"
1779      logical_function    "OR"
1780      inputs          "2"
1781      en              "off"
1782      latency         "0"
1783      precision       "Full"
1784      arith_type          "Unsigned"
1785      n_bits          "16"
1786      bin_pt          "0"
1787      align_bp        "on"
1788      dbl_ovrd        "off"
1789      xl_use_area         "off"
1790      xl_area         "[0,0,0,0,0,0,0]"
1791      has_advanced_control    "0"
1792      sggui_pos       "-1,-1,-1,-1"
1793      block_type          "logical"
1794      block_version       "8.2.02"
1795      sg_icon_stat        "55,60,2,1,white,blue,0,f4a65842"
1796      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');"
1797"\npatch([0 55 55 0 ],[0 0 60 60 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13 28 "
1798"32 36 52 40 28 19 32 19 28 40 52 36 32 28 13 ],[8 17 30 43 52 52 48 52 52 40 "
1799"52 43 30 17 8 20 8 8 12 8 8 ],[0.98 0.96 0.92]);\nplot([0 0 55 55 0 ],[0 60 6"
1800"0 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: be"
1801"gin icon text');\ncolor('black');disp('\\newlineor\\newlinez^{-0}','texmode',"
1802"'on');\nfprintf('','COMMENT: end icon text');\n"
1803    }
1804    Block {
1805      BlockType       Reference
1806      Name            "Logical1"
1807      Ports           [2, 1]
1808      Position        [370, 360, 425, 420]
1809      SourceBlock         "xbsIndex_r4/Logical"
1810      SourceType          "Xilinx Logical Block Block"
1811      logical_function    "AND"
1812      inputs          "2"
1813      en              "off"
1814      latency         "0"
1815      precision       "Full"
1816      arith_type          "Unsigned"
1817      n_bits          "16"
1818      bin_pt          "0"
1819      align_bp        "on"
1820      dbl_ovrd        "off"
1821      xl_use_area         "off"
1822      xl_area         "[0,0,0,0,0,0,0]"
1823      has_advanced_control    "0"
1824      sggui_pos       "-1,-1,-1,-1"
1825      block_type          "logical"
1826      block_version       "8.2.02"
1827      sg_icon_stat        "55,60,2,1,white,blue,0,087b5522"
1828      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');"
1829"\npatch([0 55 55 0 ],[0 0 60 60 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13 28 "
1830"32 36 52 40 28 19 32 19 28 40 52 36 32 28 13 ],[8 17 30 43 52 52 48 52 52 40 "
1831"52 43 30 17 8 20 8 8 12 8 8 ],[0.98 0.96 0.92]);\nplot([0 0 55 55 0 ],[0 60 6"
1832"0 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: be"
1833"gin icon text');\ncolor('black');disp('\\newlineand\\newlinez^{-0}','texmode'"
1834",'on');\nfprintf('','COMMENT: end icon text');\n"
1835    }
1836    Block {
1837      BlockType       Reference
1838      Name            "Logical2"
1839      Ports           [2, 1]
1840      Position        [1005, 750, 1060, 810]
1841      SourceBlock         "xbsIndex_r4/Logical"
1842      SourceType          "Xilinx Logical Block Block"
1843      logical_function    "AND"
1844      inputs          "2"
1845      en              "off"
1846      latency         "0"
1847      precision       "Full"
1848      arith_type          "Unsigned"
1849      n_bits          "16"
1850      bin_pt          "0"
1851      align_bp        "on"
1852      dbl_ovrd        "off"
1853      xl_use_area         "off"
1854      xl_area         "[0,0,0,0,0,0,0]"
1855      has_advanced_control    "0"
1856      sggui_pos       "-1,-1,-1,-1"
1857      block_type          "logical"
1858      block_version       "8.2.02"
1859      sg_icon_stat        "55,60,2,1,white,blue,0,087b5522"
1860      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');"
1861"\npatch([0 55 55 0 ],[0 0 60 60 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13 28 "
1862"32 36 52 40 28 19 32 19 28 40 52 36 32 28 13 ],[8 17 30 43 52 52 48 52 52 40 "
1863"52 43 30 17 8 20 8 8 12 8 8 ],[0.98 0.96 0.92]);\nplot([0 0 55 55 0 ],[0 60 6"
1864"0 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: be"
1865"gin icon text');\ncolor('black');disp('\\newlineand\\newlinez^{-0}','texmode'"
1866",'on');\nfprintf('','COMMENT: end icon text');\n"
1867    }
1868    Block {
1869      BlockType       Reference
1870      Name            "Logical3"
1871      Ports           [2, 1]
1872      Position        [1120, 735, 1175, 795]
1873      SourceBlock         "xbsIndex_r4/Logical"
1874      SourceType          "Xilinx Logical Block Block"
1875      logical_function    "AND"
1876      inputs          "2"
1877      en              "off"
1878      latency         "0"
1879      precision       "Full"
1880      arith_type          "Unsigned"
1881      n_bits          "16"
1882      bin_pt          "0"
1883      align_bp        "on"
1884      dbl_ovrd        "off"
1885      xl_use_area         "off"
1886      xl_area         "[0,0,0,0,0,0,0]"
1887      has_advanced_control    "0"
1888      sggui_pos       "-1,-1,-1,-1"
1889      block_type          "logical"
1890      block_version       "8.2.02"
1891      sg_icon_stat        "55,60,2,1,white,blue,0,087b5522"
1892      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');"
1893"\npatch([0 55 55 0 ],[0 0 60 60 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13 28 "
1894"32 36 52 40 28 19 32 19 28 40 52 36 32 28 13 ],[8 17 30 43 52 52 48 52 52 40 "
1895"52 43 30 17 8 20 8 8 12 8 8 ],[0.98 0.96 0.92]);\nplot([0 0 55 55 0 ],[0 60 6"
1896"0 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: be"
1897"gin icon text');\ncolor('black');disp('\\newlineand\\newlinez^{-0}','texmode'"
1898",'on');\nfprintf('','COMMENT: end icon text');\n"
1899    }
1900    Block {
1901      BlockType       Reference
1902      Name            "Mux"
1903      Ports           [3, 1]
1904      Position        [935, 173, 980, 277]
1905      SourceBlock         "xbsIndex_r4/Mux"
1906      SourceType          "Xilinx Bus Multiplexer Block"
1907      inputs          "2"
1908      en              "off"
1909      latency         "0"
1910      precision       "Full"
1911      arith_type          "Unsigned"
1912      n_bits          "16"
1913      bin_pt          "14"
1914      quantization        "Truncate"
1915      overflow        "Wrap"
1916      dbl_ovrd        "off"
1917      xl_use_area         "off"
1918      xl_area         "[0,0,0,0,0,0,0]"
1919      has_advanced_control    "0"
1920      sggui_pos       "-1,-1,-1,-1"
1921      block_type          "mux"
1922      block_version       "8.2.02"
1923      sg_icon_stat        "45,104,3,1,white,blue,3,613f58e1"
1924      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');"
1925"\npatch([0 45 45 0 ],[0 14.8571 89.1429 104 ],[0.77 0.82 0.91]);\npatch([10 3"
1926" 14 3 10 22 25 28 41 31 21 14 25 14 21 31 41 28 25 22 10 ],[34 41 52 63 70 70"
1927" 67 70 70 60 70 63 52 41 34 44 34 34 37 34 34 ],[0.98 0.96 0.92]);\nplot([0 4"
1928"5 45 0 0 ],[0 14.8571 89.1429 104 0 ]);\nfprintf('','COMMENT: end icon graphi"
1929"cs');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('in"
1930"put',1,'sel');\ncolor('black');port_label('input',2,'d0');\ncolor('black');po"
1931"rt_label('input',3,'d1');\ncolor('black');disp('\\bf{}','texmode','on');\nfpr"
1932"intf('','COMMENT: end icon text');\n"
1933    }
1934    Block {
1935      BlockType       Reference
1936      Name            "Mux1"
1937      Ports           [3, 1]
1938      Position        [750, 493, 795, 597]
1939      SourceBlock         "xbsIndex_r4/Mux"
1940      SourceType          "Xilinx Bus Multiplexer Block"
1941      inputs          "2"
1942      en              "off"
1943      latency         "0"
1944      precision       "Full"
1945      arith_type          "Unsigned"
1946      n_bits          "16"
1947      bin_pt          "14"
1948      quantization        "Truncate"
1949      overflow        "Wrap"
1950      dbl_ovrd        "off"
1951      xl_use_area         "off"
1952      xl_area         "[0,0,0,0,0,0,0]"
1953      has_advanced_control    "0"
1954      sggui_pos       "-1,-1,-1,-1"
1955      block_type          "mux"
1956      block_version       "8.2.02"
1957      sg_icon_stat        "45,104,3,1,white,blue,3,613f58e1"
1958      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');"
1959"\npatch([0 45 45 0 ],[0 14.8571 89.1429 104 ],[0.77 0.82 0.91]);\npatch([10 3"
1960" 14 3 10 22 25 28 41 31 21 14 25 14 21 31 41 28 25 22 10 ],[34 41 52 63 70 70"
1961" 67 70 70 60 70 63 52 41 34 44 34 34 37 34 34 ],[0.98 0.96 0.92]);\nplot([0 4"
1962"5 45 0 0 ],[0 14.8571 89.1429 104 0 ]);\nfprintf('','COMMENT: end icon graphi"
1963"cs');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('in"
1964"put',1,'sel');\ncolor('black');port_label('input',2,'d0');\ncolor('black');po"
1965"rt_label('input',3,'d1');\ncolor('black');disp('\\bf{}','texmode','on');\nfpr"
1966"intf('','COMMENT: end icon text');\n"
1967    }
1968    Block {
1969      BlockType       Reference
1970      Name            "Mux2"
1971      Ports           [3, 1]
1972      Position        [1070, 538, 1115, 642]
1973      SourceBlock         "xbsIndex_r4/Mux"
1974      SourceType          "Xilinx Bus Multiplexer Block"
1975      inputs          "2"
1976      en              "off"
1977      latency         "0"
1978      precision       "Full"
1979      arith_type          "Unsigned"
1980      n_bits          "16"
1981      bin_pt          "14"
1982      quantization        "Truncate"
1983      overflow        "Wrap"
1984      dbl_ovrd        "off"
1985      xl_use_area         "off"
1986      xl_area         "[0,0,0,0,0,0,0]"
1987      has_advanced_control    "0"
1988      sggui_pos       "-1,-1,-1,-1"
1989      block_type          "mux"
1990      block_version       "8.2.02"
1991      sg_icon_stat        "45,104,3,1,white,blue,3,613f58e1"
1992      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');"
1993"\npatch([0 45 45 0 ],[0 14.8571 89.1429 104 ],[0.77 0.82 0.91]);\npatch([10 3"
1994" 14 3 10 22 25 28 41 31 21 14 25 14 21 31 41 28 25 22 10 ],[34 41 52 63 70 70"
1995" 67 70 70 60 70 63 52 41 34 44 34 34 37 34 34 ],[0.98 0.96 0.92]);\nplot([0 4"
1996"5 45 0 0 ],[0 14.8571 89.1429 104 0 ]);\nfprintf('','COMMENT: end icon graphi"
1997"cs');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('in"
1998"put',1,'sel');\ncolor('black');port_label('input',2,'d0');\ncolor('black');po"
1999"rt_label('input',3,'d1');\ncolor('black');disp('\\bf{}','texmode','on');\nfpr"
2000"intf('','COMMENT: end icon text');\n"
2001    }
2002    Block {
2003      BlockType       Reference
2004      Name            "Mux3"
2005      Ports           [10, 1]
2006      Position        [1610, 218, 1655, 712]
2007      SourceBlock         "xbsIndex_r4/Mux"
2008      SourceType          "Xilinx Bus Multiplexer Block"
2009      inputs          "9"
2010      en              "off"
2011      latency         "0"
2012      precision       "Full"
2013      arith_type          "Unsigned"
2014      n_bits          "16"
2015      bin_pt          "14"
2016      quantization        "Truncate"
2017      overflow        "Wrap"
2018      dbl_ovrd        "off"
2019      xl_use_area         "off"
2020      xl_area         "[0,0,0,0,0,0,0]"
2021      has_advanced_control    "0"
2022      sggui_pos       "-1,-1,-1,-1"
2023      block_type          "mux"
2024      block_version       "8.2.02"
2025      sg_icon_stat        "45,494,10,1,white,blue,3,62ea264b"
2026      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');"
2027"\npatch([0 45 45 0 ],[0 70.5714 423.429 494 ],[0.77 0.82 0.91]);\npatch([10 3"
2028" 14 3 10 22 25 28 41 31 21 14 25 14 21 31 41 28 25 22 10 ],[229 236 247 258 2"
2029"65 265 262 265 265 255 265 258 247 236 229 239 229 229 232 229 229 ],[0.98 0."
2030"96 0.92]);\nplot([0 45 45 0 0 ],[0 70.5714 423.429 494 0 ]);\nfprintf('','COM"
2031"MENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('b"
2032"lack');port_label('input',1,'sel');\ncolor('black');port_label('input',2,'d0'"
2033");\ncolor('black');port_label('input',3,'d1');\ncolor('black');port_label('in"
2034"put',4,'d2');\ncolor('black');port_label('input',5,'d3');\ncolor('black');por"
2035"t_label('input',6,'d4');\ncolor('black');port_label('input',7,'d5');\ncolor('"
2036"black');port_label('input',8,'d6');\ncolor('black');port_label('input',9,'d7'"
2037");\ncolor('black');port_label('input',10,'d8');\ncolor('black');disp('\\bf{}'"
2038",'texmode','on');\nfprintf('','COMMENT: end icon text');\n"
2039    }
2040    Block {
2041      BlockType       SubSystem
2042      Name            "Neg Edge Detector"
2043      Ports           [1, 1]
2044      Position        [1340, 864, 1465, 926]
2045      TreatAsAtomicUnit   off
2046      MinAlgLoopOccurrences   off
2047      RTWSystemCode       "Auto"
2048      MaskHideContents    off
2049      System {
2050        Name            "Neg Edge Detector"
2051        Location            [971, 353, 1386, 494]
2052        Open            off
2053        ModelBrowserVisibility  off
2054        ModelBrowserWidth       200
2055        ScreenColor         "white"
2056        PaperOrientation        "landscape"
2057        PaperPositionMode       "auto"
2058        PaperType           "usletter"
2059        PaperUnits          "inches"
2060        ZoomFactor          "100"
2061        Block {
2062          BlockType           Inport
2063          Name            "Input Signal"
2064          Position            [25, 33, 55, 47]
2065          IconDisplay         "Port number"
2066        }
2067        Block {
2068          BlockType           Reference
2069          Name            "Delay"
2070          Ports           [1, 1]
2071          Position            [170, 57, 230, 113]
2072          SourceBlock         "xbsIndex_r4/Delay"
2073          SourceType          "Xilinx Delay Block"
2074          infoedit            "Hardware notes: A delay line is a chain"
2075", each link of which is an SRL16 followed by a flip-flop. If register retimin"
2076"g is enabled, the delay line is a chain of flip-flops."
2077          en              "off"
2078          latency             "1"
2079          dbl_ovrd            "off"
2080          reg_retiming        "off"
2081          xl_use_area         "off"
2082          xl_area             "[0,0,0,0,0,0,0]"
2083          has_advanced_control    "0"
2084          sggui_pos           "-1,-1,-1,-1"
2085          block_type          "delay"
2086          block_version       "8.2.02"
2087          sg_icon_stat        "60,56,1,1,white,blue,0,fc531c0e"
2088          sg_mask_display         "fprintf('','COMMENT: begin icon graphic"
2089"s');\npatch([0 60 60 0 ],[0 0 56 56 ],[0.77 0.82 0.91]);\npatch([15 6 19 6 15"
2090" 30 34 38 54 41 29 20 32 20 29 41 54 38 34 30 15 ],[6 15 28 41 50 50 46 50 50"
2091" 37 49 40 28 16 7 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 0 60 60 0 ],[0 "
2092"56 56 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT"
2093": begin icon text');\ncolor('black');disp('z^{-1}','texmode','on');\nfprintf("
2094"'','COMMENT: end icon text');\n"
2095        }
2096        Block {
2097          BlockType           Reference
2098          Name            "Inverter"
2099          Ports           [1, 1]
2100          Position            [100, 56, 155, 114]
2101          SourceBlock         "xbsIndex_r4/Inverter"
2102          SourceType          "Xilinx Inverter Block"
2103          infoedit            "Bitwise logical negation (one's complem"
2104"ent) operator."
2105          en              "off"
2106          latency             "0"
2107          dbl_ovrd            "off"
2108          xl_use_area         "off"
2109          xl_area             "[0,0,0,0,0,0,0]"
2110          has_advanced_control    "0"
2111          sggui_pos           "20,20,356,237"
2112          block_type          "inv"
2113          block_version       "8.2.02"
2114          sg_icon_stat        "55,58,1,1,white,blue,0,1ab4a85f"
2115          sg_mask_display         "fprintf('','COMMENT: begin icon graphic"
2116"s');\npatch([0 55 55 0 ],[0 0 58 58 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13"
2117" 28 32 36 52 40 28 19 32 19 28 40 52 36 32 28 13 ],[7 16 29 42 51 51 47 51 51"
2118" 39 51 42 29 16 7 19 7 7 11 7 7 ],[0.98 0.96 0.92]);\nplot([0 0 55 55 0 ],[0 "
2119"58 58 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT"
2120": begin icon text');\ncolor('black');disp('not');\nfprintf('','COMMENT: end i"
2121"con text');\n"
2122        }
2123        Block {
2124          BlockType           Reference
2125          Name            "Logical"
2126          Ports           [2, 1]
2127          Position            [280, 25, 335, 85]
2128          SourceBlock         "xbsIndex_r4/Logical"
2129          SourceType          "Xilinx Logical Block Block"
2130          logical_function        "NOR"
2131          inputs              "2"
2132          en              "off"
2133          latency             "0"
2134          precision           "Full"
2135          arith_type          "Unsigned"
2136          n_bits              "16"
2137          bin_pt              "0"
2138          align_bp            "on"
2139          dbl_ovrd            "off"
2140          xl_use_area         "off"
2141          xl_area             "[0,0,0,0,0,0,0]"
2142          has_advanced_control    "0"
2143          sggui_pos           "-1,-1,-1,-1"
2144          block_type          "logical"
2145          block_version       "8.2.02"
2146          sg_icon_stat        "55,60,2,1,white,blue,0,0f2a8a4d"
2147          sg_mask_display         "fprintf('','COMMENT: begin icon graphic"
2148"s');\npatch([0 55 55 0 ],[0 0 60 60 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13"
2149" 28 32 36 52 40 28 19 32 19 28 40 52 36 32 28 13 ],[8 17 30 43 52 52 48 52 52"
2150" 40 52 43 30 17 8 20 8 8 12 8 8 ],[0.98 0.96 0.92]);\nplot([0 0 55 55 0 ],[0 "
2151"60 60 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT"
2152": begin icon text');\ncolor('black');disp('\\newlinenor\\newlinez^{-0}','texm"
2153"ode','on');\nfprintf('','COMMENT: end icon text');\n"
2154        }
2155        Block {
2156          BlockType           Outport
2157          Name            "Rising Edge"
2158          Position            [385, 48, 415, 62]
2159          IconDisplay         "Port number"
2160          BusOutputAsStruct       off
2161        }
2162        Line {
2163          SrcBlock            "Input Signal"
2164          SrcPort             1
2165          Points              [0, 0; 15, 0]
2166          Branch {
2167        DstBlock        "Logical"
2168        DstPort         1
2169          }
2170          Branch {
2171        Points          [0, 45]
2172        DstBlock        "Inverter"
2173        DstPort         1
2174          }
2175        }
2176        Line {
2177          SrcBlock            "Delay"
2178          SrcPort             1
2179          Points              [15, 0; 0, -15]
2180          DstBlock            "Logical"
2181          DstPort             2
2182        }
2183        Line {
2184          SrcBlock            "Inverter"
2185          SrcPort             1
2186          DstBlock            "Delay"
2187          DstPort             1
2188        }
2189        Line {
2190          SrcBlock            "Logical"
2191          SrcPort             1
2192          DstBlock            "Rising Edge"
2193          DstPort             1
2194        }
2195      }
2196    }
2197    Block {
2198      BlockType       Reference
2199      Name            "Register"
2200      Ports           [3, 1]
2201      Position        [1585, 887, 1645, 943]
2202      SourceBlock         "xbsIndex_r4/Register"
2203      SourceType          "Xilinx Register Block"
2204      init            "0"
2205      rst             "on"
2206      en              "on"
2207      dbl_ovrd        "off"
2208      xl_use_area         "off"
2209      xl_area         "[0,0,0,0,0,0,0]"
2210      has_advanced_control    "0"
2211      sggui_pos       "-1,-1,-1,-1"
2212      block_type          "register"
2213      block_version       "8.2.02"
2214      sg_icon_stat        "60,56,3,1,white,blue,0,923c1847"
2215      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');"
2216"\npatch([0 60 60 0 ],[0 0 56 56 ],[0.77 0.82 0.91]);\npatch([15 6 19 6 15 30 "
2217"34 38 54 41 29 20 32 20 29 41 54 38 34 30 15 ],[6 15 28 41 50 50 46 50 50 37 "
2218"49 40 28 16 7 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 0 60 60 0 ],[0 56 5"
2219"6 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: be"
2220"gin icon text');\ncolor('black');port_label('input',1,'d');\ncolor('black');p"
2221"ort_label('input',2,'rst');\ncolor('black');port_label('input',3,'en');\ncolo"
2222"r('black');port_label('output',1,'q');\ncolor('black');disp('\\bf{z^{-1}}','t"
2223"exmode','on');\nfprintf('','COMMENT: end icon text');\n"
2224    }
2225    Block {
2226      BlockType       Reference
2227      Name            "Relational"
2228      Ports           [2, 1]
2229      Position        [630, 362, 685, 418]
2230      SourceBlock         "xbsIndex_r4/Relational"
2231      SourceType          "Xilinx Arithmetic Relational Operator Block"
2232      mode            "a<=b"
2233      en              "off"
2234      latency         "1"
2235      dbl_ovrd        "off"
2236      xl_use_area         "off"
2237      xl_area         "[0,0,0,0,0,0,0]"
2238      has_advanced_control    "0"
2239      sggui_pos       "-1,-1,-1,-1"
2240      block_type          "relational"
2241      block_version       "8.2.02"
2242      sg_icon_stat        "55,56,2,1,white,blue,0,8e8b0a36"
2243      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');"
2244"\npatch([0 55 55 0 ],[0 0 56 56 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13 28 "
2245"32 36 52 40 28 19 32 19 28 40 52 36 32 28 13 ],[6 15 28 41 50 50 46 50 50 38 "
2246"50 41 28 15 6 18 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 0 55 55 0 ],[0 56 5"
2247"6 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: be"
2248"gin icon text');\ncolor('black');port_label('input',1,'a');\ncolor('black');p"
2249"ort_label('input',2,'b');\ncolor('black');disp('\\newline\\bf{a<=b}\\newlinez"
2250"^{-1}','texmode','on');\nfprintf('','COMMENT: end icon text');\n"
2251    }
2252    Block {
2253      BlockType       Reference
2254      Name            "Relational1"
2255      Ports           [2, 1]
2256      Position        [1035, 672, 1090, 728]
2257      SourceBlock         "xbsIndex_r4/Relational"
2258      SourceType          "Xilinx Arithmetic Relational Operator Block"
2259      mode            "a<b"
2260      en              "off"
2261      latency         "0"
2262      dbl_ovrd        "off"
2263      xl_use_area         "off"
2264      xl_area         "[0,0,0,0,0,0,0]"
2265      has_advanced_control    "0"
2266      sggui_pos       "-1,-1,-1,-1"
2267      block_type          "relational"
2268      block_version       "8.2.02"
2269      sg_icon_stat        "55,56,2,1,white,blue,0,52a6a481"
2270      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');"
2271"\npatch([0 55 55 0 ],[0 0 56 56 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13 28 "
2272"32 36 52 40 28 19 32 19 28 40 52 36 32 28 13 ],[6 15 28 41 50 50 46 50 50 38 "
2273"50 41 28 15 6 18 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 0 55 55 0 ],[0 56 5"
2274"6 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: be"
2275"gin icon text');\ncolor('black');port_label('input',1,'a');\ncolor('black');p"
2276"ort_label('input',2,'b');\ncolor('black');disp('\\newline\\bf{a<b}\\newlinez^"
2277"{-0}','texmode','on');\nfprintf('','COMMENT: end icon text');\n"
2278    }
2279    Block {
2280      BlockType       InportShadow
2281      Name            "Reset1"
2282      Position        [1505, 958, 1535, 972]
2283      Port            "6"
2284      IconDisplay         "Port number"
2285      LatchByDelayingOutsideSignal off
2286      LatchByCopyingInsideSignal off
2287    }
2288    Block {
2289      BlockType       InportShadow
2290      Name            "Reset2"
2291      Position        [1085, 873, 1115, 887]
2292      Port            "6"
2293      IconDisplay         "Port number"
2294      LatchByDelayingOutsideSignal off
2295      LatchByCopyingInsideSignal off
2296    }
2297    Block {
2298      BlockType       InportShadow
2299      Name            "Reset3"
2300      Position        [1210, 803, 1240, 817]
2301      Port            "6"
2302      IconDisplay         "Port number"
2303      LatchByDelayingOutsideSignal off
2304      LatchByCopyingInsideSignal off
2305    }
2306    Block {
2307      BlockType       Reference
2308      Name            "SMWOAddr_gwin"
2309      Ports           [1, 1]
2310      Position        [1115, 370, 1180, 390]
2311      SourceBlock         "xbsIndex_r4/Gateway In"
2312      SourceType          "Xilinx Gateway In Block"
2313      infoedit        "Gateway in block.  Converts inputs of type "
2314"Simulink integer, double and fixed point to  Xilinx fixed point type.<P><P>Ha"
2315"rdware notes:  In hardware these blocks become top level input ports."
2316      arith_type          "Unsigned"
2317      n_bits          "8"
2318      bin_pt          "0"
2319      quantization        "Truncate"
2320      overflow        "Wrap"
2321      period          "1"
2322      dbl_ovrd        "off"
2323      timing_constraint   "None"
2324      locs_specified      "off"
2325      LOCs            "{}"
2326      xl_use_area         "off"
2327      xl_area         "[0,0,0,0,0,0,0]"
2328      has_advanced_control    "0"
2329      sggui_pos       "20,20,356,432"
2330      block_type          "gatewayin"
2331      block_version       "8.2.02"
2332      sg_icon_stat        "65,20,1,1,white,yellow,0,4bb76ffd"
2333      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');"
2334"\npatch([0 65 65 0 ],[0 0 20 20 ],[0.95 0.93 0.65]);\npatch([27 24 29 24 27 3"
2335"2 33 34 40 36 32 29 34 29 32 36 40 34 33 32 27 ],[2 5 10 15 18 18 17 18 18 14"
2336" 18 15 10 5 2 6 2 2 3 2 2 ],[0.98 0.96 0.92]);\nplot([0 0 65 65 0 ],[0 20 20 "
2337"0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begi"
2338"n icon text');\ncolor('black');port_label('input',1,'\\fontsize{11pt}\\bf In "
2339"','texmode','on');\ncolor('black');port_label('output',1,' ');\nfprintf('','C"
2340"OMMENT: end icon text');\n"
2341    }
2342    Block {
2343      BlockType       Reference
2344      Name            "SMWODataI_gwin"
2345      Ports           [1, 1]
2346      Position        [1115, 405, 1180, 425]
2347      SourceBlock         "xbsIndex_r4/Gateway In"
2348      SourceType          "Xilinx Gateway In Block"
2349      infoedit        "Gateway in block.  Converts inputs of type "
2350"Simulink integer, double and fixed point to  Xilinx fixed point type.<P><P>Ha"
2351"rdware notes:  In hardware these blocks become top level input ports."
2352      arith_type          "Unsigned"
2353      n_bits          "32"
2354      bin_pt          "0"
2355      quantization        "Truncate"
2356      overflow        "Wrap"
2357      period          "1"
2358      dbl_ovrd        "off"
2359      timing_constraint   "None"
2360      locs_specified      "off"
2361      LOCs            "{}"
2362      xl_use_area         "off"
2363      xl_area         "[0,0,0,0,0,0,0]"
2364      has_advanced_control    "0"
2365      sggui_pos       "20,20,356,432"
2366      block_type          "gatewayin"
2367      block_version       "8.2.02"
2368      sg_icon_stat        "65,20,1,1,white,yellow,0,4bb76ffd"
2369      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');"
2370"\npatch([0 65 65 0 ],[0 0 20 20 ],[0.95 0.93 0.65]);\npatch([27 24 29 24 27 3"
2371"2 33 34 40 36 32 29 34 29 32 36 40 34 33 32 27 ],[2 5 10 15 18 18 17 18 18 14"
2372" 18 15 10 5 2 6 2 2 3 2 2 ],[0.98 0.96 0.92]);\nplot([0 0 65 65 0 ],[0 20 20 "
2373"0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begi"
2374"n icon text');\ncolor('black');port_label('input',1,'\\fontsize{11pt}\\bf In "
2375"','texmode','on');\ncolor('black');port_label('output',1,' ');\nfprintf('','C"
2376"OMMENT: end icon text');\n"
2377    }
2378    Block {
2379      BlockType       Reference
2380      Name            "SMWOWE_gwin"
2381      Ports           [1, 1]
2382      Position        [1115, 445, 1180, 465]
2383      SourceBlock         "xbsIndex_r4/Gateway In"
2384      SourceType          "Xilinx Gateway In Block"
2385      infoedit        "Gateway in block.  Converts inputs of type "
2386"Simulink integer, double and fixed point to  Xilinx fixed point type.<P><P>Ha"
2387"rdware notes:  In hardware these blocks become top level input ports."
2388      arith_type          "Boolean"
2389      n_bits          "16"
2390      bin_pt          "14"
2391      quantization        "Round  (unbiased: +/- Inf)"
2392      overflow        "Saturate"
2393      period          "1"
2394      dbl_ovrd        "off"
2395      timing_constraint   "None"
2396      locs_specified      "off"
2397      LOCs            "{}"
2398      xl_use_area         "off"
2399      xl_area         "[0,0,0,0,0,0,0]"
2400      has_advanced_control    "0"
2401      sggui_pos       "20,20,356,432"
2402      block_type          "gatewayin"
2403      block_version       "8.2.02"
2404      sg_icon_stat        "65,20,1,1,white,yellow,0,4bb76ffd"
2405      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');"
2406"\npatch([0 65 65 0 ],[0 0 20 20 ],[0.95 0.93 0.65]);\npatch([27 24 29 24 27 3"
2407"2 33 34 40 36 32 29 34 29 32 36 40 34 33 32 27 ],[2 5 10 15 18 18 17 18 18 14"
2408" 18 15 10 5 2 6 2 2 3 2 2 ],[0.98 0.96 0.92]);\nplot([0 0 65 65 0 ],[0 20 20 "
2409"0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begi"
2410"n icon text');\ncolor('black');port_label('input',1,'\\fontsize{11pt}\\bf In "
2411"','texmode','on');\ncolor('black');port_label('output',1,' ');\nfprintf('','C"
2412"OMMENT: end icon text');\n"
2413    }
2414    Block {
2415      BlockType       Reference
2416      Name            "SMWO_LCD_Commands"
2417      Ports           [6, 2]
2418      Position        [1290, 245, 1365, 410]
2419      SourceBlock         "xbsIndex_r4/Dual Port RAM"
2420      SourceType          "Xilinx Dual Port Random Access Memory Block"
2421      depth           "2^9"
2422      initVector          "hex2dec('125')*ones(2^9,1)"
2423      distributed_mem     "Block RAM"
2424      init_a          "0"
2425      init_b          "0"
2426      rst_a           "off"
2427      rst_b           "off"
2428      en_a            "off"
2429      en_b            "off"
2430      latency         "1"
2431      write_mode_A        "Read After Write"
2432      write_mode_B        "Read After Write"
2433      dbl_ovrd        "off"
2434      optimize        "Area"
2435      use_rpm         "on"
2436      xl_use_area         "off"
2437      xl_area         "[0,0,0,0,0,0,0]"
2438      has_advanced_control    "0"
2439      sggui_pos       "-1,-1,-1,-1"
2440      block_type          "dpram"
2441      block_version       "8.2.02"
2442      sg_icon_stat        "75,165,6,2,white,blue,0,239f35c0"
2443      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');"
2444"\npatch([0 75 75 0 ],[0 0 165 165 ],[0.77 0.82 0.91]);\npatch([17 5 23 5 17 3"
2445"7 42 47 68 51 35 23 40 23 35 51 68 47 42 37 17 ],[53 65 83 101 113 113 108 11"
2446"3 113 96 112 100 83 66 54 70 53 53 58 53 53 ],[0.98 0.96 0.92]);\nplot([0 0 7"
2447"5 75 0 ],[0 165 165 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprin"
2448"tf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1,'addr"
2449"a');\ncolor('black');port_label('input',2,'dina');\ncolor('black');port_label"
2450"('input',3,'wea');\ncolor('black');port_label('input',4,'addrb');\ncolor('bla"
2451"ck');port_label('input',5,'dinb');\ncolor('black');port_label('input',6,'web'"
2452");\ncolor('black');port_label('output',1,'A');\ncolor('black');port_label('ou"
2453"tput',2,'B');\nfprintf('','COMMENT: end icon text');\n"
2454    }
2455    Block {
2456      BlockType       Reference
2457      Name            "Slice"
2458      Ports           [1, 1]
2459      Position        [605, 185, 665, 215]
2460      SourceBlock         "xbsIndex_r4/Slice"
2461      SourceType          "Xilinx Bit Slice Extractor Block"
2462      infoedit        "Extracts a given range of bits from each in"
2463"put sample and presents it at the output.  The output type is ordinarily unsi"
2464"gned with binary point at zero, but can be Boolean when the slice is one bit "
2465"wide.<P><P>Hardware notes: In hardware this block costs nothing."
2466      nbits           "6"
2467      boolean_output      "off"
2468      mode            "Lower Bit Location + Width"
2469      bit1            "0"
2470      base1           "MSB of Input"
2471      bit0            "1"
2472      base0           "LSB of Input"
2473      dbl_ovrd        "off"
2474      has_advanced_control    "0"
2475      sggui_pos       "20,20,442,407"
2476      block_type          "slice"
2477      block_version       "8.2.02"
2478      sg_icon_stat        "60,30,1,1,white,blue,0,fe24a11e"
2479      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');"
2480"\npatch([0 60 60 0 ],[0 0 30 30 ],[0.77 0.82 0.91]);\npatch([22 17 24 17 22 3"
2481"0 32 34 42 35 29 24 30 24 29 35 42 34 32 30 22 ],[3 8 15 22 27 27 25 27 27 20"
2482" 26 21 15 9 4 10 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 0 60 60 0 ],[0 30 30"
2483" 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: beg"
2484"in icon text');\ncolor('black');port_label('output',1,'[a:b]');\nfprintf('','"
2485"COMMENT: end icon text');\n"
2486    }
2487    Block {
2488      BlockType       Reference
2489      Name            "Slice1"
2490      Ports           [1, 1]
2491      Position        [605, 235, 665, 265]
2492      SourceBlock         "xbsIndex_r4/Slice"
2493      SourceType          "Xilinx Bit Slice Extractor Block"
2494      infoedit        "Extracts a given range of bits from each in"
2495"put sample and presents it at the output.  The output type is ordinarily unsi"
2496"gned with binary point at zero, but can be Boolean when the slice is one bit "
2497"wide.<P><P>Hardware notes: In hardware this block costs nothing."
2498      nbits           "1"
2499      boolean_output      "off"
2500      mode            "Lower Bit Location + Width"
2501      bit1            "0"
2502      base1           "MSB of Input"
2503      bit0            "0"
2504      base0           "LSB of Input"
2505      dbl_ovrd        "off"
2506      has_advanced_control    "0"
2507      sggui_pos       "20,20,442,407"
2508      block_type          "slice"
2509      block_version       "8.2.02"
2510      sg_icon_stat        "60,30,1,1,white,blue,0,fe24a11e"
2511      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');"
2512"\npatch([0 60 60 0 ],[0 0 30 30 ],[0.77 0.82 0.91]);\npatch([22 17 24 17 22 3"
2513"0 32 34 42 35 29 24 30 24 29 35 42 34 32 30 22 ],[3 8 15 22 27 27 25 27 27 20"
2514" 26 21 15 9 4 10 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 0 60 60 0 ],[0 30 30"
2515" 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: beg"
2516"in icon text');\ncolor('black');port_label('output',1,'[a:b]');\nfprintf('','"
2517"COMMENT: end icon text');\n"
2518    }
2519    Block {
2520      BlockType       Reference
2521      Name            "Slice2"
2522      Ports           [1, 1]
2523      Position        [1715, 470, 1775, 500]
2524      SourceBlock         "xbsIndex_r4/Slice"
2525      SourceType          "Xilinx Bit Slice Extractor Block"
2526      infoedit        "Extracts a given range of bits from each in"
2527"put sample and presents it at the output.  The output type is ordinarily unsi"
2528"gned with binary point at zero, but can be Boolean when the slice is one bit "
2529"wide.<P><P>Hardware notes: In hardware this block costs nothing."
2530      nbits           "8"
2531      boolean_output      "off"
2532      mode            "Lower Bit Location + Width"
2533      bit1            "0"
2534      base1           "MSB of Input"
2535      bit0            "0"
2536      base0           "LSB of Input"
2537      dbl_ovrd        "off"
2538      has_advanced_control    "0"
2539      sggui_pos       "20,20,442,407"
2540      block_type          "slice"
2541      block_version       "8.2.02"
2542      sg_icon_stat        "60,30,1,1,white,blue,0,fe24a11e"
2543      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');"
2544"\npatch([0 60 60 0 ],[0 0 30 30 ],[0.77 0.82 0.91]);\npatch([22 17 24 17 22 3"
2545"0 32 34 42 35 29 24 30 24 29 35 42 34 32 30 22 ],[3 8 15 22 27 27 25 27 27 20"
2546" 26 21 15 9 4 10 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 0 60 60 0 ],[0 30 30"
2547" 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: beg"
2548"in icon text');\ncolor('black');port_label('output',1,'[a:b]');\nfprintf('','"
2549"COMMENT: end icon text');\n"
2550    }
2551    Block {
2552      BlockType       Reference
2553      Name            "Slice3"
2554      Ports           [1, 1]
2555      Position        [1715, 420, 1775, 450]
2556      SourceBlock         "xbsIndex_r4/Slice"
2557      SourceType          "Xilinx Bit Slice Extractor Block"
2558      infoedit        "Extracts a given range of bits from each in"
2559"put sample and presents it at the output.  The output type is ordinarily unsi"
2560"gned with binary point at zero, but can be Boolean when the slice is one bit "
2561"wide.<P><P>Hardware notes: In hardware this block costs nothing."
2562      nbits           "1"
2563      boolean_output      "off"
2564      mode            "Lower Bit Location + Width"
2565      bit1            "0"
2566      base1           "MSB of Input"
2567      bit0            "8"
2568      base0           "LSB of Input"
2569      dbl_ovrd        "off"
2570      has_advanced_control    "0"
2571      sggui_pos       "20,20,442,407"
2572      block_type          "slice"
2573      block_version       "8.2.02"
2574      sg_icon_stat        "60,30,1,1,white,blue,0,fe24a11e"
2575      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');"
2576"\npatch([0 60 60 0 ],[0 0 30 30 ],[0.77 0.82 0.91]);\npatch([22 17 24 17 22 3"
2577"0 32 34 42 35 29 24 30 24 29 35 42 34 32 30 22 ],[3 8 15 22 27 27 25 27 27 20"
2578" 26 21 15 9 4 10 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 0 60 60 0 ],[0 30 30"
2579" 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: beg"
2580"in icon text');\ncolor('black');port_label('output',1,'[a:b]');\nfprintf('','"
2581"COMMENT: end icon text');\n"
2582    }
2583    Block {
2584      BlockType       Reference
2585      Name            "Slice4"
2586      Ports           [1, 1]
2587      Position        [1235, 880, 1295, 910]
2588      SourceBlock         "xbsIndex_r4/Slice"
2589      SourceType          "Xilinx Bit Slice Extractor Block"
2590      infoedit        "Extracts a given range of bits from each in"
2591"put sample and presents it at the output.  The output type is ordinarily unsi"
2592"gned with binary point at zero, but can be Boolean when the slice is one bit "
2593"wide.<P><P>Hardware notes: In hardware this block costs nothing."
2594      nbits           "1"
2595      boolean_output      "off"
2596      mode            "Upper Bit Location + Width"
2597      bit1            "0"
2598      base1           "MSB of Input"
2599      bit0            "1"
2600      base0           "LSB of Input"
2601      dbl_ovrd        "off"
2602      has_advanced_control    "0"
2603      sggui_pos       "20,20,442,407"
2604      block_type          "slice"
2605      block_version       "8.2.02"
2606      sg_icon_stat        "60,30,1,1,white,blue,0,fe24a11e"
2607      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');"
2608"\npatch([0 60 60 0 ],[0 0 30 30 ],[0.77 0.82 0.91]);\npatch([22 17 24 17 22 3"
2609"0 32 34 42 35 29 24 30 24 29 35 42 34 32 30 22 ],[3 8 15 22 27 27 25 27 27 20"
2610" 26 21 15 9 4 10 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 0 60 60 0 ],[0 30 30"
2611" 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: beg"
2612"in icon text');\ncolor('black');port_label('output',1,'[a:b]');\nfprintf('','"
2613"COMMENT: end icon text');\n"
2614    }
2615    Block {
2616      BlockType       Reference
2617      Name            "Slice5"
2618      Ports           [1, 1]
2619      Position        [1430, 275, 1490, 305]
2620      SourceBlock         "xbsIndex_r4/Slice"
2621      SourceType          "Xilinx Bit Slice Extractor Block"
2622      infoedit        "Extracts a given range of bits from each in"
2623"put sample and presents it at the output.  The output type is ordinarily unsi"
2624"gned with binary point at zero, but can be Boolean when the slice is one bit "
2625"wide.<P><P>Hardware notes: In hardware this block costs nothing."
2626      nbits           "9"
2627      boolean_output      "off"
2628      mode            "Lower Bit Location + Width"
2629      bit1            "0"
2630      base1           "MSB of Input"
2631      bit0            "0"
2632      base0           "LSB of Input"
2633      dbl_ovrd        "off"
2634      has_advanced_control    "0"
2635      sggui_pos       "20,20,442,407"
2636      block_type          "slice"
2637      block_version       "8.2.02"
2638      sg_icon_stat        "60,30,1,1,white,blue,0,fe24a11e"
2639      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');"
2640"\npatch([0 60 60 0 ],[0 0 30 30 ],[0.77 0.82 0.91]);\npatch([22 17 24 17 22 3"
2641"0 32 34 42 35 29 24 30 24 29 35 42 34 32 30 22 ],[3 8 15 22 27 27 25 27 27 20"
2642" 26 21 15 9 4 10 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 0 60 60 0 ],[0 30 30"
2643" 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: beg"
2644"in icon text');\ncolor('black');port_label('output',1,'[a:b]');\nfprintf('','"
2645"COMMENT: end icon text');\n"
2646    }
2647    Block {
2648      BlockType       Outport
2649      Name            "DataToSend"
2650      Position        [2005, 453, 2035, 467]
2651      IconDisplay         "Port number"
2652      BusOutputAsStruct   off
2653    }
2654    Block {
2655      BlockType       Outport
2656      Name            "StartTransfer"
2657      Position        [1165, 583, 1195, 597]
2658      Port            "2"
2659      IconDisplay         "Port number"
2660      BusOutputAsStruct   off
2661    }
2662    Block {
2663      BlockType       Outport
2664      Name            "CmdsDone"
2665      Position        [1695, 908, 1725, 922]
2666      Port            "3"
2667      IconDisplay         "Port number"
2668      BusOutputAsStruct   off
2669    }
2670    Line {
2671      SrcBlock        "SMWOAddr_gwin"
2672      SrcPort         1
2673      Points          [40, 0; 0, -40]
2674      DstBlock        "SMWO_LCD_Commands"
2675      DstPort         4
2676    }
2677    Line {
2678      SrcBlock        "SMWODataI_gwin"
2679      SrcPort         1
2680      Points          [50, 0; 0, -50]
2681      DstBlock        "SMWO_LCD_Commands"
2682      DstPort         5
2683    }
2684    Line {
2685      SrcBlock        "SMWOWE_gwin"
2686      SrcPort         1
2687      Points          [65, 0; 0, -65]
2688      DstBlock        "SMWO_LCD_Commands"
2689      DstPort         6
2690    }
2691    Line {
2692      SrcBlock        "Constant1"
2693      SrcPort         1
2694      Points          [0, 0]
2695      DstBlock        "SMWO_LCD_Commands"
2696      DstPort         3
2697    }
2698    Line {
2699      SrcBlock        "Constant"
2700      SrcPort         1
2701      Points          [35, 0; 0, 20]
2702      DstBlock        "SMWO_LCD_Commands"
2703      DstPort         2
2704    }
2705    Line {
2706      SrcBlock        "ConfigLoc"
2707      SrcPort         1
2708      Points          [20, 0; 0, 25]
2709      DstBlock        "Concat"
2710      DstPort         1
2711    }
2712    Line {
2713      SrcBlock        "Mux"
2714      SrcPort         1
2715      Points          [75, 0; 0, -30]
2716      DstBlock        "Concat"
2717      DstPort         2
2718    }
2719    Line {
2720      SrcBlock        "Counter"
2721      SrcPort         1
2722      Points          [50, 0]
2723      Branch {
2724        Points          [0, -50]
2725        DstBlock            "Slice"
2726        DstPort         1
2727      }
2728      Branch {
2729        Points          [0, 0]
2730        Branch {
2731          DstBlock            "Slice1"
2732          DstPort             1
2733        }
2734        Branch {
2735          Points              [0, 50; 345, 0; 0, -40]
2736          DstBlock            "Mux"
2737          DstPort             3
2738        }
2739      }
2740    }
2741    Line {
2742      SrcBlock        "Slice1"
2743      SrcPort         1
2744      DstBlock        "Inverter"
2745      DstPort         1
2746    }
2747    Line {
2748      SrcBlock        "Slice"
2749      SrcPort         1
2750      Points          [65, 0; 0, 10]
2751      DstBlock        "Concat1"
2752      DstPort         1
2753    }
2754    Line {
2755      SrcBlock        "Inverter"
2756      SrcPort         1
2757      Points          [20, 0; 0, -10]
2758      DstBlock        "Concat1"
2759      DstPort         2
2760    }
2761    Line {
2762      SrcBlock        "Concat1"
2763      SrcPort         1
2764      Points          [0, 0]
2765      DstBlock        "Mux"
2766      DstPort         2
2767    }
2768    Line {
2769      SrcBlock        "InvertRamAddr"
2770      SrcPort         1
2771      Points          [25, 0; 0, 40]
2772      DstBlock        "Mux"
2773      DstPort         1
2774    }
2775    Line {
2776      SrcBlock        "Concat"
2777      SrcPort         1
2778      Points          [85, 0; 0, 85]
2779      DstBlock        "SMWO_LCD_Commands"
2780      DstPort         1
2781    }
2782    Line {
2783      SrcBlock        "Slice3"
2784      SrcPort         1
2785      DstBlock        "Inverter1"
2786      DstPort         1
2787    }
2788    Line {
2789      SrcBlock        "Inverter1"
2790      SrcPort         1
2791      Points          [5, 0; 0, 10]
2792      DstBlock        "Concat2"
2793      DstPort         1
2794    }
2795    Line {
2796      SrcBlock        "Slice2"
2797      SrcPort         1
2798      Points          [90, 0; 0, -10]
2799      DstBlock        "Concat2"
2800      DstPort         2
2801    }
2802    Line {
2803      SrcBlock        "SendCmds"
2804      SrcPort         1
2805      Points          [15, 0]
2806      Branch {
2807        DstBlock            "Logical"
2808        DstPort         1
2809      }
2810      Branch {
2811        Points          [0, 95]
2812        DstBlock            "Accumulator"
2813        DstPort         2
2814      }
2815    }
2816    Line {
2817      SrcBlock        "TransferDone"
2818      SrcPort         1
2819      Points          [5, 0]
2820      Branch {
2821        DstBlock            "Logical"
2822        DstPort         2
2823      }
2824      Branch {
2825        Points          [0, 515]
2826        DstBlock            "Logical2"
2827        DstPort         2
2828      }
2829    }
2830    Line {
2831      SrcBlock        "Logical"
2832      SrcPort         1
2833      Points          [40, 0]
2834      Branch {
2835        DstBlock            "Delay"
2836        DstPort         1
2837      }
2838      Branch {
2839        DstBlock            "Counter"
2840        DstPort         2
2841      }
2842    }
2843    Line {
2844      SrcBlock        "Reset"
2845      SrcPort         1
2846      Points          [0, 0]
2847      DstBlock        "Counter"
2848      DstPort         1
2849    }
2850    Line {
2851      SrcBlock        "Delay"
2852      SrcPort         1
2853      Points          [25, 0; 0, 20]
2854      Branch {
2855        DstBlock            "Convert"
2856        DstPort         1
2857      }
2858      Branch {
2859        Points          [0, 50]
2860        Branch {
2861          DstBlock            "Logical1"
2862          DstPort             1
2863        }
2864        Branch {
2865          Points              [0, 205; 365, 0]
2866          Branch {
2867        DstBlock        "Mux1"
2868        DstPort         3
2869          }
2870          Branch {
2871        Points          [0, 45]
2872        DstBlock        "Mux2"
2873        DstPort         3
2874          }
2875        }
2876      }
2877    }
2878    Line {
2879      SrcBlock        "Accumulator"
2880      SrcPort         1
2881      Points          [20, 0; 0, 30]
2882      DstBlock        "Relational"
2883      DstPort         1
2884    }
2885    Line {
2886      SrcBlock        "Relational"
2887      SrcPort         1
2888      Points          [15, 0; 0, 40]
2889      Branch {
2890        DstBlock            "Inverter2"
2891        DstPort         1
2892      }
2893      Branch {
2894        Points          [0, 55]
2895        Branch {
2896          Points              [0, 25]
2897          DstBlock            "Mux1"
2898          DstPort             1
2899        }
2900        Branch {
2901          Points              [-360, 0; 0, -80]
2902          DstBlock            "Logical1"
2903          DstPort             2
2904        }
2905      }
2906    }
2907    Line {
2908      SrcBlock        "Convert"
2909      SrcPort         1
2910      DstBlock        "Accumulator"
2911      DstPort         1
2912    }
2913    Line {
2914      SrcBlock        "Constant2"
2915      SrcPort         1
2916      DstBlock        "Mux1"
2917      DstPort         2
2918    }
2919    Line {
2920      SrcBlock        "AddSub"
2921      SrcPort         1
2922      Points          [10, 0; 0, -20]
2923      DstBlock        "Relational"
2924      DstPort         2
2925    }
2926    Line {
2927      SrcBlock        "TotalNoOfCmds"
2928      SrcPort         1
2929      DstBlock        "AddSub"
2930      DstPort         1
2931    }
2932    Line {
2933      SrcBlock        "Constant3"
2934      SrcPort         1
2935      Points          [5, 0; 0, -15]
2936      DstBlock        "AddSub"
2937      DstPort         2
2938    }
2939    Line {
2940      SrcBlock        "Inverter2"
2941      SrcPort         1
2942      Points          [110, 0; 0, 125]
2943      Branch {
2944        Points          [0, 210]
2945        DstBlock            "Logical2"
2946        DstPort         1
2947      }
2948      Branch {
2949        DstBlock            "Mux2"
2950        DstPort         1
2951      }
2952    }
2953    Line {
2954      SrcBlock        "Logical1"
2955      SrcPort         1
2956      Points          [30, 0; 0, -25]
2957      DstBlock        "Accumulator"
2958      DstPort         3
2959    }
2960    Line {
2961      SrcBlock        "Logical2"
2962      SrcPort         1
2963      Points          [15, 0]
2964      Branch {
2965        DstBlock            "Logical3"
2966        DstPort         2
2967      }
2968      Branch {
2969        Points          [0, 130]
2970        DstBlock            "Counter1"
2971        DstPort         2
2972      }
2973    }
2974    Line {
2975      SrcBlock        "Accumulator1"
2976      SrcPort         1
2977      Points          [25, 0]
2978      Branch {
2979        Points          [0, -110; -340, 0; 0, 30]
2980        DstBlock            "Relational1"
2981        DstPort         1
2982      }
2983      Branch {
2984        Points          [150, 0; 0, -525]
2985        DstBlock            "Mux3"
2986        DstPort         1
2987      }
2988    }
2989    Line {
2990      SrcBlock        "Relational1"
2991      SrcPort         1
2992      Points          [5, 0; 0, 50]
2993      DstBlock        "Logical3"
2994      DstPort         1
2995    }
2996    Line {
2997      SrcBlock        "Logical3"
2998      SrcPort         1
2999      DstBlock        "Convert1"
3000      DstPort         1
3001    }
3002    Line {
3003      SrcBlock        "Constant4"
3004      SrcPort         1
3005      DstBlock        "Relational1"
3006      DstPort         2
3007    }
3008    Line {
3009      SrcBlock        "Convert1"
3010      SrcPort         1
3011      Points          [10, 0]
3012      DstBlock        "Accumulator1"
3013      DstPort         1
3014    }
3015    Line {
3016      SrcBlock        "Mux2"
3017      SrcPort         1
3018      DstBlock        "StartTransfer"
3019      DstPort         1
3020    }
3021    Line {
3022      SrcBlock        "Mux1"
3023      SrcPort         1
3024      Points          [70, 0; 0, 45]
3025      DstBlock        "Mux2"
3026      DstPort         2
3027    }
3028    Line {
3029      SrcBlock        "Counter1"
3030      SrcPort         1
3031      DstBlock        "Slice4"
3032      DstPort         1
3033    }
3034    Line {
3035      SrcBlock        "Neg Edge Detector"
3036      SrcPort         1
3037      DstBlock        "Convert2"
3038      DstPort         1
3039    }
3040    Line {
3041      SrcBlock        "Register"
3042      SrcPort         1
3043      DstBlock        "CmdsDone"
3044      DstPort         1
3045    }
3046    Line {
3047      SrcBlock        "Slice4"
3048      SrcPort         1
3049      DstBlock        "Neg Edge Detector"
3050      DstPort         1
3051    }
3052    Line {
3053      SrcBlock        "Convert2"
3054      SrcPort         1
3055      Points          [5, 0]
3056      Branch {
3057        DstBlock            "Register"
3058        DstPort         1
3059      }
3060      Branch {
3061        Points          [0, 40]
3062        DstBlock            "Register"
3063        DstPort         3
3064      }
3065    }
3066    Line {
3067      SrcBlock        "Reset1"
3068      SrcPort         1
3069      Points          [25, 0; 0, -50]
3070      DstBlock        "Register"
3071      DstPort         2
3072    }
3073    Line {
3074      SrcBlock        "Reset2"
3075      SrcPort         1
3076      DstBlock        "Counter1"
3077      DstPort         1
3078    }
3079    Line {
3080      SrcBlock        "Reset3"
3081      SrcPort         1
3082      Points          [0, -30]
3083      DstBlock        "Accumulator1"
3084      DstPort         2
3085    }
3086    Line {
3087      SrcBlock        "Constant5"
3088      SrcPort         1
3089      DstBlock        "Mux3"
3090      DstPort         3
3091    }
3092    Line {
3093      SrcBlock        "From Register1"
3094      SrcPort         1
3095      DstBlock        "Mux3"
3096      DstPort         4
3097    }
3098    Line {
3099      SrcBlock        "From Register2"
3100      SrcPort         1
3101      Points          [0, 15]
3102      DstBlock        "Mux3"
3103      DstPort         5
3104    }
3105    Line {
3106      SrcBlock        "Constant6"
3107      SrcPort         1
3108      DstBlock        "Mux3"
3109      DstPort         6
3110    }
3111    Line {
3112      SrcBlock        "From Register4"
3113      SrcPort         1
3114      DstBlock        "Mux3"
3115      DstPort         7
3116    }
3117    Line {
3118      SrcBlock        "From Register5"
3119      SrcPort         1
3120      Points          [10, 0; 0, 20]
3121      DstBlock        "Mux3"
3122      DstPort         8
3123    }
3124    Line {
3125      SrcBlock        "SMWO_LCD_Commands"
3126      SrcPort         1
3127      DstBlock        "Slice5"
3128      DstPort         1
3129    }
3130    Line {
3131      SrcBlock        "Slice5"
3132      SrcPort         1
3133      DstBlock        "Mux3"
3134      DstPort         2
3135    }
3136    Line {
3137      SrcBlock        "Mux3"
3138      SrcPort         1
3139      Points          [0, -10; 20, 0]
3140      Branch {
3141        Points          [0, -20]
3142        DstBlock            "Slice3"
3143        DstPort         1
3144      }
3145      Branch {
3146        Points          [0, 30]
3147        DstBlock            "Slice2"
3148        DstPort         1
3149      }
3150    }
3151    Line {
3152      SrcBlock        "Concat2"
3153      SrcPort         1
3154      DstBlock        "DataToSend"
3155      DstPort         1
3156    }
3157    Line {
3158      SrcBlock        "Constant11"
3159      SrcPort         1
3160      DstBlock        "Mux3"
3161      DstPort         9
3162    }
3163    Line {
3164      SrcBlock        "From Register3"
3165      SrcPort         1
3166      DstBlock        "Mux3"
3167      DstPort         10
3168    }
3169    Annotation {
3170      Name            "Address the RAM as 1 0 3 2 5 4 ..."
3171      Position        [661, 165]
3172      UseDisplayTextAsClickCallback off
3173    }
3174    Annotation {
3175      Name            "We invert the first bit because 0 means com"
3176"mand and 1 is data\nThe user inputs the opposite in the PowerPC"
3177      Position        [1532, 208]
3178      UseDisplayTextAsClickCallback off
3179    }
3180      }
3181    }
3182    Block {
3183      BlockType           Reference
3184      Name            "Convert"
3185      Ports           [1, 1]
3186      Position            [1250, 450, 1295, 480]
3187      SourceBlock         "xbsIndex_r4/Convert"
3188      SourceType          "Xilinx Type Converter Block"
3189      infoedit            "Hardware notes: rounding and saturating require"
3190" hardware resources; truncating and wrapping do not."
3191      arith_type          "Boolean"
3192      n_bits              "16"
3193      bin_pt              "14"
3194      quantization        "Truncate"
3195      overflow            "Wrap"
3196      latency             "0"
3197      dbl_ovrd            off
3198      pipeline            off
3199      xl_use_area         off
3200      xl_area             "[0,0,0,0,0,0,0]"
3201      has_advanced_control    "0"
3202      sggui_pos           "20,20,374,375"
3203      block_type          "convert"
3204      block_version       "8.2.02"
3205      sg_icon_stat        "45,30,1,1,white,blue,0,0eb6e735"
3206      sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npa"
3207"tch([0 45 45 0 ],[0 0 30 30 ],[0.77 0.82 0.91]);\npatch([15 10 17 10 15 23 25"
3208" 27 35 28 22 17 23 17 22 28 35 27 25 23 15 ],[3 8 15 22 27 27 25 27 27 20 26 "
3209"21 15 9 4 10 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 0 45 45 0 ],[0 30 30 0 0"
3210" ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin i"
3211"con text');\ncolor('black');port_label('output',1,'cast');\nfprintf('','COMME"
3212"NT: end icon text');\n"
3213    }
3214    Block {
3215      BlockType           Reference
3216      Name            "Convert1"
3217      Ports           [1, 1]
3218      Position            [365, 870, 405, 900]
3219      SourceBlock         "xbsIndex_r4/Convert"
3220      SourceType          "Xilinx Type Converter Block"
3221      infoedit            "Hardware notes: rounding and saturating require"
3222" hardware resources; truncating and wrapping do not."
3223      arith_type          "Boolean"
3224      n_bits              "16"
3225      bin_pt              "14"
3226      quantization        "Truncate"
3227      overflow            "Wrap"
3228      latency             "0"
3229      dbl_ovrd            off
3230      pipeline            off
3231      xl_use_area         off
3232      xl_area             "[0,0,0,0,0,0,0]"
3233      has_advanced_control    "0"
3234      sggui_pos           "20,20,374,375"
3235      block_type          "convert"
3236      block_version       "8.2.02"
3237      sg_icon_stat        "40,30,1,1,white,blue,0,0eb6e735"
3238      sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npa"
3239"tch([0 40 40 0 ],[0 0 30 30 ],[0.77 0.82 0.91]);\npatch([12 7 14 7 12 20 22 2"
3240"4 32 25 19 14 20 14 19 25 32 24 22 20 12 ],[3 8 15 22 27 27 25 27 27 20 26 21"
3241" 15 9 4 10 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 0 40 40 0 ],[0 30 30 0 0 ]"
3242");\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin ico"
3243"n text');\ncolor('black');port_label('output',1,'cast');\nfprintf('','COMMENT"
3244": end icon text');\n"
3245    }
3246    Block {
3247      BlockType           Reference
3248      Name            "Convert2"
3249      Ports           [1, 1]
3250      Position            [320, 420, 365, 450]
3251      SourceBlock         "xbsIndex_r4/Convert"
3252      SourceType          "Xilinx Type Converter Block"
3253      infoedit            "Hardware notes: rounding and saturating require"
3254" hardware resources; truncating and wrapping do not."
3255      arith_type          "Boolean"
3256      n_bits              "16"
3257      bin_pt              "14"
3258      quantization        "Truncate"
3259      overflow            "Wrap"
3260      latency             "0"
3261      dbl_ovrd            off
3262      pipeline            off
3263      xl_use_area         off
3264      xl_area             "[0,0,0,0,0,0,0]"
3265      has_advanced_control    "0"
3266      sggui_pos           "20,20,374,375"
3267      block_type          "convert"
3268      block_version       "8.2.02"
3269      sg_icon_stat        "45,30,1,1,white,blue,0,0eb6e735"
3270      sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npa"
3271"tch([0 45 45 0 ],[0 0 30 30 ],[0.77 0.82 0.91]);\npatch([15 10 17 10 15 23 25"
3272" 27 35 28 22 17 23 17 22 28 35 27 25 23 15 ],[3 8 15 22 27 27 25 27 27 20 26 "
3273"21 15 9 4 10 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 0 45 45 0 ],[0 30 30 0 0"
3274" ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin i"
3275"con text');\ncolor('black');port_label('output',1,'cast');\nfprintf('','COMME"
3276"NT: end icon text');\n"
3277    }
3278    Block {
3279      BlockType           SubSystem
3280      Name            "DataROM"
3281      Ports           [4, 2]
3282      Position            [690, 677, 890, 913]
3283      TreatAsAtomicUnit       off
3284      MinAlgLoopOccurrences   off
3285      RTWSystemCode       "Auto"
3286      MaskHideContents        off
3287      System {
3288    Name            "DataROM"
3289    Location        [412, 77, 1856, 1062]
3290    Open            off
3291    ModelBrowserVisibility  off
3292    ModelBrowserWidth   200
3293    ScreenColor     "white"
3294    PaperOrientation    "landscape"
3295    PaperPositionMode   "auto"
3296    PaperType       "usletter"
3297    PaperUnits      "inches"
3298    ZoomFactor      "100"
3299    Block {
3300      BlockType       Inport
3301      Name            "InvertRamAddr"
3302      Position        [1090, 383, 1120, 397]
3303      IconDisplay         "Port number"
3304    }
3305    Block {
3306      BlockType       Inport
3307      Name            "TransferDone"
3308      Position        [790, 798, 820, 812]
3309      Port            "2"
3310      IconDisplay         "Port number"
3311    }
3312    Block {
3313      BlockType       Inport
3314      Name            "CmdsDone"
3315      Position        [790, 828, 820, 842]
3316      Port            "3"
3317      IconDisplay         "Port number"
3318    }
3319    Block {
3320      BlockType       Inport
3321      Name            "Reset"
3322      Position        [250, 183, 280, 197]
3323      Port            "4"
3324      IconDisplay         "Port number"
3325    }
3326    Block {
3327      BlockType       Reference
3328      Name            "Concat"
3329      Ports           [2, 1]
3330      Position        [1365, 390, 1425, 450]
3331      SourceBlock         "xbsIndex_r4/Concat"
3332      SourceType          "Xilinx Bus Concatenator Block"
3333      infoedit        "Concatenates two or more inputs.  Output wi"
3334"ll be cast to an unsigned value with the binary point at zero."
3335      num_inputs          "2"
3336      dbl_ovrd        "off"
3337      has_advanced_control    "0"
3338      sggui_pos       "-1,-1,-1,-1"
3339      block_type          "concat"
3340      block_version       "8.2.02"
3341      sg_icon_stat        "60,60,2,1,white,blue,0,59d62d82"
3342      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');"
3343"\npatch([0 60 60 0 ],[0 0 60 60 ],[0.77 0.82 0.91]);\npatch([14 4 18 4 14 30 "
3344"34 38 55 42 29 20 35 20 29 42 55 38 34 30 14 ],[6 16 30 44 54 54 50 54 54 41 "
3345"54 45 30 15 6 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 0 60 60 0 ],[0 60 6"
3346"0 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: be"
3347"gin icon text');\ncolor('black');port_label('input',1,'hi');\ncolor('black');"
3348"port_label('input',2,'lo');\nfprintf('','COMMENT: end icon text');\n"
3349    }
3350    Block {
3351      BlockType       Reference
3352      Name            "Concat1"
3353      Ports           [2, 1]
3354      Position        [1060, 435, 1120, 495]
3355      SourceBlock         "xbsIndex_r4/Concat"
3356      SourceType          "Xilinx Bus Concatenator Block"
3357      infoedit        "Concatenates two or more inputs.  Output wi"
3358"ll be cast to an unsigned value with the binary point at zero."
3359      num_inputs          "2"
3360      dbl_ovrd        "off"
3361      has_advanced_control    "0"
3362      sggui_pos       "-1,-1,-1,-1"
3363      block_type          "concat"
3364      block_version       "8.2.02"
3365      sg_icon_stat        "60,60,2,1,white,blue,0,59d62d82"
3366      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');"
3367"\npatch([0 60 60 0 ],[0 0 60 60 ],[0.77 0.82 0.91]);\npatch([14 4 18 4 14 30 "
3368"34 38 55 42 29 20 35 20 29 42 55 38 34 30 14 ],[6 16 30 44 54 54 50 54 54 41 "
3369"54 45 30 15 6 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 0 60 60 0 ],[0 60 6"
3370"0 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: be"
3371"gin icon text');\ncolor('black');port_label('input',1,'hi');\ncolor('black');"
3372"port_label('input',2,'lo');\nfprintf('','COMMENT: end icon text');\n"
3373    }
3374    Block {
3375      BlockType       Reference
3376      Name            "Concat2"
3377      Ports           [2, 1]
3378      Position        [750, 150, 810, 210]
3379      SourceBlock         "xbsIndex_r4/Concat"
3380      SourceType          "Xilinx Bus Concatenator Block"
3381      infoedit        "Concatenates two or more inputs.  Output wi"
3382"ll be cast to an unsigned value with the binary point at zero."
3383      num_inputs          "2"
3384      dbl_ovrd        "off"
3385      has_advanced_control    "0"
3386      sggui_pos       "-1,-1,-1,-1"
3387      block_type          "concat"
3388      block_version       "8.2.02"
3389      sg_icon_stat        "60,60,2,1,white,blue,0,59d62d82"
3390      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');"
3391"\npatch([0 60 60 0 ],[0 0 60 60 ],[0.77 0.82 0.91]);\npatch([14 4 18 4 14 30 "
3392"34 38 55 42 29 20 35 20 29 42 55 38 34 30 14 ],[6 16 30 44 54 54 50 54 54 41 "
3393"54 45 30 15 6 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 0 60 60 0 ],[0 60 6"
3394"0 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: be"
3395"gin icon text');\ncolor('black');port_label('input',1,'hi');\ncolor('black');"
3396"port_label('input',2,'lo');\nfprintf('','COMMENT: end icon text');\n"
3397    }
3398    Block {
3399      BlockType       Reference
3400      Name            "Concat3"
3401      Ports           [2, 1]
3402      Position        [685, 605, 745, 665]
3403      SourceBlock         "xbsIndex_r4/Concat"
3404      SourceType          "Xilinx Bus Concatenator Block"
3405      infoedit        "Concatenates two or more inputs.  Output wi"
3406"ll be cast to an unsigned value with the binary point at zero."
3407      num_inputs          "2"
3408      dbl_ovrd        "off"
3409      has_advanced_control    "0"
3410      sggui_pos       "-1,-1,-1,-1"
3411      block_type          "concat"
3412      block_version       "8.2.02"
3413      sg_icon_stat        "60,60,2,1,white,blue,0,59d62d82"
3414      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');"
3415"\npatch([0 60 60 0 ],[0 0 60 60 ],[0.77 0.82 0.91]);\npatch([14 4 18 4 14 30 "
3416"34 38 55 42 29 20 35 20 29 42 55 38 34 30 14 ],[6 16 30 44 54 54 50 54 54 41 "
3417"54 45 30 15 6 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 0 60 60 0 ],[0 60 6"
3418"0 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: be"
3419"gin icon text');\ncolor('black');port_label('input',1,'hi');\ncolor('black');"
3420"port_label('input',2,'lo');\nfprintf('','COMMENT: end icon text');\n"
3421    }
3422    Block {
3423      BlockType       Reference
3424      Name            "Concat4"
3425      Ports           [2, 1]
3426      Position        [2420, 540, 2480, 600]
3427      SourceBlock         "xbsIndex_r4/Concat"
3428      SourceType          "Xilinx Bus Concatenator Block"
3429      infoedit        "Concatenates two or more inputs.  Output wi"
3430"ll be cast to an unsigned value with the binary point at zero."
3431      num_inputs          "2"
3432      dbl_ovrd        "off"
3433      has_advanced_control    "0"
3434      sggui_pos       "-1,-1,-1,-1"
3435      block_type          "concat"
3436      block_version       "8.2.02"
3437      sg_icon_stat        "60,60,2,1,white,blue,0,59d62d82"
3438      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');"
3439"\npatch([0 60 60 0 ],[0 0 60 60 ],[0.77 0.82 0.91]);\npatch([14 4 18 4 14 30 "
3440"34 38 55 42 29 20 35 20 29 42 55 38 34 30 14 ],[6 16 30 44 54 54 50 54 54 41 "
3441"54 45 30 15 6 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 0 60 60 0 ],[0 60 6"
3442"0 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: be"
3443"gin icon text');\ncolor('black');port_label('input',1,'hi');\ncolor('black');"
3444"port_label('input',2,'lo');\nfprintf('','COMMENT: end icon text');\n"
3445    }
3446    Block {
3447      BlockType       Reference
3448      Name            "Concat5"
3449      Ports           [2, 1]
3450      Position        [1745, 470, 1805, 530]
3451      SourceBlock         "xbsIndex_r4/Concat"
3452      SourceType          "Xilinx Bus Concatenator Block"
3453      infoedit        "Concatenates two or more inputs.  Output wi"
3454"ll be cast to an unsigned value with the binary point at zero."
3455      num_inputs          "2"
3456      dbl_ovrd        "off"
3457      has_advanced_control    "0"
3458      sggui_pos       "-1,-1,-1,-1"
3459      block_type          "concat"
3460      block_version       "8.2.02"
3461      sg_icon_stat        "60,60,2,1,white,blue,0,59d62d82"
3462      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');"
3463"\npatch([0 60 60 0 ],[0 0 60 60 ],[0.77 0.82 0.91]);\npatch([14 4 18 4 14 30 "
3464"34 38 55 42 29 20 35 20 29 42 55 38 34 30 14 ],[6 16 30 44 54 54 50 54 54 41 "
3465"54 45 30 15 6 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 0 60 60 0 ],[0 60 6"
3466"0 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: be"
3467"gin icon text');\ncolor('black');port_label('input',1,'hi');\ncolor('black');"
3468"port_label('input',2,'lo');\nfprintf('','COMMENT: end icon text');\n"
3469    }
3470    Block {
3471      BlockType       Reference
3472      Name            "Concat6"
3473      Ports           [2, 1]
3474      Position        [1045, 70, 1105, 130]
3475      SourceBlock         "xbsIndex_r4/Concat"
3476      SourceType          "Xilinx Bus Concatenator Block"
3477      infoedit        "Concatenates two or more inputs.  Output wi"
3478"ll be cast to an unsigned value with the binary point at zero."
3479      num_inputs          "2"
3480      dbl_ovrd        "off"
3481      has_advanced_control    "0"
3482      sggui_pos       "-1,-1,-1,-1"
3483      block_type          "concat"
3484      block_version       "8.2.02"
3485      sg_icon_stat        "60,60,2,1,white,blue,0,59d62d82"
3486      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');"
3487"\npatch([0 60 60 0 ],[0 0 60 60 ],[0.77 0.82 0.91]);\npatch([14 4 18 4 14 30 "
3488"34 38 55 42 29 20 35 20 29 42 55 38 34 30 14 ],[6 16 30 44 54 54 50 54 54 41 "
3489"54 45 30 15 6 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 0 60 60 0 ],[0 60 6"
3490"0 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: be"
3491"gin icon text');\ncolor('black');port_label('input',1,'hi');\ncolor('black');"
3492"port_label('input',2,'lo');\nfprintf('','COMMENT: end icon text');\n"
3493    }
3494    Block {
3495      BlockType       Reference
3496      Name            "Constant"
3497      Ports           [0, 1]
3498      Position        [1400, 467, 1455, 493]
3499      SourceBlock         "xbsIndex_r4/Constant"
3500      SourceType          "Xilinx Constant Block Block"
3501      arith_type          "Unsigned"
3502      const           "0"
3503      n_bits          "8"
3504      bin_pt          "0"
3505      explicit_period     "on"
3506      period          "1"
3507      dsp48_infoedit      "The use of this block for DSP48 instruction"
3508"s is deprecated.  Please use the Opmode block."
3509      equ             "P=C"
3510      opselect        "C"
3511      inp2            "PCIN>>17"
3512      opr             "+"
3513      inp1            "P"
3514      carry           "CIN"
3515      dbl_ovrd        "off"
3516      has_advanced_control    "0"
3517      sggui_pos       "-1,-1,-1,-1"
3518      block_type          "constant"
3519      block_version       "8.2.02"
3520      sg_icon_stat        "55,26,0,1,white,blue,0,c7c04a0c"
3521      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');"
3522"\npatch([0 55 55 0 ],[0 0 26 26 ],[0.77 0.82 0.91]);\npatch([20 16 22 16 20 2"
3523"7 29 31 38 32 26 22 28 22 26 32 38 31 29 27 20 ],[3 7 13 19 23 23 21 23 23 17"
3524" 23 19 13 7 3 9 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 0 55 55 0 ],[0 26 26 "
3525"0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begi"
3526"n icon text');\ncolor('black');port_label('output',1,'0');\nfprintf('','COMME"
3527"NT: end icon text');\n"
3528    }
3529    Block {
3530      BlockType       Reference
3531      Name            "Constant1"
3532      Ports           [0, 1]
3533      Position        [1400, 512, 1455, 538]
3534      SourceBlock         "xbsIndex_r4/Constant"
3535      SourceType          "Xilinx Constant Block Block"
3536      arith_type          "Boolean"
3537      const           "0"
3538      n_bits          "8"
3539      bin_pt          "0"
3540      explicit_period     "on"
3541      period          "1"
3542      dsp48_infoedit      "The use of this block for DSP48 instruction"
3543"s is deprecated.  Please use the Opmode block."
3544      equ             "P=C"
3545      opselect        "C"
3546      inp2            "PCIN>>17"
3547      opr             "+"
3548      inp1            "P"
3549      carry           "CIN"
3550      dbl_ovrd        "off"
3551      has_advanced_control    "0"
3552      sggui_pos       "-1,-1,-1,-1"
3553      block_type          "constant"
3554      block_version       "8.2.02"
3555      sg_icon_stat        "55,26,0,1,white,blue,0,c7c04a0c"
3556      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');"
3557"\npatch([0 55 55 0 ],[0 0 26 26 ],[0.77 0.82 0.91]);\npatch([20 16 22 16 20 2"
3558"7 29 31 38 32 26 22 28 22 26 32 38 31 29 27 20 ],[3 7 13 19 23 23 21 23 23 17"
3559" 23 19 13 7 3 9 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 0 55 55 0 ],[0 26 26 "
3560"0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begi"
3561"n icon text');\ncolor('black');port_label('output',1,'0');\nfprintf('','COMME"
3562"NT: end icon text');\n"
3563    }
3564    Block {
3565      BlockType       Reference
3566      Name            "Constant10"
3567      Ports           [0, 1]
3568      Position        [1660, 447, 1715, 473]
3569      SourceBlock         "xbsIndex_r4/Constant"
3570      SourceType          "Xilinx Constant Block Block"
3571      arith_type          "Unsigned"
3572      const           "0"
3573      n_bits          "1"
3574      bin_pt          "0"
3575      explicit_period     "off"
3576      period          "1"
3577      dsp48_infoedit      "The use of this block for DSP48 instruction"
3578"s is deprecated.  Please use the Opmode block."
3579      equ             "P=C"
3580      opselect        "C"
3581      inp2            "PCIN>>17"
3582      opr             "+"
3583      inp1            "P"
3584      carry           "CIN"
3585      dbl_ovrd        "off"
3586      has_advanced_control    "0"
3587      sggui_pos       "-1,-1,-1,-1"
3588      block_type          "constant"
3589      block_version       "8.2.02"
3590      sg_icon_stat        "55,26,0,1,white,blue,0,c7c04a0c"
3591      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');"
3592"\npatch([0 55 55 0 ],[0 0 26 26 ],[0.77 0.82 0.91]);\npatch([20 16 22 16 20 2"
3593"7 29 31 38 32 26 22 28 22 26 32 38 31 29 27 20 ],[3 7 13 19 23 23 21 23 23 17"
3594" 23 19 13 7 3 9 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 0 55 55 0 ],[0 26 26 "
3595"0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begi"
3596"n icon text');\ncolor('black');port_label('output',1,'0');\nfprintf('','COMME"
3597"NT: end icon text');\n"
3598    }
3599    Block {
3600      BlockType       Reference
3601      Name            "Constant2"
3602      Ports           [0, 1]
3603      Position        [1030, 147, 1085, 173]
3604      SourceBlock         "xbsIndex_r4/Constant"
3605      SourceType          "Xilinx Constant Block Block"
3606      arith_type          "Unsigned"
3607      const           "0"
3608      n_bits          "8"
3609      bin_pt          "0"
3610      explicit_period     "on"
3611      period          "1"
3612      dsp48_infoedit      "The use of this block for DSP48 instruction"
3613"s is deprecated.  Please use the Opmode block."
3614      equ             "P=C"
3615      opselect        "C"
3616      inp2            "PCIN>>17"
3617      opr             "+"
3618      inp1            "P"
3619      carry           "CIN"
3620      dbl_ovrd        "off"
3621      has_advanced_control    "0"
3622      sggui_pos       "-1,-1,-1,-1"
3623      block_type          "constant"
3624      block_version       "8.2.02"
3625      sg_icon_stat        "55,26,0,1,white,blue,0,c7c04a0c"
3626      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');"
3627"\npatch([0 55 55 0 ],[0 0 26 26 ],[0.77 0.82 0.91]);\npatch([20 16 22 16 20 2"
3628"7 29 31 38 32 26 22 28 22 26 32 38 31 29 27 20 ],[3 7 13 19 23 23 21 23 23 17"
3629" 23 19 13 7 3 9 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 0 55 55 0 ],[0 26 26 "
3630"0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begi"
3631"n icon text');\ncolor('black');port_label('output',1,'0');\nfprintf('','COMME"
3632"NT: end icon text');\n"
3633    }
3634    Block {
3635      BlockType       Reference
3636      Name            "Constant3"
3637      Ports           [0, 1]
3638      Position        [1030, 192, 1085, 218]
3639      SourceBlock         "xbsIndex_r4/Constant"
3640      SourceType          "Xilinx Constant Block Block"
3641      arith_type          "Boolean"
3642      const           "0"
3643      n_bits          "8"
3644      bin_pt          "0"
3645      explicit_period     "on"
3646      period          "1"
3647      dsp48_infoedit      "The use of this block for DSP48 instruction"
3648"s is deprecated.  Please use the Opmode block."
3649      equ             "P=C"
3650      opselect        "C"
3651      inp2            "PCIN>>17"
3652      opr             "+"
3653      inp1            "P"
3654      carry           "CIN"
3655      dbl_ovrd        "off"
3656      has_advanced_control    "0"
3657      sggui_pos       "-1,-1,-1,-1"
3658      block_type          "constant"
3659      block_version       "8.2.02"
3660      sg_icon_stat        "55,26,0,1,white,blue,0,c7c04a0c"
3661      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');"
3662"\npatch([0 55 55 0 ],[0 0 26 26 ],[0.77 0.82 0.91]);\npatch([20 16 22 16 20 2"
3663"7 29 31 38 32 26 22 28 22 26 32 38 31 29 27 20 ],[3 7 13 19 23 23 21 23 23 17"
3664" 23 19 13 7 3 9 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 0 55 55 0 ],[0 26 26 "
3665"0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begi"
3666"n icon text');\ncolor('black');port_label('output',1,'0');\nfprintf('','COMME"
3667"NT: end icon text');\n"
3668    }
3669    Block {
3670      BlockType       Reference
3671      Name            "Constant4"
3672      Ports           [0, 1]
3673      Position        [415, 592, 470, 618]
3674      SourceBlock         "xbsIndex_r4/Constant"
3675      SourceType          "Xilinx Constant Block Block"
3676      arith_type          "Unsigned"
3677      const           "0"
3678      n_bits          "1"
3679      bin_pt          "0"
3680      explicit_period     "on"
3681      period          "1"
3682      dsp48_infoedit      "The use of this block for DSP48 instruction"
3683"s is deprecated.  Please use the Opmode block."
3684      equ             "P=C"
3685      opselect        "C"
3686      inp2            "PCIN>>17"
3687      opr             "+"
3688      inp1            "P"
3689      carry           "CIN"
3690      dbl_ovrd        "off"
3691      has_advanced_control    "0"
3692      sggui_pos       "-1,-1,-1,-1"
3693      block_type          "constant"
3694      block_version       "8.2.02"
3695      sg_icon_stat        "55,26,0,1,white,blue,0,c7c04a0c"
3696      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');"
3697"\npatch([0 55 55 0 ],[0 0 26 26 ],[0.77 0.82 0.91]);\npatch([20 16 22 16 20 2"
3698"7 29 31 38 32 26 22 28 22 26 32 38 31 29 27 20 ],[3 7 13 19 23 23 21 23 23 17"
3699" 23 19 13 7 3 9 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 0 55 55 0 ],[0 26 26 "
3700"0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begi"
3701"n icon text');\ncolor('black');port_label('output',1,'0');\nfprintf('','COMME"
3702"NT: end icon text');\n"
3703    }
3704    Block {
3705      BlockType       Reference
3706      Name            "Constant5"
3707      Ports           [0, 1]
3708      Position        [415, 722, 470, 748]
3709      SourceBlock         "xbsIndex_r4/Constant"
3710      SourceType          "Xilinx Constant Block Block"
3711      arith_type          "Unsigned"
3712      const           "0"
3713      n_bits          "1"
3714      bin_pt          "0"
3715      explicit_period     "on"
3716      period          "1"
3717      dsp48_infoedit      "The use of this block for DSP48 instruction"
3718"s is deprecated.  Please use the Opmode block."
3719      equ             "P=C"
3720      opselect        "C"
3721      inp2            "PCIN>>17"
3722      opr             "+"
3723      inp1            "P"
3724      carry           "CIN"
3725      dbl_ovrd        "off"
3726      has_advanced_control    "0"
3727      sggui_pos       "-1,-1,-1,-1"
3728      block_type          "constant"
3729      block_version       "8.2.02"
3730      sg_icon_stat        "55,26,0,1,white,blue,0,c7c04a0c"
3731      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');"
3732"\npatch([0 55 55 0 ],[0 0 26 26 ],[0.77 0.82 0.91]);\npatch([20 16 22 16 20 2"
3733"7 29 31 38 32 26 22 28 22 26 32 38 31 29 27 20 ],[3 7 13 19 23 23 21 23 23 17"
3734" 23 19 13 7 3 9 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 0 55 55 0 ],[0 26 26 "
3735"0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begi"
3736"n icon text');\ncolor('black');port_label('output',1,'0');\nfprintf('','COMME"
3737"NT: end icon text');\n"
3738    }
3739    Block {
3740      BlockType       Reference
3741      Name            "Constant6"
3742      Ports           [0, 1]
3743      Position        [770, 642, 825, 668]
3744      SourceBlock         "xbsIndex_r4/Constant"
3745      SourceType          "Xilinx Constant Block Block"
3746      arith_type          "Unsigned"
3747      const           "0"
3748      n_bits          "3"
3749      bin_pt          "0"
3750      explicit_period     "on"
3751      period          "1"
3752      dsp48_infoedit      "The use of this block for DSP48 instruction"
3753"s is deprecated.  Please use the Opmode block."
3754      equ             "P=C"
3755      opselect        "C"
3756      inp2            "PCIN>>17"
3757      opr             "+"
3758      inp1            "P"
3759      carry           "CIN"
3760      dbl_ovrd        "off"
3761      has_advanced_control    "0"
3762      sggui_pos       "-1,-1,-1,-1"
3763      block_type          "constant"
3764      block_version       "8.2.02"
3765      sg_icon_stat        "55,26,0,1,white,blue,0,c7c04a0c"
3766      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');"
3767"\npatch([0 55 55 0 ],[0 0 26 26 ],[0.77 0.82 0.91]);\npatch([20 16 22 16 20 2"
3768"7 29 31 38 32 26 22 28 22 26 32 38 31 29 27 20 ],[3 7 13 19 23 23 21 23 23 17"
3769" 23 19 13 7 3 9 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 0 55 55 0 ],[0 26 26 "
3770"0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begi"
3771"n icon text');\ncolor('black');port_label('output',1,'0');\nfprintf('','COMME"
3772"NT: end icon text');\n"
3773    }
3774    Block {
3775      BlockType       Reference
3776      Name            "Constant7"
3777      Ports           [0, 1]
3778      Position        [770, 682, 825, 708]
3779      SourceBlock         "xbsIndex_r4/Constant"
3780      SourceType          "Xilinx Constant Block Block"
3781      arith_type          "Unsigned"
3782      const           "4"
3783      n_bits          "3"
3784      bin_pt          "0"
3785      explicit_period     "on"
3786      period          "1"
3787      dsp48_infoedit      "The use of this block for DSP48 instruction"
3788"s is deprecated.  Please use the Opmode block."
3789      equ             "P=C"
3790      opselect        "C"
3791      inp2            "PCIN>>17"
3792      opr             "+"
3793      inp1            "P"
3794      carry           "CIN"
3795      dbl_ovrd        "off"
3796      has_advanced_control    "0"
3797      sggui_pos       "-1,-1,-1,-1"
3798      block_type          "constant"
3799      block_version       "8.2.02"
3800      sg_icon_stat        "55,26,0,1,white,blue,0,36b2cd90"
3801      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');"
3802"\npatch([0 55 55 0 ],[0 0 26 26 ],[0.77 0.82 0.91]);\npatch([20 16 22 16 20 2"
3803"7 29 31 38 32 26 22 28 22 26 32 38 31 29 27 20 ],[3 7 13 19 23 23 21 23 23 17"
3804" 23 19 13 7 3 9 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 0 55 55 0 ],[0 26 26 "
3805"0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begi"
3806"n icon text');\ncolor('black');port_label('output',1,'4');\nfprintf('','COMME"
3807"NT: end icon text');\n"
3808    }
3809    Block {
3810      BlockType       Reference
3811      Name            "Constant8"
3812      Ports           [0, 1]
3813      Position        [770, 722, 825, 748]
3814      SourceBlock         "xbsIndex_r4/Constant"
3815      SourceType          "Xilinx Constant Block Block"
3816      arith_type          "Unsigned"
3817      const           "1"
3818      n_bits          "3"
3819      bin_pt          "0"
3820      explicit_period     "on"
3821      period          "1"
3822      dsp48_infoedit      "The use of this block for DSP48 instruction"
3823"s is deprecated.  Please use the Opmode block."
3824      equ             "P=C"
3825      opselect        "C"
3826      inp2            "PCIN>>17"
3827      opr             "+"
3828      inp1            "P"
3829      carry           "CIN"
3830      dbl_ovrd        "off"
3831      has_advanced_control    "0"
3832      sggui_pos       "-1,-1,-1,-1"
3833      block_type          "constant"
3834      block_version       "8.2.02"
3835      sg_icon_stat        "55,26,0,1,white,blue,0,85613821"
3836      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');"
3837"\npatch([0 55 55 0 ],[0 0 26 26 ],[0.77 0.82 0.91]);\npatch([20 16 22 16 20 2"
3838"7 29 31 38 32 26 22 28 22 26 32 38 31 29 27 20 ],[3 7 13 19 23 23 21 23 23 17"
3839" 23 19 13 7 3 9 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 0 55 55 0 ],[0 26 26 "
3840"0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begi"
3841"n icon text');\ncolor('black');port_label('output',1,'1');\nfprintf('','COMME"
3842"NT: end icon text');\n"
3843    }
3844    Block {
3845      BlockType       Reference
3846      Name            "Constant9"
3847      Ports           [0, 1]
3848      Position        [1150, 767, 1205, 793]
3849      SourceBlock         "xbsIndex_r4/Constant"
3850      SourceType          "Xilinx Constant Block Block"
3851      arith_type          "Unsigned"
3852      const           "0"
3853      n_bits          "1"
3854      bin_pt          "0"
3855      explicit_period     "on"
3856      period          "1"
3857      dsp48_infoedit      "The use of this block for DSP48 instruction"
3858"s is deprecated.  Please use the Opmode block."
3859      equ             "P=C"
3860      opselect        "C"
3861      inp2            "PCIN>>17"
3862      opr             "+"
3863      inp1            "P"
3864      carry           "CIN"
3865      dbl_ovrd        "off"
3866      has_advanced_control    "0"
3867      sggui_pos       "-1,-1,-1,-1"
3868      block_type          "constant"
3869      block_version       "8.2.02"
3870      sg_icon_stat        "55,26,0,1,white,blue,0,c7c04a0c"
3871      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');"
3872"\npatch([0 55 55 0 ],[0 0 26 26 ],[0.77 0.82 0.91]);\npatch([20 16 22 16 20 2"
3873"7 29 31 38 32 26 22 28 22 26 32 38 31 29 27 20 ],[3 7 13 19 23 23 21 23 23 17"
3874" 23 19 13 7 3 9 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 0 55 55 0 ],[0 26 26 "
3875"0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begi"
3876"n icon text');\ncolor('black');port_label('output',1,'0');\nfprintf('','COMME"
3877"NT: end icon text');\n"
3878    }
3879    Block {
3880      BlockType       Reference
3881      Name            "Convert"
3882      Ports           [1, 1]
3883      Position        [595, 605, 640, 635]
3884      SourceBlock         "xbsIndex_r4/Convert"
3885      SourceType          "Xilinx Type Converter Block"
3886      infoedit        "Hardware notes: rounding and saturating req"
3887"uire hardware resources; truncating and wrapping do not."
3888      arith_type          "Unsigned"
3889      n_bits          "1"
3890      bin_pt          "0"
3891      quantization        "Truncate"
3892      overflow        "Wrap"
3893      latency         "0"
3894      dbl_ovrd        "off"
3895      pipeline        "off"
3896      xl_use_area         "off"
3897      xl_area         "[0,0,0,0,0,0,0]"
3898      has_advanced_control    "0"
3899      sggui_pos       "20,20,374,375"
3900      block_type          "convert"
3901      block_version       "8.2.02"
3902      sg_icon_stat        "45,30,1,1,white,blue,0,0eb6e735"
3903      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');"
3904"\npatch([0 45 45 0 ],[0 0 30 30 ],[0.77 0.82 0.91]);\npatch([15 10 17 10 15 2"
3905"3 25 27 35 28 22 17 23 17 22 28 35 27 25 23 15 ],[3 8 15 22 27 27 25 27 27 20"
3906" 26 21 15 9 4 10 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 0 45 45 0 ],[0 30 30"
3907" 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: beg"
3908"in icon text');\ncolor('black');port_label('output',1,'cast');\nfprintf('','C"
3909"OMMENT: end icon text');\n"
3910    }
3911    Block {
3912      BlockType       Reference
3913      Name            "Convert1"
3914      Ports           [1, 1]
3915      Position        [585, 685, 630, 715]
3916      SourceBlock         "xbsIndex_r4/Convert"
3917      SourceType          "Xilinx Type Converter Block"
3918      infoedit        "Hardware notes: rounding and saturating req"
3919"uire hardware resources; truncating and wrapping do not."
3920      arith_type          "Unsigned"
3921      n_bits          "1"
3922      bin_pt          "0"
3923      quantization        "Truncate"
3924      overflow        "Wrap"
3925      latency         "0"
3926      dbl_ovrd        "off"
3927      pipeline        "off"
3928      xl_use_area         "off"
3929      xl_area         "[0,0,0,0,0,0,0]"
3930      has_advanced_control    "0"
3931      sggui_pos       "20,20,374,375"
3932      block_type          "convert"
3933      block_version       "8.2.02"
3934      sg_icon_stat        "45,30,1,1,white,blue,0,0eb6e735"
3935      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');"
3936"\npatch([0 45 45 0 ],[0 0 30 30 ],[0.77 0.82 0.91]);\npatch([15 10 17 10 15 2"
3937"3 25 27 35 28 22 17 23 17 22 28 35 27 25 23 15 ],[3 8 15 22 27 27 25 27 27 20"
3938" 26 21 15 9 4 10 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 0 45 45 0 ],[0 30 30"
3939" 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: beg"
3940"in icon text');\ncolor('black');port_label('output',1,'cast');\nfprintf('','C"
3941"OMMENT: end icon text');\n"
3942    }
3943    Block {
3944      BlockType       Reference
3945      Name            "Counter"
3946      Ports           [3, 1]
3947      Position        [1135, 645, 1195, 705]
3948      SourceBlock         "xbsIndex_r4/Counter"
3949      SourceType          "Xilinx Counter Block"
3950      infoedit        "Hardware notes: Free running counters are t"
3951"he least expensive in hardware.  A count limited counter is implemented by co"
3952"mbining a counter with a comparator."
3953      cnt_type        "Free Running"
3954      cnt_to          "Inf"
3955      operation       "Up"
3956      start_count         "0"
3957      cnt_by_val          "1"
3958      arith_type          "Unsigned"
3959      n_bits          "3"
3960      bin_pt          "0"
3961      load_pin        "on"
3962      rst             "off"
3963      en              "on"
3964      explicit_period     "on"
3965      period          "1"
3966      dbl_ovrd        "off"
3967      use_behavioral_HDL      "off"
3968      use_rpm         "off"
3969      xl_use_area         "off"
3970      xl_area         "[0,0,0,0,0,0,0]"
3971      has_advanced_control    "0"
3972      sggui_pos       "20,20,356,630"
3973      block_type          "counter"
3974      block_version       "8.2.02"
3975      sg_icon_stat        "60,60,3,1,white,blue,0,091ac8fc"
3976      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');"
3977"\npatch([0 60 60 0 ],[0 0 60 60 ],[0.77 0.82 0.91]);\npatch([14 4 18 4 14 30 "
3978"34 38 55 42 29 20 35 20 29 42 55 38 34 30 14 ],[6 16 30 44 54 54 50 54 54 41 "
3979"54 45 30 15 6 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 0 60 60 0 ],[0 60 6"
3980"0 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: be"
3981"gin icon text');\ncolor('black');port_label('input',1,'load');\ncolor('black'"
3982");port_label('input',2,'din');\ncolor('black');port_label('input',3,'en');\nc"
3983"olor('black');port_label('output',1,'out');\nfprintf('','COMMENT: end icon te"
3984"xt');\n"
3985    }
3986    Block {
3987      BlockType       Reference
3988      Name            "Counter1"
3989      Ports           [2, 1]
3990      Position        [315, 175, 375, 235]
3991      SourceBlock         "xbsIndex_r4/Counter"
3992      SourceType          "Xilinx Counter Block"
3993      infoedit        "Hardware notes: Free running counters are t"
3994"he least expensive in hardware.  A count limited counter is implemented by co"
3995"mbining a counter with a comparator."
3996      cnt_type        "Free Running"
3997      cnt_to          "Inf"
3998      operation       "Up"
3999      start_count         "2^14-1"
4000      cnt_by_val          "1"
4001      arith_type          "Unsigned"
4002      n_bits          "14"
4003      bin_pt          "0"
4004      load_pin        "off"
4005      rst             "on"
4006      en              "on"
4007      explicit_period     "on"
4008      period          "1"
4009      dbl_ovrd        "off"
4010      use_behavioral_HDL      "off"
4011      use_rpm         "off"
4012      xl_use_area         "off"
4013      xl_area         "[0,0,0,0,0,0,0]"
4014      has_advanced_control    "0"
4015      sggui_pos       "20,20,356,630"
4016      block_type          "counter"
4017      block_version       "8.2.02"
4018      sg_icon_stat        "60,60,2,1,white,blue,0,eceade92"
4019      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');"
4020"\npatch([0 60 60 0 ],[0 0 60 60 ],[0.77 0.82 0.91]);\npatch([14 4 18 4 14 30 "
4021"34 38 55 42 29 20 35 20 29 42 55 38 34 30 14 ],[6 16 30 44 54 54 50 54 54 41 "
4022"54 45 30 15 6 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 0 60 60 0 ],[0 60 6"
4023"0 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: be"
4024"gin icon text');\ncolor('black');port_label('input',1,'rst');\ncolor('black')"
4025";port_label('input',2,'en');\ncolor('black');port_label('output',1,'out');\nf"
4026"printf('','COMMENT: end icon text');\n"
4027    }
4028    Block {
4029      BlockType       Reference
4030      Name            "Delay"
4031      Ports           [1, 1]
4032      Position        [1205, 937, 1265, 993]
4033      SourceBlock         "xbsIndex_r4/Delay"
4034      SourceType          "Xilinx Delay Block"
4035      infoedit        "Hardware notes: A delay line is a chain, ea"
4036"ch link of which is an SRL16 followed by a flip-flop. If register retiming is"
4037" enabled, the delay line is a chain of flip-flops."
4038      en              "off"
4039      latency         "4"
4040      dbl_ovrd        "off"
4041      reg_retiming        "off"
4042      xl_use_area         "off"
4043      xl_area         "[0,0,0,0,0,0,0]"
4044      has_advanced_control    "0"
4045      sggui_pos       "20,20,356,256"
4046      block_type          "delay"
4047      block_version       "8.2.02"
4048      sg_icon_stat        "60,56,1,1,white,blue,0,c2217bc4"
4049      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');"
4050"\npatch([0 60 60 0 ],[0 0 56 56 ],[0.77 0.82 0.91]);\npatch([15 6 19 6 15 30 "
4051"34 38 54 41 29 20 32 20 29 41 54 38 34 30 15 ],[6 15 28 41 50 50 46 50 50 37 "
4052"49 40 28 16 7 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 0 60 60 0 ],[0 56 5"
4053"6 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: be"
4054"gin icon text');\ncolor('black');disp('z^{-4}','texmode','on');\nfprintf('','"
4055"COMMENT: end icon text');\n"
4056    }
4057    Block {
4058      BlockType       Reference
4059      Name            "From Register1"
4060      Ports           [0, 1]
4061      Position        [1865, 580, 1910, 630]
4062      ShowName        off
4063      AttributesFormatString  "From Register\\n<< %<shared_memory_name> >>"
4064      SourceBlock         "xbsIndex_r4/From Register"
4065      SourceType          "Xilinx Shared Memory Based From Register Bl"
4066"ock"
4067      infoedit        "Register block that reads data to a shared "
4068"memory register.  Delay of one sample period."
4069      shared_memory_name      "'LCD_ColSet'"
4070      init            "hex2dec('175')"
4071      period          "1"
4072      ownership       "Locally owned and initialized"
4073      arith_type          "Unsigned"
4074      n_bits          "9"
4075      bin_pt          "0"
4076      dbl_ovrd        "off"
4077      xl_use_area         "off"
4078      xl_area         "[0,0,0,0,0,0,0]"
4079      has_advanced_control    "0"
4080      sggui_pos       "20,20,379,246"
4081      block_type          "fromreg"
4082      block_version       "8.2.02"
4083      sg_icon_stat        "45,50,0,1,white,blue,0,137b37a7"
4084      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');"
4085"\npatch([0 45 45 0 ],[0 0 50 50 ],[0.77 0.82 0.91]);\npatch([10 3 14 3 10 22 "
4086"25 28 41 31 21 14 25 14 21 31 41 28 25 22 10 ],[7 14 25 36 43 43 40 43 43 33 "
4087"43 36 25 14 7 17 7 7 10 7 7 ],[0.98 0.96 0.92]);\nplot([0 0 45 45 0 ],[0 50 5"
4088"0 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: be"
4089"gin icon text');\ncolor('black');port_label('output',1,'dout');\nfprintf('','"
4090"COMMENT: end icon text');\n"
4091    }
4092    Block {
4093      BlockType       Reference
4094      Name            "From Register2"
4095      Ports           [0, 1]
4096      Position        [1865, 685, 1910, 735]
4097      ShowName        off
4098      AttributesFormatString  "From Register\\n<< %<shared_memory_name> >>"
4099      SourceBlock         "xbsIndex_r4/From Register"
4100      SourceType          "Xilinx Shared Memory Based From Register Bl"
4101"ock"
4102      infoedit        "Register block that reads data to a shared "
4103"memory register.  Delay of one sample period."
4104      shared_memory_name      "'LCD_RamWrite'"
4105      init            "hex2dec('15C')"
4106      period          "1"
4107      ownership       "Locally owned and initialized"
4108      arith_type          "Unsigned"
4109      n_bits          "9"
4110      bin_pt          "0"
4111      dbl_ovrd        "off"
4112      xl_use_area         "off"
4113      xl_area         "[0,0,0,0,0,0,0]"
4114      has_advanced_control    "0"
4115      sggui_pos       "20,20,379,246"
4116      block_type          "fromreg"
4117      block_version       "8.2.02"
4118      sg_icon_stat        "45,50,0,1,white,blue,0,137b37a7"
4119      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');"
4120"\npatch([0 45 45 0 ],[0 0 50 50 ],[0.77 0.82 0.91]);\npatch([10 3 14 3 10 22 "
4121"25 28 41 31 21 14 25 14 21 31 41 28 25 22 10 ],[7 14 25 36 43 43 40 43 43 33 "
4122"43 36 25 14 7 17 7 7 10 7 7 ],[0.98 0.96 0.92]);\nplot([0 0 45 45 0 ],[0 50 5"
4123"0 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: be"
4124"gin icon text');\ncolor('black');port_label('output',1,'dout');\nfprintf('','"
4125"COMMENT: end icon text');\n"
4126    }
4127    Block {
4128      BlockType       Reference
4129      Name            "From Register3"
4130      Ports           [0, 1]
4131      Position        [1860, 475, 1905, 525]
4132      ShowName        off
4133      AttributesFormatString  "From Register\\n<< %<shared_memory_name> >>"
4134      SourceBlock         "xbsIndex_r4/From Register"
4135      SourceType          "Xilinx Shared Memory Based From Register Bl"
4136"ock"
4137      infoedit        "Register block that reads data to a shared "
4138"memory register.  Delay of one sample period."
4139      shared_memory_name      "'LCD_RowSet'"
4140      init            "hex2dec('115')"
4141      period          "1"
4142      ownership       "Locally owned and initialized"
4143      arith_type          "Unsigned"
4144      n_bits          "9"
4145      bin_pt          "0"
4146      dbl_ovrd        "off"
4147      xl_use_area         "off"
4148      xl_area         "[0,0,0,0,0,0,0]"
4149      has_advanced_control    "0"
4150      sggui_pos       "20,20,379,246"
4151      block_type          "fromreg"
4152      block_version       "8.2.02"
4153      sg_icon_stat        "45,50,0,1,white,blue,0,137b37a7"
4154      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');"
4155"\npatch([0 45 45 0 ],[0 0 50 50 ],[0.77 0.82 0.91]);\npatch([10 3 14 3 10 22 "
4156"25 28 41 31 21 14 25 14 21 31 41 28 25 22 10 ],[7 14 25 36 43 43 40 43 43 33 "
4157"43 36 25 14 7 17 7 7 10 7 7 ],[0.98 0.96 0.92]);\nplot([0 0 45 45 0 ],[0 50 5"
4158"0 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: be"
4159"gin icon text');\ncolor('black');port_label('output',1,'dout');\nfprintf('','"
4160"COMMENT: end icon text');\n"
4161    }
4162    Block {
4163      BlockType       Reference
4164      Name            "From Register4"
4165      Ports           [0, 1]
4166      Position        [965, 30, 1010, 80]
4167      ShowName        off
4168      AttributesFormatString  "From Register\\n<< %<shared_memory_name> >>"
4169      SourceBlock         "xbsIndex_r4/From Register"
4170      SourceType          "Xilinx Shared Memory Based From Register Bl"
4171"ock"
4172      infoedit        "Register block that reads data to a shared "
4173"memory register.  Delay of one sample period."
4174      shared_memory_name      "'LCD_CharactersSelect'"
4175      init            "0"
4176      period          "1"
4177      ownership       "Locally owned and initialized"
4178      arith_type          "Unsigned"
4179      n_bits          "3"
4180      bin_pt          "0"
4181      dbl_ovrd        "off"
4182      xl_use_area         "off"
4183      xl_area         "[0,0,0,0,0,0,0]"
4184      has_advanced_control    "0"
4185      sggui_pos       "20,20,379,246"
4186      block_type          "fromreg"
4187      block_version       "8.2.02"
4188      sg_icon_stat        "45,50,0,1,white,blue,0,137b37a7"
4189      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');"
4190"\npatch([0 45 45 0 ],[0 0 50 50 ],[0.77 0.82 0.91]);\npatch([10 3 14 3 10 22 "
4191"25 28 41 31 21 14 25 14 21 31 41 28 25 22 10 ],[7 14 25 36 43 43 40 43 43 33 "
4192"43 36 25 14 7 17 7 7 10 7 7 ],[0.98 0.96 0.92]);\nplot([0 0 45 45 0 ],[0 50 5"
4193"0 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: be"
4194"gin icon text');\ncolor('black');port_label('output',1,'dout');\nfprintf('','"
4195"COMMENT: end icon text');\n"
4196    }
4197    Block {
4198      BlockType       InportShadow
4199      Name            "InvertRamAddr1"
4200      Position        [775, 98, 805, 112]
4201      Port            "1"
4202      IconDisplay         "Port number"
4203      LatchByDelayingOutsideSignal off
4204      LatchByCopyingInsideSignal off
4205    }
4206    Block {
4207      BlockType       Reference
4208      Name            "Inverter"
4209      Ports           [1, 1]
4210      Position        [955, 461, 1010, 519]
4211      SourceBlock         "xbsIndex_r4/Inverter"
4212      SourceType          "Xilinx Inverter Block"
4213      infoedit        "Bitwise logical negation (one's complement)"
4214" operator."
4215      en              "off"
4216      latency         "0"
4217      dbl_ovrd        "off"
4218      xl_use_area         "off"
4219      xl_area         "[0,0,0,0,0,0,0]"
4220      has_advanced_control    "0"
4221      sggui_pos       "-1,-1,-1,-1"
4222      block_type          "inv"
4223      block_version       "8.2.02"
4224      sg_icon_stat        "55,58,1,1,white,blue,0,1ab4a85f"
4225      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');"
4226"\npatch([0 55 55 0 ],[0 0 58 58 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13 28 "
4227"32 36 52 40 28 19 32 19 28 40 52 36 32 28 13 ],[7 16 29 42 51 51 47 51 51 39 "
4228"51 42 29 16 7 19 7 7 11 7 7 ],[0.98 0.96 0.92]);\nplot([0 0 55 55 0 ],[0 58 5"
4229"8 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: be"
4230"gin icon text');\ncolor('black');disp('not');\nfprintf('','COMMENT: end icon "
4231"text');\n"
4232    }
4233    Block {
4234      BlockType       Reference
4235      Name            "Inverter1"
4236      Ports           [1, 1]
4237      Position        [645, 176, 700, 234]
4238      SourceBlock         "xbsIndex_r4/Inverter"
4239      SourceType          "Xilinx Inverter Block"
4240      infoedit        "Bitwise logical negation (one's complement)"
4241" operator."
4242      en              "off"
4243      latency         "0"
4244      dbl_ovrd        "off"
4245      xl_use_area         "off"
4246      xl_area         "[0,0,0,0,0,0,0]"
4247      has_advanced_control    "0"
4248      sggui_pos       "-1,-1,-1,-1"
4249      block_type          "inv"
4250      block_version       "8.2.02"
4251      sg_icon_stat        "55,58,1,1,white,blue,0,1ab4a85f"
4252      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');"
4253"\npatch([0 55 55 0 ],[0 0 58 58 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13 28 "
4254"32 36 52 40 28 19 32 19 28 40 52 36 32 28 13 ],[7 16 29 42 51 51 47 51 51 39 "
4255"51 42 29 16 7 19 7 7 11 7 7 ],[0.98 0.96 0.92]);\nplot([0 0 55 55 0 ],[0 58 5"
4256"8 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: be"
4257"gin icon text');\ncolor('black');disp('not');\nfprintf('','COMMENT: end icon "
4258"text');\n"
4259    }
4260    Block {
4261      BlockType       Reference
4262      Name            "Inverter2"
4263      Ports           [1, 1]
4264      Position        [1035, 789, 1080, 831]
4265      Orientation         "left"
4266      SourceBlock         "xbsIndex_r4/Inverter"
4267      SourceType          "Xilinx Inverter Block"
4268      infoedit        "Bitwise logical negation (one's complement)"
4269" operator."
4270      en              "off"
4271      latency         "0"
4272      dbl_ovrd        "off"
4273      xl_use_area         "off"
4274      xl_area         "[0,0,0,0,0,0,0]"
4275      has_advanced_control    "0"
4276      sggui_pos       "-1,-1,-1,-1"
4277      block_type          "inv"
4278      block_version       "8.2.02"
4279      sg_icon_stat        "45,42,1,1,white,blue,0,1ab4a85f"
4280      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');"
4281"\npatch([0 45 45 0 ],[0 0 42 42 ],[0.77 0.82 0.91]);\npatch([11 4 14 4 11 22 "
4282"25 28 40 31 22 15 25 15 22 31 40 28 25 22 11 ],[5 12 22 32 39 39 36 39 39 30 "
4283"39 32 22 12 5 14 5 5 8 5 5 ],[0.98 0.96 0.92]);\nplot([0 0 45 45 0 ],[0 42 42"
4284" 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: beg"
4285"in icon text');\ncolor('black');disp('not');\nfprintf('','COMMENT: end icon t"
4286"ext');\n"
4287    }
4288    Block {
4289      BlockType       Reference
4290      Name            "Inverter3"
4291      Ports           [1, 1]
4292      Position        [2320, 516, 2375, 574]
4293      SourceBlock         "xbsIndex_r4/Inverter"
4294      SourceType          "Xilinx Inverter Block"
4295      infoedit        "Bitwise logical negation (one's complement)"
4296" operator."
4297      en              "off"
4298      latency         "0"
4299      dbl_ovrd        "off"
4300      xl_use_area         "off"
4301      xl_area         "[0,0,0,0,0,0,0]"
4302      has_advanced_control    "0"
4303      sggui_pos       "-1,-1,-1,-1"
4304      block_type          "inv"
4305      block_version       "8.2.02"
4306      sg_icon_stat        "55,58,1,1,white,blue,0,1ab4a85f"
4307      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');"
4308"\npatch([0 55 55 0 ],[0 0 58 58 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13 28 "
4309"32 36 52 40 28 19 32 19 28 40 52 36 32 28 13 ],[7 16 29 42 51 51 47 51 51 39 "
4310"51 42 29 16 7 19 7 7 11 7 7 ],[0.98 0.96 0.92]);\nplot([0 0 55 55 0 ],[0 58 5"
4311"8 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: be"
4312"gin icon text');\ncolor('black');disp('not');\nfprintf('','COMMENT: end icon "
4313"text');\n"
4314    }
4315    Block {
4316      BlockType       Reference
4317      Name            "Logical"
4318      Ports           [2, 1]
4319      Position        [860, 790, 915, 850]
4320      SourceBlock         "xbsIndex_r4/Logical"
4321      SourceType          "Xilinx Logical Block Block"
4322      logical_function    "AND"
4323      inputs          "2"
4324      en              "off"
4325      latency         "0"
4326      precision       "Full"
4327      arith_type          "Unsigned"
4328      n_bits          "16"
4329      bin_pt          "0"
4330      align_bp        "on"
4331      dbl_ovrd        "off"
4332      xl_use_area         "off"
4333      xl_area         "[0,0,0,0,0,0,0]"
4334      has_advanced_control    "0"
4335      sggui_pos       "-1,-1,-1,-1"
4336      block_type          "logical"
4337      block_version       "8.2.02"
4338      sg_icon_stat        "55,60,2,1,white,blue,0,087b5522"
4339      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');"
4340"\npatch([0 55 55 0 ],[0 0 60 60 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13 28 "
4341"32 36 52 40 28 19 32 19 28 40 52 36 32 28 13 ],[8 17 30 43 52 52 48 52 52 40 "
4342"52 43 30 17 8 20 8 8 12 8 8 ],[0.98 0.96 0.92]);\nplot([0 0 55 55 0 ],[0 60 6"
4343"0 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: be"
4344"gin icon text');\ncolor('black');disp('\\newlineand\\newlinez^{-0}','texmode'"
4345",'on');\nfprintf('','COMMENT: end icon text');\n"
4346    }
4347    Block {
4348      BlockType       Reference
4349      Name            "Logical1"
4350      Ports           [2, 1]
4351      Position        [1325, 795, 1380, 855]
4352      SourceBlock         "xbsIndex_r4/Logical"
4353      SourceType          "Xilinx Logical Block Block"
4354      logical_function    "AND"
4355      inputs          "2"
4356      en              "off"
4357      latency         "0"
4358      precision       "Full"
4359      arith_type          "Unsigned"
4360      n_bits          "16"
4361      bin_pt          "0"
4362      align_bp        "on"
4363      dbl_ovrd        "off"
4364      xl_use_area         "off"
4365      xl_area         "[0,0,0,0,0,0,0]"
4366      has_advanced_control    "0"
4367      sggui_pos       "-1,-1,-1,-1"
4368      block_type          "logical"
4369      block_version       "8.2.02"
4370      sg_icon_stat        "55,60,2,1,white,blue,0,087b5522"
4371      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');"
4372"\npatch([0 55 55 0 ],[0 0 60 60 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13 28 "
4373"32 36 52 40 28 19 32 19 28 40 52 36 32 28 13 ],[8 17 30 43 52 52 48 52 52 40 "
4374"52 43 30 17 8 20 8 8 12 8 8 ],[0.98 0.96 0.92]);\nplot([0 0 55 55 0 ],[0 60 6"
4375"0 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: be"
4376"gin icon text');\ncolor('black');disp('\\newlineand\\newlinez^{-0}','texmode'"
4377",'on');\nfprintf('','COMMENT: end icon text');\n"
4378    }
4379    Block {
4380      BlockType       Reference
4381      Name            "Mux"
4382      Ports           [3, 1]
4383      Position        [1190, 413, 1235, 517]
4384      SourceBlock         "xbsIndex_r4/Mux"
4385      SourceType          "Xilinx Bus Multiplexer Block"
4386      inputs          "2"
4387      en              "off"
4388      latency         "1"
4389      precision       "Full"
4390      arith_type          "Unsigned"
4391      n_bits          "16"
4392      bin_pt          "14"
4393      quantization        "Truncate"
4394      overflow        "Wrap"
4395      dbl_ovrd        "off"
4396      xl_use_area         "off"
4397      xl_area         "[0,0,0,0,0,0,0]"
4398      has_advanced_control    "0"
4399      sggui_pos       "-1,-1,-1,-1"
4400      block_type          "mux"
4401      block_version       "8.2.02"
4402      sg_icon_stat        "45,104,3,1,white,blue,3,03f9c9b9"
4403      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');"
4404"\npatch([0 45 45 0 ],[0 14.8571 89.1429 104 ],[0.77 0.82 0.91]);\npatch([10 3"
4405" 14 3 10 22 25 28 41 31 21 14 25 14 21 31 41 28 25 22 10 ],[34 41 52 63 70 70"
4406" 67 70 70 60 70 63 52 41 34 44 34 34 37 34 34 ],[0.98 0.96 0.92]);\nplot([0 4"
4407"5 45 0 0 ],[0 14.8571 89.1429 104 0 ]);\nfprintf('','COMMENT: end icon graphi"
4408"cs');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('in"
4409"put',1,'sel');\ncolor('black');port_label('input',2,'d0');\ncolor('black');po"
4410"rt_label('input',3,'d1');\ncolor('black');disp('\\bf{  z^{-1}}','texmode','on"
4411"');\nfprintf('','COMMENT: end icon text');\n"
4412    }
4413    Block {
4414      BlockType       Reference
4415      Name            "Mux1"
4416      Ports           [3, 1]
4417      Position        [880, 128, 925, 232]
4418      SourceBlock         "xbsIndex_r4/Mux"
4419      SourceType          "Xilinx Bus Multiplexer Block"
4420      inputs          "2"
4421      en              "off"
4422      latency         "0"
4423      precision       "Full"
4424      arith_type          "Unsigned"
4425      n_bits          "16"
4426      bin_pt          "14"
4427      quantization        "Truncate"
4428      overflow        "Wrap"
4429      dbl_ovrd        "off"
4430      xl_use_area         "off"
4431      xl_area         "[0,0,0,0,0,0,0]"
4432      has_advanced_control    "0"
4433      sggui_pos       "-1,-1,-1,-1"
4434      block_type          "mux"
4435      block_version       "8.2.02"
4436      sg_icon_stat        "45,104,3,1,white,blue,3,613f58e1"
4437      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');"
4438"\npatch([0 45 45 0 ],[0 14.8571 89.1429 104 ],[0.77 0.82 0.91]);\npatch([10 3"
4439" 14 3 10 22 25 28 41 31 21 14 25 14 21 31 41 28 25 22 10 ],[34 41 52 63 70 70"
4440" 67 70 70 60 70 63 52 41 34 44 34 34 37 34 34 ],[0.98 0.96 0.92]);\nplot([0 4"
4441"5 45 0 0 ],[0 14.8571 89.1429 104 0 ]);\nfprintf('','COMMENT: end icon graphi"
4442"cs');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('in"
4443"put',1,'sel');\ncolor('black');port_label('input',2,'d0');\ncolor('black');po"
4444"rt_label('input',3,'d1');\ncolor('black');disp('\\bf{}','texmode','on');\nfpr"
4445"intf('','COMMENT: end icon text');\n"
4446    }
4447    Block {
4448      BlockType       Reference
4449      Name            "Mux2"
4450      Ports           [5, 1]
4451      Position        [880, 623, 925, 727]
4452      SourceBlock         "xbsIndex_r4/Mux"
4453      SourceType          "Xilinx Bus Multiplexer Block"
4454      inputs          "4"
4455      en              "off"
4456      latency         "0"
4457      precision       "Full"
4458      arith_type          "Unsigned"
4459      n_bits          "16"
4460      bin_pt          "14"
4461      quantization        "Truncate"
4462      overflow        "Wrap"
4463      dbl_ovrd        "off"
4464      xl_use_area         "off"
4465      xl_area         "[0,0,0,0,0,0,0]"
4466      has_advanced_control    "0"
4467      sggui_pos       "-1,-1,-1,-1"
4468      block_type          "mux"
4469      block_version       "8.2.02"
4470      sg_icon_stat        "45,104,5,1,white,blue,3,27e96ab5"
4471      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');"
4472"\npatch([0 45 45 0 ],[0 14.8571 89.1429 104 ],[0.77 0.82 0.91]);\npatch([10 3"
4473" 14 3 10 22 25 28 41 31 21 14 25 14 21 31 41 28 25 22 10 ],[34 41 52 63 70 70"
4474" 67 70 70 60 70 63 52 41 34 44 34 34 37 34 34 ],[0.98 0.96 0.92]);\nplot([0 4"
4475"5 45 0 0 ],[0 14.8571 89.1429 104 0 ]);\nfprintf('','COMMENT: end icon graphi"
4476"cs');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('in"
4477"put',1,'sel');\ncolor('black');port_label('input',2,'d0');\ncolor('black');po"
4478"rt_label('input',3,'d1');\ncolor('black');port_label('input',4,'d2');\ncolor("
4479"'black');port_label('input',5,'d3');\ncolor('black');disp('\\bf{}','texmode',"
4480"'on');\nfprintf('','COMMENT: end icon text');\n"
4481    }
4482    Block {
4483      BlockType       Reference
4484      Name            "Mux3"
4485      Ports           [9, 1]
4486      Position        [2115, 414, 2165, 726]
4487      SourceBlock         "xbsIndex_r4/Mux"
4488      SourceType          "Xilinx Bus Multiplexer Block"
4489      inputs          "8"
4490      en              "off"
4491      latency         "0"
4492      precision       "Full"
4493      arith_type          "Unsigned"
4494      n_bits          "16"
4495      bin_pt          "14"
4496      quantization        "Truncate"
4497      overflow        "Wrap"
4498      dbl_ovrd        "off"
4499      xl_use_area         "off"
4500      xl_area         "[0,0,0,0,0,0,0]"
4501      has_advanced_control    "0"
4502      sggui_pos       "-1,-1,-1,-1"
4503      block_type          "mux"
4504      block_version       "8.2.02"
4505      sg_icon_stat        "50,312,9,1,white,blue,3,ee37f7b8"
4506      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');"
4507"\npatch([0 50 50 0 ],[0 44.5714 267.429 312 ],[0.77 0.82 0.91]);\npatch([11 3"
4508" 15 3 11 24 28 32 46 35 24 16 28 16 24 35 46 32 28 24 11 ],[136 144 156 168 1"
4509"76 176 172 176 176 165 176 168 156 144 136 147 136 136 140 136 136 ],[0.98 0."
4510"96 0.92]);\nplot([0 50 50 0 0 ],[0 44.5714 267.429 312 0 ]);\nfprintf('','COM"
4511"MENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('b"
4512"lack');port_label('input',1,'sel');\ncolor('black');port_label('input',2,'d0'"
4513");\ncolor('black');port_label('input',3,'d1');\ncolor('black');port_label('in"
4514"put',4,'d2');\ncolor('black');port_label('input',5,'d3');\ncolor('black');por"
4515"t_label('input',6,'d4');\ncolor('black');port_label('input',7,'d5');\ncolor('"
4516"black');port_label('input',8,'d6');\ncolor('black');port_label('input',9,'d7'"
4517");\ncolor('black');disp('\\bf{}','texmode','on');\nfprintf('','COMMENT: end i"
4518"con text');\n"
4519    }
4520    Block {
4521      BlockType       SubSystem
4522      Name            "Pos Edge Detector"
4523      Ports           [1, 1]
4524      Position        [960, 564, 1085, 626]
4525      TreatAsAtomicUnit   off
4526      MinAlgLoopOccurrences   off
4527      RTWSystemCode       "Auto"
4528      MaskHideContents    off
4529      System {
4530        Name            "Pos Edge Detector"
4531        Location            [971, 353, 1386, 494]
4532        Open            off
4533        ModelBrowserVisibility  off
4534        ModelBrowserWidth       200
4535        ScreenColor         "white"
4536        PaperOrientation        "landscape"
4537        PaperPositionMode       "auto"
4538        PaperType           "usletter"
4539        PaperUnits          "inches"
4540        ZoomFactor          "100"
4541        Block {
4542          BlockType           Inport
4543          Name            "Input Signal"
4544          Position            [25, 33, 55, 47]
4545          IconDisplay         "Port number"
4546        }
4547        Block {
4548          BlockType           Reference
4549          Name            "Delay"
4550          Ports           [1, 1]
4551          Position            [170, 57, 230, 113]
4552          SourceBlock         "xbsIndex_r4/Delay"
4553          SourceType          "Xilinx Delay Block"
4554          infoedit            "Hardware notes: A delay line is a chain"
4555", each link of which is an SRL16 followed by a flip-flop. If register retimin"
4556"g is enabled, the delay line is a chain of flip-flops."
4557          en              "off"
4558          latency             "1"
4559          dbl_ovrd            "off"
4560          reg_retiming        "off"
4561          xl_use_area         "off"
4562          xl_area             "[0,0,0,0,0,0,0]"
4563          has_advanced_control    "0"
4564          sggui_pos           "-1,-1,-1,-1"
4565          block_type          "delay"
4566          block_version       "8.2.02"
4567          sg_icon_stat        "60,56,1,1,white,blue,0,fc531c0e"
4568          sg_mask_display         "fprintf('','COMMENT: begin icon graphic"
4569"s');\npatch([0 60 60 0 ],[0 0 56 56 ],[0.77 0.82 0.91]);\npatch([15 6 19 6 15"
4570" 30 34 38 54 41 29 20 32 20 29 41 54 38 34 30 15 ],[6 15 28 41 50 50 46 50 50"
4571" 37 49 40 28 16 7 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 0 60 60 0 ],[0 "
4572"56 56 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT"
4573": begin icon text');\ncolor('black');disp('z^{-1}','texmode','on');\nfprintf("
4574"'','COMMENT: end icon text');\n"
4575        }
4576        Block {
4577          BlockType           Reference
4578          Name            "Inverter"
4579          Ports           [1, 1]
4580          Position            [100, 56, 155, 114]
4581          SourceBlock         "xbsIndex_r4/Inverter"
4582          SourceType          "Xilinx Inverter Block"
4583          infoedit            "Bitwise logical negation (one's complem"
4584"ent) operator."
4585          en              "off"
4586          latency             "0"
4587          dbl_ovrd            "off"
4588          xl_use_area         "off"
4589          xl_area             "[0,0,0,0,0,0,0]"
4590          has_advanced_control    "0"
4591          sggui_pos           "20,20,356,237"
4592          block_type          "inv"
4593          block_version       "8.2.02"
4594          sg_icon_stat        "55,58,1,1,white,blue,0,1ab4a85f"
4595          sg_mask_display         "fprintf('','COMMENT: begin icon graphic"
4596"s');\npatch([0 55 55 0 ],[0 0 58 58 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13"
4597" 28 32 36 52 40 28 19 32 19 28 40 52 36 32 28 13 ],[7 16 29 42 51 51 47 51 51"
4598" 39 51 42 29 16 7 19 7 7 11 7 7 ],[0.98 0.96 0.92]);\nplot([0 0 55 55 0 ],[0 "
4599"58 58 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT"
4600": begin icon text');\ncolor('black');disp('not');\nfprintf('','COMMENT: end i"
4601"con text');\n"
4602        }
4603        Block {
4604          BlockType           Reference
4605          Name            "Logical"
4606          Ports           [2, 1]
4607          Position            [280, 25, 335, 85]
4608          SourceBlock         "xbsIndex_r4/Logical"
4609          SourceType          "Xilinx Logical Block Block"
4610          logical_function        "AND"
4611          inputs              "2"
4612          en              "off"
4613          latency             "0"
4614          precision           "Full"
4615          arith_type          "Unsigned"
4616          n_bits              "16"
4617          bin_pt              "0"
4618          align_bp            "on"
4619          dbl_ovrd            "off"
4620          xl_use_area         "off"
4621          xl_area             "[0,0,0,0,0,0,0]"
4622          has_advanced_control    "0"
4623          sggui_pos           "-1,-1,-1,-1"
4624          block_type          "logical"
4625          block_version       "8.2.02"
4626          sg_icon_stat        "55,60,2,1,white,blue,0,087b5522"
4627          sg_mask_display         "fprintf('','COMMENT: begin icon graphic"
4628"s');\npatch([0 55 55 0 ],[0 0 60 60 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13"
4629" 28 32 36 52 40 28 19 32 19 28 40 52 36 32 28 13 ],[8 17 30 43 52 52 48 52 52"
4630" 40 52 43 30 17 8 20 8 8 12 8 8 ],[0.98 0.96 0.92]);\nplot([0 0 55 55 0 ],[0 "
4631"60 60 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT"
4632": begin icon text');\ncolor('black');disp('\\newlineand\\newlinez^{-0}','texm"
4633"ode','on');\nfprintf('','COMMENT: end icon text');\n"
4634        }
4635        Block {
4636          BlockType           Outport
4637          Name            "Rising Edge"
4638          Position            [385, 48, 415, 62]
4639          IconDisplay         "Port number"
4640          BusOutputAsStruct       off
4641        }
4642        Line {
4643          SrcBlock            "Input Signal"
4644          SrcPort             1
4645          Points              [0, 0; 15, 0]
4646          Branch {
4647        DstBlock        "Logical"
4648        DstPort         1
4649          }
4650          Branch {
4651        Points          [0, 45]
4652        DstBlock        "Inverter"
4653        DstPort         1
4654          }
4655        }
4656        Line {
4657          SrcBlock            "Delay"
4658          SrcPort             1
4659          Points              [15, 0; 0, -15]
4660          DstBlock            "Logical"
4661          DstPort             2
4662        }
4663        Line {
4664          SrcBlock            "Inverter"
4665          SrcPort             1
4666          DstBlock            "Delay"
4667          DstPort             1
4668        }
4669        Line {
4670          SrcBlock            "Logical"
4671          SrcPort             1
4672          DstBlock            "Rising Edge"
4673          DstPort             1
4674        }
4675      }
4676    }
4677    Block {
4678      BlockType       Reference
4679      Name            "Relational"
4680      Ports           [2, 1]
4681      Position        [505, 542, 560, 598]
4682      SourceBlock         "xbsIndex_r4/Relational"
4683      SourceType          "Xilinx Arithmetic Relational Operator Block"
4684      mode            "a=b"
4685      en              "off"
4686      latency         "0"
4687      dbl_ovrd        "off"
4688      xl_use_area         "off"
4689      xl_area         "[0,0,0,0,0,0,0]"
4690      has_advanced_control    "0"
4691      sggui_pos       "-1,-1,-1,-1"
4692      block_type          "relational"
4693      block_version       "8.2.02"
4694      sg_icon_stat        "55,56,2,1,white,blue,0,1b68ef8e"
4695      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');"
4696"\npatch([0 55 55 0 ],[0 0 56 56 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13 28 "
4697"32 36 52 40 28 19 32 19 28 40 52 36 32 28 13 ],[6 15 28 41 50 50 46 50 50 38 "
4698"50 41 28 15 6 18 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 0 55 55 0 ],[0 56 5"
4699"6 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: be"
4700"gin icon text');\ncolor('black');port_label('input',1,'a');\ncolor('black');p"
4701"ort_label('input',2,'b');\ncolor('black');disp('\\newline\\bf{a=b}\\newlinez^"
4702"{-0}','texmode','on');\nfprintf('','COMMENT: end icon text');\n"
4703    }
4704    Block {
4705      BlockType       Reference
4706      Name            "Relational1"
4707      Ports           [2, 1]
4708      Position        [505, 672, 560, 728]
4709      SourceBlock         "xbsIndex_r4/Relational"
4710      SourceType          "Xilinx Arithmetic Relational Operator Block"
4711      mode            "a=b"
4712      en              "off"
4713      latency         "0"
4714      dbl_ovrd        "off"
4715      xl_use_area         "off"
4716      xl_area         "[0,0,0,0,0,0,0]"
4717      has_advanced_control    "0"
4718      sggui_pos       "-1,-1,-1,-1"
4719      block_type          "relational"
4720      block_version       "8.2.02"
4721      sg_icon_stat        "55,56,2,1,white,blue,0,1b68ef8e"
4722      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');"
4723"\npatch([0 55 55 0 ],[0 0 56 56 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13 28 "
4724"32 36 52 40 28 19 32 19 28 40 52 36 32 28 13 ],[6 15 28 41 50 50 46 50 50 38 "
4725"50 41 28 15 6 18 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 0 55 55 0 ],[0 56 5"
4726"6 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: be"
4727"gin icon text');\ncolor('black');port_label('input',1,'a');\ncolor('black');p"
4728"ort_label('input',2,'b');\ncolor('black');disp('\\newline\\bf{a=b}\\newlinez^"
4729"{-0}','texmode','on');\nfprintf('','COMMENT: end icon text');\n"
4730    }
4731    Block {
4732      BlockType       Reference
4733      Name            "Relational2"
4734      Ports           [2, 1]
4735      Position        [1230, 737, 1285, 793]
4736      SourceBlock         "xbsIndex_r4/Relational"
4737      SourceType          "Xilinx Arithmetic Relational Operator Block"
4738      mode            "a=b"
4739      en              "off"
4740      latency         "0"
4741      dbl_ovrd        "off"
4742      xl_use_area         "off"
4743      xl_area         "[0,0,0,0,0,0,0]"
4744      has_advanced_control    "0"
4745      sggui_pos       "-1,-1,-1,-1"
4746      block_type          "relational"
4747      block_version       "8.2.02"
4748      sg_icon_stat        "55,56,2,1,white,blue,0,1b68ef8e"
4749      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');"
4750"\npatch([0 55 55 0 ],[0 0 56 56 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13 28 "
4751"32 36 52 40 28 19 32 19 28 40 52 36 32 28 13 ],[6 15 28 41 50 50 46 50 50 38 "
4752"50 41 28 15 6 18 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 0 55 55 0 ],[0 56 5"
4753"6 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: be"
4754"gin icon text');\ncolor('black');port_label('input',1,'a');\ncolor('black');p"
4755"ort_label('input',2,'b');\ncolor('black');disp('\\newline\\bf{a=b}\\newlinez^"
4756"{-0}','texmode','on');\nfprintf('','COMMENT: end icon text');\n"
4757    }
4758    Block {
4759      BlockType       Reference
4760      Name            "SMWOAddr_gwin"
4761      Ports           [1, 1]
4762      Position        [1370, 580, 1435, 600]
4763      SourceBlock         "xbsIndex_r4/Gateway In"
4764      SourceType          "Xilinx Gateway In Block"
4765      infoedit        "Gateway in block.  Converts inputs of type "
4766"Simulink integer, double and fixed point to  Xilinx fixed point type.<P><P>Ha"
4767"rdware notes:  In hardware these blocks become top level input ports."
4768      arith_type          "Unsigned"
4769      n_bits          "12"
4770      bin_pt          "0"
4771      quantization        "Truncate"
4772      overflow        "Wrap"
4773      period          "1"
4774      dbl_ovrd        "off"
4775      timing_constraint   "None"
4776      locs_specified      "off"
4777      LOCs            "{}"
4778      xl_use_area         "off"
4779      xl_area         "[0,0,0,0,0,0,0]"
4780      has_advanced_control    "0"
4781      sggui_pos       "20,20,356,432"
4782      block_type          "gatewayin"
4783      block_version       "8.2.02"
4784      sg_icon_stat        "65,20,1,1,white,yellow,0,4bb76ffd"
4785      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');"
4786"\npatch([0 65 65 0 ],[0 0 20 20 ],[0.95 0.93 0.65]);\npatch([27 24 29 24 27 3"
4787"2 33 34 40 36 32 29 34 29 32 36 40 34 33 32 27 ],[2 5 10 15 18 18 17 18 18 14"
4788" 18 15 10 5 2 6 2 2 3 2 2 ],[0.98 0.96 0.92]);\nplot([0 0 65 65 0 ],[0 20 20 "
4789"0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begi"
4790"n icon text');\ncolor('black');port_label('input',1,'\\fontsize{11pt}\\bf In "
4791"','texmode','on');\ncolor('black');port_label('output',1,' ');\nfprintf('','C"
4792"OMMENT: end icon text');\n"
4793    }
4794    Block {
4795      BlockType       Reference
4796      Name            "SMWOAddr_gwin1"
4797      Ports           [1, 1]
4798      Position        [1000, 260, 1065, 280]
4799      SourceBlock         "xbsIndex_r4/Gateway In"
4800      SourceType          "Xilinx Gateway In Block"
4801      infoedit        "Gateway in block.  Converts inputs of type "
4802"Simulink integer, double and fixed point to  Xilinx fixed point type.<P><P>Ha"
4803"rdware notes:  In hardware these blocks become top level input ports."
4804      arith_type          "Unsigned"
4805      n_bits          "9"
4806      bin_pt          "0"
4807      quantization        "Truncate"
4808      overflow        "Wrap"
4809      period          "1"
4810      dbl_ovrd        "off"
4811      timing_constraint   "None"
4812      locs_specified      "off"
4813      LOCs            "{}"
4814      xl_use_area         "off"
4815      xl_area         "[0,0,0,0,0,0,0]"
4816      has_advanced_control    "0"
4817      sggui_pos       "20,20,356,432"
4818      block_type          "gatewayin"
4819      block_version       "8.2.02"
4820      sg_icon_stat        "65,20,1,1,white,yellow,0,4bb76ffd"
4821      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');"
4822"\npatch([0 65 65 0 ],[0 0 20 20 ],[0.95 0.93 0.65]);\npatch([27 24 29 24 27 3"
4823"2 33 34 40 36 32 29 34 29 32 36 40 34 33 32 27 ],[2 5 10 15 18 18 17 18 18 14"
4824" 18 15 10 5 2 6 2 2 3 2 2 ],[0.98 0.96 0.92]);\nplot([0 0 65 65 0 ],[0 20 20 "
4825"0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begi"
4826"n icon text');\ncolor('black');port_label('input',1,'\\fontsize{11pt}\\bf In "
4827"','texmode','on');\ncolor('black');port_label('output',1,' ');\nfprintf('','C"
4828"OMMENT: end icon text');\n"
4829    }
4830    Block {
4831      BlockType       Reference
4832      Name            "SMWODataI_gwin"
4833      Ports           [1, 1]
4834      Position        [1370, 615, 1435, 635]
4835      SourceBlock         "xbsIndex_r4/Gateway In"
4836      SourceType          "Xilinx Gateway In Block"
4837      infoedit        "Gateway in block.  Converts inputs of type "
4838"Simulink integer, double and fixed point to  Xilinx fixed point type.<P><P>Ha"
4839"rdware notes:  In hardware these blocks become top level input ports."
4840      arith_type          "Unsigned"
4841      n_bits          "32"
4842      bin_pt          "0"
4843      quantization        "Truncate"
4844      overflow        "Wrap"
4845      period          "1"
4846      dbl_ovrd        "off"
4847      timing_constraint   "None"
4848      locs_specified      "off"
4849      LOCs            "{}"
4850      xl_use_area         "off"
4851      xl_area         "[0,0,0,0,0,0,0]"
4852      has_advanced_control    "0"
4853      sggui_pos       "20,20,356,432"
4854      block_type          "gatewayin"
4855      block_version       "8.2.02"
4856      sg_icon_stat        "65,20,1,1,white,yellow,0,4bb76ffd"
4857      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');"
4858"\npatch([0 65 65 0 ],[0 0 20 20 ],[0.95 0.93 0.65]);\npatch([27 24 29 24 27 3"
4859"2 33 34 40 36 32 29 34 29 32 36 40 34 33 32 27 ],[2 5 10 15 18 18 17 18 18 14"
4860" 18 15 10 5 2 6 2 2 3 2 2 ],[0.98 0.96 0.92]);\nplot([0 0 65 65 0 ],[0 20 20 "
4861"0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begi"
4862"n icon text');\ncolor('black');port_label('input',1,'\\fontsize{11pt}\\bf In "
4863"','texmode','on');\ncolor('black');port_label('output',1,' ');\nfprintf('','C"
4864"OMMENT: end icon text');\n"
4865    }
4866    Block {
4867      BlockType       Reference
4868      Name            "SMWODataI_gwin1"
4869      Ports           [1, 1]
4870      Position        [1000, 295, 1065, 315]
4871      SourceBlock         "xbsIndex_r4/Gateway In"
4872      SourceType          "Xilinx Gateway In Block"
4873      infoedit        "Gateway in block.  Converts inputs of type "
4874"Simulink integer, double and fixed point to  Xilinx fixed point type.<P><P>Ha"
4875"rdware notes:  In hardware these blocks become top level input ports."
4876      arith_type          "Unsigned"
4877      n_bits          "32"
4878      bin_pt          "0"
4879      quantization        "Truncate"
4880      overflow        "Wrap"
4881      period          "1"
4882      dbl_ovrd        "off"
4883      timing_constraint   "None"
4884      locs_specified      "off"
4885      LOCs            "{}"
4886      xl_use_area         "off"
4887      xl_area         "[0,0,0,0,0,0,0]"
4888      has_advanced_control    "0"
4889      sggui_pos       "20,20,356,432"
4890      block_type          "gatewayin"
4891      block_version       "8.2.02"
4892      sg_icon_stat        "65,20,1,1,white,yellow,0,4bb76ffd"
4893      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');"
4894"\npatch([0 65 65 0 ],[0 0 20 20 ],[0.95 0.93 0.65]);\npatch([27 24 29 24 27 3"
4895"2 33 34 40 36 32 29 34 29 32 36 40 34 33 32 27 ],[2 5 10 15 18 18 17 18 18 14"
4896" 18 15 10 5 2 6 2 2 3 2 2 ],[0.98 0.96 0.92]);\nplot([0 0 65 65 0 ],[0 20 20 "
4897"0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begi"
4898"n icon text');\ncolor('black');port_label('input',1,'\\fontsize{11pt}\\bf In "
4899"','texmode','on');\ncolor('black');port_label('output',1,' ');\nfprintf('','C"
4900"OMMENT: end icon text');\n"
4901    }
4902    Block {
4903      BlockType       Reference
4904      Name            "SMWOWE_gwin"
4905      Ports           [1, 1]
4906      Position        [1370, 650, 1435, 670]
4907      SourceBlock         "xbsIndex_r4/Gateway In"
4908      SourceType          "Xilinx Gateway In Block"
4909      infoedit        "Gateway in block.  Converts inputs of type "
4910"Simulink integer, double and fixed point to  Xilinx fixed point type.<P><P>Ha"
4911"rdware notes:  In hardware these blocks become top level input ports."
4912      arith_type          "Boolean"
4913      n_bits          "16"
4914      bin_pt          "14"
4915      quantization        "Round  (unbiased: +/- Inf)"
4916      overflow        "Saturate"
4917      period          "1"
4918      dbl_ovrd        "off"
4919      timing_constraint   "None"
4920      locs_specified      "off"
4921      LOCs            "{}"
4922      xl_use_area         "off"
4923      xl_area         "[0,0,0,0,0,0,0]"
4924      has_advanced_control    "0"
4925      sggui_pos       "20,20,356,432"
4926      block_type          "gatewayin"
4927      block_version       "8.2.02"
4928      sg_icon_stat        "65,20,1,1,white,yellow,0,4bb76ffd"
4929      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');"
4930"\npatch([0 65 65 0 ],[0 0 20 20 ],[0.95 0.93 0.65]);\npatch([27 24 29 24 27 3"
4931"2 33 34 40 36 32 29 34 29 32 36 40 34 33 32 27 ],[2 5 10 15 18 18 17 18 18 14"
4932" 18 15 10 5 2 6 2 2 3 2 2 ],[0.98 0.96 0.92]);\nplot([0 0 65 65 0 ],[0 20 20 "
4933"0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begi"
4934"n icon text');\ncolor('black');port_label('input',1,'\\fontsize{11pt}\\bf In "
4935"','texmode','on');\ncolor('black');port_label('output',1,' ');\nfprintf('','C"
4936"OMMENT: end icon text');\n"
4937    }
4938    Block {
4939      BlockType       Reference
4940      Name            "SMWOWE_gwin1"
4941      Ports           [1, 1]
4942      Position        [1000, 335, 1065, 355]
4943      SourceBlock         "xbsIndex_r4/Gateway In"
4944      SourceType          "Xilinx Gateway In Block"
4945      infoedit        "Gateway in block.  Converts inputs of type "
4946"Simulink integer, double and fixed point to  Xilinx fixed point type.<P><P>Ha"
4947"rdware notes:  In hardware these blocks become top level input ports."
4948      arith_type          "Boolean"
4949      n_bits          "16"
4950      bin_pt          "14"
4951      quantization        "Round  (unbiased: +/- Inf)"
4952      overflow        "Saturate"
4953      period          "1"
4954      dbl_ovrd        "off"
4955      timing_constraint   "None"
4956      locs_specified      "off"
4957      LOCs            "{}"
4958      xl_use_area         "off"
4959      xl_area         "[0,0,0,0,0,0,0]"
4960      has_advanced_control    "0"
4961      sggui_pos       "20,20,356,432"
4962      block_type          "gatewayin"
4963      block_version       "8.2.02"
4964      sg_icon_stat        "65,20,1,1,white,yellow,0,4bb76ffd"
4965      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');"
4966"\npatch([0 65 65 0 ],[0 0 20 20 ],[0.95 0.93 0.65]);\npatch([27 24 29 24 27 3"
4967"2 33 34 40 36 32 29 34 29 32 36 40 34 33 32 27 ],[2 5 10 15 18 18 17 18 18 14"
4968" 18 15 10 5 2 6 2 2 3 2 2 ],[0.98 0.96 0.92]);\nplot([0 0 65 65 0 ],[0 20 20 "
4969"0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begi"
4970"n icon text');\ncolor('black');port_label('input',1,'\\fontsize{11pt}\\bf In "
4971"','texmode','on');\ncolor('black');port_label('output',1,' ');\nfprintf('','C"
4972"OMMENT: end icon text');\n"
4973    }
4974    Block {
4975      BlockType       Reference
4976      Name            "SMWO_LCD_CharacterMap"
4977      Ports           [6, 2]
4978      Position        [1545, 455, 1620, 620]
4979      SourceBlock         "xbsIndex_r4/Dual Port RAM"
4980      SourceType          "Xilinx Dual Port Random Access Memory Block"
4981      depth           "2^14"
4982      initVector          "charMap"
4983      distributed_mem     "Block RAM"
4984      init_a          "0"
4985      init_b          "0"
4986      rst_a           "off"
4987      rst_b           "off"
4988      en_a            "off"
4989      en_b            "off"
4990      latency         "1"
4991      write_mode_A        "Read After Write"
4992      write_mode_B        "Read After Write"
4993      dbl_ovrd        "off"
4994      optimize        "Area"
4995      use_rpm         "on"
4996      xl_use_area         "off"
4997      xl_area         "[0,0,0,0,0,0,0]"
4998      has_advanced_control    "0"
4999      sggui_pos       "-1,-1,-1,-1"
5000      block_type          "dpram"
5001      block_version       "8.2.02"
5002      sg_icon_stat        "75,165,6,2,white,blue,0,239f35c0"
5003      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');"
5004"\npatch([0 75 75 0 ],[0 0 165 165 ],[0.77 0.82 0.91]);\npatch([17 5 23 5 17 3"
5005"7 42 47 68 51 35 23 40 23 35 51 68 47 42 37 17 ],[53 65 83 101 113 113 108 11"
5006"3 113 96 112 100 83 66 54 70 53 53 58 53 53 ],[0.98 0.96 0.92]);\nplot([0 0 7"
5007"5 75 0 ],[0 165 165 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprin"
5008"tf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1,'addr"
5009"a');\ncolor('black');port_label('input',2,'dina');\ncolor('black');port_label"
5010"('input',3,'wea');\ncolor('black');port_label('input',4,'addrb');\ncolor('bla"
5011"ck');port_label('input',5,'dinb');\ncolor('black');port_label('input',6,'web'"
5012");\ncolor('black');port_label('output',1,'A');\ncolor('black');port_label('ou"
5013"tput',2,'B');\nfprintf('','COMMENT: end icon text');\n"
5014    }
5015    Block {
5016      BlockType       Reference
5017      Name            "SMWO_LCD_Characters"
5018      Ports           [6, 2]
5019      Position        [1175, 135, 1250, 300]
5020      SourceBlock         "xbsIndex_r4/Dual Port RAM"
5021      SourceType          "Xilinx Dual Port Random Access Memory Block"
5022      depth           "2^11"
5023      initVector          "0"
5024      distributed_mem     "Block RAM"
5025      init_a          "0"
5026      init_b          "0"
5027      rst_a           "off"
5028      rst_b           "off"
5029      en_a            "off"
5030      en_b            "off"
5031      latency         "1"
5032      write_mode_A        "Read After Write"
5033      write_mode_B        "Read After Write"
5034      dbl_ovrd        "off"
5035      optimize        "Area"
5036      use_rpm         "on"
5037      xl_use_area         "off"
5038      xl_area         "[0,0,0,0,0,0,0]"
5039      has_advanced_control    "0"
5040      sggui_pos       "-1,-1,-1,-1"
5041      block_type          "dpram"
5042      block_version       "8.2.02"
5043      sg_icon_stat        "75,165,6,2,white,blue,0,239f35c0"
5044      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');"
5045"\npatch([0 75 75 0 ],[0 0 165 165 ],[0.77 0.82 0.91]);\npatch([17 5 23 5 17 3"
5046"7 42 47 68 51 35 23 40 23 35 51 68 47 42 37 17 ],[53 65 83 101 113 113 108 11"
5047"3 113 96 112 100 83 66 54 70 53 53 58 53 53 ],[0.98 0.96 0.92]);\nplot([0 0 7"
5048"5 75 0 ],[0 165 165 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprin"
5049"tf('','COMMENT: begin icon text');\ncolor('black');port_label('input',1,'addr"
5050"a');\ncolor('black');port_label('input',2,'dina');\ncolor('black');port_label"
5051"('input',3,'wea');\ncolor('black');port_label('input',4,'addrb');\ncolor('bla"
5052"ck');port_label('input',5,'dinb');\ncolor('black');port_label('input',6,'web'"
5053");\ncolor('black');port_label('output',1,'A');\ncolor('black');port_label('ou"
5054"tput',2,'B');\nfprintf('','COMMENT: end icon text');\n"
5055    }
5056    Block {
5057      BlockType       Scope
5058      Name            "Scope1"
5059      Ports           [8]
5060      Position        [1480, 108, 1545, 252]
5061      Floating        off
5062      Location        [1, 45, 1913, 1113]
5063      Open            off
5064      NumInputPorts       "8"
5065      ZoomMode        "xonly"
5066      List {
5067        ListType            AxesTitles
5068        axes1           "%<SignalLabel>"
5069        axes2           "%<SignalLabel>"
5070        axes3           "%<SignalLabel>"
5071        axes4           "%<SignalLabel>"
5072        axes5           "%<SignalLabel>"
5073        axes6           "%<SignalLabel>"
5074        axes7           "%<SignalLabel>"
5075        axes8           "%<SignalLabel>"
5076      }
5077      YMin            "-5~-5~-5~-5~-5~-5~-5~0"
5078      YMax            "5~5~5~5~5~5~5~1000"
5079      SaveName        "ScopeData5"
5080      DataFormat          "StructureWithTime"
5081      LimitDataPoints     off
5082      SampleTime          "0"
5083    }
5084    Block {
5085      BlockType       Scope
5086      Name            "Scope2"
5087      Ports           [2]
5088      Position        [2280, 808, 2345, 952]
5089      Floating        off
5090      Location        [1, 45, 1913, 1113]
5091      Open            off
5092      NumInputPorts       "2"
5093      ZoomMode        "xonly"
5094      List {
5095        ListType            AxesTitles
5096        axes1           "%<SignalLabel>"
5097        axes2           "%<SignalLabel>"
5098      }
5099      YMin            "0~-5"
5100      YMax            "500~5"
5101      SaveName        "ScopeData2"
5102      DataFormat          "StructureWithTime"
5103      LimitDataPoints     off
5104      SampleTime          "0"
5105    }
5106    Block {
5107      BlockType       Reference
5108      Name            "Slice"
5109      Ports           [1, 1]
5110      Position        [860, 425, 920, 455]
5111      SourceBlock         "xbsIndex_r4/Slice"
5112      SourceType          "Xilinx Bit Slice Extractor Block"
5113      infoedit        "Extracts a given range of bits from each in"
5114"put sample and presents it at the output.  The output type is ordinarily unsi"
5115"gned with binary point at zero, but can be Boolean when the slice is one bit "
5116"wide.<P><P>Hardware notes: In hardware this block costs nothing."
5117      nbits           "4"
5118      boolean_output      "off"
5119      mode            "Lower Bit Location + Width"
5120      bit1            "0"
5121      base1           "MSB of Input"
5122      bit0            "2"
5123      base0           "LSB of Input"
5124      dbl_ovrd        "off"
5125      has_advanced_control    "0"
5126      sggui_pos       "20,20,442,407"
5127      block_type          "slice"
5128      block_version       "8.2.02"
5129      sg_icon_stat        "60,30,1,1,white,blue,0,fe24a11e"
5130      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');"
5131"\npatch([0 60 60 0 ],[0 0 30 30 ],[0.77 0.82 0.91]);\npatch([22 17 24 17 22 3"
5132"0 32 34 42 35 29 24 30 24 29 35 42 34 32 30 22 ],[3 8 15 22 27 27 25 27 27 20"
5133" 26 21 15 9 4 10 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 0 60 60 0 ],[0 30 30"
5134" 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: beg"
5135"in icon text');\ncolor('black');port_label('output',1,'[a:b]');\nfprintf('','"
5136"COMMENT: end icon text');\n"
5137    }
5138    Block {
5139      BlockType       Reference
5140      Name            "Slice1"
5141      Ports           [1, 1]
5142      Position        [860, 475, 920, 505]
5143      SourceBlock         "xbsIndex_r4/Slice"
5144      SourceType          "Xilinx Bit Slice Extractor Block"
5145      infoedit        "Extracts a given range of bits from each in"
5146"put sample and presents it at the output.  The output type is ordinarily unsi"
5147"gned with binary point at zero, but can be Boolean when the slice is one bit "
5148"wide.<P><P>Hardware notes: In hardware this block costs nothing."
5149      nbits           "2"
5150      boolean_output      "off"
5151      mode            "Lower Bit Location + Width"
5152      bit1            "0"
5153      base1           "MSB of Input"
5154      bit0            "0"
5155      base0           "LSB of Input"
5156      dbl_ovrd        "off"
5157      has_advanced_control    "0"
5158      sggui_pos       "20,20,442,407"
5159      block_type          "slice"
5160      block_version       "8.2.02"
5161      sg_icon_stat        "60,30,1,1,white,blue,0,fe24a11e"
5162      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');"
5163"\npatch([0 60 60 0 ],[0 0 30 30 ],[0.77 0.82 0.91]);\npatch([22 17 24 17 22 3"
5164"0 32 34 42 35 29 24 30 24 29 35 42 34 32 30 22 ],[3 8 15 22 27 27 25 27 27 20"
5165" 26 21 15 9 4 10 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 0 60 60 0 ],[0 30 30"
5166" 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: beg"
5167"in icon text');\ncolor('black');port_label('output',1,'[a:b]');\nfprintf('','"
5168"COMMENT: end icon text');\n"
5169    }
5170    Block {
5171      BlockType       Reference
5172      Name            "Slice10"
5173      Ports           [1, 1]
5174      Position        [1925, 540, 1985, 570]
5175      SourceBlock         "xbsIndex_r4/Slice"
5176      SourceType          "Xilinx Bit Slice Extractor Block"
5177      infoedit        "Extracts a given range of bits from each in"
5178"put sample and presents it at the output.  The output type is ordinarily unsi"
5179"gned with binary point at zero, but can be Boolean when the slice is one bit "
5180"wide.<P><P>Hardware notes: In hardware this block costs nothing."
5181      nbits           "4"
5182      boolean_output      "off"
5183      mode            "Upper Bit Location + Width"
5184      bit1            "0"
5185      base1           "MSB of Input"
5186      bit0            "0"
5187      base0           "LSB of Input"
5188      dbl_ovrd        "off"
5189      has_advanced_control    "0"
5190      sggui_pos       "20,20,442,407"
5191      block_type          "slice"
5192      block_version       "8.2.02"
5193      sg_icon_stat        "60,30,1,1,white,blue,0,fe24a11e"
5194      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');"
5195"\npatch([0 60 60 0 ],[0 0 30 30 ],[0.77 0.82 0.91]);\npatch([22 17 24 17 22 3"
5196"0 32 34 42 35 29 24 30 24 29 35 42 34 32 30 22 ],[3 8 15 22 27 27 25 27 27 20"
5197" 26 21 15 9 4 10 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 0 60 60 0 ],[0 30 30"
5198" 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: beg"
5199"in icon text');\ncolor('black');port_label('output',1,'[a:b]');\nfprintf('','"
5200"COMMENT: end icon text');\n"
5201    }
5202    Block {
5203      BlockType       Reference
5204      Name            "Slice11"
5205      Ports           [1, 1]
5206      Position        [1925, 645, 1985, 675]
5207      SourceBlock         "xbsIndex_r4/Slice"
5208      SourceType          "Xilinx Bit Slice Extractor Block"
5209      infoedit        "Extracts a given range of bits from each in"
5210"put sample and presents it at the output.  The output type is ordinarily unsi"
5211"gned with binary point at zero, but can be Boolean when the slice is one bit "
5212"wide.<P><P>Hardware notes: In hardware this block costs nothing."
5213      nbits           "4"
5214      boolean_output      "off"
5215      mode            "Lower Bit Location + Width"
5216      bit1            "4"
5217      base1           "MSB of Input"
5218      bit0            "6"
5219      base0           "LSB of Input"
5220      dbl_ovrd        "off"
5221      has_advanced_control    "0"
5222      sggui_pos       "20,20,442,407"
5223      block_type          "slice"
5224      block_version       "8.2.02"
5225      sg_icon_stat        "60,30,1,1,white,blue,0,fe24a11e"
5226      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');"
5227"\npatch([0 60 60 0 ],[0 0 30 30 ],[0.77 0.82 0.91]);\npatch([22 17 24 17 22 3"
5228"0 32 34 42 35 29 24 30 24 29 35 42 34 32 30 22 ],[3 8 15 22 27 27 25 27 27 20"
5229" 26 21 15 9 4 10 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 0 60 60 0 ],[0 30 30"
5230" 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: beg"
5231"in icon text');\ncolor('black');port_label('output',1,'[a:b]');\nfprintf('','"
5232"COMMENT: end icon text');\n"
5233    }
5234    Block {
5235      BlockType       Reference
5236      Name            "Slice2"
5237      Ports           [1, 1]
5238      Position        [550, 140, 610, 170]
5239      SourceBlock         "xbsIndex_r4/Slice"
5240      SourceType          "Xilinx Bit Slice Extractor Block"
5241      infoedit        "Extracts a given range of bits from each in"
5242"put sample and presents it at the output.  The output type is ordinarily unsi"
5243"gned with binary point at zero, but can be Boolean when the slice is one bit "
5244"wide.<P><P>Hardware notes: In hardware this block costs nothing."
5245      nbits           "6"
5246      boolean_output      "off"
5247      mode            "Lower Bit Location + Width"
5248      bit1            "0"
5249      base1           "MSB of Input"
5250      bit0            "2"
5251      base0           "LSB of Input"
5252      dbl_ovrd        "off"
5253      has_advanced_control    "0"
5254      sggui_pos       "20,20,442,407"
5255      block_type          "slice"
5256      block_version       "8.2.02"
5257      sg_icon_stat        "60,30,1,1,white,blue,0,fe24a11e"
5258      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');"
5259"\npatch([0 60 60 0 ],[0 0 30 30 ],[0.77 0.82 0.91]);\npatch([22 17 24 17 22 3"
5260"0 32 34 42 35 29 24 30 24 29 35 42 34 32 30 22 ],[3 8 15 22 27 27 25 27 27 20"
5261" 26 21 15 9 4 10 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 0 60 60 0 ],[0 30 30"
5262" 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: beg"
5263"in icon text');\ncolor('black');port_label('output',1,'[a:b]');\nfprintf('','"
5264"COMMENT: end icon text');\n"
5265    }
5266    Block {
5267      BlockType       Reference
5268      Name            "Slice3"
5269      Ports           [1, 1]
5270      Position        [550, 190, 610, 220]
5271      SourceBlock         "xbsIndex_r4/Slice"
5272      SourceType          "Xilinx Bit Slice Extractor Block"
5273      infoedit        "Extracts a given range of bits from each in"
5274"put sample and presents it at the output.  The output type is ordinarily unsi"
5275"gned with binary point at zero, but can be Boolean when the slice is one bit "
5276"wide.<P><P>Hardware notes: In hardware this block costs nothing."
5277      nbits           "2"
5278      boolean_output      "off"
5279      mode            "Lower Bit Location + Width"
5280      bit1            "0"
5281      base1           "MSB of Input"
5282      bit0            "0"
5283      base0           "LSB of Input"
5284      dbl_ovrd        "off"
5285      has_advanced_control    "0"
5286      sggui_pos       "20,20,442,407"
5287      block_type          "slice"
5288      block_version       "8.2.02"
5289      sg_icon_stat        "60,30,1,1,white,blue,0,fe24a11e"
5290      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');"
5291"\npatch([0 60 60 0 ],[0 0 30 30 ],[0.77 0.82 0.91]);\npatch([22 17 24 17 22 3"
5292"0 32 34 42 35 29 24 30 24 29 35 42 34 32 30 22 ],[3 8 15 22 27 27 25 27 27 20"
5293" 26 21 15 9 4 10 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 0 60 60 0 ],[0 30 30"
5294" 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: beg"
5295"in icon text');\ncolor('black');port_label('output',1,'[a:b]');\nfprintf('','"
5296"COMMENT: end icon text');\n"
5297    }
5298    Block {
5299      BlockType       Reference
5300      Name            "Slice4"
5301      Ports           [1, 1]
5302      Position        [420, 190, 480, 220]
5303      SourceBlock         "xbsIndex_r4/Slice"
5304      SourceType          "Xilinx Bit Slice Extractor Block"
5305      infoedit        "Extracts a given range of bits from each in"
5306"put sample and presents it at the output.  The output type is ordinarily unsi"
5307"gned with binary point at zero, but can be Boolean when the slice is one bit "
5308"wide.<P><P>Hardware notes: In hardware this block costs nothing."
5309      nbits           "8"
5310      boolean_output      "off"
5311      mode            "Lower Bit Location + Width"
5312      bit1            "0"
5313      base1           "MSB of Input"
5314      bit0            "6"
5315      base0           "LSB of Input"
5316      dbl_ovrd        "off"
5317      has_advanced_control    "0"
5318      sggui_pos       "20,20,442,407"
5319      block_type          "slice"
5320      block_version       "8.2.02"
5321      sg_icon_stat        "60,30,1,1,white,blue,0,fe24a11e"
5322      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');"
5323"\npatch([0 60 60 0 ],[0 0 30 30 ],[0.77 0.82 0.91]);\npatch([22 17 24 17 22 3"
5324"0 32 34 42 35 29 24 30 24 29 35 42 34 32 30 22 ],[3 8 15 22 27 27 25 27 27 20"
5325" 26 21 15 9 4 10 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 0 60 60 0 ],[0 30 30"
5326" 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: beg"
5327"in icon text');\ncolor('black');port_label('output',1,'[a:b]');\nfprintf('','"
5328"COMMENT: end icon text');\n"
5329    }
5330    Block {
5331      BlockType       Reference
5332      Name            "Slice5"
5333      Ports           [1, 1]
5334      Position        [690, 475, 750, 505]
5335      SourceBlock         "xbsIndex_r4/Slice"
5336      SourceType          "Xilinx Bit Slice Extractor Block"
5337      infoedit        "Extracts a given range of bits from each in"
5338"put sample and presents it at the output.  The output type is ordinarily unsi"
5339"gned with binary point at zero, but can be Boolean when the slice is one bit "
5340"wide.<P><P>Hardware notes: In hardware this block costs nothing."
5341      nbits           "6"
5342      boolean_output      "off"
5343      mode            "Lower Bit Location + Width"
5344      bit1            "0"
5345      base1           "MSB of Input"
5346      bit0            "0"
5347      base0           "LSB of Input"
5348      dbl_ovrd        "off"
5349      has_advanced_control    "0"
5350      sggui_pos       "20,20,442,407"
5351      block_type          "slice"
5352      block_version       "8.2.02"
5353      sg_icon_stat        "60,30,1,1,white,blue,0,fe24a11e"
5354      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');"
5355"\npatch([0 60 60 0 ],[0 0 30 30 ],[0.77 0.82 0.91]);\npatch([22 17 24 17 22 3"
5356"0 32 34 42 35 29 24 30 24 29 35 42 34 32 30 22 ],[3 8 15 22 27 27 25 27 27 20"
5357" 26 21 15 9 4 10 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 0 60 60 0 ],[0 30 30"
5358" 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: beg"
5359"in icon text');\ncolor('black');port_label('output',1,'[a:b]');\nfprintf('','"
5360"COMMENT: end icon text');\n"
5361    }
5362    Block {
5363      BlockType       Reference
5364      Name            "Slice6"
5365      Ports           [1, 1]
5366      Position        [410, 540, 470, 570]
5367      SourceBlock         "xbsIndex_r4/Slice"
5368      SourceType          "Xilinx Bit Slice Extractor Block"
5369      infoedit        "Extracts a given range of bits from each in"
5370"put sample and presents it at the output.  The output type is ordinarily unsi"
5371"gned with binary point at zero, but can be Boolean when the slice is one bit "
5372"wide.<P><P>Hardware notes: In hardware this block costs nothing."
5373      nbits           "6"
5374      boolean_output      "off"
5375      mode            "Lower Bit Location + Width"
5376      bit1            "0"
5377      base1           "MSB of Input"
5378      bit0            "0"
5379      base0           "LSB of Input"
5380      dbl_ovrd        "off"
5381      has_advanced_control    "0"
5382      sggui_pos       "20,20,442,407"
5383      block_type          "slice"
5384      block_version       "8.2.02"
5385      sg_icon_stat        "60,30,1,1,white,blue,0,fe24a11e"
5386      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');"
5387"\npatch([0 60 60 0 ],[0 0 30 30 ],[0.77 0.82 0.91]);\npatch([22 17 24 17 22 3"
5388"0 32 34 42 35 29 24 30 24 29 35 42 34 32 30 22 ],[3 8 15 22 27 27 25 27 27 20"
5389" 26 21 15 9 4 10 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 0 60 60 0 ],[0 30 30"
5390" 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: beg"
5391"in icon text');\ncolor('black');port_label('output',1,'[a:b]');\nfprintf('','"
5392"COMMENT: end icon text');\n"
5393    }
5394    Block {
5395      BlockType       Reference
5396      Name            "Slice7"
5397      Ports           [1, 1]
5398      Position        [410, 670, 470, 700]
5399      SourceBlock         "xbsIndex_r4/Slice"
5400      SourceType          "Xilinx Bit Slice Extractor Block"
5401      infoedit        "Extracts a given range of bits from each in"
5402"put sample and presents it at the output.  The output type is ordinarily unsi"
5403"gned with binary point at zero, but can be Boolean when the slice is one bit "
5404"wide.<P><P>Hardware notes: In hardware this block costs nothing."
5405      nbits           "4"
5406      boolean_output      "off"
5407      mode            "Lower Bit Location + Width"
5408      bit1            "0"
5409      base1           "MSB of Input"
5410      bit0            "6"
5411      base0           "LSB of Input"
5412      dbl_ovrd        "off"
5413      has_advanced_control    "0"
5414      sggui_pos       "20,20,442,407"
5415      block_type          "slice"
5416      block_version       "8.2.02"
5417      sg_icon_stat        "60,30,1,1,white,blue,0,fe24a11e"
5418      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');"
5419"\npatch([0 60 60 0 ],[0 0 30 30 ],[0.77 0.82 0.91]);\npatch([22 17 24 17 22 3"
5420"0 32 34 42 35 29 24 30 24 29 35 42 34 32 30 22 ],[3 8 15 22 27 27 25 27 27 20"
5421" 26 21 15 9 4 10 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 0 60 60 0 ],[0 30 30"
5422" 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: beg"
5423"in icon text');\ncolor('black');port_label('output',1,'[a:b]');\nfprintf('','"
5424"COMMENT: end icon text');\n"
5425    }
5426    Block {
5427      BlockType       Reference
5428      Name            "Slice8"
5429      Ports           [1, 1]
5430      Position        [2235, 580, 2295, 610]
5431      SourceBlock         "xbsIndex_r4/Slice"
5432      SourceType          "Xilinx Bit Slice Extractor Block"
5433      infoedit        "Extracts a given range of bits from each in"
5434"put sample and presents it at the output.  The output type is ordinarily unsi"
5435"gned with binary point at zero, but can be Boolean when the slice is one bit "
5436"wide.<P><P>Hardware notes: In hardware this block costs nothing."
5437      nbits           "8"
5438      boolean_output      "off"
5439      mode            "Lower Bit Location + Width"
5440      bit1            "0"
5441      base1           "MSB of Input"
5442      bit0            "0"
5443      base0           "LSB of Input"
5444      dbl_ovrd        "off"
5445      has_advanced_control    "0"
5446      sggui_pos       "20,20,442,407"
5447      block_type          "slice"
5448      block_version       "8.2.02"
5449      sg_icon_stat        "60,30,1,1,white,blue,0,fe24a11e"
5450      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');"
5451"\npatch([0 60 60 0 ],[0 0 30 30 ],[0.77 0.82 0.91]);\npatch([22 17 24 17 22 3"
5452"0 32 34 42 35 29 24 30 24 29 35 42 34 32 30 22 ],[3 8 15 22 27 27 25 27 27 20"
5453" 26 21 15 9 4 10 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 0 60 60 0 ],[0 30 30"
5454" 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: beg"
5455"in icon text');\ncolor('black');port_label('output',1,'[a:b]');\nfprintf('','"
5456"COMMENT: end icon text');\n"
5457    }
5458    Block {
5459      BlockType       Reference
5460      Name            "Slice9"
5461      Ports           [1, 1]
5462      Position        [2235, 530, 2295, 560]
5463      SourceBlock         "xbsIndex_r4/Slice"
5464      SourceType          "Xilinx Bit Slice Extractor Block"
5465      infoedit        "Extracts a given range of bits from each in"
5466"put sample and presents it at the output.  The output type is ordinarily unsi"
5467"gned with binary point at zero, but can be Boolean when the slice is one bit "
5468"wide.<P><P>Hardware notes: In hardware this block costs nothing."
5469      nbits           "1"
5470      boolean_output      "off"
5471      mode            "Lower Bit Location + Width"
5472      bit1            "0"
5473      base1           "MSB of Input"
5474      bit0            "8"
5475      base0           "LSB of Input"
5476      dbl_ovrd        "off"
5477      has_advanced_control    "0"
5478      sggui_pos       "20,20,442,407"
5479      block_type          "slice"
5480      block_version       "8.2.02"
5481      sg_icon_stat        "60,30,1,1,white,blue,0,fe24a11e"
5482      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');"
5483"\npatch([0 60 60 0 ],[0 0 30 30 ],[0.77 0.82 0.91]);\npatch([22 17 24 17 22 3"
5484"0 32 34 42 35 29 24 30 24 29 35 42 34 32 30 22 ],[3 8 15 22 27 27 25 27 27 20"
5485" 26 21 15 9 4 10 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 0 60 60 0 ],[0 30 30"
5486" 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: beg"
5487"in icon text');\ncolor('black');port_label('output',1,'[a:b]');\nfprintf('','"
5488"COMMENT: end icon text');\n"
5489    }
5490    Block {
5491      BlockType       SubSystem
5492      Name            "Subsystem"
5493      Ports           [3, 1]
5494      Position        [1040, 688, 1090, 762]
5495      TreatAsAtomicUnit   off
5496      MinAlgLoopOccurrences   off
5497      RTWSystemCode       "Auto"
5498      MaskHideContents    off
5499      System {
5500        Name            "Subsystem"
5501        Location            [1049, 695, 1274, 807]
5502        Open            off
5503        ModelBrowserVisibility  off
5504        ModelBrowserWidth       200
5505        ScreenColor         "white"
5506        PaperOrientation        "landscape"
5507        PaperPositionMode       "auto"
5508        PaperType           "usletter"
5509        PaperUnits          "inches"
5510        ZoomFactor          "100"
5511        Block {
5512          BlockType           Inport
5513          Name            "6bitval"
5514          Position            [35, 148, 65, 162]
5515          IconDisplay         "Port number"
5516        }
5517        Block {
5518          BlockType           Inport
5519          Name            "TransferDone"
5520          Position            [35, 178, 65, 192]
5521          Port            "2"
5522          IconDisplay         "Port number"
5523        }
5524        Block {
5525          BlockType           Inport
5526          Name            "Not0"
5527          Position            [35, 233, 65, 247]
5528          Port            "3"
5529          IconDisplay         "Port number"
5530        }
5531        Block {
5532          BlockType           Reference
5533          Name            "Delay"
5534          Ports           [1, 1]
5535          Position            [115, 166, 160, 204]
5536          SourceBlock         "xbsIndex_r4/Delay"
5537          SourceType          "Xilinx Delay Block"
5538          infoedit            "Hardware notes: A delay line is a chain"
5539", each link of which is an SRL16 followed by a flip-flop. If register retimin"
5540"g is enabled, the delay line is a chain of flip-flops."
5541          en              "off"
5542          latency             "1"
5543          dbl_ovrd            "off"
5544          reg_retiming        "off"
5545          xl_use_area         "off"
5546          xl_area             "[0,0,0,0,0,0,0]"
5547          has_advanced_control    "0"
5548          sggui_pos           "-1,-1,-1,-1"
5549          block_type          "delay"
5550          block_version       "8.2.02"
5551          sg_icon_stat        "45,38,1,1,white,blue,0,fc531c0e"
5552          sg_mask_display         "fprintf('','COMMENT: begin icon graphic"
5553"s');\npatch([0 45 45 0 ],[0 0 38 38 ],[0.77 0.82 0.91]);\npatch([12 6 15 6 12"
5554" 22 25 28 39 31 23 17 26 17 23 31 39 28 25 22 12 ],[4 10 19 28 34 34 31 34 34"
5555" 26 34 28 19 10 4 12 4 4 7 4 4 ],[0.98 0.96 0.92]);\nplot([0 0 45 45 0 ],[0 3"
5556"8 38 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT:"
5557" begin icon text');\ncolor('black');disp('z^{-1}','texmode','on');\nfprintf('"
5558"','COMMENT: end icon text');\n"
5559        }
5560        Block {
5561          BlockType           Reference
5562          Name            "Logical1"
5563          Ports           [2, 1]
5564          Position            [220, 225, 275, 285]
5565          SourceBlock         "xbsIndex_r4/Logical"
5566          SourceType          "Xilinx Logical Block Block"
5567          logical_function        "AND"
5568          inputs              "2"
5569          en              "off"
5570          latency             "0"
5571          precision           "Full"
5572          arith_type          "Unsigned"
5573          n_bits              "16"
5574          bin_pt              "0"
5575          align_bp            "on"
5576          dbl_ovrd            "off"
5577          xl_use_area         "off"
5578          xl_area             "[0,0,0,0,0,0,0]"
5579          has_advanced_control    "0"
5580          sggui_pos           "-1,-1,-1,-1"
5581          block_type          "logical"
5582          block_version       "8.2.02"
5583          sg_icon_stat        "55,60,2,1,white,blue,0,087b5522"
5584          sg_mask_display         "fprintf('','COMMENT: begin icon graphic"
5585"s');\npatch([0 55 55 0 ],[0 0 60 60 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13"
5586" 28 32 36 52 40 28 19 32 19 28 40 52 36 32 28 13 ],[8 17 30 43 52 52 48 52 52"
5587" 40 52 43 30 17 8 20 8 8 12 8 8 ],[0.98 0.96 0.92]);\nplot([0 0 55 55 0 ],[0 "
5588"60 60 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT"
5589": begin icon text');\ncolor('black');disp('\\newlineand\\newlinez^{-0}','texm"
5590"ode','on');\nfprintf('','COMMENT: end icon text');\n"
5591        }
5592        Block {
5593          BlockType           Reference
5594          Name            "Logical2"
5595          Ports           [2, 1]
5596          Position            [220, 140, 275, 200]
5597          SourceBlock         "xbsIndex_r4/Logical"
5598          SourceType          "Xilinx Logical Block Block"
5599          logical_function        "AND"
5600          inputs              "2"
5601          en              "off"
5602          latency             "0"
5603          precision           "Full"
5604          arith_type          "Unsigned"
5605          n_bits              "16"
5606          bin_pt              "0"
5607          align_bp            "on"
5608          dbl_ovrd            "off"
5609          xl_use_area         "off"
5610          xl_area             "[0,0,0,0,0,0,0]"
5611          has_advanced_control    "0"
5612          sggui_pos           "-1,-1,-1,-1"
5613          block_type          "logical"
5614          block_version       "8.2.02"
5615          sg_icon_stat        "55,60,2,1,white,blue,0,087b5522"
5616          sg_mask_display         "fprintf('','COMMENT: begin icon graphic"
5617"s');\npatch([0 55 55 0 ],[0 0 60 60 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13"
5618" 28 32 36 52 40 28 19 32 19 28 40 52 36 32 28 13 ],[8 17 30 43 52 52 48 52 52"
5619" 40 52 43 30 17 8 20 8 8 12 8 8 ],[0.98 0.96 0.92]);\nplot([0 0 55 55 0 ],[0 "
5620"60 60 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT"
5621": begin icon text');\ncolor('black');disp('\\newlineand\\newlinez^{-0}','texm"
5622"ode','on');\nfprintf('','COMMENT: end icon text');\n"
5623        }
5624        Block {
5625          BlockType           Reference
5626          Name            "Logical3"
5627          Ports           [2, 1]
5628          Position            [320, 180, 375, 240]
5629          SourceBlock         "xbsIndex_r4/Logical"
5630          SourceType          "Xilinx Logical Block Block"
5631          logical_function        "OR"
5632          inputs              "2"
5633          en              "off"
5634          latency             "0"
5635          precision           "Full"
5636          arith_type          "Unsigned"
5637          n_bits              "16"
5638          bin_pt              "0"
5639          align_bp            "on"
5640          dbl_ovrd            "off"
5641          xl_use_area         "off"
5642          xl_area             "[0,0,0,0,0,0,0]"
5643          has_advanced_control    "0"
5644          sggui_pos           "-1,-1,-1,-1"
5645          block_type          "logical"
5646          block_version       "8.2.02"
5647          sg_icon_stat        "55,60,2,1,white,blue,0,f4a65842"
5648          sg_mask_display         "fprintf('','COMMENT: begin icon graphic"
5649"s');\npatch([0 55 55 0 ],[0 0 60 60 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13"
5650" 28 32 36 52 40 28 19 32 19 28 40 52 36 32 28 13 ],[8 17 30 43 52 52 48 52 52"
5651" 40 52 43 30 17 8 20 8 8 12 8 8 ],[0.98 0.96 0.92]);\nplot([0 0 55 55 0 ],[0 "
5652"60 60 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT"
5653": begin icon text');\ncolor('black');disp('\\newlineor\\newlinez^{-0}','texmo"
5654"de','on');\nfprintf('','COMMENT: end icon text');\n"
5655        }
5656        Block {
5657          BlockType           Outport
5658          Name            "Out1"
5659          Position            [420, 203, 450, 217]
5660          IconDisplay         "Port number"
5661          BusOutputAsStruct       off
5662        }
5663        Line {
5664          SrcBlock            "6bitval"
5665          SrcPort             1
5666          DstBlock            "Logical2"
5667          DstPort             1
5668        }
5669        Line {
5670          SrcBlock            "TransferDone"
5671          SrcPort             1
5672          DstBlock            "Delay"
5673          DstPort             1
5674        }
5675        Line {
5676          SrcBlock            "Logical3"
5677          SrcPort             1
5678          Points              [0, 0]
5679          DstBlock            "Out1"
5680          DstPort             1
5681        }
5682        Line {
5683          SrcBlock            "Logical2"
5684          SrcPort             1
5685          Points              [15, 0; 0, 25]
5686          DstBlock            "Logical3"
5687          DstPort             1
5688        }
5689        Line {
5690          SrcBlock            "Logical1"
5691          SrcPort             1
5692          Points              [15, 0; 0, -30]
5693          DstBlock            "Logical3"
5694          DstPort             2
5695        }
5696        Line {
5697          SrcBlock            "Not0"
5698          SrcPort             1
5699          DstBlock            "Logical1"
5700          DstPort             1
5701        }
5702        Line {
5703          SrcBlock            "Delay"
5704          SrcPort             1
5705          Points              [20, 0]
5706          Branch {
5707        DstBlock        "Logical2"
5708        DstPort         2
5709          }
5710          Branch {
5711        Points          [0, 85]
5712        DstBlock        "Logical1"
5713        DstPort         2
5714          }
5715        }
5716      }
5717    }
5718    Block {
5719      BlockType       SubSystem
5720      Name            "Subsystem1"
5721      Ports           [1, 2]
5722      Position        [2000, 516, 2080, 589]
5723      TreatAsAtomicUnit   off
5724      MinAlgLoopOccurrences   off
5725      RTWSystemCode       "Auto"
5726      MaskHideContents    off
5727      System {
5728        Name            "Subsystem1"
5729        Location            [1219, 835, 1479, 1042]
5730        Open            off
5731        ModelBrowserVisibility  off
5732        ModelBrowserWidth       200
5733        ScreenColor         "white"
5734        PaperOrientation        "landscape"
5735        PaperPositionMode       "auto"
5736        PaperType           "usletter"
5737        PaperUnits          "inches"
5738        ZoomFactor          "100"
5739        Block {
5740          BlockType           Inport
5741          Name            "4bits"
5742          Position            [35, 43, 65, 57]
5743          IconDisplay         "Port number"
5744        }
5745        Block {
5746          BlockType           Reference
5747          Name            "AddSub"
5748          Ports           [2, 1]
5749          Position            [310, 51, 370, 109]
5750          SourceBlock         "xbsIndex_r4/AddSub"
5751          SourceType          "Xilinx Adder/Subtractor Block"
5752          mode            "Addition"
5753          use_carryin         "off"
5754          use_carryout        "off"
5755          en              "off"
5756          latency             "0"
5757          precision           "Full"
5758          arith_type          "Unsigned"
5759          n_bits              "16"
5760          bin_pt              "14"
5761          quantization        "Truncate"
5762          overflow            "Wrap"
5763          dbl_ovrd            "off"
5764          use_behavioral_HDL      "off"
5765          pipelined           "off"
5766          use_rpm             "on"
5767          xl_use_area         "off"
5768          xl_area             "[0,0,0,0,0,0,0]"
5769          has_advanced_control    "0"
5770          sggui_pos           "-1,-1,-1,-1"
5771          block_type          "addsub"
5772          block_version       "8.2.02"
5773          sg_icon_stat        "60,58,2,1,white,blue,0,84d1e665"
5774          sg_mask_display         "fprintf('','COMMENT: begin icon graphic"
5775"s');\npatch([0 60 60 0 ],[0 0 58 58 ],[0.77 0.82 0.91]);\npatch([15 5 19 5 15"
5776" 30 34 38 54 41 29 20 34 20 29 41 54 38 34 30 15 ],[6 16 30 44 54 54 50 54 54"
5777" 41 53 44 30 16 7 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 0 60 60 0 ],[0 "
5778"58 58 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT"
5779": begin icon text');\ncolor('black');port_label('input',1,'a');\ncolor('black"
5780"');port_label('input',2,'b');\ncolor('black');port_label('output',1,'\\bf{a +"
5781" b}','texmode','on');\nfprintf('','COMMENT: end icon text');\n"
5782        }
5783        Block {
5784          BlockType           Reference
5785          Name            "AddSub1"
5786          Ports           [2, 1]
5787          Position            [310, 151, 370, 209]
5788          SourceBlock         "xbsIndex_r4/AddSub"
5789          SourceType          "Xilinx Adder/Subtractor Block"
5790          mode            "Addition"
5791          use_carryin         "off"
5792          use_carryout        "off"
5793          en              "off"
5794          latency             "0"
5795          precision           "Full"
5796          arith_type          "Unsigned"
5797          n_bits              "16"
5798          bin_pt              "14"
5799          quantization        "Truncate"
5800          overflow            "Wrap"
5801          dbl_ovrd            "off"
5802          use_behavioral_HDL      "off"
5803          pipelined           "off"
5804          use_rpm             "on"
5805          xl_use_area         "off"
5806          xl_area             "[0,0,0,0,0,0,0]"
5807          has_advanced_control    "0"
5808          sggui_pos           "-1,-1,-1,-1"
5809          block_type          "addsub"
5810          block_version       "8.2.02"
5811          sg_icon_stat        "60,58,2,1,white,blue,0,84d1e665"
5812          sg_mask_display         "fprintf('','COMMENT: begin icon graphic"
5813"s');\npatch([0 60 60 0 ],[0 0 58 58 ],[0.77 0.82 0.91]);\npatch([15 5 19 5 15"
5814" 30 34 38 54 41 29 20 34 20 29 41 54 38 34 30 15 ],[6 16 30 44 54 54 50 54 54"
5815" 41 53 44 30 16 7 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 0 60 60 0 ],[0 "
5816"58 58 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT"
5817": begin icon text');\ncolor('black');port_label('input',1,'a');\ncolor('black"
5818"');port_label('input',2,'b');\ncolor('black');port_label('output',1,'\\bf{a +"
5819" b}','texmode','on');\nfprintf('','COMMENT: end icon text');\n"
5820        }
5821        Block {
5822          BlockType           Reference
5823          Name            "Concat6"
5824          Ports           [2, 1]
5825          Position            [190, 35, 250, 95]
5826          SourceBlock         "xbsIndex_r4/Concat"
5827          SourceType          "Xilinx Bus Concatenator Block"
5828          infoedit            "Concatenates two or more inputs.  Outpu"
5829"t will be cast to an unsigned value with the binary point at zero."
5830          num_inputs          "2"
5831          dbl_ovrd            "off"
5832          has_advanced_control    "0"
5833          sggui_pos           "-1,-1,-1,-1"
5834          block_type          "concat"
5835          block_version       "8.2.02"
5836          sg_icon_stat        "60,60,2,1,white,blue,0,59d62d82"
5837          sg_mask_display         "fprintf('','COMMENT: begin icon graphic"
5838"s');\npatch([0 60 60 0 ],[0 0 60 60 ],[0.77 0.82 0.91]);\npatch([14 4 18 4 14"
5839" 30 34 38 55 42 29 20 35 20 29 42 55 38 34 30 14 ],[6 16 30 44 54 54 50 54 54"
5840" 41 54 45 30 15 6 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 0 60 60 0 ],[0 "
5841"60 60 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT"
5842": begin icon text');\ncolor('black');port_label('input',1,'hi');\ncolor('blac"
5843"k');port_label('input',2,'lo');\nfprintf('','COMMENT: end icon text');\n"
5844        }
5845        Block {
5846          BlockType           Reference
5847          Name            "Constant"
5848          Ports           [0, 1]
5849          Position            [75, 67, 130, 93]
5850          SourceBlock         "xbsIndex_r4/Constant"
5851          SourceType          "Xilinx Constant Block Block"
5852          arith_type          "Unsigned"
5853          const           "0"
5854          n_bits              "3"
5855          bin_pt              "0"
5856          explicit_period         "on"
5857          period              "1"
5858          dsp48_infoedit          "The use of this block for DSP48 instruc"
5859"tions is deprecated.  Please use the Opmode block."
5860          equ             "P=C"
5861          opselect            "C"
5862          inp2            "PCIN>>17"
5863          opr             "+"
5864          inp1            "P"
5865          carry           "CIN"
5866          dbl_ovrd            "off"
5867          has_advanced_control    "0"
5868          sggui_pos           "-1,-1,-1,-1"
5869          block_type          "constant"
5870          block_version       "8.2.02"
5871          sg_icon_stat        "55,26,0,1,white,blue,0,c7c04a0c"
5872          sg_mask_display         "fprintf('','COMMENT: begin icon graphic"
5873"s');\npatch([0 55 55 0 ],[0 0 26 26 ],[0.77 0.82 0.91]);\npatch([20 16 22 16 "
5874"20 27 29 31 38 32 26 22 28 22 26 32 38 31 29 27 20 ],[3 7 13 19 23 23 21 23 2"
5875"3 17 23 19 13 7 3 9 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 0 55 55 0 ],[0 26"
5876" 26 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: "
5877"begin icon text');\ncolor('black');port_label('output',1,'0');\nfprintf('','C"
5878"OMMENT: end icon text');\n"
5879        }
5880        Block {
5881          BlockType           Reference
5882          Name            "Constant1"
5883          Ports           [0, 1]
5884          Position            [190, 127, 245, 153]
5885          SourceBlock         "xbsIndex_r4/Constant"
5886          SourceType          "Xilinx Constant Block Block"
5887          arith_type          "Unsigned"
5888          const           "3"
5889          n_bits              "8"
5890          bin_pt              "0"
5891          explicit_period         "on"
5892          period              "1"
5893          dsp48_infoedit          "The use of this block for DSP48 instruc"
5894"tions is deprecated.  Please use the Opmode block."
5895          equ             "P=C"
5896          opselect            "C"
5897          inp2            "PCIN>>17"
5898          opr             "+"
5899          inp1            "P"
5900          carry           "CIN"
5901          dbl_ovrd            "off"
5902          has_advanced_control    "0"
5903          sggui_pos           "-1,-1,-1,-1"
5904          block_type          "constant"
5905          block_version       "8.2.02"
5906          sg_icon_stat        "55,26,0,1,white,blue,0,22938a96"
5907          sg_mask_display         "fprintf('','COMMENT: begin icon graphic"
5908"s');\npatch([0 55 55 0 ],[0 0 26 26 ],[0.77 0.82 0.91]);\npatch([20 16 22 16 "
5909"20 27 29 31 38 32 26 22 28 22 26 32 38 31 29 27 20 ],[3 7 13 19 23 23 21 23 2"
5910"3 17 23 19 13 7 3 9 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 0 55 55 0 ],[0 26"
5911" 26 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: "
5912"begin icon text');\ncolor('black');port_label('output',1,'3');\nfprintf('','C"
5913"OMMENT: end icon text');\n"
5914        }
5915        Block {
5916          BlockType           Reference
5917          Name            "Constant2"
5918          Ports           [0, 1]
5919          Position            [190, 182, 245, 208]
5920          SourceBlock         "xbsIndex_r4/Constant"
5921          SourceType          "Xilinx Constant Block Block"
5922          arith_type          "Unsigned"
5923          const           "10"
5924          n_bits              "8"
5925          bin_pt              "0"
5926          explicit_period         "on"
5927          period              "1"
5928          dsp48_infoedit          "The use of this block for DSP48 instruc"
5929"tions is deprecated.  Please use the Opmode block."
5930          equ             "P=C"
5931          opselect            "C"
5932          inp2            "PCIN>>17"
5933          opr             "+"
5934          inp1            "P"
5935          carry           "CIN"
5936          dbl_ovrd            "off"
5937          has_advanced_control    "0"
5938          sggui_pos           "-1,-1,-1,-1"
5939          block_type          "constant"
5940          block_version       "8.2.02"
5941          sg_icon_stat        "55,26,0,1,white,blue,0,55aae6ff"
5942          sg_mask_display         "fprintf('','COMMENT: begin icon graphic"
5943"s');\npatch([0 55 55 0 ],[0 0 26 26 ],[0.77 0.82 0.91]);\npatch([20 16 22 16 "
5944"20 27 29 31 38 32 26 22 28 22 26 32 38 31 29 27 20 ],[3 7 13 19 23 23 21 23 2"
5945"3 17 23 19 13 7 3 9 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 0 55 55 0 ],[0 26"
5946" 26 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: "
5947"begin icon text');\ncolor('black');port_label('output',1,'10');\nfprintf('','"
5948"COMMENT: end icon text');\n"
5949        }
5950        Block {
5951          BlockType           Outport
5952          Name            "Start"
5953          Position            [430, 73, 460, 87]
5954          IconDisplay         "Port number"
5955          BusOutputAsStruct       off
5956        }
5957        Block {
5958          BlockType           Outport
5959          Name            "End"
5960          Position            [430, 173, 460, 187]
5961          Port            "2"
5962          IconDisplay         "Port number"
5963          BusOutputAsStruct       off
5964        }
5965        Line {
5966          SrcBlock            "4bits"
5967          SrcPort             1
5968          DstBlock            "Concat6"
5969          DstPort             1
5970        }
5971        Line {
5972          SrcBlock            "Constant"
5973          SrcPort             1
5974          DstBlock            "Concat6"
5975          DstPort             2
5976        }
5977        Line {
5978          SrcBlock            "Concat6"
5979          SrcPort             1
5980          Points              [25, 0]
5981          Branch {
5982        DstBlock        "AddSub"
5983        DstPort         1
5984          }
5985          Branch {
5986        Points          [0, 100]
5987        DstBlock        "AddSub1"
5988        DstPort         1
5989          }
5990        }
5991        Line {
5992          SrcBlock            "Constant1"
5993          SrcPort             1
5994          Points              [45, 0]
5995          DstBlock            "AddSub"
5996          DstPort             2
5997        }
5998        Line {
5999          SrcBlock            "Constant2"
6000          SrcPort             1
6001          DstBlock            "AddSub1"
6002          DstPort             2
6003        }
6004        Line {
6005          SrcBlock            "AddSub"
6006          SrcPort             1
6007          DstBlock            "Start"
6008          DstPort             1
6009        }
6010        Line {
6011          SrcBlock            "AddSub1"
6012          SrcPort             1
6013          DstBlock            "End"
6014          DstPort             1
6015        }
6016      }
6017    }
6018    Block {
6019      BlockType       SubSystem
6020      Name            "Subsystem2"
6021      Ports           [1, 2]
6022      Position        [2000, 621, 2080, 694]
6023      TreatAsAtomicUnit   off
6024      MinAlgLoopOccurrences   off
6025      RTWSystemCode       "Auto"
6026      MaskHideContents    off
6027      System {
6028        Name            "Subsystem2"
6029        Location            [431, 85, 1875, 1086]
6030        Open            off
6031        ModelBrowserVisibility  off
6032        ModelBrowserWidth       200
6033        ScreenColor         "white"
6034        PaperOrientation        "landscape"
6035        PaperPositionMode       "auto"
6036        PaperType           "usletter"
6037        PaperUnits          "inches"
6038        ZoomFactor          "100"
6039        Block {
6040          BlockType           Inport
6041          Name            "4bits"
6042          Position            [35, 43, 65, 57]
6043          IconDisplay         "Port number"
6044        }
6045        Block {
6046          BlockType           Reference
6047          Name            "AddSub"
6048          Ports           [2, 1]
6049          Position            [310, 51, 370, 109]
6050          SourceBlock         "xbsIndex_r4/AddSub"
6051          SourceType          "Xilinx Adder/Subtractor Block"
6052          mode            "Addition"
6053          use_carryin         "off"
6054          use_carryout        "off"
6055          en              "off"
6056          latency             "0"
6057          precision           "Full"
6058          arith_type          "Unsigned"
6059          n_bits              "16"
6060          bin_pt              "14"
6061          quantization        "Truncate"
6062          overflow            "Wrap"
6063          dbl_ovrd            "off"
6064          use_behavioral_HDL      "off"
6065          pipelined           "off"
6066          use_rpm             "on"
6067          xl_use_area         "off"
6068          xl_area             "[0,0,0,0,0,0,0]"
6069          has_advanced_control    "0"
6070          sggui_pos           "-1,-1,-1,-1"
6071          block_type          "addsub"
6072          block_version       "8.2.02"
6073          sg_icon_stat        "60,58,2,1,white,blue,0,84d1e665"
6074          sg_mask_display         "fprintf('','COMMENT: begin icon graphic"
6075"s');\npatch([0 60 60 0 ],[0 0 58 58 ],[0.77 0.82 0.91]);\npatch([15 5 19 5 15"
6076" 30 34 38 54 41 29 20 34 20 29 41 54 38 34 30 15 ],[6 16 30 44 54 54 50 54 54"
6077" 41 53 44 30 16 7 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 0 60 60 0 ],[0 "
6078"58 58 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT"
6079": begin icon text');\ncolor('black');port_label('input',1,'a');\ncolor('black"
6080"');port_label('input',2,'b');\ncolor('black');port_label('output',1,'\\bf{a +"
6081" b}','texmode','on');\nfprintf('','COMMENT: end icon text');\n"
6082        }
6083        Block {
6084          BlockType           Reference
6085          Name            "AddSub1"
6086          Ports           [2, 1]
6087          Position            [310, 151, 370, 209]
6088          SourceBlock         "xbsIndex_r4/AddSub"
6089          SourceType          "Xilinx Adder/Subtractor Block"
6090          mode            "Addition"
6091          use_carryin         "off"
6092          use_carryout        "off"
6093          en              "off"
6094          latency             "0"
6095          precision           "Full"
6096          arith_type          "Unsigned"
6097          n_bits              "16"
6098          bin_pt              "14"
6099          quantization        "Truncate"
6100          overflow            "Wrap"
6101          dbl_ovrd            "off"
6102          use_behavioral_HDL      "off"
6103          pipelined           "off"
6104          use_rpm             "on"
6105          xl_use_area         "off"
6106          xl_area             "[0,0,0,0,0,0,0]"
6107          has_advanced_control    "0"
6108          sggui_pos           "-1,-1,-1,-1"
6109          block_type          "addsub"
6110          block_version       "8.2.02"
6111          sg_icon_stat        "60,58,2,1,white,blue,0,84d1e665"
6112          sg_mask_display         "fprintf('','COMMENT: begin icon graphic"
6113"s');\npatch([0 60 60 0 ],[0 0 58 58 ],[0.77 0.82 0.91]);\npatch([15 5 19 5 15"
6114" 30 34 38 54 41 29 20 34 20 29 41 54 38 34 30 15 ],[6 16 30 44 54 54 50 54 54"
6115" 41 53 44 30 16 7 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 0 60 60 0 ],[0 "
6116"58 58 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT"
6117": begin icon text');\ncolor('black');port_label('input',1,'a');\ncolor('black"
6118"');port_label('input',2,'b');\ncolor('black');port_label('output',1,'\\bf{a +"
6119" b}','texmode','on');\nfprintf('','COMMENT: end icon text');\n"
6120        }
6121        Block {
6122          BlockType           Reference
6123          Name            "Concat6"
6124          Ports           [2, 1]
6125          Position            [190, 35, 250, 95]
6126          SourceBlock         "xbsIndex_r4/Concat"
6127          SourceType          "Xilinx Bus Concatenator Block"
6128          infoedit            "Concatenates two or more inputs.  Outpu"
6129"t will be cast to an unsigned value with the binary point at zero."
6130          num_inputs          "2"
6131          dbl_ovrd            "off"
6132          has_advanced_control    "0"
6133          sggui_pos           "-1,-1,-1,-1"
6134          block_type          "concat"
6135          block_version       "8.2.02"
6136          sg_icon_stat        "60,60,2,1,white,blue,0,59d62d82"
6137          sg_mask_display         "fprintf('','COMMENT: begin icon graphic"
6138"s');\npatch([0 60 60 0 ],[0 0 60 60 ],[0.77 0.82 0.91]);\npatch([14 4 18 4 14"
6139" 30 34 38 55 42 29 20 35 20 29 42 55 38 34 30 14 ],[6 16 30 44 54 54 50 54 54"
6140" 41 54 45 30 15 6 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 0 60 60 0 ],[0 "
6141"60 60 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT"
6142": begin icon text');\ncolor('black');port_label('input',1,'hi');\ncolor('blac"
6143"k');port_label('input',2,'lo');\nfprintf('','COMMENT: end icon text');\n"
6144        }
6145        Block {
6146          BlockType           Reference
6147          Name            "Constant"
6148          Ports           [0, 1]
6149          Position            [75, 67, 130, 93]
6150          SourceBlock         "xbsIndex_r4/Constant"
6151          SourceType          "Xilinx Constant Block Block"
6152          arith_type          "Unsigned"
6153          const           "0"
6154          n_bits              "3"
6155          bin_pt              "0"
6156          explicit_period         "on"
6157          period              "1"
6158          dsp48_infoedit          "The use of this block for DSP48 instruc"
6159"tions is deprecated.  Please use the Opmode block."
6160          equ             "P=C"
6161          opselect            "C"
6162          inp2            "PCIN>>17"
6163          opr             "+"
6164          inp1            "P"
6165          carry           "CIN"
6166          dbl_ovrd            "off"
6167          has_advanced_control    "0"
6168          sggui_pos           "-1,-1,-1,-1"
6169          block_type          "constant"
6170          block_version       "8.2.02"
6171          sg_icon_stat        "55,26,0,1,white,blue,0,c7c04a0c"
6172          sg_mask_display         "fprintf('','COMMENT: begin icon graphic"
6173"s');\npatch([0 55 55 0 ],[0 0 26 26 ],[0.77 0.82 0.91]);\npatch([20 16 22 16 "
6174"20 27 29 31 38 32 26 22 28 22 26 32 38 31 29 27 20 ],[3 7 13 19 23 23 21 23 2"
6175"3 17 23 19 13 7 3 9 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 0 55 55 0 ],[0 26"
6176" 26 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: "
6177"begin icon text');\ncolor('black');port_label('output',1,'0');\nfprintf('','C"
6178"OMMENT: end icon text');\n"
6179        }
6180        Block {
6181          BlockType           Reference
6182          Name            "Constant1"
6183          Ports           [0, 1]
6184          Position            [190, 127, 245, 153]
6185          SourceBlock         "xbsIndex_r4/Constant"
6186          SourceType          "Xilinx Constant Block Block"
6187          arith_type          "Unsigned"
6188          const           "3"
6189          n_bits              "8"
6190          bin_pt              "0"
6191          explicit_period         "on"
6192          period              "1"
6193          dsp48_infoedit          "The use of this block for DSP48 instruc"
6194"tions is deprecated.  Please use the Opmode block."
6195          equ             "P=C"
6196          opselect            "C"
6197          inp2            "PCIN>>17"
6198          opr             "+"
6199          inp1            "P"
6200          carry           "CIN"
6201          dbl_ovrd            "off"
6202          has_advanced_control    "0"
6203          sggui_pos           "-1,-1,-1,-1"
6204          block_type          "constant"
6205          block_version       "8.2.02"
6206          sg_icon_stat        "55,26,0,1,white,blue,0,22938a96"
6207          sg_mask_display         "fprintf('','COMMENT: begin icon graphic"
6208"s');\npatch([0 55 55 0 ],[0 0 26 26 ],[0.77 0.82 0.91]);\npatch([20 16 22 16 "
6209"20 27 29 31 38 32 26 22 28 22 26 32 38 31 29 27 20 ],[3 7 13 19 23 23 21 23 2"
6210"3 17 23 19 13 7 3 9 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 0 55 55 0 ],[0 26"
6211" 26 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: "
6212"begin icon text');\ncolor('black');port_label('output',1,'3');\nfprintf('','C"
6213"OMMENT: end icon text');\n"
6214        }
6215        Block {
6216          BlockType           Reference
6217          Name            "Constant2"
6218          Ports           [0, 1]
6219          Position            [190, 182, 245, 208]
6220          SourceBlock         "xbsIndex_r4/Constant"
6221          SourceType          "Xilinx Constant Block Block"
6222          arith_type          "Unsigned"
6223          const           "10"
6224          n_bits              "8"
6225          bin_pt              "0"
6226          explicit_period         "on"
6227          period              "1"
6228          dsp48_infoedit          "The use of this block for DSP48 instruc"
6229"tions is deprecated.  Please use the Opmode block."
6230          equ             "P=C"
6231          opselect            "C"
6232          inp2            "PCIN>>17"
6233          opr             "+"
6234          inp1            "P"
6235          carry           "CIN"
6236          dbl_ovrd            "off"
6237          has_advanced_control    "0"
6238          sggui_pos           "-1,-1,-1,-1"
6239          block_type          "constant"
6240          block_version       "8.2.02"
6241          sg_icon_stat        "55,26,0,1,white,blue,0,55aae6ff"
6242          sg_mask_display         "fprintf('','COMMENT: begin icon graphic"
6243"s');\npatch([0 55 55 0 ],[0 0 26 26 ],[0.77 0.82 0.91]);\npatch([20 16 22 16 "
6244"20 27 29 31 38 32 26 22 28 22 26 32 38 31 29 27 20 ],[3 7 13 19 23 23 21 23 2"
6245"3 17 23 19 13 7 3 9 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 0 55 55 0 ],[0 26"
6246" 26 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: "
6247"begin icon text');\ncolor('black');port_label('output',1,'10');\nfprintf('','"
6248"COMMENT: end icon text');\n"
6249        }
6250        Block {
6251          BlockType           Outport
6252          Name            "Start"
6253          Position            [430, 73, 460, 87]
6254          IconDisplay         "Port number"
6255          BusOutputAsStruct       off
6256        }
6257        Block {
6258          BlockType           Outport
6259          Name            "End"
6260          Position            [430, 173, 460, 187]
6261          Port            "2"
6262          IconDisplay         "Port number"
6263          BusOutputAsStruct       off
6264        }
6265        Line {
6266          SrcBlock            "AddSub1"
6267          SrcPort             1
6268          DstBlock            "End"
6269          DstPort             1
6270        }
6271        Line {
6272          SrcBlock            "AddSub"
6273          SrcPort             1
6274          DstBlock            "Start"
6275          DstPort             1
6276        }
6277        Line {
6278          SrcBlock            "Constant2"
6279          SrcPort             1
6280          DstBlock            "AddSub1"
6281          DstPort             2
6282        }
6283        Line {
6284          SrcBlock            "Constant1"
6285          SrcPort             1
6286          Points              [45, 0]
6287          DstBlock            "AddSub"
6288          DstPort             2
6289        }
6290        Line {
6291          SrcBlock            "Concat6"
6292          SrcPort             1
6293          Points              [25, 0]
6294          Branch {
6295        Points          [0, 100]
6296        DstBlock        "AddSub1"
6297        DstPort         1
6298          }
6299          Branch {
6300        DstBlock        "AddSub"
6301        DstPort         1
6302          }
6303        }
6304        Line {
6305          SrcBlock            "Constant"
6306          SrcPort             1
6307          DstBlock            "Concat6"
6308          DstPort             2
6309        }
6310        Line {
6311          SrcBlock            "4bits"
6312          SrcPort             1
6313          DstBlock            "Concat6"
6314          DstPort             1
6315        }
6316      }
6317    }
6318    Block {
6319      BlockType       Outport
6320      Name            "Data"
6321      Position        [2530, 563, 2560, 577]
6322      IconDisplay         "Port number"
6323      BusOutputAsStruct   off
6324    }
6325    Block {
6326      BlockType       Outport
6327      Name            "StartTransfer"
6328      Position        [1335, 958, 1365, 972]
6329      Port            "2"
6330      IconDisplay         "Port number"
6331      BusOutputAsStruct   off
6332    }
6333    Line {
6334      SrcBlock        "Constant"
6335      SrcPort         1
6336      Points          [35, 0; 0, 20]
6337      DstBlock        "SMWO_LCD_CharacterMap"
6338      DstPort         2
6339    }
6340    Line {
6341      SrcBlock        "Constant1"
6342      SrcPort         1
6343      DstBlock        "SMWO_LCD_CharacterMap"
6344      DstPort         3
6345    }
6346    Line {
6347      SrcBlock        "SMWOWE_gwin"
6348      SrcPort         1
6349      Points          [65, 0; 0, -60]
6350      DstBlock        "SMWO_LCD_CharacterMap"
6351      DstPort         6
6352    }
6353    Line {
6354      SrcBlock        "SMWODataI_gwin"
6355      SrcPort         1
6356      Points          [50, 0; 0, -50]
6357      DstBlock        "SMWO_LCD_CharacterMap"
6358      DstPort         5
6359    }
6360    Line {
6361      SrcBlock        "SMWOAddr_gwin"
6362      SrcPort         1
6363      Points          [40, 0; 0, -40]
6364      DstBlock        "SMWO_LCD_CharacterMap"
6365      DstPort         4
6366    }
6367    Line {
6368      SrcBlock        "Mux"
6369      SrcPort         1
6370      Points          [75, 0; 0, -30]
6371      DstBlock        "Concat"
6372      DstPort         2
6373    }
6374    Line {
6375      SrcBlock        "Slice5"
6376      SrcPort         1
6377      Points          [0, 0; 50, 0]
6378      Branch {
6379        DstBlock            "Slice1"
6380        DstPort         1
6381      }
6382      Branch {
6383        Points          [0, 0]
6384        Branch {
6385          Points              [0, 50; 345, 0; 0, -40]
6386          DstBlock            "Mux"
6387          DstPort             3
6388        }
6389        Branch {
6390          Points              [0, -50]
6391          DstBlock            "Slice"
6392          DstPort             1
6393        }
6394      }
6395    }
6396    Line {
6397      SrcBlock        "Slice1"
6398      SrcPort         1
6399      DstBlock        "Inverter"
6400      DstPort         1
6401    }
6402    Line {
6403      SrcBlock        "Slice"
6404      SrcPort         1
6405      Points          [65, 0; 0, 10]
6406      DstBlock        "Concat1"
6407      DstPort         1
6408    }
6409    Line {
6410      SrcBlock        "Inverter"
6411      SrcPort         1
6412      Points          [20, 0; 0, -10]
6413      DstBlock        "Concat1"
6414      DstPort         2
6415    }
6416    Line {
6417      SrcBlock        "Concat1"
6418      SrcPort         1
6419      DstBlock        "Mux"
6420      DstPort         2
6421    }
6422    Line {
6423      SrcBlock        "InvertRamAddr"
6424      SrcPort         1
6425      Points          [25, 0; 0, 40]
6426      DstBlock        "Mux"
6427      DstPort         1
6428    }
6429    Line {
6430      SrcBlock        "Concat"
6431      SrcPort         1
6432      Points          [85, 0; 0, 55]
6433      DstBlock        "SMWO_LCD_CharacterMap"
6434      DstPort         1
6435    }
6436    Line {
6437      SrcBlock        "Constant2"
6438      SrcPort         1
6439      Points          [35, 0; 0, 20]
6440      DstBlock        "SMWO_LCD_Characters"
6441      DstPort         2
6442    }
6443    Line {
6444      SrcBlock        "Constant3"
6445      SrcPort         1
6446      DstBlock        "SMWO_LCD_Characters"
6447      DstPort         3
6448    }
6449    Line {
6450      SrcBlock        "SMWOWE_gwin1"
6451      SrcPort         1
6452      Points          [65, 0; 0, -65]
6453      DstBlock        "SMWO_LCD_Characters"
6454      DstPort         6
6455    }
6456    Line {
6457      SrcBlock        "SMWODataI_gwin1"
6458      SrcPort         1
6459      Points          [50, 0; 0, -50]
6460      DstBlock        "SMWO_LCD_Characters"
6461      DstPort         5
6462    }
6463    Line {
6464      SrcBlock        "SMWOAddr_gwin1"
6465      SrcPort         1
6466      Points          [40, 0; 0, -40]
6467      DstBlock        "SMWO_LCD_Characters"
6468      DstPort         4
6469    }
6470    Line {
6471      SrcBlock        "SMWO_LCD_Characters"
6472      SrcPort         1
6473      Points          [50, 0; 0, 225]
6474      DstBlock        "Concat"
6475      DstPort         1
6476    }
6477    Line {
6478      SrcBlock        "Counter1"
6479      SrcPort         1
6480      Points          [0, 0; 15, 0]
6481      Branch {
6482        DstBlock            "Slice4"
6483        DstPort         1
6484      }
6485      Branch {
6486        Points          [0, 285]
6487        Branch {
6488          DstBlock            "Slice5"
6489          DstPort             1
6490        }
6491        Branch {
6492          Points              [0, 65]
6493          Branch {
6494        DstBlock        "Slice6"
6495        DstPort         1
6496          }
6497          Branch {
6498        Points          [0, 130]
6499        Branch {
6500          DstBlock        "Slice7"
6501          DstPort         1
6502        }
6503        Branch {
6504          Points          [0, 330; 1445, 0; 0, -355]
6505          Branch {
6506            DstBlock            "Slice11"
6507            DstPort         1
6508          }
6509          Branch {
6510            Points          [0, -105]
6511            DstBlock            "Slice10"
6512            DstPort         1
6513          }
6514        }
6515          }
6516        }
6517      }
6518    }
6519    Line {
6520      SrcBlock        "Slice3"
6521      SrcPort         1
6522      DstBlock        "Inverter1"
6523      DstPort         1
6524    }
6525    Line {
6526      SrcBlock        "Slice2"
6527      SrcPort         1
6528      Points          [65, 0; 0, 10]
6529      DstBlock        "Concat2"
6530      DstPort         1
6531    }
6532    Line {
6533      SrcBlock        "Inverter1"
6534      SrcPort         1
6535      Points          [20, 0; 0, -10]
6536      DstBlock        "Concat2"
6537      DstPort         2
6538    }
6539    Line {
6540      SrcBlock        "Concat2"
6541      SrcPort         1
6542      DstBlock        "Mux1"
6543      DstPort         2
6544    }
6545    Line {
6546      SrcBlock        "InvertRamAddr1"
6547      SrcPort         1
6548      Points          [30, 0; 0, 40]
6549      DstBlock        "Mux1"
6550      DstPort         1
6551    }
6552    Line {
6553      SrcBlock        "Slice4"
6554      SrcPort         1
6555      Points          [0, 0; 10, 0]
6556      Branch {
6557        Points          [0, 0]
6558        Branch {
6559          Points              [0, 50; 370, 0]
6560          DstBlock            "Mux1"
6561          DstPort             3
6562        }
6563        Branch {
6564          Points              [0, -50]
6565          DstBlock            "Slice2"
6566          DstPort             1
6567        }
6568      }
6569      Branch {
6570        DstBlock            "Slice3"
6571        DstPort         1
6572      }
6573    }
6574    Line {
6575      SrcBlock        "TransferDone"
6576      SrcPort         1
6577      Points          [0, 0]
6578      DstBlock        "Logical"
6579      DstPort         1
6580    }
6581    Line {
6582      SrcBlock        "CmdsDone"
6583      SrcPort         1
6584      Points          [0, 0]
6585      DstBlock        "Logical"
6586      DstPort         2
6587    }
6588    Line {
6589      SrcBlock        "Reset"
6590      SrcPort         1
6591      Points          [0, 0]
6592      DstBlock        "Counter1"
6593      DstPort         1
6594    }
6595    Line {
6596      SrcBlock        "Delay"
6597      SrcPort         1
6598      Points          [0, 0; 35, 0]
6599      Branch {
6600        DstBlock            "StartTransfer"
6601        DstPort         1
6602      }
6603      Branch {
6604        Points          [0, -50]
6605        DstBlock            "Scope2"
6606        DstPort         2
6607      }
6608    }
6609    Line {
6610      SrcBlock        "Slice6"
6611      SrcPort         1
6612      DstBlock        "Relational"
6613      DstPort         1
6614    }
6615    Line {
6616      SrcBlock        "Constant4"
6617      SrcPort         1
6618      Points          [5, 0; 0, -20]
6619      DstBlock        "Relational"
6620      DstPort         2
6621    }
6622    Line {
6623      SrcBlock        "Slice7"
6624      SrcPort         1
6625      DstBlock        "Relational1"
6626      DstPort         1
6627    }
6628    Line {
6629      SrcBlock        "Constant5"
6630      SrcPort         1
6631      Points          [5, 0; 0, -20]
6632      DstBlock        "Relational1"
6633      DstPort         2
6634    }
6635    Line {
6636      SrcBlock        "Relational"
6637      SrcPort         1
6638      Points          [15, 0; 0, 25]
6639      Branch {
6640        DstBlock            "Convert"
6641        DstPort         1
6642      }
6643      Branch {
6644        Points          [360, 0]
6645        Branch {
6646          DstBlock            "Pos Edge Detector"
6647          DstPort             1
6648        }
6649        Branch {
6650          Points              [0, 105]
6651          DstBlock            "Subsystem"
6652          DstPort             1
6653        }
6654      }
6655    }
6656    Line {
6657      SrcBlock        "Relational1"
6658      SrcPort         1
6659      DstBlock        "Convert1"
6660      DstPort         1
6661    }
6662    Line {
6663      SrcBlock        "Convert"
6664      SrcPort         1
6665      DstBlock        "Concat3"
6666      DstPort         1
6667    }
6668    Line {
6669      SrcBlock        "Convert1"
6670      SrcPort         1
6671      Points          [15, 0; 0, -50]
6672      DstBlock        "Concat3"
6673      DstPort         2
6674    }
6675    Line {
6676      SrcBlock        "Mux2"
6677      SrcPort         1
6678      DstBlock        "Counter"
6679      DstPort         2
6680    }
6681    Line {
6682      SrcBlock        "Concat3"
6683      SrcPort         1
6684      Points          [0, 0]
6685      DstBlock        "Mux2"
6686      DstPort         1
6687    }
6688    Line {
6689      SrcBlock        "Constant6"
6690      SrcPort         1
6691      Points          [0, 0; 15, 0]
6692      Branch {
6693        DstBlock            "Mux2"
6694        DstPort         2
6695      }
6696      Branch {
6697        Points          [0, 20]
6698        DstBlock            "Mux2"
6699        DstPort         3
6700      }
6701    }
6702    Line {
6703      SrcBlock        "Constant7"
6704      SrcPort         1
6705      Points          [0, 0]
6706      DstBlock        "Mux2"
6707      DstPort         4
6708    }
6709    Line {
6710      SrcBlock        "Constant8"
6711      SrcPort         1
6712      Points          [20, 0; 0, -20]
6713      DstBlock        "Mux2"
6714      DstPort         5
6715    }
6716    Line {
6717      SrcBlock        "Logical"
6718      SrcPort         1
6719      Points          [0, 0; 35, 0]
6720      Branch {
6721        Points          [0, 20]
6722        Branch {
6723          Points              [0, 125]
6724          DstBlock            "Delay"
6725          DstPort             1
6726        }
6727        Branch {
6728          DstBlock            "Logical1"
6729          DstPort             2
6730        }
6731      }
6732      Branch {
6733        Points          [0, -95]
6734        DstBlock            "Subsystem"
6735        DstPort         2
6736      }
6737    }
6738    Line {
6739      SrcBlock        "Counter"
6740      SrcPort         1
6741      Points          [5, 0; 0, 15]
6742      Branch {
6743        Points          [0, 60]
6744        DstBlock            "Relational2"
6745        DstPort         1
6746      }
6747      Branch {
6748        Points          [620, 0; 0, -260]
6749        DstBlock            "Mux3"
6750        DstPort         1
6751      }
6752    }
6753    Line {
6754      SrcBlock        "Constant9"
6755      SrcPort         1
6756      DstBlock        "Relational2"
6757      DstPort         2
6758    }
6759    Line {
6760      SrcBlock        "Relational2"
6761      SrcPort         1
6762      Points          [10, 0; 0, 45]
6763      Branch {
6764        DstBlock            "Logical1"
6765        DstPort         1
6766      }
6767      Branch {
6768        DstBlock            "Inverter2"
6769        DstPort         1
6770      }
6771    }
6772    Line {
6773      SrcBlock        "Logical1"
6774      SrcPort         1
6775      Points          [15, 0; 0, 65; -1130, 0; 0, -670]
6776      DstBlock        "Counter1"
6777      DstPort         2
6778    }
6779    Line {
6780      SrcBlock        "Pos Edge Detector"
6781      SrcPort         1
6782      Points          [15, 0; 0, 60]
6783      DstBlock        "Counter"
6784      DstPort         1
6785    }
6786    Line {
6787      SrcBlock        "Subsystem"
6788      SrcPort         1
6789      Points          [5, 0; 0, -30]
6790      DstBlock        "Counter"
6791      DstPort         3
6792    }
6793    Line {
6794      SrcBlock        "Inverter2"
6795      SrcPort         1
6796      Points          [-30, 0; 0, -60]
6797      DstBlock        "Subsystem"
6798      DstPort         3
6799    }
6800    Line {
6801      SrcBlock        "Mux3"
6802      SrcPort         1
6803      Points          [0, 0; 10, 0]
6804      Branch {
6805        Points          [15, 0]
6806        Branch {
6807          Points              [0, 25]
6808          DstBlock            "Slice8"
6809          DstPort             1
6810        }
6811        Branch {
6812          Points              [0, -25]
6813          DstBlock            "Slice9"
6814          DstPort             1
6815        }
6816      }
6817      Branch {
6818        Points          [0, 275]
6819        DstBlock            "Scope2"
6820        DstPort         1
6821      }
6822    }
6823    Line {
6824      SrcBlock        "Slice9"
6825      SrcPort         1
6826      DstBlock        "Inverter3"
6827      DstPort         1
6828    }
6829    Line {
6830      SrcBlock        "Inverter3"
6831      SrcPort         1
6832      Points          [5, 0; 0, 10]
6833      DstBlock        "Concat4"
6834      DstPort         1
6835    }
6836    Line {
6837      SrcBlock        "Slice8"
6838      SrcPort         1
6839      Points          [90, 0; 0, -10]
6840      DstBlock        "Concat4"
6841      DstPort         2
6842    }
6843    Line {
6844      SrcBlock        "Concat4"
6845      SrcPort         1
6846      Points          [0, 0]
6847      DstBlock        "Data"
6848      DstPort         1
6849    }
6850    Line {
6851      SrcBlock        "Concat5"
6852      SrcPort         1
6853      Points          [0, -35]
6854      DstBlock        "Mux3"
6855      DstPort         2
6856    }
6857    Line {
6858      SrcBlock        "Constant10"
6859      SrcPort         1
6860      Points          [5, 0; 0, 25]
6861      DstBlock        "Concat5"
6862      DstPort         1
6863    }
6864    Line {
6865      SrcBlock        "SMWO_LCD_CharacterMap"
6866      SrcPort         1
6867      Points          [50, 0; 0, 15]
6868      DstBlock        "Concat5"
6869      DstPort         2
6870    }
6871    Line {
6872      SrcBlock        "From Register3"
6873      SrcPort         1
6874      Points          [0, 0]
6875      DstBlock        "Mux3"
6876      DstPort         3
6877    }
6878    Line {
6879      SrcBlock        "From Register1"
6880      SrcPort         1
6881      Points          [0, 0]
6882      DstBlock        "Mux3"
6883      DstPort         6
6884    }
6885    Line {
6886      SrcBlock        "From Register2"
6887      SrcPort         1
6888      Points          [0, 0]
6889      DstBlock        "Mux3"
6890      DstPort         9
6891    }
6892    Line {
6893      SrcBlock        "Subsystem1"
6894      SrcPort         1
6895      DstBlock        "Mux3"
6896      DstPort         4
6897    }
6898    Line {
6899      SrcBlock        "Subsystem1"
6900      SrcPort         2
6901      DstBlock        "Mux3"
6902      DstPort         5
6903    }
6904    Line {
6905      SrcBlock        "Subsystem2"
6906      SrcPort         1
6907      DstBlock        "Mux3"
6908      DstPort         7
6909    }
6910    Line {
6911      SrcBlock        "Subsystem2"
6912      SrcPort         2
6913      DstBlock        "Mux3"
6914      DstPort         8
6915    }
6916    Line {
6917      SrcBlock        "Slice10"
6918      SrcPort         1
6919      Points          [0, 0]
6920      DstBlock        "Subsystem1"
6921      DstPort         1
6922    }
6923    Line {
6924      SrcBlock        "Slice11"
6925      SrcPort         1
6926      Points          [0, 0]
6927      DstBlock        "Subsystem2"
6928      DstPort         1
6929    }
6930    Line {
6931      SrcBlock        "Concat6"
6932      SrcPort         1
6933      Points          [25, 0; 0, 55]
6934      DstBlock        "SMWO_LCD_Characters"
6935      DstPort         1
6936    }
6937    Line {
6938      SrcBlock        "From Register4"
6939      SrcPort         1
6940      Points          [5, 0; 0, 30]
6941      DstBlock        "Concat6"
6942      DstPort         1
6943    }
6944    Line {
6945      SrcBlock        "Mux1"
6946      SrcPort         1
6947      Points          [50, 0; 0, -65]
6948      DstBlock        "Concat6"
6949      DstPort         2
6950    }
6951    Annotation {
6952      Name            "Address the RAM as 3 2 1 0 7 6 5 4 ..."
6953      Position        [916, 405]
6954      UseDisplayTextAsClickCallback off
6955    }
6956    Annotation {
6957      Name            "We invert the first bit because 0 means com"
6958"mand and 1 is data\nThe user inputs the opposite in the PowerPC"
6959      Position        [2337, 488]
6960      UseDisplayTextAsClickCallback off
6961    }
6962      }
6963    }
6964    Block {
6965      BlockType           "S-Function"
6966      Name            "Delay"
6967      Ports           [1, 1]
6968      Position            [515, 42, 575, 98]
6969      CopyFcn             "xlBlockMoveCallback(gcbh);"
6970      DeleteFcn           "xlDestroyGui(gcbh);"
6971      LoadFcn             "xlBlockLoadCallback(gcbh);"
6972      ModelCloseFcn       "xlDestroyGui(gcbh);"
6973      PreSaveFcn          "xlBlockPreSaveCallback(gcbh);"
6974      PostSaveFcn         "xlBlockPostSaveCallback(gcbh);"
6975      DestroyFcn          "xlDestroyGui(gcbh);"
6976      OpenFcn             "xlOpenGui(gcbh, @xlmagicenablement, -1)"
6977      CloseFcn            "xlDestroyGui(gcbh);"
6978      MoveFcn             "xlBlockMoveCallback(gcbh);"
6979      FunctionName        "sysgen"
6980      Parameters          "ptable_"
6981      MaskType            "Xilinx Delay Block"
6982      MaskDescription         "Hardware notes: A delay line is a chain, each l"
6983"ink of which is an SRL16 followed by a flip-flop. If register retiming is ena"
6984"bled, the delay line is a chain of flip-flops."
6985      MaskHelp            "eval('xlWeb(xlhtmldoclink(''Delay''))');"
6986      MaskPromptString        " | |Provide enable port|Latency| |Override with"
6987" doubles| |Enable register retiming| |Define FPGA area for resource estimatio"
6988"n|FPGA area [slices, FFs, BRAMs, LUTs, IOBs, emb. mults, TBUFs]| | | | | | | "
6989"| "
6990      MaskStyleString         "edit,edit,checkbox,edit,edit,checkbox,edit,chec"
6991"kbox,edit,checkbox,edit,edit,edit,edit,edit,edit,edit,edit,edit"
6992      MaskTunableValueString  "on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on"
6993",on,on,on"
6994      MaskCallbackString      "||||||||||||||||||"
6995      MaskEnableString        "on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on"
6996",on,on,on"
6997      MaskVisibilityString    "off,off,on,on,off,on,off,on,off,on,on,off,off,o"
6998"ff,off,off,off,off,off"
6999      MaskToolTipString       "on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on"
7000",on,on,on"
7001      MaskVarAliasString      ",,,,,,,,,,,,,,,,,,"
7002      MaskVariables       "infoedit=&1;en_sgadvanced=&2;en=@3;latency=@4;d"
7003"bl_ovrd_sgadvanced=&5;dbl_ovrd=@6;reg_retiming_sgadvanced=&7;reg_retiming=@8;"
7004"xl_use_area_sgadvanced=&9;xl_use_area=@10;xl_area=@11;has_advanced_control=@1"
7005"2;sggui_pos=&13;block_type=&14;block_version=&15;sg_icon_stat=&16;sg_mask_dis"
7006"play=&17;sg_list_contents=&18;sg_blockgui_xml=&19;"
7007      MaskInitialization      "try\n  tmp_gcb = gcb;\n  tmp_gcbh = gcbh;\n  xl"
7008"MungeMaskParams;\n  block_config = 'Sysgen:Delay_config';\n  serialized_decla"
7009"rations = '{''dbl_ovrd''=>''Int'',''en''=>''Int'',''latency''=>''Int'',''reg_"
7010"retiming''=>''Int''}';\n  ptable_ = xlblockprep(get_param(tmp_gcb, 'MaskWSVar"
7011"iables'));\ncatch\n  global xl_report_errors;\n  if(~isempty(xl_report_errors"
7012") && xl_report_errors)\n    e = regexprep(lasterr, '\\n', '\\nError: ');\n   "
7013" disp(['Error: While running MaskInit code on block ' tmp_gcb ': ' e]);\n    "
7014"error(e);\n  end\nend\n"
7015      MaskDisplay         "fprintf('','COMMENT: begin icon graphics');\npa"
7016"tch([0 60 60 0 ],[0 0 56 56 ],[0.77 0.82 0.91]);\npatch([15 6 19 6 15 30 34 3"
7017"8 54 41 29 20 32 20 29 41 54 38 34 30 15 ],[6 15 28 41 50 50 46 50 50 37 49 4"
7018"0 28 16 7 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 0 60 60 0 ],[0 56 56 0 "
7019"0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin "
7020"icon text');\ncolor('black');disp('z^{-4}','texmode','on');\nfprintf('','COMM"
7021"ENT: end icon text');\n"
7022      MaskSelfModifiable      on
7023      MaskIconFrame       off
7024      MaskIconOpaque          on
7025      MaskIconRotate          "none"
7026      MaskIconUnits       "autoscale"
7027      MaskValueString         "Hardware notes: A delay line is a chain, each l"
7028"ink of which is an SRL16 followed by a flip-flop. If register retiming is ena"
7029"bled, the delay line is a chain of flip-flops.||off|4||off||off||off|[0,0,0,0"
7030",0,0,0]|0|20,20,356,256|delay|8.2.02|60,56,1,1,white,blue,0,c2217bc4|fprintf("
7031"'','COMMENT: begin icon graphics');\npatch([0 60 60 0 ],[0 0 56 56 ],[0.77 0."
7032"82 0.91]);\npatch([15 6 19 6 15 30 34 38 54 41 29 20 32 20 29 41 54 38 34 30 "
7033"15 ],[6 15 28 41 50 50 46 50 50 37 49 40 28 16 7 19 6 6 10 6 6 ],[0.98 0.96 0"
7034".92]);\nplot([0 0 60 60 0 ],[0 56 56 0 0 ]);\nfprintf('','COMMENT: end icon g"
7035"raphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');disp('z^{"
7036"-4}','texmode','on');\nfprintf('','COMMENT: end icon text');\n||<!--   *  Cop"
7037"yright (c) 2005, Xilinx, Inc.  All Rights Reserved.           --><!--   *  Re"
7038"production or reuse, in any form, without the explicit written --><!--   *  c"
7039"onsent of Xilinx, Inc., is strictly prohibited.                 --><sysgenblo"
7040"ck block_type=\"delay\" simulinkname=\"Delay\" >\n <icon width=\"60\" bg_colo"
7041"r=\"blue\" height=\"56\" wmark_color=\"white\" />\n <dll entry_point=\"Delay_"
7042"config\" name=\"Sysgen\" />\n <handlers enablement=\"xlmagicenablement\" />\n"
7043" <libraries>\n  <library name=\"xbsIndex\" />\n  <library name=\"xbsBasic\" /"
7044">\n  <library name=\"xbsMemory\" />\n </libraries>\n <blockgui label=\"Xilinx"
7045" Delay\" >\n  <editbox evaluate=\"false\" multi_line=\"true\" name=\"infoedit"
7046"\" read_only=\"true\" default=\"Hardware notes: A delay line is a chain, each"
7047" link of which is an SRL16 followed by a flip-flop. If register retiming is e"
7048"nabled, the delay line is a chain of flip-flops.\" />\n  <tabpane>\n   <tab n"
7049"ame=\"basictab\" label=\"Basic\" >\n    <etch name=\"oportetch\" label=\"Opti"
7050"onal Ports\" >\n     <checkbox ctype=\"Int\" evaluate=\"true\" name=\"en\" la"
7051"bel=\"Provide enable port\" default=\"off\" />\n    </etch>\n    <editbox cty"
7052"pe=\"Int\" evaluate=\"true\" name=\"latency\" label=\"Latency\" default=\"1\""
7053" />\n   </tab>\n   <tab name=\"advtab\" label=\"Advanced\" >\n    <etch label"
7054"=\"Simulation\" >\n     <checkbox ctype=\"Int\" evaluate=\"true\" name=\"dbl_"
7055"ovrd\" label=\"Override with doubles\" default=\"off\" />\n    </etch>\n   </"
7056"tab>\n   <tab name=\"impltab\" label=\"Implementation\" >\n    <checkbox ctyp"
7057"e=\"Int\" evaluate=\"true\" name=\"reg_retiming\" label=\"Enable register ret"
7058"iming\" default=\"off\" />\n    <etch label=\"FPGA Area Estimation\" >\n     "
7059"<checkbox name=\"xl_use_area\" label=\"Define FPGA area for resource estimati"
7060"on\" default=\"off\" />\n     <editbox top_label=\"true\" name=\"xl_area\" la"
7061"bel=\"FPGA area [slices, FFs, BRAMs, LUTs, IOBs, emb. mults, TBUFs]\" default"
7062"=\"[0,0,0,0,0,0,0]\" />\n    </etch>\n   </tab>\n  </tabpane>\n </blockgui>\n"
7063"</sysgenblock>\n"
7064      MaskTabNameString       ",,,,,,,,,,,,,,,,,,"
7065    }
7066    Block {
7067      BlockType           Reference
7068      Name            "From Register"
7069      Ports           [0, 1]
7070      Position            [165, 900, 210, 950]
7071      ShowName            off
7072      AttributesFormatString  "From Register\\n<< %<shared_memory_name> >>"
7073      SourceBlock         "xbsIndex_r4/From Register"
7074      SourceType          "Xilinx Shared Memory Based From Register Block"
7075      infoedit            "Register block that reads data to a shared memo"
7076"ry register.  Delay of one sample period."
7077      shared_memory_name      "'LCD_Reset'"
7078      init            "0"
7079      period              "1"
7080      ownership           "Locally owned and initialized"
7081      arith_type          "Unsigned"
7082      n_bits              "1"
7083      bin_pt              "0"
7084      dbl_ovrd            off
7085      xl_use_area         off
7086      xl_area             "[0,0,0,0,0,0,0]"
7087      has_advanced_control    "0"
7088      sggui_pos           "20,20,379,246"
7089      block_type          "fromreg"
7090      block_version       "8.2.02"
7091      sg_icon_stat        "45,50,0,1,white,blue,0,137b37a7"
7092      sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npa"
7093"tch([0 45 45 0 ],[0 0 50 50 ],[0.77 0.82 0.91]);\npatch([10 3 14 3 10 22 25 2"
7094"8 41 31 21 14 25 14 21 31 41 28 25 22 10 ],[7 14 25 36 43 43 40 43 43 33 43 3"
7095"6 25 14 7 17 7 7 10 7 7 ],[0.98 0.96 0.92]);\nplot([0 0 45 45 0 ],[0 50 50 0 "
7096"0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin "
7097"icon text');\ncolor('black');port_label('output',1,'dout');\nfprintf('','COMM"
7098"ENT: end icon text');\n"
7099    }
7100    Block {
7101      BlockType           Reference
7102      Name            "From Register1"
7103      Ports           [0, 1]
7104      Position            [550, 235, 595, 285]
7105      ShowName            off
7106      AttributesFormatString  "From Register\\n<< %<shared_memory_name> >>"
7107      SourceBlock         "xbsIndex_r4/From Register"
7108      SourceType          "Xilinx Shared Memory Based From Register Block"
7109      infoedit            "Register block that reads data to a shared memo"
7110"ry register.  Delay of one sample period."
7111      shared_memory_name      "'LCD_ConfigLocation'"
7112      init            "0"
7113      period              "1"
7114      ownership           "Locally owned and initialized"
7115      arith_type          "Unsigned"
7116      n_bits              "2"
7117      bin_pt              "0"
7118      dbl_ovrd            off
7119      xl_use_area         off
7120      xl_area             "[0,0,0,0,0,0,0]"
7121      has_advanced_control    "0"
7122      sggui_pos           "20,20,379,246"
7123      block_type          "fromreg"
7124      block_version       "8.2.02"
7125      sg_icon_stat        "45,50,0,1,white,blue,0,137b37a7"
7126      sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npa"
7127"tch([0 45 45 0 ],[0 0 50 50 ],[0.77 0.82 0.91]);\npatch([10 3 14 3 10 22 25 2"
7128"8 41 31 21 14 25 14 21 31 41 28 25 22 10 ],[7 14 25 36 43 43 40 43 43 33 43 3"
7129"6 25 14 7 17 7 7 10 7 7 ],[0.98 0.96 0.92]);\nplot([0 0 45 45 0 ],[0 50 50 0 "
7130"0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin "
7131"icon text');\ncolor('black');port_label('output',1,'dout');\nfprintf('','COMM"
7132"ENT: end icon text');\n"
7133    }
7134    Block {
7135      BlockType           Reference
7136      Name            "From Register2"
7137      Ports           [0, 1]
7138      Position            [550, 325, 595, 375]
7139      ShowName            off
7140      AttributesFormatString  "From Register\\n<< %<shared_memory_name> >>"
7141      SourceBlock         "xbsIndex_r4/From Register"
7142      SourceType          "Xilinx Shared Memory Based From Register Block"
7143      infoedit            "Register block that reads data to a shared memo"
7144"ry register.  Delay of one sample period."
7145      shared_memory_name      "'LCD_InvertRAMAddrCmd'"
7146      init            "0"
7147      period              "1"
7148      ownership           "Locally owned and initialized"
7149      arith_type          "Unsigned"
7150      n_bits              "1"
7151      bin_pt              "0"
7152      dbl_ovrd            off
7153      xl_use_area         off
7154      xl_area             "[0,0,0,0,0,0,0]"
7155      has_advanced_control    "0"
7156      sggui_pos           "20,20,379,246"
7157      block_type          "fromreg"
7158      block_version       "8.2.02"
7159      sg_icon_stat        "45,50,0,1,white,blue,0,137b37a7"
7160      sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npa"
7161"tch([0 45 45 0 ],[0 0 50 50 ],[0.77 0.82 0.91]);\npatch([10 3 14 3 10 22 25 2"
7162"8 41 31 21 14 25 14 21 31 41 28 25 22 10 ],[7 14 25 36 43 43 40 43 43 33 43 3"
7163"6 25 14 7 17 7 7 10 7 7 ],[0.98 0.96 0.92]);\nplot([0 0 45 45 0 ],[0 50 50 0 "
7164"0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin "
7165"icon text');\ncolor('black');port_label('output',1,'dout');\nfprintf('','COMM"
7166"ENT: end icon text');\n"
7167    }
7168    Block {
7169      BlockType           Reference
7170      Name            "From Register3"
7171      Ports           [0, 1]
7172      Position            [585, 470, 630, 520]
7173      ShowName            off
7174      AttributesFormatString  "From Register\\n<< %<shared_memory_name> >>"
7175      SourceBlock         "xbsIndex_r4/From Register"
7176      SourceType          "Xilinx Shared Memory Based From Register Block"
7177      infoedit            "Register block that reads data to a shared memo"
7178"ry register.  Delay of one sample period."
7179      shared_memory_name      "'LCD_TotalCmdTransfer'"
7180      init            "10"
7181      period              "1"
7182      ownership           "Locally owned and initialized"
7183      arith_type          "Unsigned"
7184      n_bits              "8"
7185      bin_pt              "0"
7186      dbl_ovrd            off
7187      xl_use_area         off
7188      xl_area             "[0,0,0,0,0,0,0]"
7189      has_advanced_control    "0"
7190      sggui_pos           "20,20,379,246"
7191      block_type          "fromreg"
7192      block_version       "8.2.02"
7193      sg_icon_stat        "45,50,0,1,white,blue,0,137b37a7"
7194      sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npa"
7195"tch([0 45 45 0 ],[0 0 50 50 ],[0.77 0.82 0.91]);\npatch([10 3 14 3 10 22 25 2"
7196"8 41 31 21 14 25 14 21 31 41 28 25 22 10 ],[7 14 25 36 43 43 40 43 43 33 43 3"
7197"6 25 14 7 17 7 7 10 7 7 ],[0.98 0.96 0.92]);\nplot([0 0 45 45 0 ],[0 50 50 0 "
7198"0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin "
7199"icon text');\ncolor('black');port_label('output',1,'dout');\nfprintf('','COMM"
7200"ENT: end icon text');\n"
7201    }
7202    Block {
7203      BlockType           Reference
7204      Name            "From Register4"
7205      Ports           [0, 1]
7206      Position            [1175, 440, 1220, 490]
7207      ShowName            off
7208      AttributesFormatString  "From Register\\n<< %<shared_memory_name> >>"
7209      SourceBlock         "xbsIndex_r4/From Register"
7210      SourceType          "Xilinx Shared Memory Based From Register Block"
7211      infoedit            "Register block that reads data to a shared memo"
7212"ry register.  Delay of one sample period."
7213      shared_memory_name      "'LCD_DividerSelect'"
7214      init            "0"
7215      period              "1"
7216      ownership           "Locally owned and initialized"
7217      arith_type          "Unsigned"
7218      n_bits              "1"
7219      bin_pt              "0"
7220      dbl_ovrd            off
7221      xl_use_area         off
7222      xl_area             "[0,0,0,0,0,0,0]"
7223      has_advanced_control    "0"
7224      sggui_pos           "20,20,379,246"
7225      block_type          "fromreg"
7226      block_version       "8.2.02"
7227      sg_icon_stat        "45,50,0,1,white,blue,0,137b37a7"
7228      sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npa"
7229"tch([0 45 45 0 ],[0 0 50 50 ],[0.77 0.82 0.91]);\npatch([10 3 14 3 10 22 25 2"
7230"8 41 31 21 14 25 14 21 31 41 28 25 22 10 ],[7 14 25 36 43 43 40 43 43 33 43 3"
7231"6 25 14 7 17 7 7 10 7 7 ],[0.98 0.96 0.92]);\nplot([0 0 45 45 0 ],[0 50 50 0 "
7232"0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin "
7233"icon text');\ncolor('black');port_label('output',1,'dout');\nfprintf('','COMM"
7234"ENT: end icon text');\n"
7235    }
7236    Block {
7237      BlockType           Reference
7238      Name            "From Register5"
7239      Ports           [0, 1]
7240      Position            [245, 410, 290, 460]
7241      ShowName            off
7242      AttributesFormatString  "From Register\\n<< %<shared_memory_name> >>"
7243      SourceBlock         "xbsIndex_r4/From Register"
7244      SourceType          "Xilinx Shared Memory Based From Register Block"
7245      infoedit            "Register block that reads data to a shared memo"
7246"ry register.  Delay of one sample period."
7247      shared_memory_name      "'LCD_Send'"
7248      init            "0"
7249      period              "1"
7250      ownership           "Locally owned and initialized"
7251      arith_type          "Unsigned"
7252      n_bits              "1"
7253      bin_pt              "0"
7254      dbl_ovrd            off
7255      xl_use_area         off
7256      xl_area             "[0,0,0,0,0,0,0]"
7257      has_advanced_control    "0"
7258      sggui_pos           "20,20,379,246"
7259      block_type          "fromreg"
7260      block_version       "8.2.02"
7261      sg_icon_stat        "45,50,0,1,white,blue,0,137b37a7"
7262      sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npa"
7263"tch([0 45 45 0 ],[0 0 50 50 ],[0.77 0.82 0.91]);\npatch([10 3 14 3 10 22 25 2"
7264"8 41 31 21 14 25 14 21 31 41 28 25 22 10 ],[7 14 25 36 43 43 40 43 43 33 43 3"
7265"6 25 14 7 17 7 7 10 7 7 ],[0.98 0.96 0.92]);\nplot([0 0 45 45 0 ],[0 50 50 0 "
7266"0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin "
7267"icon text');\ncolor('black');port_label('output',1,'dout');\nfprintf('','COMM"
7268"ENT: end icon text');\n"
7269    }
7270    Block {
7271      BlockType           "S-Function"
7272      Name            "From Register6"
7273      Ports           [0, 1]
7274      Position            [425, 45, 470, 95]
7275      ShowName            off
7276      AttributesFormatString  "From Register\\n<< %<shared_memory_name> >>"
7277      CopyFcn             "xlBlockMoveCallback(gcbh);"
7278      DeleteFcn           "xlDestroyGui(gcbh);"
7279      LoadFcn             "xlBlockLoadCallback(gcbh);"
7280      ModelCloseFcn       "xlDestroyGui(gcbh);"
7281      PreSaveFcn          "xlBlockPreSaveCallback(gcbh);"
7282      PostSaveFcn         "xlBlockPostSaveCallback(gcbh);"
7283      DestroyFcn          "xlDestroyGui(gcbh);"
7284      OpenFcn             "xlOpenGui(gcbh, @fromregenablement, -1)"
7285      CloseFcn            "xlDestroyGui(gcbh);"
7286      MoveFcn             "xlBlockMoveCallback(gcbh);"
7287      FunctionName        "sysgen"
7288      Parameters          "ptable_"
7289      MaskType            "Xilinx Shared Memory Based From Register Block"
7290      MaskDescription         "Register block that reads data to a shared memo"
7291"ry register.  Delay of one sample period."
7292      MaskHelp            "eval('xlWeb(xlhtmldoclink(''From Register''))')"
7293";"
7294      MaskPromptString        " |Shared memory name|Initial value|Sample perio"
7295"d| |Ownership and initialization| |Output type|Number of bits|Binary point| |"
7296"Override with doubles| |Define FPGA area for resource estimation|FPGA area [s"
7297"lices, FFs, BRAMs, LUTs, IOBs, emb. mults, TBUFs]| | | | | | | | "
7298      MaskStyleString         "edit,edit,edit,edit,edit,popup(Locally owned an"
7299"d initialized|Owned and initialized elsewhere),edit,popup(Signed  (2's comp)|"
7300"Unsigned),edit,edit,edit,checkbox,edit,checkbox,edit,edit,edit,edit,edit,edit"
7301",edit,edit,edit"
7302      MaskTunableValueString  "on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on"
7303",on,on,on,on,on,on,on"
7304      MaskCallbackString      "||||||||||||||||||||||"
7305      MaskEnableString        "on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on"
7306",on,on,on,on,on,on,on"
7307      MaskVisibilityString    "off,on,on,on,off,on,off,on,on,on,off,on,off,on,"
7308"on,off,off,off,off,off,off,off,off"
7309      MaskToolTipString       "on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on"
7310",on,on,on,on,on,on,on"
7311      MaskVarAliasString      ",,,,,,,,,,,,,,,,,,,,,,"
7312      MaskVariables       "infoedit=&1;shared_memory_name=@2;init=@3;perio"
7313"d=@4;ownership_sgadvanced=&5;ownership=@6;arith_type_sgadvanced=&7;arith_type"
7314"=@8;n_bits=@9;bin_pt=@10;dbl_ovrd_sgadvanced=&11;dbl_ovrd=@12;xl_use_area_sga"
7315"dvanced=&13;xl_use_area=@14;xl_area=@15;has_advanced_control=@16;sggui_pos=&1"
7316"7;block_type=&18;block_version=&19;sg_icon_stat=&20;sg_mask_display=&21;sg_li"
7317"st_contents=&22;sg_blockgui_xml=&23;"
7318      MaskInitialization      "try\n  tmp_gcb = gcb;\n  tmp_gcbh = gcbh;\n  xl"
7319"MungeMaskParams;\n  block_config = 'Sysgen:fromreg_config';\n  serialized_dec"
7320"larations = '{''arith_type''=>''Int'',''bin_pt''=>''Int'',''dbl_ovrd''=>''Int"
7321"'',''init''=>''Double'',''n_bits''=>''Int'',''ownership''=>''Int'',''period''"
7322"=>''Double'',''shared_memory_name''=>''String''}';\n  xlfromreg_init();\n  pt"
7323"able_ = xlblockprep(get_param(tmp_gcb, 'MaskWSVariables'));\ncatch\n  global "
7324"xl_report_errors;\n  if(~isempty(xl_report_errors) && xl_report_errors)\n    "
7325"e = regexprep(lasterr, '\\n', '\\nError: ');\n    disp(['Error: While running"
7326" MaskInit code on block ' tmp_gcb ': ' e]);\n    error(e);\n  end\nend\n"
7327      MaskDisplay         "fprintf('','COMMENT: begin icon graphics');\npa"
7328"tch([0 45 45 0 ],[0 0 50 50 ],[0.77 0.82 0.91]);\npatch([10 3 14 3 10 22 25 2"
7329"8 41 31 21 14 25 14 21 31 41 28 25 22 10 ],[7 14 25 36 43 43 40 43 43 33 43 3"
7330"6 25 14 7 17 7 7 10 7 7 ],[0.98 0.96 0.92]);\nplot([0 0 45 45 0 ],[0 50 50 0 "
7331"0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin "
7332"icon text');\ncolor('black');port_label('output',1,'dout');\nfprintf('','COMM"
7333"ENT: end icon text');\n"
7334      MaskSelfModifiable      on
7335      MaskIconFrame       off
7336      MaskIconOpaque          on
7337      MaskIconRotate          "none"
7338      MaskIconUnits       "autoscale"
7339      MaskValueString         "Register block that reads data to a shared memo"
7340"ry register.  Delay of one sample period.|'LCD_ResetLCD'|1|1||Locally owned a"
7341"nd initialized||Unsigned|1|0||off||off|[0,0,0,0,0,0,0]|0|20,20,379,246|fromre"
7342"g|8.2.02|45,50,0,1,white,blue,0,137b37a7|fprintf('','COMMENT: begin icon grap"
7343"hics');\npatch([0 45 45 0 ],[0 0 50 50 ],[0.77 0.82 0.91]);\npatch([10 3 14 3"
7344" 10 22 25 28 41 31 21 14 25 14 21 31 41 28 25 22 10 ],[7 14 25 36 43 43 40 43"
7345" 43 33 43 36 25 14 7 17 7 7 10 7 7 ],[0.98 0.96 0.92]);\nplot([0 0 45 45 0 ],"
7346"[0 50 50 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMM"
7347"ENT: begin icon text');\ncolor('black');port_label('output',1,'dout');\nfprin"
7348"tf('','COMMENT: end icon text');\n||<!--   *  Copyright (c) 2005, Xilinx, Inc"
7349".  All Rights Reserved.           --><!--   *  Reproduction or reuse, in any "
7350"form, without the explicit written --><!--   *  consent of Xilinx, Inc., is s"
7351"trictly prohibited.                 --><sysgenblock block_type=\"fromreg\" si"
7352"mulinkname=\"From Register\" >\n <initialization file=\"xlfromreg_init.m\" />"
7353"\n <icon width=\"45\" bg_color=\"blue\" height=\"50\" caption_format=\"From R"
7354"egister\\n&lt;&lt; %&lt;shared_memory_name> >>\" wmark_color=\"white\" />\n <"
7355"dll entry_point=\"fromreg_config\" name=\"Sysgen\" />\n <handlers enablement="
7356"\"fromregenablement\" />\n <libraries>\n  <library name=\"xbsIndex\" />\n  <l"
7357"ibrary name=\"xbsShared\" />\n </libraries>\n <blockgui label=\"Xilinx Shared"
7358" Memory Based From Register\" >\n  <editbox evaluate=\"false\" multi_line=\"t"
7359"rue\" name=\"infoedit\" read_only=\"true\" default=\"Register block that read"
7360"s data to a shared memory register.  Delay of one sample period.\" />\n  <tab"
7361"pane>\n   <tab name=\"basictab\" label=\"Basic\" >\n    <editbox ctype=\"Stri"
7362"ng\" evaluate=\"true\" name=\"shared_memory_name\" label=\"Shared memory name"
7363"\" default=\"'Bar'\" />\n    <editbox ctype=\"Double\" evaluate=\"true\" name"
7364"=\"init\" label=\"Initial value\" default=\"0\" />\n    <editbox ctype=\"Doub"
7365"le\" evaluate=\"true\" name=\"period\" label=\"Sample period\" default=\"1\" "
7366"/>\n    <radiogroup ctype=\"Int\" evaluate=\"true\" name=\"ownership\" label="
7367"\"Ownership and initialization\" default=\"Owned and initialized elsewhere\" "
7368">\n     <item value=\"Locally owned and initialized\" />\n     <item value=\""
7369"Owned and initialized elsewhere\" />\n    </radiogroup>\n   </tab>\n   <tab n"
7370"ame=\"typetab\" label=\"Output Type\" >\n    <etch label=\"Output Precision\""
7371" >\n     <radiogroup ctype=\"Int\" evaluate=\"true\" name=\"arith_type\" labe"
7372"l=\"Output type\" default=\"Signed  (2's comp)\" >\n      <item value=\"Signe"
7373"d  (2's comp)\" label=\"Signed  (2's comp)\" />\n      <item value=\"Unsigned"
7374"\" label=\"Unsigned\" />\n     </radiogroup>\n     <editbox ctype=\"Int\" eva"
7375"luate=\"true\" name=\"n_bits\" label=\"Number of bits\" default=\"16\" />\n  "
7376"   <editbox ctype=\"Int\" evaluate=\"true\" name=\"bin_pt\" label=\"Binary po"
7377"int\" default=\"14\" />\n    </etch>\n   </tab>\n   <tab name=\"advtab\" labe"
7378"l=\"Advanced\" >\n    <etch label=\"Simulation\" >\n     <checkbox ctype=\"In"
7379"t\" evaluate=\"true\" name=\"dbl_ovrd\" label=\"Override with doubles\" defau"
7380"lt=\"off\" />\n    </etch>\n   </tab>\n   <tab name=\"impltab\" label=\"Imple"
7381"mentation\" >\n    <etch label=\"FPGA Area Estimation\" >\n     <checkbox nam"
7382"e=\"xl_use_area\" label=\"Define FPGA area for resource estimation\" default="
7383"\"off\" />\n     <editbox top_label=\"true\" name=\"xl_area\" label=\"FPGA ar"
7384"ea [slices, FFs, BRAMs, LUTs, IOBs, emb. mults, TBUFs]\" default=\"[0,0,0,0,0"
7385",0,0]\" />\n    </etch>\n   </tab>\n  </tabpane>\n </blockgui>\n</sysgenblock"
7386">\n"
7387      MaskTabNameString       ",,,,,,,,,,,,,,,,,,,,,,"
7388    }
7389    Block {
7390      BlockType           Reference
7391      Name            "From Register7"
7392      Ports           [0, 1]
7393      Position            [585, 680, 630, 730]
7394      ShowName            off
7395      AttributesFormatString  "From Register\\n<< %<shared_memory_name> >>"
7396      SourceBlock         "xbsIndex_r4/From Register"
7397      SourceType          "Xilinx Shared Memory Based From Register Block"
7398      infoedit            "Register block that reads data to a shared memo"
7399"ry register.  Delay of one sample period."
7400      shared_memory_name      "'LCD_InvertRAMAddrData'"
7401      init            "1"
7402      period              "1"
7403      ownership           "Locally owned and initialized"
7404      arith_type          "Unsigned"
7405      n_bits              "1"
7406      bin_pt              "0"
7407      dbl_ovrd            off
7408      xl_use_area         off
7409      xl_area             "[0,0,0,0,0,0,0]"
7410      has_advanced_control    "0"
7411      sggui_pos           "20,20,379,246"
7412      block_type          "fromreg"
7413      block_version       "8.2.02"
7414      sg_icon_stat        "45,50,0,1,white,blue,0,137b37a7"
7415      sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npa"
7416"tch([0 45 45 0 ],[0 0 50 50 ],[0.77 0.82 0.91]);\npatch([10 3 14 3 10 22 25 2"
7417"8 41 31 21 14 25 14 21 31 41 28 25 22 10 ],[7 14 25 36 43 43 40 43 43 33 43 3"
7418"6 25 14 7 17 7 7 10 7 7 ],[0.98 0.96 0.92]);\nplot([0 0 45 45 0 ],[0 50 50 0 "
7419"0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin "
7420"icon text');\ncolor('black');port_label('output',1,'dout');\nfprintf('','COMM"
7421"ENT: end icon text');\n"
7422    }
7423    Block {
7424      BlockType           Reference
7425      Name            "Logical1"
7426      Ports           [2, 1]
7427      Position            [255, 855, 310, 915]
7428      SourceBlock         "xbsIndex_r4/Logical"
7429      SourceType          "Xilinx Logical Block Block"
7430      logical_function        "OR"
7431      inputs              "2"
7432      en              off
7433      latency             "0"
7434      precision           "Full"
7435      arith_type          "Unsigned"
7436      n_bits              "16"
7437      bin_pt              "0"
7438      align_bp            on
7439      dbl_ovrd            off
7440      xl_use_area         off
7441      xl_area             "[0,0,0,0,0,0,0]"
7442      has_advanced_control    "0"
7443      sggui_pos           "-1,-1,-1,-1"
7444      block_type          "logical"
7445      block_version       "8.2.02"
7446      sg_icon_stat        "55,60,2,1,white,blue,0,f4a65842"
7447      sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npa"
7448"tch([0 55 55 0 ],[0 0 60 60 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13 28 32 3"
7449"6 52 40 28 19 32 19 28 40 52 36 32 28 13 ],[8 17 30 43 52 52 48 52 52 40 52 4"
7450"3 30 17 8 20 8 8 12 8 8 ],[0.98 0.96 0.92]);\nplot([0 0 55 55 0 ],[0 60 60 0 "
7451"0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin "
7452"icon text');\ncolor('black');disp('\\newlineor\\newlinez^{-0}','texmode','on'"
7453");\nfprintf('','COMMENT: end icon text');\n"
7454    }
7455    Block {
7456      BlockType           Reference
7457      Name            "Mux"
7458      Ports           [3, 1]
7459      Position            [1220, 293, 1265, 397]
7460      NamePlacement       "alternate"
7461      SourceBlock         "xbsIndex_r4/Mux"
7462      SourceType          "Xilinx Bus Multiplexer Block"
7463      inputs              "2"
7464      en              off
7465      latency             "0"
7466      precision           "Full"
7467      arith_type          "Unsigned"
7468      n_bits              "16"
7469      bin_pt              "14"
7470      quantization        "Truncate"
7471      overflow            "Wrap"
7472      dbl_ovrd            off
7473      xl_use_area         off
7474      xl_area             "[0,0,0,0,0,0,0]"
7475      has_advanced_control    "0"
7476      sggui_pos           "-1,-1,-1,-1"
7477      block_type          "mux"
7478      block_version       "8.2.02"
7479      sg_icon_stat        "45,104,3,1,white,blue,3,613f58e1"
7480      sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npa"
7481"tch([0 45 45 0 ],[0 14.8571 89.1429 104 ],[0.77 0.82 0.91]);\npatch([10 3 14 "
7482"3 10 22 25 28 41 31 21 14 25 14 21 31 41 28 25 22 10 ],[34 41 52 63 70 70 67 "
7483"70 70 60 70 63 52 41 34 44 34 34 37 34 34 ],[0.98 0.96 0.92]);\nplot([0 45 45"
7484" 0 0 ],[0 14.8571 89.1429 104 0 ]);\nfprintf('','COMMENT: end icon graphics')"
7485";\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input'"
7486",1,'sel');\ncolor('black');port_label('input',2,'d0');\ncolor('black');port_l"
7487"abel('input',3,'d1');\ncolor('black');disp('\\bf{}','texmode','on');\nfprintf"
7488"('','COMMENT: end icon text');\n"
7489    }
7490    Block {
7491      BlockType           Reference
7492      Name            "Mux1"
7493      Ports           [3, 1]
7494      Position            [1015, 353, 1060, 457]
7495      SourceBlock         "xbsIndex_r4/Mux"
7496      SourceType          "Xilinx Bus Multiplexer Block"
7497      inputs              "2"
7498      en              off
7499      latency             "0"
7500      precision           "Full"
7501      arith_type          "Unsigned"
7502      n_bits              "16"
7503      bin_pt              "14"
7504      quantization        "Truncate"
7505      overflow            "Wrap"
7506      dbl_ovrd            off
7507      xl_use_area         off
7508      xl_area             "[0,0,0,0,0,0,0]"
7509      has_advanced_control    "0"
7510      sggui_pos           "-1,-1,-1,-1"
7511      block_type          "mux"
7512      block_version       "8.2.02"
7513      sg_icon_stat        "45,104,3,1,white,blue,3,613f58e1"
7514      sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npa"
7515"tch([0 45 45 0 ],[0 14.8571 89.1429 104 ],[0.77 0.82 0.91]);\npatch([10 3 14 "
7516"3 10 22 25 28 41 31 21 14 25 14 21 31 41 28 25 22 10 ],[34 41 52 63 70 70 67 "
7517"70 70 60 70 63 52 41 34 44 34 34 37 34 34 ],[0.98 0.96 0.92]);\nplot([0 45 45"
7518" 0 0 ],[0 14.8571 89.1429 104 0 ]);\nfprintf('','COMMENT: end icon graphics')"
7519";\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('input'"
7520",1,'sel');\ncolor('black');port_label('input',2,'d0');\ncolor('black');port_l"
7521"abel('input',3,'d1');\ncolor('black');disp('\\bf{}','texmode','on');\nfprintf"
7522"('','COMMENT: end icon text');\n"
7523    }
7524    Block {
7525      BlockType           SubSystem
7526      Name            "Pos Edge Detector"
7527      Ports           [1, 1]
7528      Position            [410, 404, 535, 466]
7529      TreatAsAtomicUnit       off
7530      MinAlgLoopOccurrences   off
7531      RTWSystemCode       "Auto"
7532      MaskHideContents        off
7533      System {
7534    Name            "Pos Edge Detector"
7535    Location        [971, 353, 1386, 494]
7536    Open            off
7537    ModelBrowserVisibility  off
7538    ModelBrowserWidth   200
7539    ScreenColor     "white"
7540    PaperOrientation    "landscape"
7541    PaperPositionMode   "auto"
7542    PaperType       "usletter"
7543    PaperUnits      "inches"
7544    ZoomFactor      "100"
7545    Block {
7546      BlockType       Inport
7547      Name            "Input Signal"
7548      Position        [25, 33, 55, 47]
7549      IconDisplay         "Port number"
7550    }
7551    Block {
7552      BlockType       Reference
7553      Name            "Delay"
7554      Ports           [1, 1]
7555      Position        [170, 57, 230, 113]
7556      SourceBlock         "xbsIndex_r4/Delay"
7557      SourceType          "Xilinx Delay Block"
7558      infoedit        "Hardware notes: A delay line is a chain, ea"
7559"ch link of which is an SRL16 followed by a flip-flop. If register retiming is"
7560" enabled, the delay line is a chain of flip-flops."
7561      en              "off"
7562      latency         "1"
7563      dbl_ovrd        "off"
7564      reg_retiming        "off"
7565      xl_use_area         "off"
7566      xl_area         "[0,0,0,0,0,0,0]"
7567      has_advanced_control    "0"
7568      sggui_pos       "-1,-1,-1,-1"
7569      block_type          "delay"
7570      block_version       "8.2.02"
7571      sg_icon_stat        "60,56,1,1,white,blue,0,fc531c0e"
7572      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');"
7573"\npatch([0 60 60 0 ],[0 0 56 56 ],[0.77 0.82 0.91]);\npatch([15 6 19 6 15 30 "
7574"34 38 54 41 29 20 32 20 29 41 54 38 34 30 15 ],[6 15 28 41 50 50 46 50 50 37 "
7575"49 40 28 16 7 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 0 60 60 0 ],[0 56 5"
7576"6 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: be"
7577"gin icon text');\ncolor('black');disp('z^{-1}','texmode','on');\nfprintf('','"
7578"COMMENT: end icon text');\n"
7579    }
7580    Block {
7581      BlockType       Reference
7582      Name            "Inverter"
7583      Ports           [1, 1]
7584      Position        [100, 56, 155, 114]
7585      SourceBlock         "xbsIndex_r4/Inverter"
7586      SourceType          "Xilinx Inverter Block"
7587      infoedit        "Bitwise logical negation (one's complement)"
7588" operator."
7589      en              "off"
7590      latency         "0"
7591      dbl_ovrd        "off"
7592      xl_use_area         "off"
7593      xl_area         "[0,0,0,0,0,0,0]"
7594      has_advanced_control    "0"
7595      sggui_pos       "20,20,356,237"
7596      block_type          "inv"
7597      block_version       "8.2.02"
7598      sg_icon_stat        "55,58,1,1,white,blue,0,1ab4a85f"
7599      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');"
7600"\npatch([0 55 55 0 ],[0 0 58 58 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13 28 "
7601"32 36 52 40 28 19 32 19 28 40 52 36 32 28 13 ],[7 16 29 42 51 51 47 51 51 39 "
7602"51 42 29 16 7 19 7 7 11 7 7 ],[0.98 0.96 0.92]);\nplot([0 0 55 55 0 ],[0 58 5"
7603"8 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: be"
7604"gin icon text');\ncolor('black');disp('not');\nfprintf('','COMMENT: end icon "
7605"text');\n"
7606    }
7607    Block {
7608      BlockType       Reference
7609      Name            "Logical"
7610      Ports           [2, 1]
7611      Position        [280, 25, 335, 85]
7612      SourceBlock         "xbsIndex_r4/Logical"
7613      SourceType          "Xilinx Logical Block Block"
7614      logical_function    "AND"
7615      inputs          "2"
7616      en              "off"
7617      latency         "0"
7618      precision       "Full"
7619      arith_type          "Unsigned"
7620      n_bits          "16"
7621      bin_pt          "0"
7622      align_bp        "on"
7623      dbl_ovrd        "off"
7624      xl_use_area         "off"
7625      xl_area         "[0,0,0,0,0,0,0]"
7626      has_advanced_control    "0"
7627      sggui_pos       "-1,-1,-1,-1"
7628      block_type          "logical"
7629      block_version       "8.2.02"
7630      sg_icon_stat        "55,60,2,1,white,blue,0,087b5522"
7631      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');"
7632"\npatch([0 55 55 0 ],[0 0 60 60 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13 28 "
7633"32 36 52 40 28 19 32 19 28 40 52 36 32 28 13 ],[8 17 30 43 52 52 48 52 52 40 "
7634"52 43 30 17 8 20 8 8 12 8 8 ],[0.98 0.96 0.92]);\nplot([0 0 55 55 0 ],[0 60 6"
7635"0 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: be"
7636"gin icon text');\ncolor('black');disp('\\newlineand\\newlinez^{-0}','texmode'"
7637",'on');\nfprintf('','COMMENT: end icon text');\n"
7638    }
7639    Block {
7640      BlockType       Outport
7641      Name            "Rising Edge"
7642      Position        [385, 48, 415, 62]
7643      IconDisplay         "Port number"
7644      BusOutputAsStruct   off
7645    }
7646    Line {
7647      SrcBlock        "Input Signal"
7648      SrcPort         1
7649      Points          [0, 0; 15, 0]
7650      Branch {
7651        DstBlock            "Logical"
7652        DstPort         1
7653      }
7654      Branch {
7655        Points          [0, 45]
7656        DstBlock            "Inverter"
7657        DstPort         1
7658      }
7659    }
7660    Line {
7661      SrcBlock        "Delay"
7662      SrcPort         1
7663      Points          [15, 0; 0, -15]
7664      DstBlock        "Logical"
7665      DstPort         2
7666    }
7667    Line {
7668      SrcBlock        "Inverter"
7669      SrcPort         1
7670      DstBlock        "Delay"
7671      DstPort         1
7672    }
7673    Line {
7674      SrcBlock        "Logical"
7675      SrcPort         1
7676      DstBlock        "Rising Edge"
7677      DstPort         1
7678    }
7679      }
7680    }
7681    Block {
7682      BlockType           Reference
7683      Name            "Reset"
7684      Ports           [1, 1]
7685      Position            [150, 835, 215, 855]
7686      SourceBlock         "xbsIndex_r4/Gateway In"
7687      SourceType          "Xilinx Gateway In Block"
7688      infoedit            "Gateway in block.  Converts inputs of type Simu"
7689"link integer, double and fixed point to  Xilinx fixed point type.<P><P>Hardwa"
7690"re notes:  In hardware these blocks become top level input ports."
7691      arith_type          "Unsigned"
7692      n_bits              "1"
7693      bin_pt              "0"
7694      quantization        "Truncate"
7695      overflow            "Wrap"
7696      period              "1"
7697      dbl_ovrd            off
7698      timing_constraint       "None"
7699      locs_specified          off
7700      LOCs            "{}"
7701      xl_use_area         off
7702      xl_area             "[0,0,0,0,0,0,0]"
7703      has_advanced_control    "0"
7704      sggui_pos           "20,20,356,432"
7705      block_type          "gatewayin"
7706      block_version       "8.2.02"
7707      sg_icon_stat        "65,20,1,1,white,yellow,0,4bb76ffd"
7708      sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npa"
7709"tch([0 65 65 0 ],[0 0 20 20 ],[0.95 0.93 0.65]);\npatch([27 24 29 24 27 32 33"
7710" 34 40 36 32 29 34 29 32 36 40 34 33 32 27 ],[2 5 10 15 18 18 17 18 18 14 18 "
7711"15 10 5 2 6 2 2 3 2 2 ],[0.98 0.96 0.92]);\nplot([0 0 65 65 0 ],[0 20 20 0 0 "
7712"]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin ic"
7713"on text');\ncolor('black');port_label('input',1,'\\fontsize{11pt}\\bf In ','t"
7714"exmode','on');\ncolor('black');port_label('output',1,' ');\nfprintf('','COMME"
7715"NT: end icon text');\n"
7716    }
7717    Block {
7718      BlockType           "S-Function"
7719      Name            "ResetLCD"
7720      Ports           [1, 1]
7721      Position            [625, 60, 685, 80]
7722      CopyFcn             "xlBlockMoveCallback(gcbh);"
7723      DeleteFcn           "xlDestroyGui(gcbh);"
7724      LoadFcn             "xlBlockLoadCallback(gcbh);"
7725      ModelCloseFcn       "xlDestroyGui(gcbh);"
7726      PreSaveFcn          "xlBlockPreSaveCallback(gcbh);"
7727      PostSaveFcn         "xlBlockPostSaveCallback(gcbh);"
7728      DestroyFcn          "xlDestroyGui(gcbh);"
7729      OpenFcn             "xlOpenGui(gcbh, @gatewayoutenablement, -1)"
7730      CloseFcn            "xlDestroyGui(gcbh);"
7731      MoveFcn             "xlBlockMoveCallback(gcbh);"
7732      FunctionName        "sysgen"
7733      Parameters          "ptable_"
7734      MaskType            "Xilinx Gateway Out Block"
7735      MaskDescription         "Gateway out block.  Converts Xilinx fixed point"
7736" inputs into ouputs of type Simulink integer, double, or fixed point.\n\nHard"
7737"ware notes:  In hardware these blocks become top level output ports or are di"
7738"scarded, depending on how they are configured."
7739      MaskHelp            "eval('xlWeb(xlhtmldoclink(''Gateway Out''))');"
7740      MaskPromptString        " | |Translate into output port| |IOB timing con"
7741"straint| |Specify IOB location constraints|IOB pad locations (cell array {'MS"
7742"B', ..., 'LSB'})| |Define FPGA area for resource estimation|FPGA area [slices"
7743", FFs, BRAMs, LUTs, IOBs, emb. mults, TBUFs]| | | | | | | | | "
7744      MaskStyleString         "edit,edit,checkbox,edit,popup(None|Data Rate|Da"
7745"ta Rate;  Set 'FAST' Attribute),edit,checkbox,edit,edit,checkbox,edit,edit,ed"
7746"it,edit,edit,edit,edit,edit,edit,edit"
7747      MaskTunableValueString  "on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on"
7748",on,on,on,on"
7749      MaskCallbackString      "|||||||||||||||||||"
7750      MaskEnableString        "on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on"
7751",on,on,on,on"
7752      MaskVisibilityString    "off,off,on,off,on,off,on,on,off,on,on,off,off,o"
7753"ff,off,off,off,off,off,off"
7754      MaskToolTipString       "on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on"
7755",on,on,on,on"
7756      MaskVarAliasString      ",,,,,,,,,,,,,,,,,,,"
7757      MaskVariables       "infoedit=&1;hdl_port_sgadvanced=&2;hdl_port=@3;"
7758"timing_constraint_sgadvanced=&4;timing_constraint=@5;locs_specified_sgadvance"
7759"d=&6;locs_specified=@7;LOCs=@8;xl_use_area_sgadvanced=&9;xl_use_area=@10;xl_a"
7760"rea=@11;sginterface=&12;has_advanced_control=@13;sggui_pos=&14;block_type=&15"
7761";block_version=&16;sg_icon_stat=&17;sg_mask_display=&18;sg_list_contents=&19;"
7762"sg_blockgui_xml=&20;"
7763      MaskInitialization      "try\n  tmp_gcb = gcb;\n  tmp_gcbh = gcbh;\n  xl"
7764"MungeMaskParams;\n  block_config = 'Sysgen:gateway_out_config';\n  serialized"
7765"_declarations = '{''LOCs''=>''StringVector'',''hdl_port''=>''Int'',''locs_spe"
7766"cified''=>''Int'',''sginterface''=>''String'',''timing_constraint''=>''Int''}"
7767"';\n  ptable_ = xlblockprep(get_param(tmp_gcb, 'MaskWSVariables'));\ncatch\n "
7768" global xl_report_errors;\n  if(~isempty(xl_report_errors) && xl_report_error"
7769"s)\n    e = regexprep(lasterr, '\\n', '\\nError: ');\n    disp(['Error: While"
7770" running MaskInit code on block ' tmp_gcb ': ' e]);\n    error(e);\n  end\nen"
7771"d\n"
7772      MaskDisplay         "fprintf('','COMMENT: begin icon graphics');\npa"
7773"tch([0 60 60 0 ],[0 0 20 20 ],[0.95 0.93 0.65]);\npatch([24 21 26 21 24 29 30"
7774" 31 37 33 29 26 31 26 29 33 37 31 30 29 24 ],[2 5 10 15 18 18 17 18 18 14 18 "
7775"15 10 5 2 6 2 2 3 2 2 ],[0.98 0.96 0.92]);\nplot([0 0 60 60 0 ],[0 20 20 0 0 "
7776"]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin ic"
7777"on text');\ncolor('black');port_label('input',1,' ');\ncolor('black');port_la"
7778"bel('output',1,'\\fontsize{11pt}\\bf Out ','texmode','on');\nfprintf('','COMM"
7779"ENT: end icon text');\n"
7780      MaskSelfModifiable      on
7781      MaskIconFrame       off
7782      MaskIconOpaque          on
7783      MaskIconRotate          "none"
7784      MaskIconUnits       "autoscale"
7785      MaskValueString         "Gateway out block.  Converts Xilinx fixed point"
7786" inputs into ouputs of type Simulink integer, double, or fixed point.<P><P>Ha"
7787"rdware notes:  In hardware these blocks become top level output ports or are "
7788"discarded, depending on how they are configured.||on||None||off|{}||off|[0,0,"
7789"0,0,0,0,0]||0|-1,-1,-1,-1|gatewayout|8.2.02|60,20,1,1,white,yellow,0,f0cec300"
7790"|fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 ],[0 0 20 20 ]"
7791",[0.95 0.93 0.65]);\npatch([24 21 26 21 24 29 30 31 37 33 29 26 31 26 29 33 3"
7792"7 31 30 29 24 ],[2 5 10 15 18 18 17 18 18 14 18 15 10 5 2 6 2 2 3 2 2 ],[0.98"
7793" 0.96 0.92]);\nplot([0 0 60 60 0 ],[0 20 20 0 0 ]);\nfprintf('','COMMENT: end"
7794" icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');po"
7795"rt_label('input',1,' ');\ncolor('black');port_label('output',1,'\\fontsize{11"
7796"pt}\\bf Out ','texmode','on');\nfprintf('','COMMENT: end icon text');\n||<!--"
7797"   *  Copyright (c) 2005, Xilinx, Inc.  All Rights Reserved.           --><!-"
7798"-   *  Reproduction or reuse, in any form, without the explicit written --><!"
7799"--   *  consent of Xilinx, Inc., is strictly prohibited.                 --><"
7800"sysgenblock block_type=\"gatewayout\" simulinkname=\"Gateway Out\" >\n <icon "
7801"width=\"60\" bg_color=\"yellow\" height=\"20\" wmark_color=\"white\" />\n <dl"
7802"l entry_point=\"gateway_out_config\" name=\"Sysgen\" />\n <handlers enablemen"
7803"t=\"gatewayoutenablement\" />\n <libraries>\n  <library name=\"xbsIndex\" />"
7804"\n  <library name=\"xbsBasic\" />\n  <library name=\"xbsTypes\" />\n </librar"
7805"ies>\n <blockgui label=\"Xilinx Gateway Out\" >\n  <editbox evaluate=\"false"
7806"\" multi_line=\"true\" name=\"infoedit\" read_only=\"true\" default=\"Gateway"
7807" out block.  Converts Xilinx fixed point inputs into ouputs of type Simulink "
7808"integer, double, or fixed point.&lt;P>&lt;P>Hardware notes:  In hardware thes"
7809"e blocks become top level output ports or are discarded, depending on how the"
7810"y are configured.\" />\n  <checkbox ctype=\"Int\" evaluate=\"true\" name=\"hd"
7811"l_port\" label=\"Translate into output port\" default=\"on\" />\n  <radiogrou"
7812"p ctype=\"Int\" evaluate=\"true\" name=\"timing_constraint\" label=\"IOB timi"
7813"ng constraint\" default=\"None\" >\n   <item value=\"None\" label=\"None\" />"
7814"\n   <item value=\"Data Rate\" label=\"Data rate\" />\n   <item value=\"Data "
7815"Rate;  Set 'FAST' Attribute\" label=\"Data rate;  set 'FAST' attribute\" />\n"
7816"  </radiogroup>\n  <checkbox ctype=\"Int\" evaluate=\"true\" name=\"locs_spec"
7817"ified\" label=\"Specify IOB location constraints\" default=\"off\" />\n  <edi"
7818"tbox ctype=\"StringVector\" evaluate=\"true\" name=\"LOCs\" label=\"IOB pad l"
7819"ocations (cell array {'MSB', ..., 'LSB'})\" default=\"{}\" />\n  <etch label="
7820"\"FPGA Area Estimation\" >\n   <checkbox name=\"xl_use_area\" label=\"Define "
7821"FPGA area for resource estimation\" default=\"off\" />\n   <editbox top_label"
7822"=\"true\" name=\"xl_area\" label=\"FPGA area [slices, FFs, BRAMs, LUTs, IOBs,"
7823" emb. mults, TBUFs]\" default=\"[0,0,0,0,0,0,0]\" />\n  </etch>\n  <hiddenvar"
7824" ctype=\"String\" evaluate=\"false\" name=\"sginterface\" default=\"\" />\n <"
7825"/blockgui>\n</sysgenblock>\n"
7826      MaskTabNameString       ",,,,,,,,,,,,,,,,,,,"
7827    }
7828    Block {
7829      BlockType           "S-Function"
7830      Name            "SCL"
7831      Ports           [1, 1]
7832      Position            [1525, 395, 1585, 415]
7833      CopyFcn             "xlBlockMoveCallback(gcbh);"
7834      DeleteFcn           "xlDestroyGui(gcbh);"
7835      LoadFcn             "xlBlockLoadCallback(gcbh);"
7836      ModelCloseFcn       "xlDestroyGui(gcbh);"
7837      PreSaveFcn          "xlBlockPreSaveCallback(gcbh);"
7838      PostSaveFcn         "xlBlockPostSaveCallback(gcbh);"
7839      DestroyFcn          "xlDestroyGui(gcbh);"
7840      OpenFcn             "xlOpenGui(gcbh, @gatewayoutenablement, -1)"
7841      CloseFcn            "xlDestroyGui(gcbh);"
7842      MoveFcn             "xlBlockMoveCallback(gcbh);"
7843      FunctionName        "sysgen"
7844      Parameters          "ptable_"
7845      MaskType            "Xilinx Gateway Out Block"
7846      MaskDescription         "Gateway out block.  Converts Xilinx fixed point"
7847" inputs into ouputs of type Simulink integer, double, or fixed point.\n\nHard"
7848"ware notes:  In hardware these blocks become top level output ports or are di"
7849"scarded, depending on how they are configured."
7850      MaskHelp            "eval('xlWeb(xlhtmldoclink(''Gateway Out''))');"
7851      MaskPromptString        " | |Translate into output port| |IOB timing con"
7852"straint| |Specify IOB location constraints|IOB pad locations (cell array {'MS"
7853"B', ..., 'LSB'})| |Define FPGA area for resource estimation|FPGA area [slices"
7854", FFs, BRAMs, LUTs, IOBs, emb. mults, TBUFs]| | | | | | | | | "
7855      MaskStyleString         "edit,edit,checkbox,edit,popup(None|Data Rate|Da"
7856"ta Rate;  Set 'FAST' Attribute),edit,checkbox,edit,edit,checkbox,edit,edit,ed"
7857"it,edit,edit,edit,edit,edit,edit,edit"
7858      MaskTunableValueString  "on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on"
7859",on,on,on,on"
7860      MaskCallbackString      "|||||||||||||||||||"
7861      MaskEnableString        "on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on"
7862",on,on,on,on"
7863      MaskVisibilityString    "off,off,on,off,on,off,on,on,off,on,on,off,off,o"
7864"ff,off,off,off,off,off,off"
7865      MaskToolTipString       "on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on"
7866",on,on,on,on"
7867      MaskVarAliasString      ",,,,,,,,,,,,,,,,,,,"
7868      MaskVariables       "infoedit=&1;hdl_port_sgadvanced=&2;hdl_port=@3;"
7869"timing_constraint_sgadvanced=&4;timing_constraint=@5;locs_specified_sgadvance"
7870"d=&6;locs_specified=@7;LOCs=@8;xl_use_area_sgadvanced=&9;xl_use_area=@10;xl_a"
7871"rea=@11;sginterface=&12;has_advanced_control=@13;sggui_pos=&14;block_type=&15"
7872";block_version=&16;sg_icon_stat=&17;sg_mask_display=&18;sg_list_contents=&19;"
7873"sg_blockgui_xml=&20;"
7874      MaskInitialization      "try\n  tmp_gcb = gcb;\n  tmp_gcbh = gcbh;\n  xl"
7875"MungeMaskParams;\n  block_config = 'Sysgen:gateway_out_config';\n  serialized"
7876"_declarations = '{''LOCs''=>''StringVector'',''hdl_port''=>''Int'',''locs_spe"
7877"cified''=>''Int'',''sginterface''=>''String'',''timing_constraint''=>''Int''}"
7878"';\n  ptable_ = xlblockprep(get_param(tmp_gcb, 'MaskWSVariables'));\ncatch\n "
7879" global xl_report_errors;\n  if(~isempty(xl_report_errors) && xl_report_error"
7880"s)\n    e = regexprep(lasterr, '\\n', '\\nError: ');\n    disp(['Error: While"
7881" running MaskInit code on block ' tmp_gcb ': ' e]);\n    error(e);\n  end\nen"
7882"d\n"
7883      MaskDisplay         "fprintf('','COMMENT: begin icon graphics');\npa"
7884"tch([0 60 60 0 ],[0 0 20 20 ],[0.95 0.93 0.65]);\npatch([24 21 26 21 24 29 30"
7885" 31 37 33 29 26 31 26 29 33 37 31 30 29 24 ],[2 5 10 15 18 18 17 18 18 14 18 "
7886"15 10 5 2 6 2 2 3 2 2 ],[0.98 0.96 0.92]);\nplot([0 0 60 60 0 ],[0 20 20 0 0 "
7887"]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin ic"
7888"on text');\ncolor('black');port_label('input',1,' ');\ncolor('black');port_la"
7889"bel('output',1,'\\fontsize{11pt}\\bf Out ','texmode','on');\nfprintf('','COMM"
7890"ENT: end icon text');\n"
7891      MaskSelfModifiable      on
7892      MaskIconFrame       off
7893      MaskIconOpaque          on
7894      MaskIconRotate          "none"
7895      MaskIconUnits       "autoscale"
7896      MaskValueString         "Gateway out block.  Converts Xilinx fixed point"
7897" inputs into ouputs of type Simulink integer, double, or fixed point.<P><P>Ha"
7898"rdware notes:  In hardware these blocks become top level output ports or are "
7899"discarded, depending on how they are configured.||on||None||off|{}||off|[0,0,"
7900"0,0,0,0,0]||0|-1,-1,-1,-1|gatewayout|8.2.02|60,20,1,1,white,yellow,0,f0cec300"
7901"|fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 ],[0 0 20 20 ]"
7902",[0.95 0.93 0.65]);\npatch([24 21 26 21 24 29 30 31 37 33 29 26 31 26 29 33 3"
7903"7 31 30 29 24 ],[2 5 10 15 18 18 17 18 18 14 18 15 10 5 2 6 2 2 3 2 2 ],[0.98"
7904" 0.96 0.92]);\nplot([0 0 60 60 0 ],[0 20 20 0 0 ]);\nfprintf('','COMMENT: end"
7905" icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');po"
7906"rt_label('input',1,' ');\ncolor('black');port_label('output',1,'\\fontsize{11"
7907"pt}\\bf Out ','texmode','on');\nfprintf('','COMMENT: end icon text');\n||<!--"
7908"   *  Copyright (c) 2005, Xilinx, Inc.  All Rights Reserved.           --><!-"
7909"-   *  Reproduction or reuse, in any form, without the explicit written --><!"
7910"--   *  consent of Xilinx, Inc., is strictly prohibited.                 --><"
7911"sysgenblock block_type=\"gatewayout\" simulinkname=\"Gateway Out\" >\n <icon "
7912"width=\"60\" bg_color=\"yellow\" height=\"20\" wmark_color=\"white\" />\n <dl"
7913"l entry_point=\"gateway_out_config\" name=\"Sysgen\" />\n <handlers enablemen"
7914"t=\"gatewayoutenablement\" />\n <libraries>\n  <library name=\"xbsIndex\" />"
7915"\n  <library name=\"xbsBasic\" />\n  <library name=\"xbsTypes\" />\n </librar"
7916"ies>\n <blockgui label=\"Xilinx Gateway Out\" >\n  <editbox evaluate=\"false"
7917"\" multi_line=\"true\" name=\"infoedit\" read_only=\"true\" default=\"Gateway"
7918" out block.  Converts Xilinx fixed point inputs into ouputs of type Simulink "
7919"integer, double, or fixed point.&lt;P>&lt;P>Hardware notes:  In hardware thes"
7920"e blocks become top level output ports or are discarded, depending on how the"
7921"y are configured.\" />\n  <checkbox ctype=\"Int\" evaluate=\"true\" name=\"hd"
7922"l_port\" label=\"Translate into output port\" default=\"on\" />\n  <radiogrou"
7923"p ctype=\"Int\" evaluate=\"true\" name=\"timing_constraint\" label=\"IOB timi"
7924"ng constraint\" default=\"None\" >\n   <item value=\"None\" label=\"None\" />"
7925"\n   <item value=\"Data Rate\" label=\"Data rate\" />\n   <item value=\"Data "
7926"Rate;  Set 'FAST' Attribute\" label=\"Data rate;  set 'FAST' attribute\" />\n"
7927"  </radiogroup>\n  <checkbox ctype=\"Int\" evaluate=\"true\" name=\"locs_spec"
7928"ified\" label=\"Specify IOB location constraints\" default=\"off\" />\n  <edi"
7929"tbox ctype=\"StringVector\" evaluate=\"true\" name=\"LOCs\" label=\"IOB pad l"
7930"ocations (cell array {'MSB', ..., 'LSB'})\" default=\"{}\" />\n  <etch label="
7931"\"FPGA Area Estimation\" >\n   <checkbox name=\"xl_use_area\" label=\"Define "
7932"FPGA area for resource estimation\" default=\"off\" />\n   <editbox top_label"
7933"=\"true\" name=\"xl_area\" label=\"FPGA area [slices, FFs, BRAMs, LUTs, IOBs,"
7934" emb. mults, TBUFs]\" default=\"[0,0,0,0,0,0,0]\" />\n  </etch>\n  <hiddenvar"
7935" ctype=\"String\" evaluate=\"false\" name=\"sginterface\" default=\"\" />\n <"
7936"/blockgui>\n</sysgenblock>\n"
7937      MaskTabNameString       ",,,,,,,,,,,,,,,,,,,"
7938    }
7939    Block {
7940      BlockType           "S-Function"
7941      Name            "SDI"
7942      Ports           [1, 1]
7943      Position            [1525, 335, 1585, 355]
7944      CopyFcn             "xlBlockMoveCallback(gcbh);"
7945      DeleteFcn           "xlDestroyGui(gcbh);"
7946      LoadFcn             "xlBlockLoadCallback(gcbh);"
7947      ModelCloseFcn       "xlDestroyGui(gcbh);"
7948      PreSaveFcn          "xlBlockPreSaveCallback(gcbh);"
7949      PostSaveFcn         "xlBlockPostSaveCallback(gcbh);"
7950      DestroyFcn          "xlDestroyGui(gcbh);"
7951      OpenFcn             "xlOpenGui(gcbh, @gatewayoutenablement, -1)"
7952      CloseFcn            "xlDestroyGui(gcbh);"
7953      MoveFcn             "xlBlockMoveCallback(gcbh);"
7954      FunctionName        "sysgen"
7955      Parameters          "ptable_"
7956      MaskType            "Xilinx Gateway Out Block"
7957      MaskDescription         "Gateway out block.  Converts Xilinx fixed point"
7958" inputs into ouputs of type Simulink integer, double, or fixed point.\n\nHard"
7959"ware notes:  In hardware these blocks become top level output ports or are di"
7960"scarded, depending on how they are configured."
7961      MaskHelp            "eval('xlWeb(xlhtmldoclink(''Gateway Out''))');"
7962      MaskPromptString        " | |Translate into output port| |IOB timing con"
7963"straint| |Specify IOB location constraints|IOB pad locations (cell array {'MS"
7964"B', ..., 'LSB'})| |Define FPGA area for resource estimation|FPGA area [slices"
7965", FFs, BRAMs, LUTs, IOBs, emb. mults, TBUFs]| | | | | | | | | "
7966      MaskStyleString         "edit,edit,checkbox,edit,popup(None|Data Rate|Da"
7967"ta Rate;  Set 'FAST' Attribute),edit,checkbox,edit,edit,checkbox,edit,edit,ed"
7968"it,edit,edit,edit,edit,edit,edit,edit"
7969      MaskTunableValueString  "on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on"
7970",on,on,on,on"
7971      MaskCallbackString      "|||||||||||||||||||"
7972      MaskEnableString        "on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on"
7973",on,on,on,on"
7974      MaskVisibilityString    "off,off,on,off,on,off,on,on,off,on,on,off,off,o"
7975"ff,off,off,off,off,off,off"
7976      MaskToolTipString       "on,on,on,on,on,on,on,on,on,on,on,on,on,on,on,on"
7977",on,on,on,on"
7978      MaskVarAliasString      ",,,,,,,,,,,,,,,,,,,"
7979      MaskVariables       "infoedit=&1;hdl_port_sgadvanced=&2;hdl_port=@3;"
7980"timing_constraint_sgadvanced=&4;timing_constraint=@5;locs_specified_sgadvance"
7981"d=&6;locs_specified=@7;LOCs=@8;xl_use_area_sgadvanced=&9;xl_use_area=@10;xl_a"
7982"rea=@11;sginterface=&12;has_advanced_control=@13;sggui_pos=&14;block_type=&15"
7983";block_version=&16;sg_icon_stat=&17;sg_mask_display=&18;sg_list_contents=&19;"
7984"sg_blockgui_xml=&20;"
7985      MaskInitialization      "try\n  tmp_gcb = gcb;\n  tmp_gcbh = gcbh;\n  xl"
7986"MungeMaskParams;\n  block_config = 'Sysgen:gateway_out_config';\n  serialized"
7987"_declarations = '{''LOCs''=>''StringVector'',''hdl_port''=>''Int'',''locs_spe"
7988"cified''=>''Int'',''sginterface''=>''String'',''timing_constraint''=>''Int''}"
7989"';\n  ptable_ = xlblockprep(get_param(tmp_gcb, 'MaskWSVariables'));\ncatch\n "
7990" global xl_report_errors;\n  if(~isempty(xl_report_errors) && xl_report_error"
7991"s)\n    e = regexprep(lasterr, '\\n', '\\nError: ');\n    disp(['Error: While"
7992" running MaskInit code on block ' tmp_gcb ': ' e]);\n    error(e);\n  end\nen"
7993"d\n"
7994      MaskDisplay         "fprintf('','COMMENT: begin icon graphics');\npa"
7995"tch([0 60 60 0 ],[0 0 20 20 ],[0.95 0.93 0.65]);\npatch([24 21 26 21 24 29 30"
7996" 31 37 33 29 26 31 26 29 33 37 31 30 29 24 ],[2 5 10 15 18 18 17 18 18 14 18 "
7997"15 10 5 2 6 2 2 3 2 2 ],[0.98 0.96 0.92]);\nplot([0 0 60 60 0 ],[0 20 20 0 0 "
7998"]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin ic"
7999"on text');\ncolor('black');port_label('input',1,' ');\ncolor('black');port_la"
8000"bel('output',1,'\\fontsize{11pt}\\bf Out ','texmode','on');\nfprintf('','COMM"
8001"ENT: end icon text');\n"
8002      MaskSelfModifiable      on
8003      MaskIconFrame       off
8004      MaskIconOpaque          on
8005      MaskIconRotate          "none"
8006      MaskIconUnits       "autoscale"
8007      MaskValueString         "Gateway out block.  Converts Xilinx fixed point"
8008" inputs into ouputs of type Simulink integer, double, or fixed point.<P><P>Ha"
8009"rdware notes:  In hardware these blocks become top level output ports or are "
8010"discarded, depending on how they are configured.||on||None||off|{}||off|[0,0,"
8011"0,0,0,0,0]||0|20,20,356,335|gatewayout|8.2.02|60,20,1,1,white,yellow,0,f0cec3"
8012"00|fprintf('','COMMENT: begin icon graphics');\npatch([0 60 60 0 ],[0 0 20 20"
8013" ],[0.95 0.93 0.65]);\npatch([24 21 26 21 24 29 30 31 37 33 29 26 31 26 29 33"
8014" 37 31 30 29 24 ],[2 5 10 15 18 18 17 18 18 14 18 15 10 5 2 6 2 2 3 2 2 ],[0."
8015"98 0.96 0.92]);\nplot([0 0 60 60 0 ],[0 20 20 0 0 ]);\nfprintf('','COMMENT: e"
8016"nd icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolor('black');"
8017"port_label('input',1,' ');\ncolor('black');port_label('output',1,'\\fontsize{"
8018"11pt}\\bf Out ','texmode','on');\nfprintf('','COMMENT: end icon text');\n||<!"
8019"--   *  Copyright (c) 2005, Xilinx, Inc.  All Rights Reserved.           --><"
8020"!--   *  Reproduction or reuse, in any form, without the explicit written -->"
8021"<!--   *  consent of Xilinx, Inc., is strictly prohibited.                 --"
8022"><sysgenblock block_type=\"gatewayout\" simulinkname=\"Gateway Out\" >\n <ico"
8023"n width=\"60\" bg_color=\"yellow\" height=\"20\" wmark_color=\"white\" />\n <"
8024"dll entry_point=\"gateway_out_config\" name=\"Sysgen\" />\n <handlers enablem"
8025"ent=\"gatewayoutenablement\" />\n <libraries>\n  <library name=\"xbsIndex\" /"
8026">\n  <library name=\"xbsBasic\" />\n  <library name=\"xbsTypes\" />\n </libra"
8027"ries>\n <blockgui label=\"Xilinx Gateway Out\" >\n  <editbox evaluate=\"false"
8028"\" multi_line=\"true\" name=\"infoedit\" read_only=\"true\" default=\"Gateway"
8029" out block.  Converts Xilinx fixed point inputs into ouputs of type Simulink "
8030"integer, double, or fixed point.&lt;P>&lt;P>Hardware notes:  In hardware thes"
8031"e blocks become top level output ports or are discarded, depending on how the"
8032"y are configured.\" />\n  <checkbox ctype=\"Int\" evaluate=\"true\" name=\"hd"
8033"l_port\" label=\"Translate into output port\" default=\"on\" />\n  <radiogrou"
8034"p ctype=\"Int\" evaluate=\"true\" name=\"timing_constraint\" label=\"IOB timi"
8035"ng constraint\" default=\"None\" >\n   <item value=\"None\" label=\"None\" />"
8036"\n   <item value=\"Data Rate\" label=\"Data rate\" />\n   <item value=\"Data "
8037"Rate;  Set 'FAST' Attribute\" label=\"Data rate;  set 'FAST' attribute\" />\n"
8038"  </radiogroup>\n  <checkbox ctype=\"Int\" evaluate=\"true\" name=\"locs_spec"
8039"ified\" label=\"Specify IOB location constraints\" default=\"off\" />\n  <edi"
8040"tbox ctype=\"StringVector\" evaluate=\"true\" name=\"LOCs\" label=\"IOB pad l"
8041"ocations (cell array {'MSB', ..., 'LSB'})\" default=\"{}\" />\n  <etch label="
8042"\"FPGA Area Estimation\" >\n   <checkbox name=\"xl_use_area\" label=\"Define "
8043"FPGA area for resource estimation\" default=\"off\" />\n   <editbox top_label"
8044"=\"true\" name=\"xl_area\" label=\"FPGA area [slices, FFs, BRAMs, LUTs, IOBs,"
8045" emb. mults, TBUFs]\" default=\"[0,0,0,0,0,0,0]\" />\n  </etch>\n  <hiddenvar"
8046" ctype=\"String\" evaluate=\"false\" name=\"sginterface\" default=\"\" />\n <"
8047"/blockgui>\n</sysgenblock>\n"
8048      MaskTabNameString       ",,,,,,,,,,,,,,,,,,,"
8049    }
8050    Block {
8051      BlockType           SubSystem
8052      Name            "SPI"
8053      Ports           [4, 4]
8054      Position            [1315, 313, 1490, 557]
8055      TreatAsAtomicUnit       off
8056      MinAlgLoopOccurrences   off
8057      RTWSystemCode       "Auto"
8058      MaskHideContents        off
8059      System {
8060    Name            "SPI"
8061    Location        [352, 74, 1796, 1059]
8062    Open            off
8063    ModelBrowserVisibility  off
8064    ModelBrowserWidth   200
8065    ScreenColor     "white"
8066    PaperOrientation    "landscape"
8067    PaperPositionMode   "auto"
8068    PaperType       "usletter"
8069    PaperUnits      "inches"
8070    ZoomFactor      "100"
8071    Block {
8072      BlockType       Inport
8073      Name            "Data_to_transfer"
8074      Position        [1295, 533, 1325, 547]
8075      IconDisplay         "Port number"
8076    }
8077    Block {
8078      BlockType       Inport
8079      Name            "Send"
8080      Position        [55, 338, 85, 352]
8081      Port            "2"
8082      IconDisplay         "Port number"
8083    }
8084    Block {
8085      BlockType       Inport
8086      Name            "DividerSelect"
8087      Position        [575, 168, 605, 182]
8088      Port            "3"
8089      IconDisplay         "Port number"
8090    }
8091    Block {
8092      BlockType       Inport
8093      Name            "Reset"
8094      Position        [120, 433, 150, 447]
8095      Port            "4"
8096      IconDisplay         "Port number"
8097    }
8098    Block {
8099      BlockType       Reference
8100      Name            "Constant"
8101      Ports           [0, 1]
8102      Position        [300, 257, 355, 283]
8103      SourceBlock         "xbsIndex_r4/Constant"
8104      SourceType          "Xilinx Constant Block Block"
8105      arith_type          "Unsigned"
8106      const           "15"
8107      n_bits          "4"
8108      bin_pt          "0"
8109      explicit_period     "on"
8110      period          "1"
8111      dsp48_infoedit      "The use of this block for DSP48 instruction"
8112"s is deprecated.  Please use the Opmode block."
8113      equ             "P=C"
8114      opselect        "C"
8115      inp2            "PCIN>>17"
8116      opr             "+"
8117      inp1            "P"
8118      carry           "CIN"
8119      dbl_ovrd        "off"
8120      has_advanced_control    "0"
8121      sggui_pos       "-1,-1,-1,-1"
8122      block_type          "constant"
8123      block_version       "8.2.02"
8124      sg_icon_stat        "55,26,0,1,white,blue,0,c123ddd4"
8125      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');"
8126"\npatch([0 55 55 0 ],[0 0 26 26 ],[0.77 0.82 0.91]);\npatch([20 16 22 16 20 2"
8127"7 29 31 38 32 26 22 28 22 26 32 38 31 29 27 20 ],[3 7 13 19 23 23 21 23 23 17"
8128" 23 19 13 7 3 9 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 0 55 55 0 ],[0 26 26 "
8129"0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begi"
8130"n icon text');\ncolor('black');port_label('output',1,'15');\nfprintf('','COMM"
8131"ENT: end icon text');\n"
8132    }
8133    Block {
8134      BlockType       Reference
8135      Name            "Convert"
8136      Ports           [1, 1]
8137      Position        [1105, 275, 1145, 305]
8138      SourceBlock         "xbsIndex_r4/Convert"
8139      SourceType          "Xilinx Type Converter Block"
8140      infoedit        "Hardware notes: rounding and saturating req"
8141"uire hardware resources; truncating and wrapping do not."
8142      arith_type          "Boolean"
8143      n_bits          "16"
8144      bin_pt          "14"
8145      quantization        "Truncate"
8146      overflow        "Wrap"
8147      latency         "0"
8148      dbl_ovrd        "off"
8149      pipeline        "off"
8150      xl_use_area         "off"
8151      xl_area         "[0,0,0,0,0,0,0]"
8152      has_advanced_control    "0"
8153      sggui_pos       "20,20,374,375"
8154      block_type          "convert"
8155      block_version       "8.2.02"
8156      sg_icon_stat        "40,30,1,1,white,blue,0,0eb6e735"
8157      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');"
8158"\npatch([0 40 40 0 ],[0 0 30 30 ],[0.77 0.82 0.91]);\npatch([12 7 14 7 12 20 "
8159"22 24 32 25 19 14 20 14 19 25 32 24 22 20 12 ],[3 8 15 22 27 27 25 27 27 20 2"
8160"6 21 15 9 4 10 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 0 40 40 0 ],[0 30 30 0"
8161" 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin"
8162" icon text');\ncolor('black');port_label('output',1,'cast');\nfprintf('','COM"
8163"MENT: end icon text');\n"
8164    }
8165    Block {
8166      BlockType       Reference
8167      Name            "Convert1"
8168      Ports           [1, 1]
8169      Position        [1640, 275, 1680, 305]
8170      SourceBlock         "xbsIndex_r4/Convert"
8171      SourceType          "Xilinx Type Converter Block"
8172      infoedit        "Hardware notes: rounding and saturating req"
8173"uire hardware resources; truncating and wrapping do not."
8174      arith_type          "Boolean"
8175      n_bits          "16"
8176      bin_pt          "14"
8177      quantization        "Truncate"
8178      overflow        "Wrap"
8179      latency         "0"
8180      dbl_ovrd        "off"
8181      pipeline        "off"
8182      xl_use_area         "off"
8183      xl_area         "[0,0,0,0,0,0,0]"
8184      has_advanced_control    "0"
8185      sggui_pos       "20,20,374,375"
8186      block_type          "convert"
8187      block_version       "8.2.02"
8188      sg_icon_stat        "40,30,1,1,white,blue,0,0eb6e735"
8189      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');"
8190"\npatch([0 40 40 0 ],[0 0 30 30 ],[0.77 0.82 0.91]);\npatch([12 7 14 7 12 20 "
8191"22 24 32 25 19 14 20 14 19 25 32 24 22 20 12 ],[3 8 15 22 27 27 25 27 27 20 2"
8192"6 21 15 9 4 10 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 0 40 40 0 ],[0 30 30 0"
8193" 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin"
8194" icon text');\ncolor('black');port_label('output',1,'cast');\nfprintf('','COM"
8195"MENT: end icon text');\n"
8196    }
8197    Block {
8198      BlockType       Reference
8199      Name            "Counter"
8200      Ports           [2, 1]
8201      Position        [1195, 260, 1255, 320]
8202      SourceBlock         "xbsIndex_r4/Counter"
8203      SourceType          "Xilinx Counter Block"
8204      infoedit        "Hardware notes: Free running counters are t"
8205"he least expensive in hardware.  A count limited counter is implemented by co"
8206"mbining a counter with a comparator."
8207      cnt_type        "Count Limited"
8208      cnt_to          "8"
8209      operation       "Up"
8210      start_count         "0"
8211      cnt_by_val          "1"
8212      arith_type          "Unsigned"
8213      n_bits          "4"
8214      bin_pt          "0"
8215      load_pin        "off"
8216      rst             "on"
8217      en              "on"
8218      explicit_period     "on"
8219      period          "1"
8220      dbl_ovrd        "off"
8221      use_behavioral_HDL      "off"
8222      use_rpm         "off"
8223      xl_use_area         "off"
8224      xl_area         "[0,0,0,0,0,0,0]"
8225      has_advanced_control    "0"
8226      sggui_pos       "20,20,356,630"
8227      block_type          "counter"
8228      block_version       "8.2.02"
8229      sg_icon_stat        "60,60,2,1,white,blue,0,eceade92"
8230      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');"
8231"\npatch([0 60 60 0 ],[0 0 60 60 ],[0.77 0.82 0.91]);\npatch([14 4 18 4 14 30 "
8232"34 38 55 42 29 20 35 20 29 42 55 38 34 30 14 ],[6 16 30 44 54 54 50 54 54 41 "
8233"54 45 30 15 6 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 0 60 60 0 ],[0 60 6"
8234"0 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: be"
8235"gin icon text');\ncolor('black');port_label('input',1,'rst');\ncolor('black')"
8236";port_label('input',2,'en');\ncolor('black');port_label('output',1,'out');\nf"
8237"printf('','COMMENT: end icon text');\n"
8238    }
8239    Block {
8240      BlockType       Reference
8241      Name            "Counter1"
8242      Ports           [4, 1]
8243      Position        [410, 257, 470, 318]
8244      SourceBlock         "xbsIndex_r4/Counter"
8245      SourceType          "Xilinx Counter Block"
8246      infoedit        "Hardware notes: Free running counters are t"
8247"he least expensive in hardware.  A count limited counter is implemented by co"
8248"mbining a counter with a comparator."
8249      cnt_type        "Free Running"
8250      cnt_to          "8"
8251      operation       "Up"
8252      start_count         "15"
8253      cnt_by_val          "1"
8254      arith_type          "Unsigned"
8255      n_bits          "4"
8256      bin_pt          "0"
8257      load_pin        "on"
8258      rst             "on"
8259      en              "on"
8260      explicit_period     "on"
8261      period          "1"
8262      dbl_ovrd        "off"
8263      use_behavioral_HDL      "off"
8264      use_rpm         "off"
8265      xl_use_area         "off"
8266      xl_area         "[0,0,0,0,0,0,0]"
8267      has_advanced_control    "0"
8268      sggui_pos       "20,20,356,630"
8269      block_type          "counter"
8270      block_version       "8.2.02"
8271      sg_icon_stat        "60,61,4,1,white,blue,0,941bf745"
8272      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');"
8273"\npatch([0 60 60 0 ],[0 0 61 61 ],[0.77 0.82 0.91]);\npatch([14 4 18 4 14 30 "
8274"34 38 55 42 29 20 35 20 29 42 55 38 34 30 14 ],[7 17 31 45 55 55 51 55 55 42 "
8275"55 46 31 16 7 20 7 7 11 7 7 ],[0.98 0.96 0.92]);\nplot([0 0 60 60 0 ],[0 61 6"
8276"1 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: be"
8277"gin icon text');\ncolor('black');port_label('input',1,'load');\ncolor('black'"
8278");port_label('input',2,'din');\ncolor('black');port_label('input',3,'rst');\n"
8279"color('black');port_label('input',4,'en');\ncolor('black');port_label('output"
8280"',1,'out');\nfprintf('','COMMENT: end icon text');\n"
8281    }
8282    Block {
8283      BlockType       SubSystem
8284      Name            "Data_Latch"
8285      Ports           [4, 1]
8286      Position        [1385, 467, 1530, 658]
8287      TreatAsAtomicUnit   off
8288      MinAlgLoopOccurrences   off
8289      RTWSystemCode       "Auto"
8290      MaskHideContents    off
8291      System {
8292        Name            "Data_Latch"
8293        Location            [1132, 605, 1392, 867]
8294        Open            off
8295        ModelBrowserVisibility  off
8296        ModelBrowserWidth       200
8297        ScreenColor         "white"
8298        PaperOrientation        "landscape"
8299        PaperPositionMode       "auto"
8300        PaperType           "usletter"
8301        PaperUnits          "inches"
8302        ZoomFactor          "100"
8303        Block {
8304          BlockType           Inport
8305          Name            "Bit_select"
8306          Position            [590, 108, 620, 122]
8307          IconDisplay         "Port number"
8308        }
8309        Block {
8310          BlockType           Inport
8311          Name            "Data to transmit"
8312          Position            [360, 343, 390, 357]
8313          Port            "2"
8314          IconDisplay         "Port number"
8315        }
8316        Block {
8317          BlockType           Inport
8318          Name            "LatchData"
8319          Position            [360, 423, 390, 437]
8320          Port            "3"
8321          IconDisplay         "Port number"
8322        }
8323        Block {
8324          BlockType           Inport
8325          Name            "Reset"
8326          Position            [360, 383, 390, 397]
8327          Port            "4"
8328          IconDisplay         "Port number"
8329        }
8330        Block {
8331          BlockType           Reference
8332          Name            "Mux"
8333          Ports           [10, 1]
8334          Position            [725, 85, 775, 640]
8335          SourceBlock         "xbsIndex_r4/Mux"
8336          SourceType          "Xilinx Bus Multiplexer Block"
8337          inputs              "9"
8338          en              "off"
8339          latency             "1"
8340          precision           "Full"
8341          arith_type          "Unsigned"
8342          n_bits              "16"
8343          bin_pt              "14"
8344          quantization        "Truncate"
8345          overflow            "Wrap"
8346          dbl_ovrd            "off"
8347          xl_use_area         "off"
8348          xl_area             "[0,0,0,0,0,0,0]"
8349          has_advanced_control    "0"
8350          sggui_pos           "-1,-1,-1,-1"
8351          block_type          "mux"
8352          block_version       "8.2.02"
8353          sg_icon_stat        "50,555,10,1,white,blue,3,2c6c21d6"
8354          sg_mask_display         "fprintf('','COMMENT: begin icon graphic"
8355"s');\npatch([0 50 50 0 ],[0 79.2857 475.714 555 ],[0.77 0.82 0.91]);\npatch(["
8356"11 3 15 3 11 24 28 32 46 35 24 16 28 16 24 35 46 32 28 24 11 ],[258 266 278 2"
8357"90 298 298 294 298 298 287 298 290 278 266 258 269 258 258 262 258 258 ],[0.9"
8358"8 0.96 0.92]);\nplot([0 50 50 0 0 ],[0 79.2857 475.714 555 0 ]);\nfprintf('',"
8359"'COMMENT: end icon graphics');\nfprintf('','COMMENT: begin icon text');\ncolo"
8360"r('black');port_label('input',1,'sel');\ncolor('black');port_label('input',2,"
8361"'d0');\ncolor('black');port_label('input',3,'d1');\ncolor('black');port_label"
8362"('input',4,'d2');\ncolor('black');port_label('input',5,'d3');\ncolor('black')"
8363";port_label('input',6,'d4');\ncolor('black');port_label('input',7,'d5');\ncol"
8364"or('black');port_label('input',8,'d6');\ncolor('black');port_label('input',9,"
8365"'d7');\ncolor('black');port_label('input',10,'d8');\ncolor('black');disp('\\b"
8366"f{  z^{-1}}','texmode','on');\nfprintf('','COMMENT: end icon text');\n"
8367        }
8368        Block {
8369          BlockType           Reference
8370          Name            "Register"
8371          Ports           [3, 1]
8372          Position            [450, 362, 510, 418]
8373          SourceBlock         "xbsIndex_r4/Register"
8374          SourceType          "Xilinx Register Block"
8375          init            "0"
8376          rst             "on"
8377          en              "on"
8378          dbl_ovrd            "off"
8379          xl_use_area         "off"
8380          xl_area             "[0,0,0,0,0,0,0]"
8381          has_advanced_control    "0"
8382          sggui_pos           "-1,-1,-1,-1"
8383          block_type          "register"
8384          block_version       "8.2.02"
8385          sg_icon_stat        "60,56,3,1,white,blue,0,923c1847"
8386          sg_mask_display         "fprintf('','COMMENT: begin icon graphic"
8387"s');\npatch([0 60 60 0 ],[0 0 56 56 ],[0.77 0.82 0.91]);\npatch([15 6 19 6 15"
8388" 30 34 38 54 41 29 20 32 20 29 41 54 38 34 30 15 ],[6 15 28 41 50 50 46 50 50"
8389" 37 49 40 28 16 7 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 0 60 60 0 ],[0 "
8390"56 56 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT"
8391": begin icon text');\ncolor('black');port_label('input',1,'d');\ncolor('black"
8392"');port_label('input',2,'rst');\ncolor('black');port_label('input',3,'en');\n"
8393"color('black');port_label('output',1,'q');\ncolor('black');disp('\\bf{z^{-1}}"
8394"','texmode','on');\nfprintf('','COMMENT: end icon text');\n"
8395        }
8396        Block {
8397          BlockType           Reference
8398          Name            "Slice"
8399          Ports           [1, 1]
8400          Position            [605, 155, 665, 185]
8401          SourceBlock         "xbsIndex_r4/Slice"
8402          SourceType          "Xilinx Bit Slice Extractor Block"
8403          infoedit            "Extracts a given range of bits from eac"
8404"h input sample and presents it at the output.  The output type is ordinarily "
8405"unsigned with binary point at zero, but can be Boolean when the slice is one "
8406"bit wide.<P><P>Hardware notes: In hardware this block costs nothing."
8407          nbits           "1"
8408          boolean_output          "off"
8409          mode            "Lower Bit Location + Width"
8410          bit1            "0"
8411          base1           "MSB of Input"
8412          bit0            "8"
8413          base0           "LSB of Input"
8414          dbl_ovrd            "off"
8415          has_advanced_control    "0"
8416          sggui_pos           "20,20,442,407"
8417          block_type          "slice"
8418          block_version       "8.2.02"
8419          sg_icon_stat        "60,30,1,1,white,blue,0,fe24a11e"
8420          sg_mask_display         "fprintf('','COMMENT: begin icon graphic"
8421"s');\npatch([0 60 60 0 ],[0 0 30 30 ],[0.77 0.82 0.91]);\npatch([22 17 24 17 "
8422"22 30 32 34 42 35 29 24 30 24 29 35 42 34 32 30 22 ],[3 8 15 22 27 27 25 27 2"
8423"7 20 26 21 15 9 4 10 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 0 60 60 0 ],[0 3"
8424"0 30 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT:"
8425" begin icon text');\ncolor('black');port_label('output',1,'[a:b]');\nfprintf("
8426"'','COMMENT: end icon text');\n"
8427        }
8428        Block {
8429          BlockType           Reference
8430          Name            "Slice1"
8431          Ports           [1, 1]
8432          Position            [605, 210, 665, 240]
8433          SourceBlock         "xbsIndex_r4/Slice"
8434          SourceType          "Xilinx Bit Slice Extractor Block"
8435          infoedit            "Extracts a given range of bits from eac"
8436"h input sample and presents it at the output.  The output type is ordinarily "
8437"unsigned with binary point at zero, but can be Boolean when the slice is one "
8438"bit wide.<P><P>Hardware notes: In hardware this block costs nothing."
8439          nbits           "1"
8440          boolean_output          "off"
8441          mode            "Lower Bit Location + Width"
8442          bit1            "0"
8443          base1           "MSB of Input"
8444          bit0            "7"
8445          base0           "LSB of Input"
8446          dbl_ovrd            "off"
8447          has_advanced_control    "0"
8448          sggui_pos           "20,20,442,407"
8449          block_type          "slice"
8450          block_version       "8.2.02"
8451          sg_icon_stat        "60,30,1,1,white,blue,0,fe24a11e"
8452          sg_mask_display         "fprintf('','COMMENT: begin icon graphic"
8453"s');\npatch([0 60 60 0 ],[0 0 30 30 ],[0.77 0.82 0.91]);\npatch([22 17 24 17 "
8454"22 30 32 34 42 35 29 24 30 24 29 35 42 34 32 30 22 ],[3 8 15 22 27 27 25 27 2"
8455"7 20 26 21 15 9 4 10 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 0 60 60 0 ],[0 3"
8456"0 30 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT:"
8457" begin icon text');\ncolor('black');port_label('output',1,'[a:b]');\nfprintf("
8458"'','COMMENT: end icon text');\n"
8459        }
8460        Block {
8461          BlockType           Reference
8462          Name            "Slice2"
8463          Ports           [1, 1]
8464          Position            [605, 265, 665, 295]
8465          SourceBlock         "xbsIndex_r4/Slice"
8466          SourceType          "Xilinx Bit Slice Extractor Block"
8467          infoedit            "Extracts a given range of bits from eac"
8468"h input sample and presents it at the output.  The output type is ordinarily "
8469"unsigned with binary point at zero, but can be Boolean when the slice is one "
8470"bit wide.<P><P>Hardware notes: In hardware this block costs nothing."
8471          nbits           "1"
8472          boolean_output          "off"
8473          mode            "Lower Bit Location + Width"
8474          bit1            "0"
8475          base1           "MSB of Input"
8476          bit0            "6"
8477          base0           "LSB of Input"
8478          dbl_ovrd            "off"
8479          has_advanced_control    "0"
8480          sggui_pos           "20,20,442,407"
8481          block_type          "slice"
8482          block_version       "8.2.02"
8483          sg_icon_stat        "60,30,1,1,white,blue,0,fe24a11e"
8484          sg_mask_display         "fprintf('','COMMENT: begin icon graphic"
8485"s');\npatch([0 60 60 0 ],[0 0 30 30 ],[0.77 0.82 0.91]);\npatch([22 17 24 17 "
8486"22 30 32 34 42 35 29 24 30 24 29 35 42 34 32 30 22 ],[3 8 15 22 27 27 25 27 2"
8487"7 20 26 21 15 9 4 10 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 0 60 60 0 ],[0 3"
8488"0 30 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT:"
8489" begin icon text');\ncolor('black');port_label('output',1,'[a:b]');\nfprintf("
8490"'','COMMENT: end icon text');\n"
8491        }
8492        Block {
8493          BlockType           Reference
8494          Name            "Slice3"
8495          Ports           [1, 1]
8496          Position            [605, 320, 665, 350]
8497          SourceBlock         "xbsIndex_r4/Slice"
8498          SourceType          "Xilinx Bit Slice Extractor Block"
8499          infoedit            "Extracts a given range of bits from eac"
8500"h input sample and presents it at the output.  The output type is ordinarily "
8501"unsigned with binary point at zero, but can be Boolean when the slice is one "
8502"bit wide.<P><P>Hardware notes: In hardware this block costs nothing."
8503          nbits           "1"
8504          boolean_output          "off"
8505          mode            "Lower Bit Location + Width"
8506          bit1            "0"
8507          base1           "MSB of Input"
8508          bit0            "5"
8509          base0           "LSB of Input"
8510          dbl_ovrd            "off"
8511          has_advanced_control    "0"
8512          sggui_pos           "20,20,442,407"
8513          block_type          "slice"
8514          block_version       "8.2.02"
8515          sg_icon_stat        "60,30,1,1,white,blue,0,fe24a11e"
8516          sg_mask_display         "fprintf('','COMMENT: begin icon graphic"
8517"s');\npatch([0 60 60 0 ],[0 0 30 30 ],[0.77 0.82 0.91]);\npatch([22 17 24 17 "
8518"22 30 32 34 42 35 29 24 30 24 29 35 42 34 32 30 22 ],[3 8 15 22 27 27 25 27 2"
8519"7 20 26 21 15 9 4 10 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 0 60 60 0 ],[0 3"
8520"0 30 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT:"
8521" begin icon text');\ncolor('black');port_label('output',1,'[a:b]');\nfprintf("
8522"'','COMMENT: end icon text');\n"
8523        }
8524        Block {
8525          BlockType           Reference
8526          Name            "Slice4"
8527          Ports           [1, 1]
8528          Position            [605, 375, 665, 405]
8529          SourceBlock         "xbsIndex_r4/Slice"
8530          SourceType          "Xilinx Bit Slice Extractor Block"
8531          infoedit            "Extracts a given range of bits from eac"
8532"h input sample and presents it at the output.  The output type is ordinarily "
8533"unsigned with binary point at zero, but can be Boolean when the slice is one "
8534"bit wide.<P><P>Hardware notes: In hardware this block costs nothing."
8535          nbits           "1"
8536          boolean_output          "off"
8537          mode            "Lower Bit Location + Width"
8538          bit1            "0"
8539          base1           "MSB of Input"
8540          bit0            "4"
8541          base0           "LSB of Input"
8542          dbl_ovrd            "off"
8543          has_advanced_control    "0"
8544          sggui_pos           "20,20,442,407"
8545          block_type          "slice"
8546          block_version       "8.2.02"
8547          sg_icon_stat        "60,30,1,1,white,blue,0,fe24a11e"
8548          sg_mask_display         "fprintf('','COMMENT: begin icon graphic"
8549"s');\npatch([0 60 60 0 ],[0 0 30 30 ],[0.77 0.82 0.91]);\npatch([22 17 24 17 "
8550"22 30 32 34 42 35 29 24 30 24 29 35 42 34 32 30 22 ],[3 8 15 22 27 27 25 27 2"
8551"7 20 26 21 15 9 4 10 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 0 60 60 0 ],[0 3"
8552"0 30 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT:"
8553" begin icon text');\ncolor('black');port_label('output',1,'[a:b]');\nfprintf("
8554"'','COMMENT: end icon text');\n"
8555        }
8556        Block {
8557          BlockType           Reference
8558          Name            "Slice5"
8559          Ports           [1, 1]
8560          Position            [605, 430, 665, 460]
8561          SourceBlock         "xbsIndex_r4/Slice"
8562          SourceType          "Xilinx Bit Slice Extractor Block"
8563          infoedit            "Extracts a given range of bits from eac"
8564"h input sample and presents it at the output.  The output type is ordinarily "
8565"unsigned with binary point at zero, but can be Boolean when the slice is one "
8566"bit wide.<P><P>Hardware notes: In hardware this block costs nothing."
8567          nbits           "1"
8568          boolean_output          "off"
8569          mode            "Lower Bit Location + Width"
8570          bit1            "0"
8571          base1           "MSB of Input"
8572          bit0            "3"
8573          base0           "LSB of Input"
8574          dbl_ovrd            "off"
8575          has_advanced_control    "0"
8576          sggui_pos           "20,20,442,407"
8577          block_type          "slice"
8578          block_version       "8.2.02"
8579          sg_icon_stat        "60,30,1,1,white,blue,0,fe24a11e"
8580          sg_mask_display         "fprintf('','COMMENT: begin icon graphic"
8581"s');\npatch([0 60 60 0 ],[0 0 30 30 ],[0.77 0.82 0.91]);\npatch([22 17 24 17 "
8582"22 30 32 34 42 35 29 24 30 24 29 35 42 34 32 30 22 ],[3 8 15 22 27 27 25 27 2"
8583"7 20 26 21 15 9 4 10 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 0 60 60 0 ],[0 3"
8584"0 30 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT:"
8585" begin icon text');\ncolor('black');port_label('output',1,'[a:b]');\nfprintf("
8586"'','COMMENT: end icon text');\n"
8587        }
8588        Block {
8589          BlockType           Reference
8590          Name            "Slice6"
8591          Ports           [1, 1]
8592          Position            [605, 485, 665, 515]
8593          SourceBlock         "xbsIndex_r4/Slice"
8594          SourceType          "Xilinx Bit Slice Extractor Block"
8595          infoedit            "Extracts a given range of bits from eac"
8596"h input sample and presents it at the output.  The output type is ordinarily "
8597"unsigned with binary point at zero, but can be Boolean when the slice is one "
8598"bit wide.<P><P>Hardware notes: In hardware this block costs nothing."
8599          nbits           "1"
8600          boolean_output          "off"
8601          mode            "Lower Bit Location + Width"
8602          bit1            "0"
8603          base1           "MSB of Input"
8604          bit0            "2"
8605          base0           "LSB of Input"
8606          dbl_ovrd            "off"
8607          has_advanced_control    "0"
8608          sggui_pos           "20,20,442,407"
8609          block_type          "slice"
8610          block_version       "8.2.02"
8611          sg_icon_stat        "60,30,1,1,white,blue,0,fe24a11e"
8612          sg_mask_display         "fprintf('','COMMENT: begin icon graphic"
8613"s');\npatch([0 60 60 0 ],[0 0 30 30 ],[0.77 0.82 0.91]);\npatch([22 17 24 17 "
8614"22 30 32 34 42 35 29 24 30 24 29 35 42 34 32 30 22 ],[3 8 15 22 27 27 25 27 2"
8615"7 20 26 21 15 9 4 10 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 0 60 60 0 ],[0 3"
8616"0 30 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT:"
8617" begin icon text');\ncolor('black');port_label('output',1,'[a:b]');\nfprintf("
8618"'','COMMENT: end icon text');\n"
8619        }
8620        Block {
8621          BlockType           Reference
8622          Name            "Slice7"
8623          Ports           [1, 1]
8624          Position            [605, 540, 665, 570]
8625          SourceBlock         "xbsIndex_r4/Slice"
8626          SourceType          "Xilinx Bit Slice Extractor Block"
8627          infoedit            "Extracts a given range of bits from eac"
8628"h input sample and presents it at the output.  The output type is ordinarily "
8629"unsigned with binary point at zero, but can be Boolean when the slice is one "
8630"bit wide.<P><P>Hardware notes: In hardware this block costs nothing."
8631          nbits           "1"
8632          boolean_output          "off"
8633          mode            "Lower Bit Location + Width"
8634          bit1            "0"
8635          base1           "MSB of Input"
8636          bit0            "1"
8637          base0           "LSB of Input"
8638          dbl_ovrd            "off"
8639          has_advanced_control    "0"
8640          sggui_pos           "20,20,442,407"
8641          block_type          "slice"
8642          block_version       "8.2.02"
8643          sg_icon_stat        "60,30,1,1,white,blue,0,fe24a11e"
8644          sg_mask_display         "fprintf('','COMMENT: begin icon graphic"
8645"s');\npatch([0 60 60 0 ],[0 0 30 30 ],[0.77 0.82 0.91]);\npatch([22 17 24 17 "
8646"22 30 32 34 42 35 29 24 30 24 29 35 42 34 32 30 22 ],[3 8 15 22 27 27 25 27 2"
8647"7 20 26 21 15 9 4 10 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 0 60 60 0 ],[0 3"
8648"0 30 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT:"
8649" begin icon text');\ncolor('black');port_label('output',1,'[a:b]');\nfprintf("
8650"'','COMMENT: end icon text');\n"
8651        }
8652        Block {
8653          BlockType           Reference
8654          Name            "Slice8"
8655          Ports           [1, 1]
8656          Position            [605, 595, 665, 625]
8657          SourceBlock         "xbsIndex_r4/Slice"
8658          SourceType          "Xilinx Bit Slice Extractor Block"
8659          infoedit            "Extracts a given range of bits from eac"
8660"h input sample and presents it at the output.  The output type is ordinarily "
8661"unsigned with binary point at zero, but can be Boolean when the slice is one "
8662"bit wide.<P><P>Hardware notes: In hardware this block costs nothing."
8663          nbits           "1"
8664          boolean_output          "off"
8665          mode            "Lower Bit Location + Width"
8666          bit1            "0"
8667          base1           "MSB of Input"
8668          bit0            "0"
8669          base0           "LSB of Input"
8670          dbl_ovrd            "off"
8671          has_advanced_control    "0"
8672          sggui_pos           "20,20,442,407"
8673          block_type          "slice"
8674          block_version       "8.2.02"
8675          sg_icon_stat        "60,30,1,1,white,blue,0,fe24a11e"
8676          sg_mask_display         "fprintf('','COMMENT: begin icon graphic"
8677"s');\npatch([0 60 60 0 ],[0 0 30 30 ],[0.77 0.82 0.91]);\npatch([22 17 24 17 "
8678"22 30 32 34 42 35 29 24 30 24 29 35 42 34 32 30 22 ],[3 8 15 22 27 27 25 27 2"
8679"7 20 26 21 15 9 4 10 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 0 60 60 0 ],[0 3"
8680"0 30 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT:"
8681" begin icon text');\ncolor('black');port_label('output',1,'[a:b]');\nfprintf("
8682"'','COMMENT: end icon text');\n"
8683        }
8684        Block {
8685          BlockType           Outport
8686          Name            "SPI_data"
8687          Position            [875, 358, 905, 372]
8688          IconDisplay         "Port number"
8689          BusOutputAsStruct       off
8690        }
8691        Line {
8692          SrcBlock            "Bit_select"
8693          SrcPort             1
8694          DstBlock            "Mux"
8695          DstPort             1
8696        }
8697        Line {
8698          SrcBlock            "Slice"
8699          SrcPort             1
8700          DstBlock            "Mux"
8701          DstPort             2
8702        }
8703        Line {
8704          SrcBlock            "Slice1"
8705          SrcPort             1
8706          DstBlock            "Mux"
8707          DstPort             3
8708        }
8709        Line {
8710          SrcBlock            "Slice2"
8711          SrcPort             1
8712          DstBlock            "Mux"
8713          DstPort             4
8714        }
8715        Line {
8716          SrcBlock            "Slice3"
8717          SrcPort             1
8718          DstBlock            "Mux"
8719          DstPort             5
8720        }
8721        Line {
8722          SrcBlock            "Slice4"
8723          SrcPort             1
8724          DstBlock            "Mux"
8725          DstPort             6
8726        }
8727        Line {
8728          SrcBlock            "Slice5"
8729          SrcPort             1
8730          DstBlock            "Mux"
8731          DstPort             7
8732        }
8733        Line {
8734          SrcBlock            "Slice6"
8735          SrcPort             1
8736          DstBlock            "Mux"
8737          DstPort             8
8738        }
8739        Line {
8740          SrcBlock            "Slice7"
8741          SrcPort             1
8742          DstBlock            "Mux"
8743          DstPort             9
8744        }
8745        Line {
8746          SrcBlock            "Slice8"
8747          SrcPort             1
8748          DstBlock            "Mux"
8749          DstPort             10
8750        }
8751        Line {
8752          SrcBlock            "Data to transmit"
8753          SrcPort             1
8754          Points              [30, 0; 0, 20]
8755          DstBlock            "Register"
8756          DstPort             1
8757        }
8758        Line {
8759          SrcBlock            "Mux"
8760          SrcPort             1
8761          DstBlock            "SPI_data"
8762          DstPort             1
8763        }
8764        Line {
8765          SrcBlock            "Register"
8766          SrcPort             1
8767          Points              [30, 0]
8768          Branch {
8769        Points          [0, -55]
8770        Branch {
8771          Points          [0, -55]
8772          Branch {
8773            Points          [0, -55]
8774            Branch {
8775            Points          [0, -55]
8776            DstBlock            "Slice"
8777            DstPort         1
8778            }
8779            Branch {
8780            DstBlock            "Slice1"
8781            DstPort         1
8782            }
8783          }
8784          Branch {
8785            DstBlock            "Slice2"
8786            DstPort         1
8787          }
8788        }
8789        Branch {
8790          DstBlock        "Slice3"
8791          DstPort         1
8792        }
8793          }
8794          Branch {
8795        DstBlock        "Slice4"
8796        DstPort         1
8797          }
8798          Branch {
8799        Points          [0, 55]
8800        Branch {
8801          DstBlock        "Slice5"
8802          DstPort         1
8803        }
8804        Branch {
8805          Points          [0, 55]
8806          Branch {
8807            DstBlock            "Slice6"
8808            DstPort         1
8809          }
8810          Branch {
8811            Points          [0, 55]
8812            Branch {
8813            Points          [0, 55]
8814            DstBlock            "Slice8"
8815            DstPort         1
8816            }
8817            Branch {
8818            DstBlock            "Slice7"
8819            DstPort         1
8820            }
8821          }
8822        }
8823          }
8824        }
8825        Line {
8826          SrcBlock            "Reset"
8827          SrcPort             1
8828          DstBlock            "Register"
8829          DstPort             2
8830        }
8831        Line {
8832          SrcBlock            "LatchData"
8833          SrcPort             1
8834          Points              [30, 0; 0, -20]
8835          DstBlock            "Register"
8836          DstPort             3
8837        }
8838        Annotation {
8839          Name            "Splits 9 bit data into one bit samples "
8840"without changing sample rate"
8841          Position            [664, 674]
8842          UseDisplayTextAsClickCallback off
8843        }
8844      }
8845    }
8846    Block {
8847      BlockType       Reference
8848      Name            "Delay"
8849      Ports           [1, 1]
8850      Position        [935, 262, 995, 318]
8851      SourceBlock         "xbsIndex_r4/Delay"
8852      SourceType          "Xilinx Delay Block"
8853      infoedit        "Hardware notes: A delay line is a chain, ea"
8854"ch link of which is an SRL16 followed by a flip-flop. If register retiming is"
8855" enabled, the delay line is a chain of flip-flops."
8856      en              "off"
8857      latency         "2"
8858      dbl_ovrd        "off"
8859      reg_retiming        "off"
8860      xl_use_area         "off"
8861      xl_area         "[0,0,0,0,0,0,0]"
8862      has_advanced_control    "0"
8863      sggui_pos       "20,20,356,256"
8864      block_type          "delay"
8865      block_version       "8.2.02"
8866      sg_icon_stat        "60,56,1,1,white,blue,0,0a7a6cf1"
8867      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');"
8868"\npatch([0 60 60 0 ],[0 0 56 56 ],[0.77 0.82 0.91]);\npatch([15 6 19 6 15 30 "
8869"34 38 54 41 29 20 32 20 29 41 54 38 34 30 15 ],[6 15 28 41 50 50 46 50 50 37 "
8870"49 40 28 16 7 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 0 60 60 0 ],[0 56 5"
8871"6 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: be"
8872"gin icon text');\ncolor('black');disp('z^{-2}','texmode','on');\nfprintf('','"
8873"COMMENT: end icon text');\n"
8874    }
8875    Block {
8876      BlockType       Reference
8877      Name            "Delay1"
8878      Ports           [1, 1]
8879      Position        [935, 337, 995, 393]
8880      SourceBlock         "xbsIndex_r4/Delay"
8881      SourceType          "Xilinx Delay Block"
8882      infoedit        "Hardware notes: A delay line is a chain, ea"
8883"ch link of which is an SRL16 followed by a flip-flop. If register retiming is"
8884" enabled, the delay line is a chain of flip-flops."
8885      en              "off"
8886      latency         "6"
8887      dbl_ovrd        "off"
8888      reg_retiming        "off"
8889      xl_use_area         "off"
8890      xl_area         "[0,0,0,0,0,0,0]"
8891      has_advanced_control    "0"
8892      sggui_pos       "20,20,356,256"
8893      block_type          "delay"
8894      block_version       "8.2.02"
8895      sg_icon_stat        "60,56,1,1,white,blue,0,23386583"
8896      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');"
8897"\npatch([0 60 60 0 ],[0 0 56 56 ],[0.77 0.82 0.91]);\npatch([15 6 19 6 15 30 "
8898"34 38 54 41 29 20 32 20 29 41 54 38 34 30 15 ],[6 15 28 41 50 50 46 50 50 37 "
8899"49 40 28 16 7 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 0 60 60 0 ],[0 56 5"
8900"6 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: be"
8901"gin icon text');\ncolor('black');disp('z^{-6}','texmode','on');\nfprintf('','"
8902"COMMENT: end icon text');\n"
8903    }
8904    Block {
8905      BlockType       Reference
8906      Name            "Inverter"
8907      Ports           [1, 1]
8908      Position        [415, 361, 470, 419]
8909      SourceBlock         "xbsIndex_r4/Inverter"
8910      SourceType          "Xilinx Inverter Block"
8911      infoedit        "Bitwise logical negation (one's complement)"
8912" operator."
8913      en              "off"
8914      latency         "0"
8915      dbl_ovrd        "off"
8916      xl_use_area         "off"
8917      xl_area         "[0,0,0,0,0,0,0]"
8918      has_advanced_control    "0"
8919      sggui_pos       "-1,-1,-1,-1"
8920      block_type          "inv"
8921      block_version       "8.2.02"
8922      sg_icon_stat        "55,58,1,1,white,blue,0,1ab4a85f"
8923      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');"
8924"\npatch([0 55 55 0 ],[0 0 58 58 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13 28 "
8925"32 36 52 40 28 19 32 19 28 40 52 36 32 28 13 ],[7 16 29 42 51 51 47 51 51 39 "
8926"51 42 29 16 7 19 7 7 11 7 7 ],[0.98 0.96 0.92]);\nplot([0 0 55 55 0 ],[0 58 5"
8927"8 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: be"
8928"gin icon text');\ncolor('black');disp('not');\nfprintf('','COMMENT: end icon "
8929"text');\n"
8930    }
8931    Block {
8932      BlockType       Reference
8933      Name            "Inverter1"
8934      Ports           [1, 1]
8935      Position        [1390, 261, 1445, 319]
8936      SourceBlock         "xbsIndex_r4/Inverter"
8937      SourceType          "Xilinx Inverter Block"
8938      infoedit        "Bitwise logical negation (one's complement)"
8939" operator."
8940      en              "off"
8941      latency         "0"
8942      dbl_ovrd        "off"
8943      xl_use_area         "off"
8944      xl_area         "[0,0,0,0,0,0,0]"
8945      has_advanced_control    "0"
8946      sggui_pos       "-1,-1,-1,-1"
8947      block_type          "inv"
8948      block_version       "8.2.02"
8949      sg_icon_stat        "55,58,1,1,white,blue,0,1ab4a85f"
8950      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');"
8951"\npatch([0 55 55 0 ],[0 0 58 58 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13 28 "
8952"32 36 52 40 28 19 32 19 28 40 52 36 32 28 13 ],[7 16 29 42 51 51 47 51 51 39 "
8953"51 42 29 16 7 19 7 7 11 7 7 ],[0.98 0.96 0.92]);\nplot([0 0 55 55 0 ],[0 58 5"
8954"8 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: be"
8955"gin icon text');\ncolor('black');disp('not');\nfprintf('','COMMENT: end icon "
8956"text');\n"
8957    }
8958    Block {
8959      BlockType       Reference
8960      Name            "Logical"
8961      Ports           [2, 1]
8962      Position        [145, 300, 200, 360]
8963      SourceBlock         "xbsIndex_r4/Logical"
8964      SourceType          "Xilinx Logical Block Block"
8965      logical_function    "OR"
8966      inputs          "2"
8967      en              "off"
8968      latency         "0"
8969      precision       "Full"
8970      arith_type          "Unsigned"
8971      n_bits          "16"
8972      bin_pt          "0"
8973      align_bp        "on"
8974      dbl_ovrd        "off"
8975      xl_use_area         "off"
8976      xl_area         "[0,0,0,0,0,0,0]"
8977      has_advanced_control    "0"
8978      sggui_pos       "-1,-1,-1,-1"
8979      block_type          "logical"
8980      block_version       "8.2.02"
8981      sg_icon_stat        "55,60,2,1,white,blue,0,f4a65842"
8982      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');"
8983"\npatch([0 55 55 0 ],[0 0 60 60 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13 28 "
8984"32 36 52 40 28 19 32 19 28 40 52 36 32 28 13 ],[8 17 30 43 52 52 48 52 52 40 "
8985"52 43 30 17 8 20 8 8 12 8 8 ],[0.98 0.96 0.92]);\nplot([0 0 55 55 0 ],[0 60 6"
8986"0 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: be"
8987"gin icon text');\ncolor('black');disp('\\newlineor\\newlinez^{-0}','texmode',"
8988"'on');\nfprintf('','COMMENT: end icon text');\n"
8989    }
8990    Block {
8991      BlockType       Reference
8992      Name            "Mux"
8993      Ports           [3, 1]
8994      Position        [670, 238, 715, 342]
8995      SourceBlock         "xbsIndex_r4/Mux"
8996      SourceType          "Xilinx Bus Multiplexer Block"
8997      inputs          "2"
8998      en              "off"
8999      latency         "0"
9000      precision       "Full"
9001      arith_type          "Unsigned"
9002      n_bits          "16"
9003      bin_pt          "14"
9004      quantization        "Truncate"
9005      overflow        "Wrap"
9006      dbl_ovrd        "off"
9007      xl_use_area         "off"
9008      xl_area         "[0,0,0,0,0,0,0]"
9009      has_advanced_control    "0"
9010      sggui_pos       "-1,-1,-1,-1"
9011      block_type          "mux"
9012      block_version       "8.2.02"
9013      sg_icon_stat        "45,104,3,1,white,blue,3,613f58e1"
9014      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');"
9015"\npatch([0 45 45 0 ],[0 14.8571 89.1429 104 ],[0.77 0.82 0.91]);\npatch([10 3"
9016" 14 3 10 22 25 28 41 31 21 14 25 14 21 31 41 28 25 22 10 ],[34 41 52 63 70 70"
9017" 67 70 70 60 70 63 52 41 34 44 34 34 37 34 34 ],[0.98 0.96 0.92]);\nplot([0 4"
9018"5 45 0 0 ],[0 14.8571 89.1429 104 0 ]);\nfprintf('','COMMENT: end icon graphi"
9019"cs');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('in"
9020"put',1,'sel');\ncolor('black');port_label('input',2,'d0');\ncolor('black');po"
9021"rt_label('input',3,'d1');\ncolor('black');disp('\\bf{}','texmode','on');\nfpr"
9022"intf('','COMMENT: end icon text');\n"
9023    }
9024    Block {
9025      BlockType       Reference
9026      Name            "Mux1"
9027      Ports           [3, 1]
9028      Position        [1045, 238, 1090, 342]
9029      SourceBlock         "xbsIndex_r4/Mux"
9030      SourceType          "Xilinx Bus Multiplexer Block"
9031      inputs          "2"
9032      en              "off"
9033      latency         "0"
9034      precision       "Full"
9035      arith_type          "Unsigned"
9036      n_bits          "16"
9037      bin_pt          "14"
9038      quantization        "Truncate"
9039      overflow        "Wrap"
9040      dbl_ovrd        "off"
9041      xl_use_area         "off"
9042      xl_area         "[0,0,0,0,0,0,0]"
9043      has_advanced_control    "0"
9044      sggui_pos       "-1,-1,-1,-1"
9045      block_type          "mux"
9046      block_version       "8.2.02"
9047      sg_icon_stat        "45,104,3,1,white,blue,3,613f58e1"
9048      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');"
9049"\npatch([0 45 45 0 ],[0 14.8571 89.1429 104 ],[0.77 0.82 0.91]);\npatch([10 3"
9050" 14 3 10 22 25 28 41 31 21 14 25 14 21 31 41 28 25 22 10 ],[34 41 52 63 70 70"
9051" 67 70 70 60 70 63 52 41 34 44 34 34 37 34 34 ],[0.98 0.96 0.92]);\nplot([0 4"
9052"5 45 0 0 ],[0 14.8571 89.1429 104 0 ]);\nfprintf('','COMMENT: end icon graphi"
9053"cs');\nfprintf('','COMMENT: begin icon text');\ncolor('black');port_label('in"
9054"put',1,'sel');\ncolor('black');port_label('input',2,'d0');\ncolor('black');po"
9055"rt_label('input',3,'d1');\ncolor('black');disp('\\bf{}','texmode','on');\nfpr"
9056"intf('','COMMENT: end icon text');\n"
9057    }
9058    Block {
9059      BlockType       SubSystem
9060      Name            "Pos Edge Detector"
9061      Ports           [1, 1]
9062      Position        [775, 259, 900, 321]
9063      TreatAsAtomicUnit   off
9064      MinAlgLoopOccurrences   off
9065      RTWSystemCode       "Auto"
9066      MaskHideContents    off
9067      System {
9068        Name            "Pos Edge Detector"
9069        Location            [971, 353, 1386, 494]
9070        Open            off
9071        ModelBrowserVisibility  off
9072        ModelBrowserWidth       200
9073        ScreenColor         "white"
9074        PaperOrientation        "landscape"
9075        PaperPositionMode       "auto"
9076        PaperType           "usletter"
9077        PaperUnits          "inches"
9078        ZoomFactor          "100"
9079        Block {
9080          BlockType           Inport
9081          Name            "Input Signal"
9082          Position            [25, 33, 55, 47]
9083          IconDisplay         "Port number"
9084        }
9085        Block {
9086          BlockType           Reference
9087          Name            "Delay"
9088          Ports           [1, 1]
9089          Position            [170, 57, 230, 113]
9090          SourceBlock         "xbsIndex_r4/Delay"
9091          SourceType          "Xilinx Delay Block"
9092          infoedit            "Hardware notes: A delay line is a chain"
9093", each link of which is an SRL16 followed by a flip-flop. If register retimin"
9094"g is enabled, the delay line is a chain of flip-flops."
9095          en              "off"
9096          latency             "1"
9097          dbl_ovrd            "off"
9098          reg_retiming        "off"
9099          xl_use_area         "off"
9100          xl_area             "[0,0,0,0,0,0,0]"
9101          has_advanced_control    "0"
9102          sggui_pos           "-1,-1,-1,-1"
9103          block_type          "delay"
9104          block_version       "8.2.02"
9105          sg_icon_stat        "60,56,1,1,white,blue,0,fc531c0e"
9106          sg_mask_display         "fprintf('','COMMENT: begin icon graphic"
9107"s');\npatch([0 60 60 0 ],[0 0 56 56 ],[0.77 0.82 0.91]);\npatch([15 6 19 6 15"
9108" 30 34 38 54 41 29 20 32 20 29 41 54 38 34 30 15 ],[6 15 28 41 50 50 46 50 50"
9109" 37 49 40 28 16 7 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 0 60 60 0 ],[0 "
9110"56 56 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT"
9111": begin icon text');\ncolor('black');disp('z^{-1}','texmode','on');\nfprintf("
9112"'','COMMENT: end icon text');\n"
9113        }
9114        Block {
9115          BlockType           Reference
9116          Name            "Inverter"
9117          Ports           [1, 1]
9118          Position            [100, 56, 155, 114]
9119          SourceBlock         "xbsIndex_r4/Inverter"
9120          SourceType          "Xilinx Inverter Block"
9121          infoedit            "Bitwise logical negation (one's complem"
9122"ent) operator."
9123          en              "off"
9124          latency             "0"
9125          dbl_ovrd            "off"
9126          xl_use_area         "off"
9127          xl_area             "[0,0,0,0,0,0,0]"
9128          has_advanced_control    "0"
9129          sggui_pos           "20,20,356,237"
9130          block_type          "inv"
9131          block_version       "8.2.02"
9132          sg_icon_stat        "55,58,1,1,white,blue,0,1ab4a85f"
9133          sg_mask_display         "fprintf('','COMMENT: begin icon graphic"
9134"s');\npatch([0 55 55 0 ],[0 0 58 58 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13"
9135" 28 32 36 52 40 28 19 32 19 28 40 52 36 32 28 13 ],[7 16 29 42 51 51 47 51 51"
9136" 39 51 42 29 16 7 19 7 7 11 7 7 ],[0.98 0.96 0.92]);\nplot([0 0 55 55 0 ],[0 "
9137"58 58 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT"
9138": begin icon text');\ncolor('black');disp('not');\nfprintf('','COMMENT: end i"
9139"con text');\n"
9140        }
9141        Block {
9142          BlockType           Reference
9143          Name            "Logical"
9144          Ports           [2, 1]
9145          Position            [280, 25, 335, 85]
9146          SourceBlock         "xbsIndex_r4/Logical"
9147          SourceType          "Xilinx Logical Block Block"
9148          logical_function        "AND"
9149          inputs              "2"
9150          en              "off"
9151          latency             "0"
9152          precision           "Full"
9153          arith_type          "Unsigned"
9154          n_bits              "16"
9155          bin_pt              "0"
9156          align_bp            "on"
9157          dbl_ovrd            "off"
9158          xl_use_area         "off"
9159          xl_area             "[0,0,0,0,0,0,0]"
9160          has_advanced_control    "0"
9161          sggui_pos           "-1,-1,-1,-1"
9162          block_type          "logical"
9163          block_version       "8.2.02"
9164          sg_icon_stat        "55,60,2,1,white,blue,0,087b5522"
9165          sg_mask_display         "fprintf('','COMMENT: begin icon graphic"
9166"s');\npatch([0 55 55 0 ],[0 0 60 60 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13"
9167" 28 32 36 52 40 28 19 32 19 28 40 52 36 32 28 13 ],[8 17 30 43 52 52 48 52 52"
9168" 40 52 43 30 17 8 20 8 8 12 8 8 ],[0.98 0.96 0.92]);\nplot([0 0 55 55 0 ],[0 "
9169"60 60 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT"
9170": begin icon text');\ncolor('black');disp('\\newlineand\\newlinez^{-0}','texm"
9171"ode','on');\nfprintf('','COMMENT: end icon text');\n"
9172        }
9173        Block {
9174          BlockType           Outport
9175          Name            "Rising Edge"
9176          Position            [385, 48, 415, 62]
9177          IconDisplay         "Port number"
9178          BusOutputAsStruct       off
9179        }
9180        Line {
9181          SrcBlock            "Input Signal"
9182          SrcPort             1
9183          Points              [0, 0; 15, 0]
9184          Branch {
9185        DstBlock        "Logical"
9186        DstPort         1
9187          }
9188          Branch {
9189        Points          [0, 45]
9190        DstBlock        "Inverter"
9191        DstPort         1
9192          }
9193        }
9194        Line {
9195          SrcBlock            "Delay"
9196          SrcPort             1
9197          Points              [15, 0; 0, -15]
9198          DstBlock            "Logical"
9199          DstPort             2
9200        }
9201        Line {
9202          SrcBlock            "Inverter"
9203          SrcPort             1
9204          DstBlock            "Delay"
9205          DstPort             1
9206        }
9207        Line {
9208          SrcBlock            "Logical"
9209          SrcPort             1
9210          DstBlock            "Rising Edge"
9211          DstPort             1
9212        }
9213      }
9214    }
9215    Block {
9216      BlockType       SubSystem
9217      Name            "Pos Edge Detector2"
9218      Ports           [1, 1]
9219      Position        [1480, 259, 1605, 321]
9220      TreatAsAtomicUnit   off
9221      MinAlgLoopOccurrences   off
9222      RTWSystemCode       "Auto"
9223      MaskHideContents    off
9224      System {
9225        Name            "Pos Edge Detector2"
9226        Location            [336, 80, 1780, 1081]
9227        Open            off
9228        ModelBrowserVisibility  off
9229        ModelBrowserWidth       200
9230        ScreenColor         "white"
9231        PaperOrientation        "landscape"
9232        PaperPositionMode       "auto"
9233        PaperType           "usletter"
9234        PaperUnits          "inches"
9235        ZoomFactor          "100"
9236        Block {
9237          BlockType           Inport
9238          Name            "Input Signal"
9239          Position            [25, 33, 55, 47]
9240          IconDisplay         "Port number"
9241        }
9242        Block {
9243          BlockType           Reference
9244          Name            "Delay"
9245          Ports           [1, 1]
9246          Position            [170, 57, 230, 113]
9247          SourceBlock         "xbsIndex_r4/Delay"
9248          SourceType          "Xilinx Delay Block"
9249          infoedit            "Hardware notes: A delay line is a chain"
9250", each link of which is an SRL16 followed by a flip-flop. If register retimin"
9251"g is enabled, the delay line is a chain of flip-flops."
9252          en              "off"
9253          latency             "1"
9254          dbl_ovrd            "off"
9255          reg_retiming        "off"
9256          xl_use_area         "off"
9257          xl_area             "[0,0,0,0,0,0,0]"
9258          has_advanced_control    "0"
9259          sggui_pos           "-1,-1,-1,-1"
9260          block_type          "delay"
9261          block_version       "8.2.02"
9262          sg_icon_stat        "60,56,1,1,white,blue,0,fc531c0e"
9263          sg_mask_display         "fprintf('','COMMENT: begin icon graphic"
9264"s');\npatch([0 60 60 0 ],[0 0 56 56 ],[0.77 0.82 0.91]);\npatch([15 6 19 6 15"
9265" 30 34 38 54 41 29 20 32 20 29 41 54 38 34 30 15 ],[6 15 28 41 50 50 46 50 50"
9266" 37 49 40 28 16 7 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 0 60 60 0 ],[0 "
9267"56 56 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT"
9268": begin icon text');\ncolor('black');disp('z^{-1}','texmode','on');\nfprintf("
9269"'','COMMENT: end icon text');\n"
9270        }
9271        Block {
9272          BlockType           Reference
9273          Name            "Inverter"
9274          Ports           [1, 1]
9275          Position            [100, 56, 155, 114]
9276          SourceBlock         "xbsIndex_r4/Inverter"
9277          SourceType          "Xilinx Inverter Block"
9278          infoedit            "Bitwise logical negation (one's complem"
9279"ent) operator."
9280          en              "off"
9281          latency             "0"
9282          dbl_ovrd            "off"
9283          xl_use_area         "off"
9284          xl_area             "[0,0,0,0,0,0,0]"
9285          has_advanced_control    "0"
9286          sggui_pos           "20,20,356,237"
9287          block_type          "inv"
9288          block_version       "8.2.02"
9289          sg_icon_stat        "55,58,1,1,white,blue,0,1ab4a85f"
9290          sg_mask_display         "fprintf('','COMMENT: begin icon graphic"
9291"s');\npatch([0 55 55 0 ],[0 0 58 58 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13"
9292" 28 32 36 52 40 28 19 32 19 28 40 52 36 32 28 13 ],[7 16 29 42 51 51 47 51 51"
9293" 39 51 42 29 16 7 19 7 7 11 7 7 ],[0.98 0.96 0.92]);\nplot([0 0 55 55 0 ],[0 "
9294"58 58 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT"
9295": begin icon text');\ncolor('black');disp('not');\nfprintf('','COMMENT: end i"
9296"con text');\n"
9297        }
9298        Block {
9299          BlockType           Reference
9300          Name            "Logical"
9301          Ports           [2, 1]
9302          Position            [280, 25, 335, 85]
9303          SourceBlock         "xbsIndex_r4/Logical"
9304          SourceType          "Xilinx Logical Block Block"
9305          logical_function        "AND"
9306          inputs              "2"
9307          en              "off"
9308          latency             "0"
9309          precision           "Full"
9310          arith_type          "Unsigned"
9311          n_bits              "16"
9312          bin_pt              "0"
9313          align_bp            "on"
9314          dbl_ovrd            "off"
9315          xl_use_area         "off"
9316          xl_area             "[0,0,0,0,0,0,0]"
9317          has_advanced_control    "0"
9318          sggui_pos           "-1,-1,-1,-1"
9319          block_type          "logical"
9320          block_version       "8.2.02"
9321          sg_icon_stat        "55,60,2,1,white,blue,0,087b5522"
9322          sg_mask_display         "fprintf('','COMMENT: begin icon graphic"
9323"s');\npatch([0 55 55 0 ],[0 0 60 60 ],[0.77 0.82 0.91]);\npatch([13 4 17 4 13"
9324" 28 32 36 52 40 28 19 32 19 28 40 52 36 32 28 13 ],[8 17 30 43 52 52 48 52 52"
9325" 40 52 43 30 17 8 20 8 8 12 8 8 ],[0.98 0.96 0.92]);\nplot([0 0 55 55 0 ],[0 "
9326"60 60 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT"
9327": begin icon text');\ncolor('black');disp('\\newlineand\\newlinez^{-0}','texm"
9328"ode','on');\nfprintf('','COMMENT: end icon text');\n"
9329        }
9330        Block {
9331          BlockType           Outport
9332          Name            "Rising Edge"
9333          Position            [385, 48, 415, 62]
9334          IconDisplay         "Port number"
9335          BusOutputAsStruct       off
9336        }
9337        Line {
9338          SrcBlock            "Input Signal"
9339          SrcPort             1
9340          Points              [0, 0; 15, 0]
9341          Branch {
9342        DstBlock        "Logical"
9343        DstPort         1
9344          }
9345          Branch {
9346        Points          [0, 45]
9347        DstBlock        "Inverter"
9348        DstPort         1
9349          }
9350        }
9351        Line {
9352          SrcBlock            "Delay"
9353          SrcPort             1
9354          Points              [15, 0; 0, -15]
9355          DstBlock            "Logical"
9356          DstPort             2
9357        }
9358        Line {
9359          SrcBlock            "Inverter"
9360          SrcPort             1
9361          DstBlock            "Delay"
9362          DstPort             1
9363        }
9364        Line {
9365          SrcBlock            "Logical"
9366          SrcPort             1
9367          DstBlock            "Rising Edge"
9368          DstPort             1
9369        }
9370      }
9371    }
9372    Block {
9373      BlockType       Reference
9374      Name            "Register"
9375      Ports           [3, 1]
9376      Position        [230, 282, 290, 338]
9377      SourceBlock         "xbsIndex_r4/Register"
9378      SourceType          "Xilinx Register Block"
9379      init            "0"
9380      rst             "on"
9381      en              "on"
9382      dbl_ovrd        "off"
9383      xl_use_area         "off"
9384      xl_area         "[0,0,0,0,0,0,0]"
9385      has_advanced_control    "0"
9386      sggui_pos       "-1,-1,-1,-1"
9387      block_type          "register"
9388      block_version       "8.2.02"
9389      sg_icon_stat        "60,56,3,1,white,blue,0,923c1847"
9390      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');"
9391"\npatch([0 60 60 0 ],[0 0 56 56 ],[0.77 0.82 0.91]);\npatch([15 6 19 6 15 30 "
9392"34 38 54 41 29 20 32 20 29 41 54 38 34 30 15 ],[6 15 28 41 50 50 46 50 50 37 "
9393"49 40 28 16 7 19 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 0 60 60 0 ],[0 56 5"
9394"6 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: be"
9395"gin icon text');\ncolor('black');port_label('input',1,'d');\ncolor('black');p"
9396"ort_label('input',2,'rst');\ncolor('black');port_label('input',3,'en');\ncolo"
9397"r('black');port_label('output',1,'q');\ncolor('black');disp('\\bf{z^{-1}}','t"
9398"exmode','on');\nfprintf('','COMMENT: end icon text');\n"
9399    }
9400    Block {
9401      BlockType       Reference
9402      Name            "Slice"
9403      Ports           [1, 1]
9404      Position        [515, 275, 575, 305]
9405      SourceBlock         "xbsIndex_r4/Slice"
9406      SourceType          "Xilinx Bit Slice Extractor Block"
9407      infoedit        "Extracts a given range of bits from each in"
9408"put sample and presents it at the output.  The output type is ordinarily unsi"
9409"gned with binary point at zero, but can be Boolean when the slice is one bit "
9410"wide.<P><P>Hardware notes: In hardware this block costs nothing."
9411      nbits           "1"
9412      boolean_output      "off"
9413      mode            "Lower Bit Location + Width"
9414      bit1            "0"
9415      base1           "MSB of Input"
9416      bit0            "2"
9417      base0           "LSB of Input"
9418      dbl_ovrd        "off"
9419      has_advanced_control    "0"
9420      sggui_pos       "20,20,442,407"
9421      block_type          "slice"
9422      block_version       "8.2.02"
9423      sg_icon_stat        "60,30,1,1,white,blue,0,fe24a11e"
9424      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');"
9425"\npatch([0 60 60 0 ],[0 0 30 30 ],[0.77 0.82 0.91]);\npatch([22 17 24 17 22 3"
9426"0 32 34 42 35 29 24 30 24 29 35 42 34 32 30 22 ],[3 8 15 22 27 27 25 27 27 20"
9427" 26 21 15 9 4 10 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 0 60 60 0 ],[0 30 30"
9428" 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: beg"
9429"in icon text');\ncolor('black');port_label('output',1,'[a:b]');\nfprintf('','"
9430"COMMENT: end icon text');\n"
9431    }
9432    Block {
9433      BlockType       Reference
9434      Name            "Slice1"
9435      Ports           [1, 1]
9436      Position        [1300, 275, 1360, 305]
9437      SourceBlock         "xbsIndex_r4/Slice"
9438      SourceType          "Xilinx Bit Slice Extractor Block"
9439      infoedit        "Extracts a given range of bits from each in"
9440"put sample and presents it at the output.  The output type is ordinarily unsi"
9441"gned with binary point at zero, but can be Boolean when the slice is one bit "
9442"wide.<P><P>Hardware notes: In hardware this block costs nothing."
9443      nbits           "1"
9444      boolean_output      "off"
9445      mode            "Lower Bit Location + Width"
9446      bit1            "0"
9447      base1           "MSB of Input"
9448      bit0            "3"
9449      base0           "LSB of Input"
9450      dbl_ovrd        "off"
9451      has_advanced_control    "0"
9452      sggui_pos       "20,20,442,407"
9453      block_type          "slice"
9454      block_version       "8.2.02"
9455      sg_icon_stat        "60,30,1,1,white,blue,0,fe24a11e"
9456      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');"
9457"\npatch([0 60 60 0 ],[0 0 30 30 ],[0.77 0.82 0.91]);\npatch([22 17 24 17 22 3"
9458"0 32 34 42 35 29 24 30 24 29 35 42 34 32 30 22 ],[3 8 15 22 27 27 25 27 27 20"
9459" 26 21 15 9 4 10 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 0 60 60 0 ],[0 30 30"
9460" 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: beg"
9461"in icon text');\ncolor('black');port_label('output',1,'[a:b]');\nfprintf('','"
9462"COMMENT: end icon text');\n"
9463    }
9464    Block {
9465      BlockType       Reference
9466      Name            "Slice2"
9467      Ports           [1, 1]
9468      Position        [515, 325, 575, 355]
9469      SourceBlock         "xbsIndex_r4/Slice"
9470      SourceType          "Xilinx Bit Slice Extractor Block"
9471      infoedit        "Extracts a given range of bits from each in"
9472"put sample and presents it at the output.  The output type is ordinarily unsi"
9473"gned with binary point at zero, but can be Boolean when the slice is one bit "
9474"wide.<P><P>Hardware notes: In hardware this block costs nothing."
9475      nbits           "1"
9476      boolean_output      "off"
9477      mode            "Lower Bit Location + Width"
9478      bit1            "0"
9479      base1           "MSB of Input"
9480      bit0            "3"
9481      base0           "LSB of Input"
9482      dbl_ovrd        "off"
9483      has_advanced_control    "0"
9484      sggui_pos       "20,20,442,407"
9485      block_type          "slice"
9486      block_version       "8.2.02"
9487      sg_icon_stat        "60,30,1,1,white,blue,0,fe24a11e"
9488      sg_mask_display     "fprintf('','COMMENT: begin icon graphics');"
9489"\npatch([0 60 60 0 ],[0 0 30 30 ],[0.77 0.82 0.91]);\npatch([22 17 24 17 22 3"
9490"0 32 34 42 35 29 24 30 24 29 35 42 34 32 30 22 ],[3 8 15 22 27 27 25 27 27 20"
9491" 26 21 15 9 4 10 3 3 5 3 3 ],[0.98 0.96 0.92]);\nplot([0 0 60 60 0 ],[0 30 30"
9492" 0 0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: beg"
9493"in icon text');\ncolor('black');port_label('output',1,'[a:b]');\nfprintf('','"
9494"COMMENT: end icon text');\n"
9495    }
9496    Block {
9497      BlockType       Outport
9498      Name            "Data"
9499      Position        [1585, 558, 1615, 572]
9500      IconDisplay         "Port number"
9501      BusOutputAsStruct   off
9502    }
9503    Block {
9504      BlockType       Outport
9505      Name            "SCL"
9506      Position        [795, 368, 825, 382]
9507      Port            "2"
9508      IconDisplay         "Port number"
9509      BusOutputAsStruct   off
9510    }
9511    Block {
9512      BlockType       Outport
9513      Name            "CS#"
9514      Position        [495, 383, 525, 397]
9515      Port            "3"
9516      IconDisplay         "Port number"
9517      BusOutputAsStruct   off
9518    }
9519    Block {
9520      BlockType       Outport
9521      Name            "Done"
9522      Position        [1735, 283, 1765, 297]
9523      Port            "4"
9524      IconDisplay         "Port number"
9525      BusOutputAsStruct   off
9526    }
9527    Line {
9528      SrcBlock        "Counter1"
9529      SrcPort         1
9530      Points          [10, 0]
9531      Branch {
9532        DstBlock            "Slice"
9533        DstPort         1
9534      }
9535      Branch {
9536        Points          [0, 50]
9537        DstBlock            "Slice2"
9538        DstPort         1
9539      }
9540    }
9541    Line {
9542      SrcBlock        "Pos Edge Detector"
9543      SrcPort         1
9544      Points          [10, 0]
9545      Branch {
9546        DstBlock            "Delay"
9547        DstPort         1
9548      }
9549      Branch {
9550        Points          [0, 75]
9551        DstBlock            "Delay1"
9552        DstPort         1
9553      }
9554    }
9555    Line {
9556      SrcBlock        "Counter"
9557      SrcPort         1
9558      Points          [15, 0]
9559      Branch {
9560        DstBlock            "Slice1"
9561        DstPort         1
9562      }
9563      Branch {
9564        Points          [0, 205]
9565        DstBlock            "Data_Latch"
9566        DstPort         1
9567      }
9568    }
9569    Line {
9570      SrcBlock        "Register"
9571      SrcPort         1
9572      Points          [95, 0]
9573      Branch {
9574        Points          [0, 80]
9575        DstBlock            "Inverter"
9576        DstPort         1
9577      }
9578      Branch {
9579        DstBlock            "Counter1"
9580        DstPort         4
9581      }
9582    }
9583    Line {
9584      SrcBlock        "Send"
9585      SrcPort         1
9586      Points          [5, 0]
9587      Branch {
9588        Points          [30, 0]
9589        Branch {
9590          DstBlock            "Logical"
9591          DstPort             2
9592        }
9593        Branch {
9594          Points              [0, -55]
9595          DstBlock            "Register"
9596          DstPort             1
9597        }
9598      }
9599      Branch {
9600        Points          [0, 240]
9601        DstBlock            "Data_Latch"
9602        DstPort         3
9603      }
9604    }
9605    Line {
9606      SrcBlock        "Slice1"
9607      SrcPort         1
9608      DstBlock        "Inverter1"
9609      DstPort         1
9610    }
9611    Line {
9612      SrcBlock        "Pos Edge Detector2"
9613      SrcPort         1
9614      DstBlock        "Convert1"
9615      DstPort         1
9616    }
9617    Line {
9618      SrcBlock        "Inverter"
9619      SrcPort         1
9620      Points          [0, 0]
9621      DstBlock        "CS#"
9622      DstPort         1
9623    }
9624    Line {
9625      SrcBlock        "Mux"
9626      SrcPort         1
9627      Points          [25, 0]
9628      Branch {
9629        DstBlock            "Pos Edge Detector"
9630        DstPort         1
9631      }
9632      Branch {
9633        Points          [0, 85]
9634        DstBlock            "SCL"
9635        DstPort         1
9636      }
9637    }
9638    Line {
9639      SrcBlock        "DividerSelect"
9640      SrcPort         1
9641      Points          [25, 0]
9642      Branch {
9643        Points          [0, 0; 0, 80]
9644        DstBlock            "Mux"
9645        DstPort         1
9646      }
9647      Branch {
9648        Points          [380, 0; 0, 80]
9649        DstBlock            "Mux1"
9650        DstPort         1
9651      }
9652    }
9653    Line {
9654      SrcBlock        "Slice"
9655      SrcPort         1
9656      DstBlock        "Mux"
9657      DstPort         2
9658    }
9659    Line {
9660      SrcBlock        "Slice2"
9661      SrcPort         1
9662      Points          [35, 0; 0, -15]
9663      DstBlock        "Mux"
9664      DstPort         3
9665    }
9666    Line {
9667      SrcBlock        "Delay"
9668      SrcPort         1
9669      DstBlock        "Mux1"
9670      DstPort         2
9671    }
9672    Line {
9673      SrcBlock        "Delay1"
9674      SrcPort         1
9675      Points          [15, 0; 0, -40]
9676      DstBlock        "Mux1"
9677      DstPort         3
9678    }
9679    Line {
9680      SrcBlock        "Mux1"
9681      SrcPort         1
9682      DstBlock        "Convert"
9683      DstPort         1
9684    }
9685    Line {
9686      SrcBlock        "Data_Latch"
9687      SrcPort         1
9688      Points          [0, 0]
9689      DstBlock        "Data"
9690      DstPort         1
9691    }
9692    Line {
9693      SrcBlock        "Data_to_transfer"
9694      SrcPort         1
9695      Points          [0, 0]
9696      DstBlock        "Data_Latch"
9697      DstPort         2
9698    }
9699    Line {
9700      SrcBlock        "Constant"
9701      SrcPort         1
9702      Points          [10, 0; 0, 10]
9703      DstBlock        "Counter1"
9704      DstPort         2
9705    }
9706    Line {
9707      SrcBlock        "Logical"
9708      SrcPort         1
9709      DstBlock        "Register"
9710      DstPort         3
9711    }
9712    Line {
9713      SrcBlock        "Convert"
9714      SrcPort         1
9715      Points          [15, 0; 0, 15]
9716      DstBlock        "Counter"
9717      DstPort         2
9718    }
9719    Line {
9720      SrcBlock        "Reset"
9721      SrcPort         1
9722      Points          [55, 0]
9723      Branch {
9724        Points          [0, -130]
9725        DstBlock            "Register"
9726        DstPort         2
9727      }
9728      Branch {
9729        Points          [165, 0]
9730        Branch {
9731          Points              [0, -145]
9732          DstBlock            "Counter1"
9733          DstPort             3
9734        }
9735        Branch {
9736          Points              [800, 0]
9737          Branch {
9738        Points          [0, -165]
9739        DstBlock        "Counter"
9740        DstPort         1
9741          }
9742          Branch {
9743        Points          [0, 190]
9744        DstBlock        "Data_Latch"
9745        DstPort         4
9746          }
9747        }
9748      }
9749    }
9750    Line {
9751      SrcBlock        "Convert1"
9752      SrcPort         1
9753      Points          [15, 0]
9754      Branch {
9755        DstBlock            "Done"
9756        DstPort         1
9757      }
9758      Branch {
9759        Points          [0, -65; -1305, 0]
9760        Branch {
9761          DstBlock            "Counter1"
9762          DstPort             1
9763        }
9764        Branch {
9765          Points              [-280, 0; 0, 90]
9766          DstBlock            "Logical"
9767          DstPort             1
9768        }
9769      }
9770    }
9771    Line {
9772      SrcBlock        "Inverter1"
9773      SrcPort         1
9774      DstBlock        "Pos Edge Detector2"
9775      DstPort         1
9776    }
9777      }
9778    }
9779    Block {
9780      BlockType           Scope
9781      Name            "Scope"
9782      Ports           [6]
9783      Position            [1665, 336, 1730, 479]
9784      Floating            off
9785      Location            [1, 45, 1913, 1113]
9786      Open            off
9787      NumInputPorts       "6"
9788      ZoomMode            "xonly"
9789      List {
9790    ListType        AxesTitles
9791    axes1           "%<SignalLabel>"
9792    axes2           "%<SignalLabel>"
9793    axes3           "%<SignalLabel>"
9794    axes4           "%<SignalLabel>"
9795    axes5           "%<SignalLabel>"
9796    axes6           "%<SignalLabel>"
9797      }
9798      YMin            "-5~-5~-5~-5~-5~-5"
9799      YMax            "5~5~5~5~5~5"
9800      DataFormat          "StructureWithTime"
9801      SampleTime          "0"
9802    }
9803    Block {
9804      BlockType           Step
9805      Name            "Step1"
9806      Position            [75, 830, 105, 860]
9807      Time            "10"
9808      Before              "1"
9809      After           "0"
9810      SampleTime          "1"
9811    }
9812    Block {
9813      BlockType           Reference
9814      Name            "To Register"
9815      Ports           [2, 1]
9816      Position            [1340, 681, 1395, 734]
9817      ShowName            off
9818      AttributesFormatString  "To Register\\n<< %<shared_memory_name> >>"
9819      SourceBlock         "xbsIndex_r4/To Register"
9820      SourceType          "Xilinx Shared Memory Based To Register Block"
9821      infoedit            "Register block that writes data to a shared mem"
9822"ory register.  Delay of one sample period."
9823      shared_memory_name      "'LCD_Bar'"
9824      init            "0"
9825      ownership           "Locally owned and initialized"
9826      explicit_data_type      off
9827      arith_type          "Signed  (2's comp)"
9828      n_bits              "16"
9829      bin_pt              "14"
9830      dbl_ovrd            off
9831      xl_use_area         off
9832      xl_area             "[0,0,0,0,0,0,0]"
9833      has_advanced_control    "0"
9834      sggui_pos           "20,20,379,270"
9835      block_type          "toreg"
9836      block_version       "8.2.02"
9837      sg_icon_stat        "55,53,2,1,white,blue,0,56c45acc"
9838      sg_mask_display         "fprintf('','COMMENT: begin icon graphics');\npa"
9839"tch([0 55 55 0 ],[0 0 53 53 ],[0.77 0.82 0.91]);\npatch([14 5 17 5 14 28 32 3"
9840"6 51 39 28 20 32 20 28 39 51 36 32 28 14 ],[6 15 27 39 48 48 44 48 48 36 47 3"
9841"9 27 15 7 18 6 6 10 6 6 ],[0.98 0.96 0.92]);\nplot([0 0 55 55 0 ],[0 53 53 0 "
9842"0 ]);\nfprintf('','COMMENT: end icon graphics');\nfprintf('','COMMENT: begin "
9843"icon text');\ncolor('black');port_label('input',1,'din');\ncolor('black');por"
9844"t_label('input',2,'en');\ncolor('black');port_label('output',1,'dout');\nfpri"
9845"ntf('','COMMENT: end icon text');\n"
9846    }
9847    Line {
9848      SrcBlock            "Convert2"
9849      SrcPort             1
9850      DstBlock            "Pos Edge Detector"
9851      DstPort             1
9852    }
9853    Line {
9854      SrcBlock            "From Register1"
9855      SrcPort             1
9856      Points              [70, 0; 0, 75]
9857      DstBlock            "CommandROM"
9858      DstPort             1
9859    }
9860    Line {
9861      SrcBlock            "From Register2"
9862      SrcPort             1
9863      Points              [60, 0; 0, 25]
9864      DstBlock            "CommandROM"
9865      DstPort             2
9866    }
9867    Line {
9868      SrcBlock            "Pos Edge Detector"
9869      SrcPort             1
9870      Points              [135, 0]
9871      DstBlock            "CommandROM"
9872      DstPort             3
9873    }
9874    Line {
9875      SrcBlock            "Convert"
9876      SrcPort             1
9877      DstBlock            "SPI"
9878      DstPort             3
9879    }
9880    Line {
9881      SrcBlock            "SPI"
9882      SrcPort             4
9883      Points              [0, 0; 35, 0]
9884      Branch {
9885    Points          [90, 0; 0, -105]
9886    DstBlock        "Scope"
9887    DstPort         4
9888      }
9889      Branch {
9890    Points          [0, 85; -980, 0]
9891    Branch {
9892      Points          [0, -155]
9893      DstBlock        "CommandROM"
9894      DstPort         4
9895    }
9896    Branch {
9897      Points          [0, 155]
9898      DstBlock        "DataROM"
9899      DstPort         2
9900    }
9901      }
9902    }
9903    Line {
9904      SrcBlock            "SPI"
9905      SrcPort             1
9906      DstBlock            "SDI"
9907      DstPort             1
9908    }
9909    Line {
9910      SrcBlock            "SPI"
9911      SrcPort             2
9912      DstBlock            "SCL"
9913      DstPort             1
9914    }
9915    Line {
9916      SrcBlock            "SPI"
9917      SrcPort             3
9918      DstBlock            "CS"
9919      DstPort             1
9920    }
9921    Line {
9922      SrcBlock            "Step1"
9923      SrcPort             1
9924      DstBlock            "Reset"
9925      DstPort             1
9926    }
9927    Line {
9928      SrcBlock            "Reset"
9929      SrcPort             1
9930      Points              [15, 0; 0, 25]
9931      DstBlock            "Logical1"
9932      DstPort             1
9933    }
9934    Line {
9935      SrcBlock            "From Register"
9936      SrcPort             1
9937      Points              [20, 0; 0, -25]
9938      DstBlock            "Logical1"
9939      DstPort             2
9940    }
9941    Line {
9942      SrcBlock            "Logical1"
9943      SrcPort             1
9944      DstBlock            "Convert1"
9945      DstPort             1
9946    }
9947    Line {
9948      SrcBlock            "From Register3"
9949      SrcPort             1
9950      DstBlock            "CommandROM"
9951      DstPort             5
9952    }
9953    Line {
9954      SrcBlock            "From Register4"
9955      SrcPort             1
9956      DstBlock            "Convert"
9957      DstPort             1
9958    }
9959    Line {
9960      SrcBlock            "From Register6"
9961      SrcPort             1
9962      DstBlock            "Delay"
9963      DstPort             1
9964    }
9965    Line {
9966      SrcBlock            "Delay"
9967      SrcPort             1
9968      Points              [0, 0]
9969      DstBlock            "ResetLCD"
9970      DstPort             1
9971    }
9972    Line {
9973      SrcBlock            "SDI"
9974      SrcPort             1
9975      Points              [0, 0]
9976      DstBlock            "Scope"
9977      DstPort             1
9978    }
9979    Line {
9980      SrcBlock            "SCL"
9981      SrcPort             1
9982      Points              [5, 0; 0, -35]
9983      DstBlock            "Scope"
9984      DstPort             2
9985    }
9986    Line {
9987      SrcBlock            "CS"
9988      SrcPort             1
9989      Points              [20, 0; 0, -70]
9990      DstBlock            "Scope"
9991      DstPort             3
9992    }
9993    Line {
9994      SrcBlock            "From Register7"
9995      SrcPort             1
9996      DstBlock            "DataROM"
9997      DstPort             1
9998    }
9999    Line {
10000      SrcBlock            "CommandROM"
10001      SrcPort             3
10002      Points              [30, 0]
10003      Branch {
10004    Points          [0, 130; -430, 0; 0, 185]
10005    DstBlock        "DataROM"
10006    DstPort         3
10007      }
10008      Branch {
10009    Points          [0, -140]
10010    Branch {
10011      DstBlock        "Mux1"
10012      DstPort         1
10013    }
10014    Branch {
10015      Points          [0, -60]
10016      DstBlock        "Mux"
10017      DstPort         1
10018    }
10019      }
10020    }
10021    Line {
10022      SrcBlock            "Convert1"
10023      SrcPort             1
10024      Points              [35, 0]
10025      Branch {
10026    DstBlock        "DataROM"
10027    DstPort         4
10028      }
10029      Branch {
10030    Points          [0, -305; 220, 0]
10031    Branch {
10032      Points          [0, -45]
10033      DstBlock        "CommandROM"
10034      DstPort         6
10035    }
10036    Branch {
10037      Points          [620, 0]
10038      Branch {
10039        Points          [0, -55]
10040        DstBlock            "SPI"
10041        DstPort         4
10042      }
10043      Branch {
10044        Points          [0, 115]
10045        Branch {
10046          DstBlock            "To Register"
10047          DstPort             1
10048        }
10049        Branch {
10050          Points              [0, 25]
10051          DstBlock            "To Register"
10052          DstPort             2
10053        }
10054      }
10055    }
10056      }
10057    }
10058    Line {
10059      SrcBlock            "Mux"
10060      SrcPort             1
10061      Points              [0, 0]
10062      DstBlock            "SPI"
10063      DstPort             1
10064    }
10065    Line {
10066      SrcBlock            "Mux1"
10067      SrcPort             1
10068      DstBlock            "SPI"
10069      DstPort             2
10070    }
10071    Line {
10072      SrcBlock            "CommandROM"
10073      SrcPort             1
10074      Points              [0, -15]
10075      DstBlock            "Mux"
10076      DstPort             2
10077    }
10078    Line {
10079      SrcBlock            "CommandROM"
10080      SrcPort             2
10081      Points              [95, 0; 0, -30]
10082      DstBlock            "Mux1"
10083      DstPort             2
10084    }
10085    Line {
10086      SrcBlock            "DataROM"
10087      SrcPort             1
10088      Points              [255, 0; 0, -355]
10089      DstBlock            "Mux"
10090      DstPort             3
10091    }
10092    Line {
10093      SrcBlock            "DataROM"
10094      SrcPort             2
10095      Points              [105, 0]
10096      DstBlock            "Mux1"
10097      DstPort             3
10098    }
10099    Line {
10100      SrcBlock            "From Register5"
10101      SrcPort             1
10102      DstBlock            "Convert2"
10103      DstPort             1
10104    }
10105    Annotation {
10106      Name            "Use gateway for simulation"
10107      Position            [285, 381]
10108      UseDisplayTextAsClickCallback off
10109    }
10110  }
10111}
10112MatData {
10113  NumRecords          1
10114  DataRecord {
10115    Tag             DataTag0
10116    Data            "  %)30     .    4!P   8    (     @         %    "
10117"\"     $    !     0         %  0 !@    $    &    <V%V960    .    \"!P   8    "
10118"(     @         %    \"     $    !     0         %  0 #     $    8    <VAA<F5"
10119"D        8V]M<&EL871I;VX #@   ( #   &    \"     (         !0    @    !     0 "
10120"   $         !0 $ !,    !    A0   &-O;7!I;&%T:6]N          !C;VUP:6QA=&EO;E]L"
10121"=70     <VEM=6QI;FM?<&5R:6]D     &EN8W)?;F5T;&ES=         !T<FEM7W9B:71S     "
10122"       9&)L7V]V<F0              &1E<')E8V%T961?8V]N=')O;      .    .     8   "
10123" (    !          %    \"     $    '     0         0    !P   '1A<F=E=#$ #@   #"
10124" !   &    \"     (         !0    @    !     0    $         !0 $  <    !    #@"
10125"   &ME>7,   !V86QU97,    .    <     8    (     0         %    \"     $    !  "
10126"   0         .    0     8    (    !          %    \"     $    +     0        "
10127" 0    \"P   $A$3\"!.971L:7-T       .    :     8    (     0         %    \"   "
10128"  $    !     0         .    .     8    (    !          %    \"     $    '    "
10129" 0         0    !P   '1A<F=E=#$ #@   #     &    \"     0         !0    @    !"
10130"     0    $         $  ! #$    .    ,     8    (    !          %    \"     $ "
10131"   #     0         0  , ;V9F  X   !(    !@    @    $          4    (     0   "
10132"!<    !         !     7    179E<GEW:&5R92!I;B!3=6)3>7-T96T #@   $@    &    \""
10133"     0         !0    @    !    &     $         $    !@   !!8V-O<F1I;F<@=&\\@0"
10134"FQO8VL@36%S:W,.    ,     8    (    !          %    \"     $    #     0       "
10135"  0  , ;V9F  X    H&   !@    @    \"          4    (     0    $    !         "
10136" 4 !  (     0    @   !T87)G970Q  X   #@%P  !@    @    \"          4    (     "
10137"0    $    !          4 !  >     0   &8#  !I;F9O961I=                         "
10138"    !X:6QI;GAF86UI;'D                       !P87)T                           "
10139"       !S<&5E9                                 !P86-K86=E                    "
10140"          !S>6YT:&5S:7-?=&]O;%]S9V%D=F%N8V5D      !S>6YT:&5S:7-?=&]O;        "
10141"             !D:7)E8W1O<GD                           !T97-T8F5N8VA?<V=A9'9A;F"
10142"-E9             !T97-T8F5N8V@                           !S>7-C;&M?<&5R:6]D   "
10143"                   !I;F-R7VYE=&QI<W1?<V=A9'9A;F-E9         !T<FEM7W9B:71S7W-G"
10144"861V86YC960           !D8FQ?;W9R9%]S9V%D=F%N8V5D              !C;W)E7V=E;F5R8"
10145"71I;VY?<V=A9'9A;F-E9     !C;W)E7V=E;F5R871I;VX                   !R=6Y?8V]R96"
10146"=E;E]S9V%D=F%N8V5D          !R=6Y?8V]R96=E;@                        !D97!R96-"
10147"A=&5D7V-O;G1R;VQ?<V=A9'9A;F-E9 !E=F%L7V9I96QD                          !H87-?"
10148"861V86YC961?8V]N=')O;             !S9V=U:5]P;W,                           !B;"
10149"&]C:U]T>7!E                          !B;&]C:U]V97)S:6]N                      "
10150"!S9U]I8V]N7W-T870                       !S9U]M87-K7V1I<W!L87D                "
10151"   !S9U]L:7-T7V-O;G1E;G1S                  !S9U]B;&]C:V=U:5]X;6P             "
10152"      !C;&]C:U]L;V,                               X   !(    !@    @    $     "
10153"     4    (     0   !$    !         !     1    (%-Y<W1E;2!'96YE<F%T;W(       "
10154"  #@   #@    &    \"     0         !0    @    !    !P    $         $     <   "
10155"!6:7)T97@T  X    X    !@    @    $          4    (     0    @    !         ! "
10156"    (    >&,T=G-X,S4.    ,     8    (    !          %    \"     $    #     0 "
10157"        0  , +3$P  X    X    !@    @    $          4    (     0    4    !    "
10158"     !     %    9F8V-C@    .    ,     8    (    !          %    \"           "
10159"     0         0          X    P    !@    @    $          4    (     0    ,  "
10160"  !         !   P!84U0 #@   $     &    \"     0         !0    @    !    \"0  "
10161"  $         $     D    N+VYE=&QI<W0         #@   #     &    \"     0         "
10162"!0    @               $         $          .    ,     8    (    !          % "
10163"   \"     $    #     0         0  , ;V9F  X    P    !@    @    $          4  "
10164"  (     0    (    !         !   @ Q,   #@   #     &    \"     0         !0   "
10165" @               $         $          .    ,     8    (    !          %    \""
10166"                0         0          X    P    !@    @    $          4    (  "
10167"             !         !          #@   #     &    \"     0         !0    @   "
10168"            $         $          .    2     8    (    !          %    \"     "
10169"$    8     0         0    &    $%C8V]R9&EN9R!T;R!\";&]C:R!-87-K<PX    P    !@"
10170"    @    $          4    (               !         !          #@   #     &   "
10171" \"     0         !0    @    !     P    $         $  # &]F9@ .    ,     8    "
10172"(    !          %    \"                0         0          X    P    !@    @"
10173"    $          4    (     0    $    !         !   0 P    #@   #     &    \"  "
10174"   0         !0    @    !     0    $         $  ! #     .    0     8    (    "
10175"!          %    \"     $    +     0         0    \"P   \"TQ+\"TQ+\"TQ+\"TQ   "
10176"    .    .     8    (    !          %    \"     $    &     0         0    !@ "
10177"  '-Y<V=E;@  #@   #@    &    \"     0         !0    @    !    !@    $        "
10178" $     8    X+C(N,#(   X   !0    !@    @    $          4    (     0   !T    !"
10179"         !     =    -3$L-3 L+3$L+3$L<F5D+&)E:6=E+# L,#<W,S0    .    P $   8  "
10180"  (    !          %    \"     $   \". 0   0         0    C@$  &9P<FEN=&8H)R<L"
10181")T-/34U%3E0Z(&)E9VEN(&EC;VX@9W)A<&AI8W,G*3L*<&%T8V@H6S @-3$@-3$@,\"!=+%LP(# @"
10182"-3 @-3 @72Q;,\"XY,R P+CDR(# N.#9=*3L*<&%T8V@H6S$R(#0@,38@-\" Q,B R-2 R.2 S,R "
10183"T-R S-B R-2 Q-R R.2 Q-R R-2 S-B T-R S,R R.2 R-2 Q,B!=+%LU(#$S(#(U(#,W(#0U(#0U"
10184"(#0Q(#0U(#0U(#,T(#0U(#,W(#(U(#$S(#4@,38@-2 U(#D@-2 U(%TL6S N-B P+C(@,\"XR-5TI"
10185".PIP;&]T*%LP(# @-3$@-3$@,\"!=+%LP(#4P(#4P(# @,\"!=*3L*9G!R:6YT9B@G)RPG0T]-345"
10186".5#H@96YD(&EC;VX@9W)A<&AI8W,G*3L*9G!R:6YT9B@G)RPG0T]-345.5#H@8F5G:6X@:6-O;B!T"
10187"97AT)RD[\"F9P<FEN=&8H)R<L)T-/34U%3E0Z(&5N9\"!I8V]N('1E>'0G*3L*   .    ,     8"
10188"    (    !          %    \"                0         0          X   #P\"P  !@"
10189"    @    $          4    (     0   +L+   !         !    \"[\"P  /\"$M+2 @*B @"
10190"0V]P>7)I9VAT(\"AC*2 R,# U+\"!8:6QI;G@L($EN8RX@($%L;\"!2:6=H=',@4F5S97)V960N("
10191"\" @(\" @(\" @(\" @+2T^/\"$M+2 @*B @4F5P<F]D=6-T:6]N(&]R(')E=7-E+\"!I;B!A;GD@"
10192"9F]R;2P@=VET:&]U=\"!T:&4@97AP;&EC:70@=W)I='1E;B @+2T^/\"$M+2 @*B @8V]N<V5N=\""
10193"!O9B!8:6QI;G@L($EN8RXL(&ES('-T<FEC=&QY('!R;VAI8FET960N(\" @(\" @(\" @(\" @(\""
10194" @(\" @+2T^/'-Y<V=E;F)L;V-K(&AA<U]U<V5R9&%T83TB=')U92(@=&%G/2)G96Y8(B!B;&]C:U"
10195"]T>7!E/2)S>7-G96XB('-I;75L:6YK;F%M93TB(%-Y<W1E;2!'96YE<F%T;W(B(#X*(#QI8V]N('="
10196"I9'1H/2(U,2(@8F=?8V]L;W(](F)E:6=E(B!H96EG:'0](C4P(B!C87!T:6]N7V9O<FUA=#TB4WES"
10197"=&5M7&Y'96YE<F%T;W(B('=M87)K7V-O;&]R/2)R960B(\"\\^\"B \\8V%L;&)A8VMS($1E;&5T9"
10198"49C;CTB>&Q3>7-G96Y'54DH)V1E;&5T92<L(&=C<RP@9V-B:\"D[(B!/<&5N1F-N/2)X;%-Y<V=E;"
10199"D=522@G<W1A<G1U<\"<L9V-S+&=C8F@I(B!-;V1E;$-L;W-E1F-N/2)X;%-Y<V=E;D=522@G0VQO<"
10200"V4G+&=C<RQG8V)H*2(@4&]S=%-A=F5&8VX](GAL4WES9V5N1U5)*\"=3879E)RDB(\"\\^\"B \\;"
10201"&EB<F%R:65S/@H@(#QL:6)R87)Y(&YA;64](GAB<TEN9&5X(B O/@H@(#QL:6)R87)Y(&YA;64](G"
10202"AB<T)A<VEC(B O/@H@(#QL:6)R87)Y(&YA;64](GAB<U1O;VQS(B O/@H@/\"]L:6)R87)I97,^\""
10203"B \\<W5B<WES=&5M7VUO9&5L(&9I;&4](G-Y<W1E;5]G96YE<F%T;W)?<W5B<WES=&5M+FUD;\"(@"
10204"+SX*(#QB;&]C:V=U:2!L86)E;#TB6&EL:6YX(%-Y<W1E;2!'96YE<F%T;W(B(#X*(\" \\961I=&)"
10205"O>\"!E=F%L=6%T93TB9F%L<V4B(&UU;'1I7VQI;F4](G1R=64B(&YA;64](FEN9F]E9&ET(B!R96%"
10206"D7V]N;'D](G1R=64B(&1E9F%U;'0](B!3>7-T96T@1V5N97)A=&]R(B O/@H@(#QE9&ET8F]X(&5V"
10207"86QU871E/2)F86QS92(@;F%M93TB>&EL:6YX9F%M:6QY(B!D969A=6QT/2)6:7)T97@T(B!L86)E;"
10208"#TB6&EL:6YX(&9A;6EL>2(@+SX*(\" \\961I=&)O>\"!E=F%L=6%T93TB9F%L<V4B(&YA;64](G!"
10209"A<G0B(&1E9F%U;'0](GAC-'9S>#,U(B!L86)E;#TB4&%R=\"(@+SX*(\" \\961I=&)O>\"!E=F%L"
10210"=6%T93TB9F%L<V4B(&YA;64](G-P965D(B!D969A=6QT/2(M,3 B(&QA8F5L/2)3<&5E9\"(@+SX*"
10211"(\" \\961I=&)O>\"!E=F%L=6%T93TB9F%L<V4B(&YA;64](G!A8VMA9V4B(&1E9F%U;'0](F9F-C"
10212"8X(B!L86)E;#TB4&%C:V%G92(@+SX*(\" \\;&ES=&)O>\"!E=F%L=6%T93TB=')U92(@;F%M93TB"
10213"<WEN=&AE<VES7W1O;VPB(&1E9F%U;'0](EA35\"(@;&%B96P](E-Y;G1H97-I<R!T;V]L(B ^\"B "
10214"@(#QI=&5M('9A;'5E/2)3<&5C=')U;2(@+SX*(\" @/&ET96T@=F%L=64](E-Y;G!L:69Y(B O/@H"
10215"@(\" \\:71E;2!V86QU93TB4WEN<&QI9GD@4')O(B O/@H@(\" \\:71E;2!V86QU93TB6%-4(B O"
10216"/@H@(\" \\:71E;2!V86QU93TB4')E8VES:6]N(B O/@H@(#PO;&ES=&)O>#X*(\" \\961I=&)O>"
10217"\"!E=F%L=6%T93TB9F%L<V4B(&YA;64](F1I<F5C=&]R>2(@9&5F875L=#TB+B]N971L:7-T(B!L8"
10218"6)E;#TB5&%R9V5T(&1I<F5C=&]R>2(@+SX*(\" \\8VAE8VMB;W@@979A;'5A=&4](G1R=64B(&YA"
10219";64](G1E<W1B96YC:\"(@9&5F875L=#TB;V9F(B!L86)E;#TB5&5S=&)E;F-H(B O/@H@(#QE9&ET"
10220"8F]X(&5V86QU871E/2)T<G5E(B!N86UE/2)S:6UU;&EN:U]P97)I;V0B(&1E9F%U;'0](C$B(&QA8"
10221"F5L/2)3:6UU;&EN:R!P97)I;V0B(\"\\^\"B @/&5D:71B;W@@979A;'5A=&4](G1R=64B(&YA;64"
10222"](G-Y<V-L:U]P97)I;V0B(&1E9F%U;'0](C$P(B!L86)E;#TB4WES=&5M(&-L;V-K('!E<FEO9\"("
10223"@+SX*(\" \\8VAE8VMB;W@@979A;'5A=&4](G1R=64B(&YA;64](FEN8W)?;F5T;&ES=\"(@9&5F8"
10224"75L=#TB;V9F(B!L86)E;#TB26YC<F5M96YT86P@;F5T;&ES=&EN9R(@+SX*(\" \\;&ES=&)O>\"!"
10225"E=F%L=6%T93TB=')U92(@;F%M93TB=')I;5]V8FET<R(@9&5F875L=#TB179E<GEW:&5R92!I;B!3"
10226"=6)3>7-T96TB(&QA8F5L/2)4<FEM('9A;&ED(&)I=',B(#X*(\" @/&ET96T@=F%L=64](D%C8V]R"
10227"9&EN9R!T;R!\";&]C:R!-87-K<R(@+SX*(\" @/&ET96T@=F%L=64](D5V97)Y=VAE<F4@:6X@4W5"
10228"B4WES=&5M(B O/@H@(\" \\:71E;2!V86QU93TB3F\\@5VAE<F4@:6X@4W5B4WES=&5M(B O/@H@("
10229"#PO;&ES=&)O>#X*(\" \\;&ES=&)O>\"!E=F%L=6%T93TB=')U92(@;F%M93TB9&)L7V]V<F0B(&1"
10230"E9F%U;'0](D%C8V]R9&EN9R!T;R!\";&]C:R!-87-K<R(@;&%B96P](D]V97)R:61E('=I=&@@9&]"
10231"U8FQE<R(@/@H@(\" \\:71E;2!V86QU93TB06-C;W)D:6YG('1O($)L;V-K($UA<VMS(B O/@H@("
10232"\" \\:71E;2!V86QU93TB179E<GEW:&5R92!I;B!3=6)3>7-T96TB(\"\\^\"B @(#QI=&5M('9A;"
10233"'5E/2).;R!7:&5R92!I;B!3=6)3>7-T96TB(\"\\^\"B @/\"]L:7-T8F]X/@H@(#QL:7-T8F]X(&"
10234"5V86QU871E/2)T<G5E(B!N86UE/2)C;W)E7V=E;F5R871I;VXB(&1E9F%U;'0](D%C8V]R9&EN9R!"
10235"T;R!\";&]C:R!-87-K<R(@;&%B96P](D=E;F5R871E(&-O<F5S(B ^\"B @(#QI=&5M('9A;'5E/2"
10236")!8V-O<F1I;F<@=&\\@0FQO8VL@36%S:W,B(\"\\^\"B @(#QI=&5M('9A;'5E/2)%=F5R>7=H97)"
10237"E($%V86EL86)L92(@+SX*(\" @/&ET96T@=F%L=64](DYO=\"!.965D960@+2!!;')E861Y($=E;F"
10238"5R871E9\"(@+SX*(\" \\+VQI<W1B;W@^\"B @/&-H96-K8F]X(&5V86QU871E/2)T<G5E(B!N86U"
10239"E/2)R=6Y?8V]R96=E;B(@9&5F875L=#TB;V9F(B!L86)E;#TB4G5N($-O<F5'96XB(\"\\^\"B @/"
10240"&-H96-K8F]X(&5V86QU871E/2)T<G5E(B!N86UE/2)D97!R96-A=&5D7V-O;G1R;VPB(&1E9F%U;'"
10241"0](F]F9B(@;&%B96P](E-H;W<@9&5P<F5C871E9\"!C;VYT<F]L<R(@+SX*(\" \\:&ED9&5N=F%R"
10242"(&5V86QU871E/2)T<G5E(B!N86UE/2)E=F%L7V9I96QD(B!D969A=6QT/2(P(B O/@H@/\"]B;&]C"
10243":V=U:3X*/\"]S>7-G96YB;&]C:SX*       .    ,     8    (    !          %    \"  "
10244"              0         0          "
10245  }
10246}
Note: See TracBrowser for help on using the repository browser.