Changes between Version 21 and Version 22 of 802.11/ResourceUsage


Ignore:
Timestamp:
Apr 29, 2016, 11:04:30 AM (8 years ago)
Author:
murphpo
Comment:

--

Legend:

Unmodified
Added
Removed
Modified
  • 802.11/ResourceUsage

    v21 v22  
    1313
    1414||=  Resource  =||=  Used  =||
    15 || Slice Registers  || 75,180 out of 301,440 (24%) ||
    16 || LUTs  || 68,418 out of 150,720 (45%) ||
    17 || Block RAMs (see note 1)  || 241 of 416 (59%) ||
    18 || DSP48 (multipliers)  || 155 of 768 (20%) ||
     15|| Slice Registers  || 77,280 out of 301,440 (25%) ||
     16|| LUTs  || 70,086 out of 150,720 (46%) ||
     17|| Block RAMs (see note 1)  || 258 of 416 (62%) ||
     18|| DSP48 (multipliers)  || 170 of 768 (22%) ||
    1919|| MMCM_ADV  || 3 of 12 (25%) ||
    2020|| Ethernet MAC  || 2 of 4 (50%) ||
    21 || IOBs (see note 2)  || 352 of 600 (58%) ||
     21|| IOBs (see note 2)  || 354 of 600 (58%) ||
    2222
    2323 * '''Note 1''': the ISE MAP reports utilization of RAMB36E1 and RAMB18E1 separately, even though these represent overlapping resources in the FPGA (each RAMB36E1 can be used as 2 RAMB18E1). The block RAM usage above lists the total number of RAMB36E1 primitives in the FPGA and {{{num(RAMB36E1) + ceil(num(RAMB18E1)/2)}}} as the number used. See the MAP report below for more details.
     
    3636Design Information
    3737------------------
    38 Command Line   : map -mt 4 -o system_map.ncd -w -pr b -ol high -t 7 -register_duplication on -timing -detail system.ngd
     38Command Line   : map -mt 4 -o system_map.ncd -w -pr b -ol high -t 13 -register_duplication on -timing -detail system.ngd
     39
    3940system.pcf
    4041Target Device  : xc6vlx240t
     
    4243Target Speed   : -2
    4344Mapper Version : virtex6 -- $Revision: 1.55 $
    44 Mapped Date    : Wed Dec 09 11:59:51 2015
     45Mapped Date    : Sun Mar 06 16:30:27 2016
    4546
    4647Design Summary
    4748--------------
    4849Number of errors:      0
    49 Number of warnings:  353
     50Number of warnings:  344
    5051Slice Logic Utilization:
    51   Number of Slice Registers:                75,180 out of 301,440   24%
    52     Number used as Flip Flops:              75,003
     52  Number of Slice Registers:                77,280 out of 301,440   25%
     53    Number used as Flip Flops:              77,112
    5354    Number used as Latches:                      4
    5455    Number used as Latch-thrus:                  0
    55     Number used as AND/OR logics:              173
    56   Number of Slice LUTs:                     68,418 out of 150,720   45%
    57     Number used as logic:                   56,953 out of 150,720   37%
    58       Number using O6 output only:          43,609
    59       Number using O5 output only:           1,469
    60       Number using O5 and O6:               11,875
     56    Number used as AND/OR logics:              164
     57  Number of Slice LUTs:                     70,086 out of 150,720   46%
     58    Number used as logic:                   57,505 out of 150,720   38%
     59      Number using O6 output only:          43,946
     60      Number using O5 output only:           1,464
     61      Number using O5 and O6:               12,095
    6162      Number used as ROM:                        0
    62     Number used as Memory:                   7,463 out of  58,400   12%
    63       Number used as Dual Port RAM:          2,526
     63    Number used as Memory:                   7,590 out of  58,400   12%
     64      Number used as Dual Port RAM:          2,522
    6465        Number using O6 output only:         1,546
    65         Number using O5 output only:            29
    66         Number using O5 and O6:                951
     66        Number using O5 output only:            27
     67        Number using O5 and O6:                949
    6768      Number used as Single Port RAM:           31
    6869        Number using O6 output only:            19
    6970        Number using O5 output only:             0
    7071        Number using O5 and O6:                 12
    71       Number used as Shift Register:         4,906
    72         Number using O6 output only:         4,706
     72      Number used as Shift Register:         5,037
     73        Number using O6 output only:         4,776
    7374        Number using O5 output only:            17
    74         Number using O5 and O6:                183
    75     Number used exclusively as route-thrus:  4,002
    76       Number with same-slice register load:  3,228
    77       Number with same-slice carry load:       292
    78       Number with other load:                  482
     75        Number using O5 and O6:                244
     76    Number used exclusively as route-thrus:  4,991
     77      Number with same-slice register load:  4,210
     78      Number with same-slice carry load:       316
     79      Number with other load:                  465
    7980
    8081Slice Logic Distribution:
    81   Number of occupied Slices:                28,065 out of  37,680   74%
    82   Number of LUT Flip Flop pairs used:       88,389
    83     Number with an unused Flip Flop:        22,102 out of  88,389   25%
    84     Number with an unused LUT:              19,971 out of  88,389   22%
    85     Number of fully used LUT-FF pairs:      46,316 out of  88,389   52%
    86     Number of unique control sets:           2,858
     82  Number of occupied Slices:                28,289 out of  37,680   75%
     83  Number of LUT Flip Flop pairs used:       89,396
     84    Number with an unused Flip Flop:        22,638 out of  89,396   25%
     85    Number with an unused LUT:              19,310 out of  89,396   21%
     86    Number of fully used LUT-FF pairs:      47,448 out of  89,396   53%
     87    Number of unique control sets:           2,850
    8788    Number of slice register sites lost
    88       to control set restrictions:          10,800 out of 301,440    3%
     89      to control set restrictions:          10,633 out of 301,440    3%
    8990
    9091  A LUT Flip Flop pair for this architecture represents one LUT paired with
     
    9798
    9899IO Utilization:
    99   Number of bonded IOBs:                       352 out of     600   58%
    100     Number of LOCed IOBs:                      352 out of     352  100%
    101     IOB Flip Flops:                            167
     100  Number of bonded IOBs:                       354 out of     600   59%
     101    Number of LOCed IOBs:                      354 out of     354  100%
     102    IOB Flip Flops:                            116
    102103    IOB Master Pads:                            10
    103104    IOB Slave Pads:                             10
    104105
    105106Specific Feature Utilization:
    106   Number of RAMB36E1/FIFO36E1s:                221 out of     416   53%
    107     Number using RAMB36E1 only:                221
     107  Number of RAMB36E1/FIFO36E1s:                240 out of     416   57%
     108    Number using RAMB36E1 only:                240
    108109    Number using FIFO36E1 only:                  0
    109   Number of RAMB18E1/FIFO18E1s:                 41 out of     832    4%
    110     Number using RAMB18E1 only:                 41
     110  Number of RAMB18E1/FIFO18E1s:                 35 out of     832    4%
     111    Number using RAMB18E1 only:                 35
    111112    Number using FIFO18E1 only:                  0
    112   Number of BUFG/BUFGCTRLs:                     11 out of      32   34%
    113     Number used as BUFGs:                       11
     113  Number of BUFG/BUFGCTRLs:                      9 out of      32   28%
     114    Number used as BUFGs:                        9
    114115    Number used as BUFGCTRLs:                    0
    115   Number of ILOGICE1/ISERDESE1s:               131 out of     720   18%
    116     Number used as ILOGICE1s:                   66
     116  Number of ILOGICE1/ISERDESE1s:               108 out of     720   15%
     117    Number used as ILOGICE1s:                   43
    117118    Number used as ISERDESE1s:                  65
    118   Number of OLOGICE1/OSERDESE1s:               224 out of     720   31%
    119     Number used as OLOGICE1s:                   99
     119  Number of OLOGICE1/OSERDESE1s:               200 out of     720   27%
     120    Number used as OLOGICE1s:                   75
    120121    Number used as OSERDESE1s:                 125
    121122  Number of BSCANs:                              2 out of       4   50%
    122123  Number of BUFHCEs:                             0 out of     144    0%
    123   Number of BUFIODQSs:                          12 out of      72   16%
     124  Number of BUFIODQSs:                          10 out of      72   13%
    124125  Number of BUFRs:                               5 out of      36   13%
    125126    Number of LOCed BUFRs:                       2 out of       5   40%
    126127  Number of CAPTUREs:                            0 out of       1    0%
    127   Number of DSP48E1s:                          155 out of     768   20%
     128  Number of DSP48E1s:                          170 out of     768   22%
    128129  Number of EFUSE_USRs:                          0 out of       1    0%
    129130  Number of FRAME_ECCs:                          0 out of       1    0%
     
    141142
    142143  Number of RPM macros:           15
    143 
    144 Average Fanout of Non-Clock Nets:                3.61
     144Average Fanout of Non-Clock Nets:                3.58
    145145}}}
    146146