Changes between Version 10 and Version 11 of CustomPeriphs


Ignore:
Timestamp:
Sep 3, 2008, 12:47:44 AM (16 years ago)
Author:
sgupta
Comment:

--

Legend:

Unmodified
Added
Removed
Modified
  • CustomPeriphs

    v10 v11  
    77 * [wiki:HardwareUsersGuides/AnalogBoard_v1.1/Software Analog Bridge] - Maps the pins of the [wiki:HardwareUsersGuides/AnalogBoard_v1.1 Analog Board] to user ports.
    88 * [wiki:HardwareUsersGuides/UserIOBoard_v1.0/Controller User I/O Controller] - Provides drivers and hardware to control the LCD, Buzzer, LEDs etc. on the [wiki:HardwareUsersGuides/UserIOBoard_v1.0 User I/O Board].
     9 * [wiki:EEPROM] - Core used to access the EEPROM devices located on the WARP FPGA and WARP radio boards.
     10 * [wiki:"SISO Automatic Gain Control" AGC] - Automatic Gain Control
     11 * [source:/PlatformSupport/CustomPeripherals/pcores Browse All Peripherals in the WARP Repository]
    912
    1013== Board Support ==